Added liberty files for a number of the I/O pad cells, mainly to cover the set used
on the caravel chip design, including the sky130_ef_io set.  This supports the new
capability of Openlane to do top-level timing analysis.
diff --git a/VERSION b/VERSION
index 1476a68..11fe8af 100644
--- a/VERSION
+++ b/VERSION
@@ -1 +1 @@
-1.0.250
+1.0.251
diff --git a/sky130/Makefile.in b/sky130/Makefile.in
index e742eaf..7a76c97 100644
--- a/sky130/Makefile.in
+++ b/sky130/Makefile.in
@@ -1013,6 +1013,7 @@
 		-verilog %l/verilog/*.v compile-only rename=sky130_ef_io \
 		-cdl %l/cdl/*.cdl \
 		-spice %l/spice/*.spice \
+		-lib %l/lib/*.lib \
 		-gds %l/gds/*.gds options=custom/scripts/gds_import_setup.tcl \
 		-lef %l/lef/*.lef exclude=sky130_fd_io__top_xres4v2.lef \
 			compile-only rename=sky130_ef_io \
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib
new file mode 100644
index 0000000..9f21a69
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib
@@ -0,0 +1,4766 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 25.000000;
+	nom_voltage : 1.800000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.800000);
+	voltage_map("VCCHIB",1.800000);
+	voltage_map("VDDA",3.300000);
+	voltage_map("VDDIO",3.300000);
+	voltage_map("VDDIO_Q",3.300000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",3.300000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.330; 
+			 voh : 2.970; 
+			 vomax : 3.465; 
+			 vomin : -0.165; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.180; 
+			 voh : 1.620; 
+			 vomax : 1.890; 
+			 vomin : -0.090; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.825; 
+			 vih : 2.475; 
+			 vimax : 3.465; 
+			 vimin : -0.165; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.540; 
+			 vih : 1.260; 
+			 vimax : 1.890; 
+			 vimin : -0.090; 
+		}
+	 operating_conditions ("tt_tt_1p80v_x_3p30v_025C") { 
+		process : 1.000000;
+		temperature : 25.000000;
+		voltage : 1.800000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_DM_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 8.809100e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7326300";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "7.0009600";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6322500";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7192200";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7894900";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5619700";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5622300";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5753800";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7194800";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.2505300";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.4727600";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5585400";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6518600";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.4879500";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.2347200";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6451900";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.8091000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7157900";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_DM_bus;
+		 direction          : input; 
+		 related_power_pin  : vccd; 
+		 related_ground_pin : vssd; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006624;
+			capacitance : 0.006439;
+			fall_capacitance : 0.006255;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6794944, 0.5726626, 0.5047490, 0.4737636, 0.4245998, 0.3934920, 0.3700116", \
+					  "0.8195869, 0.7153796, 0.6459349, 0.6185472, 0.5760139, 0.5538333, 0.5270450", \
+					  "0.8854419, 0.7871931, 0.6865370, 0.6745692, 0.6369177, 0.6039684, 0.5767744", \
+					  "0.9338041, 0.8255869, 0.7414902, 0.7079572, 0.6873086, 0.6703315, 0.6310783", \
+					  "0.9661726, 0.8564642, 0.7894618, 0.7210472, 0.7196608, 0.7038117, 0.7081846", \
+					  "0.9909053, 0.8839295, 0.7745959, 0.7709138, 0.7528288, 0.7369797, 0.6697884", \
+					  "1.0095226, 0.8964433, 0.8254713, 0.7944794, 0.7554697, 0.7126741, 0.6778880");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5574401, 0.4176499, 0.2723786, 0.1573455, 0.0675442, -0.0190848, -0.0957284", \
+					  "0.7005846, 0.5601700, 0.4237927, 0.3101241, 0.2092325, 0.1221823, 0.0403461", \
+					  "0.7456019, 0.6162898, 0.4771234, 0.3648158, 0.2772805, 0.1824131, 0.1025863", \
+					  "0.8132756, 0.6465573, 0.5062108, 0.4217140, 0.3244048, 0.2398219, 0.1274609", \
+					  "0.8425926, 0.6961363, 0.5535490, 0.4525008, 0.3817697, 0.3008364, 0.1904101", \
+					  "0.8688509, 0.7157845, 0.5775618, 0.4773522, 0.3995488, 0.3339850, 0.2324704", \
+					  "0.8874682, 0.7268005, 0.5824461, 0.4947960, 0.4142110, 0.3503169, 0.2624428");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4817082, -0.3666611, -0.3086751, -0.2601569, -0.2268131, -0.2002829, -0.1737507", \
+					  "-0.6187440, -0.5133075, -0.4560712, -0.4100453, -0.3817586, -0.3529925, -0.3262841", \
+					  "-0.6897964, -0.5668988, -0.5000012, -0.4791628, -0.4473885, -0.4123310, -0.3986687", \
+					  "-0.7329875, -0.6001172, -0.5543514, -0.5220487, -0.4819347, -0.4492588, -0.4158860", \
+					  "-0.7621705, -0.6480866, -0.5900163, -0.5627652, -0.5190682, -0.4807737, -0.4607663", \
+					  "-0.7946444, -0.6755044, -0.6079580, -0.5744895, -0.5304239, -0.5408579, -0.5236174", \
+					  "-0.8132617, -0.6935649, -0.6340791, -0.6062778, -0.5479688, -0.5596721, -0.5439046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5397034, -0.4017310, -0.2622750, -0.1441901, -0.0513370, 0.0374395, 0.1098708", \
+					  "-0.6843478, -0.5435443, -0.4136892, -0.2905307, -0.2007868, -0.1136469, -0.0286345", \
+					  "-0.7365312, -0.6032460, -0.4641456, -0.3547123, -0.2662783, -0.1782149, -0.0918037", \
+					  "-0.7955604, -0.6288350, -0.4945658, -0.4057606, -0.3097124, -0.2343122, -0.1282320", \
+					  "-0.8277957, -0.6839147, -0.5387703, -0.4376342, -0.3686019, -0.2606680, -0.1738085", \
+					  "-0.8526395, -0.6980748, -0.5673610, -0.4671367, -0.3888695, -0.3206811, -0.2066277", \
+					  "-0.8712569, -0.7170947, -0.5920815, -0.4830849, -0.4059100, -0.3173139, -0.2498362");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375519, 0.0370222, 0.0364925, 0.0363356, 0.0361850, 0.0360281, 0.0358713");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468398, 0.0461588, 0.0454779, 0.0456219, 0.0457602, 0.0459042, 0.0460482");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004835;
+			capacitance : 0.004674;
+			fall_capacitance : 0.004514;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4405008, -0.3295483, -0.2653267, -0.2082770, -0.1673038, -0.1438254, -0.1203450", \
+					  "-0.5836400, -0.4666625, -0.4167410, -0.3613802, -0.3203981, -0.2911589, -0.2643793", \
+					  "-0.6577513, -0.5265750, -0.4714891, -0.4371334, -0.3837096, -0.3519201, -0.3281175", \
+					  "-0.6963556, -0.5532205, -0.4960433, -0.4848867, -0.4223833, -0.4027178, -0.3754244", \
+					  "-0.7005467, -0.5893497, -0.5566590, -0.5230305, -0.4696999, -0.4297312, -0.4294044", \
+					  "-0.7564887, -0.6285070, -0.5852939, -0.5239402, -0.5089877, -0.4677288, -0.4165306", \
+					  "-0.7598472, -0.6471243, -0.6053245, -0.5247344, -0.5202738, -0.5028669, -0.4896010");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5137437, -0.3712388, -0.2241280, -0.1029914, -0.0070865, 0.0926859, 0.1721973", \
+					  "-0.6553571, -0.5093184, -0.3755423, -0.2540338, -0.1468520, -0.0565056, 0.0357212", \
+					  "-0.7264094, -0.5645595, -0.4359201, -0.3089359, -0.2237710, -0.1062674, -0.0372219", \
+					  "-0.7711265, -0.5947262, -0.4698850, -0.3689584, -0.2653162, -0.1523245, -0.0759208", \
+					  "-0.7972577, -0.6442215, -0.5077064, -0.4010860, -0.2855835, -0.2035559, -0.0996732", \
+					  "-0.8282057, -0.6728704, -0.5348100, -0.4246393, -0.3391945, -0.2493378, -0.1508720", \
+					  "-0.8468230, -0.6916818, -0.5580392, -0.4437541, -0.3593817, -0.2749942, -0.1779532");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6581203, 0.5469117, 0.4837391, 0.4367674, 0.3880927, 0.3507674, 0.3242352", \
+					  "0.8027894, 0.6923317, 0.6190033, 0.5803660, 0.5423838, 0.5102635, 0.4775151", \
+					  "0.8577623, 0.7627534, 0.6637569, 0.6412921, 0.6107079, 0.5750224, 0.5465375", \
+					  "0.9154839, 0.8009793, 0.7219877, 0.6715266, 0.6478875, 0.6308922, 0.5762628", \
+					  "0.9447814, 0.8305259, 0.7603895, 0.6870028, 0.6799924, 0.6609679, 0.6452361", \
+					  "0.9695311, 0.8546875, 0.7503333, 0.7347394, 0.7043450, 0.6964662, 0.6504996", \
+					  "0.9866225, 0.8686379, 0.8030644, 0.7539391, 0.7396987, 0.6822114, 0.6453404");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5360460, 0.3913472, 0.2449648, 0.1191998, 0.0202431, -0.0734257, -0.1581470", \
+					  "0.6731816, 0.5250470, 0.3917493, 0.2752364, 0.1616604, 0.0684385, -0.0179936", \
+					  "0.7426487, 0.5816807, 0.4567633, 0.3266688, 0.2380887, 0.1313673, 0.0434839", \
+					  "0.7950978, 0.6157313, 0.4840615, 0.3860112, 0.2742362, 0.1949603, 0.1001835", \
+					  "0.8280243, 0.6703213, 0.5288106, 0.4274857, 0.3038271, 0.2244724, 0.1007554", \
+					  "0.8550888, 0.6867047, 0.5528539, 0.4429228, 0.3594605, 0.2674304, 0.1683230", \
+					  "0.8660767, 0.7053221, 0.5653897, 0.4594785, 0.3686326, 0.2967176, 0.1962648");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0442266, 0.0440402, 0.0438538, 0.0437961, 0.0437408, 0.0436831, 0.0436254");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538664, 0.0535166, 0.0531668, 0.0533150, 0.0534573, 0.0536056, 0.0537538");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006515;
+			capacitance : 0.006331;
+			fall_capacitance : 0.006147;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6886498, 0.5834835, 0.5196045, 0.4780232, 0.4322292, 0.4056990, 0.3791668", \
+					  "0.8317933, 0.7259014, 0.6596678, 0.6246899, 0.5897469, 0.5652071, 0.5411765", \
+					  "0.8996062, 0.7985187, 0.6987409, 0.6819049, 0.6534325, 0.6146952, 0.5849870", \
+					  "0.9460125, 0.8346462, 0.7534392, 0.7125781, 0.6965573, 0.6897701, 0.6418756", \
+					  "0.9768424, 0.8688466, 0.8015348, 0.7291682, 0.7303419, 0.7083893, 0.7173780", \
+					  "1.0015864, 0.8961312, 0.7818057, 0.7800691, 0.7616715, 0.7461350, 0.7432944", \
+					  "1.0186779, 0.9086450, 0.8344215, 0.8038087, 0.7568057, 0.7686055, 0.7135913");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589883, 0.4178066, 0.2693299, 0.1558226, 0.0583919, -0.0220440, -0.1048321", \
+					  "0.6992849, 0.5559191, 0.4237927, 0.2985830, 0.2066608, 0.1223379, 0.0310212", \
+					  "0.7582921, 0.6061652, 0.4767247, 0.3632899, 0.2714890, 0.1945180, 0.1021312", \
+					  "0.8152960, 0.6407428, 0.5040662, 0.4186952, 0.3233317, 0.2352745, 0.1437715", \
+					  "0.8540197, 0.7029311, 0.5496681, 0.4533520, 0.3809434, 0.2824134, 0.1936377", \
+					  "0.8769979, 0.7178217, 0.5721549, 0.4850011, 0.3931638, 0.3004077, 0.2362052", \
+					  "0.8920720, 0.7348801, 0.5892464, 0.4948367, 0.4196780, 0.3448725, 0.2621390");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4801825, -0.3746609, -0.3099014, -0.2693122, -0.2329167, -0.1972312, -0.1783284", \
+					  "-0.6217958, -0.5107410, -0.4559990, -0.4115711, -0.3847866, -0.3530042, -0.3311894", \
+					  "-0.6898048, -0.5668931, -0.5000129, -0.4806336, -0.4474069, -0.4123310, -0.3986786", \
+					  "-0.7329963, -0.5985999, -0.5528040, -0.5223150, -0.4819082, -0.4370518, -0.4174180", \
+					  "-0.7637723, -0.6509778, -0.5870472, -0.5613923, -0.5205835, -0.4837722, -0.4607619", \
+					  "-0.7931185, -0.6779709, -0.6079367, -0.5759325, -0.5303865, -0.5446107, -0.5236207", \
+					  "-0.8117358, -0.6862991, -0.6130526, -0.6061086, -0.5494886, -0.5627374, -0.5536213");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5335999, -0.3928806, -0.2531197, -0.1396125, -0.0452335, 0.0359977, 0.1127809", \
+					  "-0.6813572, -0.5419254, -0.4045339, -0.2905306, -0.1932607, -0.1072858, -0.0224935", \
+					  "-0.7365321, -0.5940909, -0.4612749, -0.3455570, -0.2612953, -0.1644657, -0.0889998", \
+					  "-0.7879313, -0.6242576, -0.4871393, -0.3966206, -0.3049005, -0.2343121, -0.1282320", \
+					  "-0.8201660, -0.6743784, -0.5326673, -0.4330173, -0.3639156, -0.2595324, -0.1706906", \
+					  "-0.8465364, -0.6936438, -0.5566800, -0.4610256, -0.3842013, -0.3111774, -0.2066277", \
+					  "-0.8651534, -0.7124678, -0.5798750, -0.4769818, -0.4013699, -0.3126670, -0.2440203");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375796, 0.0370404, 0.0365011, 0.0363476, 0.0362003, 0.0360468, 0.0358933");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467406, 0.0460464, 0.0453523, 0.0455007, 0.0456433, 0.0457917, 0.0459402");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028245;
+			capacitance : 0.028241;
+			fall_capacitance : 0.028236;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0160412, -0.0138001, -0.0115590, -0.0016902, 0.0077839, 0.0176527, 0.0275215");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1161986, 0.1200552, 0.1239118, 0.1342717, 0.1442172, 0.1545771, 0.1649370");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.033150;
+			capacitance : 0.032784;
+			fall_capacitance : 0.032418;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1073470, 0.1115002, 0.1156534, 0.1163079, 0.1169362, 0.1175907, 0.1182452");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1236924, 0.1244588, 0.1252251, 0.1261162, 0.1269716, 0.1278627, 0.1287539");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052525;
+			capacitance : 0.051969;
+			fall_capacitance : 0.051413;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0167277, -0.0169717, -0.0172157, -0.0172099, -0.0172043, -0.0171985, -0.0171927");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0173449, 0.0173256, 0.0173064, 0.0172998, 0.0172935, 0.0172869, 0.0172803");
+				}
+			}
+			timing() { /* check skew between enable_vddio and ENABLE_H */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016840;
+			capacitance : 0.016761;
+			fall_capacitance : 0.016681;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224745, 0.0221643, 0.0218541, 0.0223954, 0.0229151, 0.0234564, 0.0239977");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0265850, 0.0263451, 0.0261051, 0.0267782, 0.0274244, 0.0280975, 0.0287706");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037418;
+			capacitance : 0.036793;
+			fall_capacitance : 0.036167;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7990881, -0.6962510, -0.6444013, -0.6004620, -0.5686069, -0.5466574, -0.5277546", \
+					  "-0.9422274, -0.8424558, -0.7812798, -0.7359719, -0.7169724, -0.6904422, -0.6718288", \
+					  "-1.0106752, -0.9089500, -0.8326101, -0.7863700, -0.7806580, -0.7602313, -0.7352251", \
+					  "-1.0534192, -0.9506853, -0.8811476, -0.8524556, -0.8236895, -0.7971592, -0.7965670", \
+					  "-1.0826022, -0.9742406, -0.9209523, -0.8837863, -0.8488285, -0.8341356, -0.8289192", \
+					  "-1.1120242, -1.0076612, -0.9578413, -0.9074467, -0.8570042, -0.8626835, -0.8424134", \
+					  "-1.1291156, -1.0232268, -0.9570992, -0.9276737, -0.8911274, -0.8708978, -0.8639199");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5686806, -0.4606777, -0.5660139, -0.4661505, -0.3855045, -0.3025168, -0.2256306", \
+					  "-0.7102932, -0.8350673, -0.5386340, -0.6145499, -0.5337533, -0.4524284, -0.3707113", \
+					  "-0.7595851, -0.8939970, -0.5712598, -0.6690433, -0.5929750, -0.5160907, -0.4361528", \
+					  "-0.8230244, -0.9431437, -0.6314757, -0.7052825, -0.6439062, -0.5499669, -0.4714156", \
+					  "-0.8552066, -0.9718541, -0.6770805, -0.6162764, -0.6793725, -0.5993741, -0.5039070", \
+					  "-0.8739871, -0.7635316, -0.8683833, -0.7653879, -0.6958257, -0.6233941, -0.5556823", \
+					  "-0.8941303, -0.7852918, -0.8858225, -0.7850139, -0.6059269, -0.6433981, -0.5684532");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9572019, 0.8545504, 0.7973147, 0.7557660, 0.7267210, 0.7093489, 0.6889202", \
+					  "1.0942396, 0.9974766, 0.9359848, 0.8886028, 0.8638981, 0.8446030, 0.8311791", \
+					  "1.1703952, 1.0540479, 0.9876077, 0.9507523, 0.9340661, 0.9183451, 0.8943834", \
+					  "1.2061440, 1.1041855, 1.0379771, 0.9998882, 0.9597922, 0.9537471, 0.9348444", \
+					  "1.2392444, 1.1321500, 1.0792078, 1.0372798, 0.9749218, 0.9861074, 0.9736298", \
+					  "1.2655607, 1.1667746, 1.1187967, 1.0537489, 1.0290513, 1.0066635, 1.0079940", \
+					  "1.2857038, 1.1725683, 1.1480214, 1.0693457, 1.0491560, 1.0119932, 1.0311890");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8458125, 0.7032994, 0.5748779, 0.4747297, 0.3913293, 0.3080442, 0.2326839", \
+					  "0.9844838, 0.8379120, 0.7117073, 0.6188420, 0.5408295, 0.4589611, 0.3730665", \
+					  "1.0647857, 0.9167715, 0.7677323, 0.6807950, 0.6000267, 0.5261942, 0.4432045", \
+					  "1.1014251, 0.9577013, 0.8310902, 0.7166446, 0.6511556, 0.5615963, 0.4781845", \
+					  "1.1393168, 0.9878783, 0.8545271, 0.7298549, 0.6834632, 0.6035449, 0.5079070", \
+					  "1.1618037, 0.9951064, 0.8277749, 0.7770173, 0.7033890, 0.6314352, 0.5604273", \
+					  "1.1712658, 1.0242706, 0.8989773, 0.7947596, 0.7191657, 0.6477693, 0.5714476");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1815255, 0.2242796, 0.2670336, 0.3021521, 0.3358659, 0.3709843, 0.4061028");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3118948, 0.3859556, 0.4600165, 0.4611906, 0.4623178, 0.4634919, 0.4646660");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.012603;
+			capacitance : 0.012304;
+			fall_capacitance : 0.012006;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2809469, 3.0245966, 5.7682461, 6.5034695, 7.2092839, 7.9445072, 8.6797305");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3681365, 3.0158359, 5.6635352, 6.0719794, 6.4640859, 6.8725302, 7.2809744");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006908;
+			capacitance : 0.006725;
+			fall_capacitance : 0.006543;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7374779, 0.6339137, 0.5733451, 0.5282645, 0.4871609, 0.4621565, 0.4402020", \
+					  "0.8806220, 0.7767422, 0.7044054, 0.6672506, 0.6350674, 0.6131812, 0.5867717", \
+					  "0.9538006, 0.8504741, 0.7567262, 0.7362172, 0.7053124, 0.6635233, 0.6444321", \
+					  "0.9948395, 0.8863901, 0.8147873, 0.7653486, 0.7607647, 0.7401241, 0.6907037", \
+					  "1.0256796, 0.9167822, 0.8537102, 0.8241922, 0.7809062, 0.7694245, 0.7340938", \
+					  "1.0504146, 0.9445806, 0.8745977, 0.8273713, 0.7782512, 0.7894200, 0.7719753", \
+					  "1.0675060, 0.9527470, 0.8961907, 0.8506397, 0.8040867, 0.8127887, 0.8020654");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589749, 0.4179180, 0.2739049, 0.1512424, 0.0583893, -0.0234173, -0.1001950", \
+					  "0.6991010, 0.5601012, 0.4192151, 0.2970647, 0.2063756, 0.1216267, 0.0372436", \
+					  "0.7456225, 0.6083793, 0.4729522, 0.3617641, 0.2713983, 0.1830737, 0.1007710", \
+					  "0.8133095, 0.6404728, 0.4992971, 0.4175361, 0.3169232, 0.2398626, 0.1205119", \
+					  "0.8429720, 0.6951339, 0.5476892, 0.4497311, 0.3800155, 0.2763946, 0.1888242", \
+					  "0.8749647, 0.7157558, 0.5701758, 0.4746739, 0.3921187, 0.3303798, 0.2396619", \
+					  "0.8326801, 0.6723509, 0.5781120, 0.4874360, 0.4143097, 0.3437902, 0.2584870");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4817082, -0.3747839, -0.3086751, -0.2632087, -0.2237613, -0.2033347, -0.1798542", \
+					  "-0.6263734, -0.5153499, -0.4574186, -0.4161488, -0.3847871, -0.3547159, -0.3328812", \
+					  "-0.6974258, -0.5714761, -0.5014384, -0.4836717, -0.4483166, -0.4123310, -0.4027664", \
+					  "-0.7390911, -0.5985910, -0.5558886, -0.5253644, -0.4819347, -0.4492588, -0.4308805", \
+					  "-0.7652223, -0.6496121, -0.5912569, -0.5659228, -0.5206000, -0.4822494, -0.4624894", \
+					  "-0.7946444, -0.6755052, -0.6093151, -0.5787981, -0.5304234, -0.5408578, -0.5250917", \
+					  "-0.8132617, -0.6935663, -0.6340786, -0.6077277, -0.5510143, -0.5673204, -0.5439046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5320740, -0.3921054, -0.2546456, -0.1396125, -0.0467594, 0.0432052, 0.1127811", \
+					  "-0.6843478, -0.5435450, -0.4060598, -0.2887863, -0.1919490, -0.1012835, -0.0192862", \
+					  "-0.7365315, -0.5895124, -0.4627107, -0.3455570, -0.2629678, -0.1644644, -0.0889998", \
+					  "-0.7909827, -0.6257827, -0.4886236, -0.3981480, -0.3018151, -0.2343137, -0.1272697", \
+					  "-0.8171138, -0.6743788, -0.5326665, -0.4330170, -0.3639154, -0.2572830, -0.1692452", \
+					  "-0.8450105, -0.6906861, -0.5582051, -0.4594979, -0.3812572, -0.3032438, -0.2066278", \
+					  "-0.8621016, -0.7028834, -0.5646151, -0.4754547, -0.3988600, -0.3228574, -0.2423436");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0376904, 0.0371341, 0.0365778, 0.0364236, 0.0362757, 0.0361216, 0.0359674");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467795, 0.0460860, 0.0453925, 0.0455459, 0.0456932, 0.0458466, 0.0459999");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017763;
+			capacitance : 0.017677;
+			fall_capacitance : 0.017592;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1136737, 0.1580135, 0.2023533, 0.2380142, 0.2722488, 0.3079097, 0.3435707");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2188847, 0.2933303, 0.3677759, 0.3689977, 0.3701705, 0.3713923, 0.3726140");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005502;
+			capacitance : 0.005341;
+			fall_capacitance : 0.005179;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4511931, -0.3427205, -0.2775338, -0.2250617, -0.1810367, -0.1575583, -0.1310261", \
+					  "-0.5943324, -0.4829500, -0.4304739, -0.3810536, -0.3420028, -0.3147777, -0.2849853", \
+					  "-0.6653848, -0.5363581, -0.4804926, -0.4493905, -0.3957261, -0.3779467, -0.3465274", \
+					  "-0.7101018, -0.5632584, -0.5045721, -0.4918698, -0.4300405, -0.4019566, -0.3907072", \
+					  "-0.7377589, -0.6158054, -0.5647504, -0.5330423, -0.4833796, -0.4327829, -0.4419409", \
+					  "-0.7580258, -0.6415967, -0.5826596, -0.5420892, -0.5004706, -0.4818896, -0.4848000", \
+					  "-0.7827466, -0.6556363, -0.6073805, -0.5426175, -0.5373303, -0.5083702, -0.4880842");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4954398, -0.3561192, -0.2119210, -0.0968879, 0.0126373, 0.0962490, 0.1683501", \
+					  "-0.6370531, -0.4925304, -0.3602835, -0.2498156, -0.1349438, -0.0455500, 0.0414296", \
+					  "-0.7143181, -0.5502214, -0.4177541, -0.3013065, -0.2106130, -0.1148996, -0.0165231", \
+					  "-0.7528172, -0.5803994, -0.4609896, -0.3571184, -0.2536329, -0.1468607, -0.0731889", \
+					  "-0.7850117, -0.6359982, -0.4870089, -0.3924952, -0.2784595, -0.1991709, -0.0987814", \
+					  "-0.8099018, -0.6495824, -0.5190582, -0.4185111, -0.3280108, -0.2378006, -0.1411598", \
+					  "-0.8285191, -0.6632101, -0.5300462, -0.4315002, -0.3444134, -0.2741615, -0.1971656");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6978119, 0.5909546, 0.5226562, 0.4674444, 0.4322292, 0.3965437, 0.3715375", \
+					  "0.8424821, 0.7338102, 0.6596679, 0.6218330, 0.5821175, 0.5502423, 0.5205745", \
+					  "0.9124308, 0.8061002, 0.7078988, 0.6745692, 0.6396996, 0.6055360, 0.5751562", \
+					  "0.9536197, 0.8433658, 0.7610683, 0.7079621, 0.6997125, 0.6718152, 0.6264620", \
+					  "0.9860182, 0.8701504, 0.7953775, 0.7210503, 0.7257643, 0.6931305, 0.6864348", \
+					  "1.0107486, 0.9002721, 0.7818238, 0.7724397, 0.7528288, 0.7285070, 0.7101374", \
+					  "1.0278401, 0.9145207, 0.8404773, 0.7929271, 0.7554137, 0.7639465, 0.7426776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5192800, 0.3757662, 0.2296549, 0.1130959, 0.0065099, -0.0792872, -0.1555881", \
+					  "0.6640212, 0.5144511, 0.3795423, 0.2640380, 0.1555201, 0.0590588, -0.0256044", \
+					  "0.7308363, 0.5673720, 0.4393511, 0.3205653, 0.2320778, 0.1267298, 0.0410396", \
+					  "0.7736931, 0.5981624, 0.4717761, 0.3728392, 0.2728887, 0.1647964, 0.0839851", \
+					  "0.8127366, 0.6588450, 0.5085862, 0.4163640, 0.2920785, 0.2185318, 0.0964212", \
+					  "0.8383211, 0.6706506, 0.5402540, 0.4293380, 0.3489903, 0.2616251, 0.1612322", \
+					  "0.8447329, 0.6907939, 0.5527678, 0.4521910, 0.3673647, 0.2938071, 0.1675130");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439212, 0.0438236, 0.0437259, 0.0435497, 0.0433805, 0.0432042, 0.0430280");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0521624, 0.0517178, 0.0512733, 0.0513624, 0.0514479, 0.0515370, 0.0516261");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005650;
+			capacitance : 0.005352;
+			fall_capacitance : 0.005053;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0353275, -0.0349824, -0.0346373, -0.0346118, -0.0345873, -0.0345618, -0.0345363");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0366676, 0.0366498, 0.0366320, 0.0365777, 0.0365256, 0.0364713, 0.0364170");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin DM[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6794944, 0.8195869, 0.8854419, 0.9338041, 0.9661726, 0.9909053, 1.0095226", \
+					  "0.5726626, 0.7153796, 0.7871931, 0.8255869, 0.8564642, 0.8839295, 0.8964433", \
+					  "0.5047490, 0.6459349, 0.6865370, 0.7414902, 0.7894618, 0.7745959, 0.8254713", \
+					  "0.4737636, 0.6185472, 0.6745692, 0.7079572, 0.7210472, 0.7709138, 0.7944794", \
+					  "0.4245998, 0.5760139, 0.6369177, 0.6873086, 0.7196608, 0.7528288, 0.7554697", \
+					  "0.3934920, 0.5538333, 0.6039684, 0.6703315, 0.7038117, 0.7369797, 0.7126741", \
+					  "0.3700116, 0.5270450, 0.5767744, 0.6310783, 0.7081846, 0.6697884, 0.6778880");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin DM[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4817082, -0.6187440, -0.6897964, -0.7329875, -0.7621705, -0.7946444, -0.8132617", \
+					  "-0.3666611, -0.5133075, -0.5668988, -0.6001172, -0.6480866, -0.6755044, -0.6935649", \
+					  "-0.3086751, -0.4560712, -0.5000012, -0.5543514, -0.5900163, -0.6079580, -0.6340791", \
+					  "-0.2601569, -0.4100453, -0.4791628, -0.5220487, -0.5627652, -0.5744895, -0.6062778", \
+					  "-0.2268131, -0.3817586, -0.4473885, -0.4819347, -0.5190682, -0.5304239, -0.5479688", \
+					  "-0.2002829, -0.3529925, -0.4123310, -0.4492588, -0.4807737, -0.5408579, -0.5596721", \
+					  "-0.1737507, -0.3262841, -0.3986687, -0.4158860, -0.4607663, -0.5236174, -0.5439046");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7990881, -0.9422274, -1.0106752, -1.0534192, -1.0826022, -1.1120242, -1.1291156", \
+					  "-0.6962510, -0.8424558, -0.9089500, -0.9506853, -0.9742406, -1.0076612, -1.0232268", \
+					  "-0.6444013, -0.7812798, -0.8326101, -0.8811476, -0.9209523, -0.9578413, -0.9570992", \
+					  "-0.6004620, -0.7359719, -0.7863700, -0.8524556, -0.8837863, -0.9074467, -0.9276737", \
+					  "-0.5686069, -0.7169724, -0.7806580, -0.8236895, -0.8488285, -0.8570042, -0.8911274", \
+					  "-0.5466574, -0.6904422, -0.7602313, -0.7971592, -0.8341356, -0.8626835, -0.8708978", \
+					  "-0.5277546, -0.6718288, -0.7352251, -0.7965670, -0.8289192, -0.8424134, -0.8639199");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9572019, 1.0942396, 1.1703952, 1.2061440, 1.2392444, 1.2655607, 1.2857038", \
+					  "0.8545504, 0.9974766, 1.0540479, 1.1041855, 1.1321500, 1.1667746, 1.1725683", \
+					  "0.7973147, 0.9359848, 0.9876077, 1.0379771, 1.0792078, 1.1187967, 1.1480214", \
+					  "0.7557660, 0.8886028, 0.9507523, 0.9998882, 1.0372798, 1.0537489, 1.0693457", \
+					  "0.7267210, 0.8638981, 0.9340661, 0.9597922, 0.9749218, 1.0290513, 1.0491560", \
+					  "0.7093489, 0.8446030, 0.9183451, 0.9537471, 0.9861074, 1.0066635, 1.0119932", \
+					  "0.6889202, 0.8311791, 0.8943834, 0.9348444, 0.9736298, 1.0079940, 1.0311890");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7374779, 0.8806220, 0.9538006, 0.9948395, 1.0256796, 1.0504146, 1.0675060", \
+					  "0.6339137, 0.7767422, 0.8504741, 0.8863901, 0.9167822, 0.9445806, 0.9527470", \
+					  "0.5733451, 0.7044054, 0.7567262, 0.8147873, 0.8537102, 0.8745977, 0.8961907", \
+					  "0.5282645, 0.6672506, 0.7362172, 0.7653486, 0.8241922, 0.8273713, 0.8506397", \
+					  "0.4871609, 0.6350674, 0.7053124, 0.7607647, 0.7809062, 0.7782512, 0.8040867", \
+					  "0.4621565, 0.6131812, 0.6635233, 0.7401241, 0.7694245, 0.7894200, 0.8127887", \
+					  "0.4402020, 0.5867717, 0.6444321, 0.6907037, 0.7340938, 0.7719753, 0.8020654");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4817082, -0.6263734, -0.6974258, -0.7390911, -0.7652223, -0.7946444, -0.8132617", \
+					  "-0.3747839, -0.5153499, -0.5714761, -0.5985910, -0.6496121, -0.6755052, -0.6935663", \
+					  "-0.3086751, -0.4574186, -0.5014384, -0.5558886, -0.5912569, -0.6093151, -0.6340786", \
+					  "-0.2632087, -0.4161488, -0.4836717, -0.5253644, -0.5659228, -0.5787981, -0.6077277", \
+					  "-0.2237613, -0.3847871, -0.4483166, -0.4819347, -0.5206000, -0.5304234, -0.5510143", \
+					  "-0.2033347, -0.3547159, -0.4123310, -0.4492588, -0.4822494, -0.5408578, -0.5673204", \
+					  "-0.1798542, -0.3328812, -0.4027664, -0.4308805, -0.4624894, -0.5250917, -0.5439046");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4511931, -0.5943324, -0.6653848, -0.7101018, -0.7377589, -0.7580258, -0.7827466", \
+					  "-0.3427205, -0.4829500, -0.5363581, -0.5632584, -0.6158054, -0.6415967, -0.6556363", \
+					  "-0.2775338, -0.4304739, -0.4804926, -0.5045721, -0.5647504, -0.5826596, -0.6073805", \
+					  "-0.2250617, -0.3810536, -0.4493905, -0.4918698, -0.5330423, -0.5420892, -0.5426175", \
+					  "-0.1810367, -0.3420028, -0.3957261, -0.4300405, -0.4833796, -0.5004706, -0.5373303", \
+					  "-0.1575583, -0.3147777, -0.3779467, -0.4019566, -0.4327829, -0.4818896, -0.5083702", \
+					  "-0.1310261, -0.2849853, -0.3465274, -0.3907072, -0.4419409, -0.4848000, -0.4880842");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6978119, 0.8424821, 0.9124308, 0.9536197, 0.9860182, 1.0107486, 1.0278401", \
+					  "0.5909546, 0.7338102, 0.8061002, 0.8433658, 0.8701504, 0.9002721, 0.9145207", \
+					  "0.5226562, 0.6596679, 0.7078988, 0.7610683, 0.7953775, 0.7818238, 0.8404773", \
+					  "0.4674444, 0.6218330, 0.6745692, 0.7079621, 0.7210503, 0.7724397, 0.7929271", \
+					  "0.4322292, 0.5821175, 0.6396996, 0.6997125, 0.7257643, 0.7528288, 0.7554137", \
+					  "0.3965437, 0.5502423, 0.6055360, 0.6718152, 0.6931305, 0.7285070, 0.7639465", \
+					  "0.3715375, 0.5205745, 0.5751562, 0.6264620, 0.6864348, 0.7101374, 0.7426776");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin DM[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4405008, -0.5836400, -0.6577513, -0.6963556, -0.7005467, -0.7564887, -0.7598472", \
+					  "-0.3295483, -0.4666625, -0.5265750, -0.5532205, -0.5893497, -0.6285070, -0.6471243", \
+					  "-0.2653267, -0.4167410, -0.4714891, -0.4960433, -0.5566590, -0.5852939, -0.6053245", \
+					  "-0.2082770, -0.3613802, -0.4371334, -0.4848867, -0.5230305, -0.5239402, -0.5247344", \
+					  "-0.1673038, -0.3203981, -0.3837096, -0.4223833, -0.4696999, -0.5089877, -0.5202738", \
+					  "-0.1438254, -0.2911589, -0.3519201, -0.4027178, -0.4297312, -0.4677288, -0.5028669", \
+					  "-0.1203450, -0.2643793, -0.3281175, -0.3754244, -0.4294044, -0.4165306, -0.4896010");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin DM[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6581203, 0.8027894, 0.8577623, 0.9154839, 0.9447814, 0.9695311, 0.9866225", \
+					  "0.5469117, 0.6923317, 0.7627534, 0.8009793, 0.8305259, 0.8546875, 0.8686379", \
+					  "0.4837391, 0.6190033, 0.6637569, 0.7219877, 0.7603895, 0.7503333, 0.8030644", \
+					  "0.4367674, 0.5803660, 0.6412921, 0.6715266, 0.6870028, 0.7347394, 0.7539391", \
+					  "0.3880927, 0.5423838, 0.6107079, 0.6478875, 0.6799924, 0.7043450, 0.7396987", \
+					  "0.3507674, 0.5102635, 0.5750224, 0.6308922, 0.6609679, 0.6964662, 0.6822114", \
+					  "0.3242352, 0.4775151, 0.5465375, 0.5762628, 0.6452361, 0.6504996, 0.6453404");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7975623, -0.9422275, -1.0091494, -1.0534192, -1.0810763, -1.1104984, -1.1275899", \
+					  "-0.6947251, -0.8409285, -0.9123036, -0.9476777, -0.9727147, -1.0077053, -1.0232710", \
+					  "-0.6365395, -0.7781423, -0.8260969, -0.8809866, -0.9160373, -0.9471679, -0.9496182", \
+					  "-0.5958844, -0.7344460, -0.7939994, -0.8433844, -0.8773395, -0.9013823, -0.9276421", \
+					  "-0.5701222, -0.7169724, -0.7760829, -0.8221636, -0.8412603, -0.8507997, -0.8825854", \
+					  "-0.5420798, -0.6889164, -0.7571796, -0.8032628, -0.8329706, -0.8598071, -0.8650751", \
+					  "-0.5231770, -0.6715850, -0.7459062, -0.7950483, -0.8076561, -0.8347578, -0.8669716");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9770380, 1.1156022, 1.1917707, 1.2290320, 1.2575657, 1.2869228, 1.3070661", \
+					  "0.8734995, 1.0185445, 1.0813709, 1.1224876, 1.1525469, 1.1859868, 1.1970235", \
+					  "0.8080791, 0.9634063, 1.0064141, 1.0621631, 1.0886773, 1.1278044, 1.1582648", \
+					  "0.7724016, 0.9156135, 0.9749829, 1.0232088, 1.0662286, 1.0823235, 1.0962328", \
+					  "0.7464826, 0.8803552, 0.9491314, 0.9716053, 0.9980658, 1.0580045, 1.0644148", \
+					  "0.7291732, 0.8645335, 0.9381859, 0.9766353, 1.0059357, 1.0294050, 1.0354017", \
+					  "0.7087566, 0.8418603, 0.9235273, 0.9562066, 0.9901125, 1.0018904, 1.0449219");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4902844, 0.6319029, 0.7011998, 0.7461197, 0.7739149, 0.8032211, 0.8218384", \
+					  "0.3813558, 0.5198572, 0.5769423, 0.6087381, 0.6583462, 0.6833320, 0.6988702", \
+					  "0.3135773, 0.4639352, 0.5099609, 0.5621219, 0.5966005, 0.6161719, 0.6245475", \
+					  "0.2748382, 0.4201488, 0.4907365, 0.5307568, 0.5656378, 0.5857518, 0.6145369", \
+					  "0.2384426, 0.3905027, 0.4550682, 0.4859612, 0.5460141, 0.5390162, 0.5551252", \
+					  "0.2134383, 0.3649038, 0.4191532, 0.4636926, 0.4892656, 0.5517532, 0.5290966", \
+					  "0.1899579, 0.3368413, 0.4098867, 0.4378766, 0.4710864, 0.5320769, 0.5649801");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4633965, -0.6111134, -0.6791141, -0.7253570, -0.7530141, -0.7778586, -0.7949500", \
+					  "-0.3655790, -0.4982823, -0.5532247, -0.5833913, -0.6389902, -0.6597828, -0.6818728", \
+					  "-0.2977025, -0.4467850, -0.4926759, -0.5434705, -0.5875109, -0.6039828, -0.6314752", \
+					  "-0.2540534, -0.4039417, -0.4718155, -0.5224398, -0.5551922, -0.5701524, -0.5739699", \
+					  "-0.2130802, -0.3739731, -0.4278398, -0.4757085, -0.5144751, -0.5260123, -0.5342254", \
+					  "-0.1880759, -0.3385962, -0.3985980, -0.4309483, -0.4762794, -0.5318274, -0.5258814", \
+					  "-0.1615437, -0.3208078, -0.3813414, -0.4097693, -0.4607976, -0.5221615, -0.5408909");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin DM[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6886498, 0.8317933, 0.8996062, 0.9460125, 0.9768424, 1.0015864, 1.0186779", \
+					  "0.5834835, 0.7259014, 0.7985187, 0.8346462, 0.8688466, 0.8961312, 0.9086450", \
+					  "0.5196045, 0.6596678, 0.6987409, 0.7534392, 0.8015348, 0.7818057, 0.8344215", \
+					  "0.4780232, 0.6246899, 0.6819049, 0.7125781, 0.7291682, 0.7800691, 0.8038087", \
+					  "0.4322292, 0.5897469, 0.6534325, 0.6965573, 0.7303419, 0.7616715, 0.7568057", \
+					  "0.4056990, 0.5652071, 0.6146952, 0.6897701, 0.7083893, 0.7461350, 0.7686055", \
+					  "0.3791668, 0.5411765, 0.5849870, 0.6418756, 0.7173780, 0.7432944, 0.7135913");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin DM[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4801825, -0.6217958, -0.6898048, -0.7329963, -0.7637723, -0.7931185, -0.8117358", \
+					  "-0.3746609, -0.5107410, -0.5668931, -0.5985999, -0.6509778, -0.6779709, -0.6862991", \
+					  "-0.3099014, -0.4559990, -0.5000129, -0.5528040, -0.5870472, -0.6079367, -0.6130526", \
+					  "-0.2693122, -0.4115711, -0.4806336, -0.5223150, -0.5613923, -0.5759325, -0.6061086", \
+					  "-0.2329167, -0.3847866, -0.4474069, -0.4819082, -0.5205835, -0.5303865, -0.5494886", \
+					  "-0.1972312, -0.3530042, -0.4123310, -0.4370518, -0.4837722, -0.5446107, -0.5627374", \
+					  "-0.1783284, -0.3311894, -0.3986786, -0.4174180, -0.4607619, -0.5236207, -0.5536213");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0148202, 0.1230534, 0.1869965, 0.2153444, 0.2220239, 0.2736467, 0.2877808", \
+					  "-0.1168045, 0.0246792, 0.0857350, 0.1237039, 0.1504375, 0.1607173, 0.1656017", \
+					  "-0.1787001, -0.0386149, 0.0266629, 0.0593340, 0.0886344, 0.1080695, 0.1221092", \
+					  "-0.2210725, -0.0710775, -0.0319127, 0.0330507, 0.0624474, 0.0834084, 0.0974481", \
+					  "-0.2559422, -0.1162866, -0.0367579, -0.0082880, 0.0248988, 0.0682624, 0.0650584", \
+					  "-0.2885759, -0.1407738, -0.0540751, -0.0450789, -0.0209808, 0.0182162, 0.0338966", \
+					  "-0.3075088, -0.1625632, -0.0811310, -0.0796121, -0.0398880, -0.0083514, -0.0175746");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2385472, 0.1023864, 0.0448044, 0.0078765, -0.0136001, -0.0217206, -0.0145254", \
+					  "0.3490102, 0.2038106, 0.1502525, 0.1133246, 0.0888470, 0.0691667, 0.0581787", \
+					  "0.4106376, 0.2669659, 0.2211633, 0.1770980, 0.1519532, 0.1340440, 0.1215302", \
+					  "0.4554483, 0.3152580, 0.2480099, 0.2245895, 0.1572317, 0.1603629, 0.1478499", \
+					  "0.4781429, 0.3602837, 0.2754081, 0.2803515, 0.2161008, 0.2057812, 0.1599475", \
+					  "0.5092507, 0.3696773, 0.3160091, 0.2578685, 0.2416556, 0.2233640, 0.2336691", \
+					  "0.5098094, 0.3870991, 0.3285825, 0.3081693, 0.2718995, 0.3586159, 0.2432830");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4740781, -0.6202691, -0.6867439, -0.7314609, -0.7606438, -0.7870142, -0.8056315", \
+					  "-0.3652263, -0.5080322, -0.5638851, -0.5925258, -0.6450728, -0.6666611, -0.6879555", \
+					  "-0.3086751, -0.4560254, -0.4999076, -0.5527718, -0.5900195, -0.6093742, -0.6341346", \
+					  "-0.2586310, -0.4085194, -0.4776704, -0.5223029, -0.5613945, -0.5744593, -0.6062558", \
+					  "-0.2207096, -0.3802465, -0.4481999, -0.4803811, -0.5175406, -0.5304669, -0.5418424", \
+					  "-0.1911276, -0.3497162, -0.4108051, -0.4355259, -0.4792669, -0.5408717, -0.5566039", \
+					  "-0.1737507, -0.3262841, -0.3813619, -0.4158865, -0.4607059, -0.5236303, -0.5439027");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7283220, 0.8684119, 0.9430552, 0.9841640, 1.0149533, 1.0412585, 1.0583499", \
+					  "0.6229782, 0.7659775, 0.8410737, 0.8757515, 0.9032586, 0.9381278, 0.9494236", \
+					  "0.5598027, 0.6941766, 0.7414588, 0.8102819, 0.8481536, 0.8573398, 0.8917011", \
+					  "0.5205015, 0.6583399, 0.7170154, 0.7518099, 0.8130775, 0.8197419, 0.8460945", \
+					  "0.4764797, 0.6271251, 0.6946312, 0.7514475, 0.7763361, 0.7767790, 0.7898741", \
+					  "0.4514753, 0.6021551, 0.6543680, 0.7309688, 0.7253702, 0.7818156, 0.8022365", \
+					  "0.4310467, 0.5809258, 0.6349336, 0.6846002, 0.7310758, 0.7642967, 0.7945335");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024019;
+			capacitance : 0.024898;
+			rise_capacitance : 0.025205;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7975623, -0.6947251, -0.6365395, -0.5958844, -0.5701222, -0.5420798, -0.5231770", \
+					  "-0.9422275, -0.8409285, -0.7781423, -0.7344460, -0.7169724, -0.6889164, -0.6715850", \
+					  "-1.0091494, -0.9123036, -0.8260969, -0.7939994, -0.7760829, -0.7571796, -0.7459062", \
+					  "-1.0534192, -0.9476777, -0.8809866, -0.8433844, -0.8221636, -0.8032628, -0.7950483", \
+					  "-1.0810763, -0.9727147, -0.9160373, -0.8773395, -0.8412603, -0.8329706, -0.8076561", \
+					  "-1.1104984, -1.0077053, -0.9471679, -0.9013823, -0.8507997, -0.8598071, -0.8347578", \
+					  "-1.1275899, -1.0232710, -0.9496182, -0.9276421, -0.8825854, -0.8650751, -0.8669716");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5412164, -0.4341533, -0.5738867, -0.2799933, -0.2191837, -0.3116720, -0.2271565", \
+					  "-0.6706220, -0.8399169, -0.4790048, -0.6207799, -0.3673368, -0.4524581, -0.3722946", \
+					  "-0.7377485, -0.6094758, -0.5373163, -0.4761822, -0.4003214, -0.5237201, -0.4361528", \
+					  "-0.7864048, -0.6594421, -0.5795958, -0.7097413, -0.4392210, -0.4019566, -0.4729466", \
+					  "-0.8246868, -0.9767233, -0.6351559, -0.5575678, -0.4990199, -0.6010587, -0.5039070", \
+					  "-0.8404194, -0.7285940, -0.8716034, -0.5479654, -0.5049181, -0.6294996, -0.5573003", \
+					  "-0.8681920, -0.7456854, -0.6290288, -0.5635122, -0.4903117, -0.6516003, -0.5746115");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9770380, 0.8734995, 0.8080791, 0.7724016, 0.7464826, 0.7291732, 0.7087566", \
+					  "1.1156022, 1.0185445, 0.9634063, 0.9156135, 0.8803552, 0.8645335, 0.8418603", \
+					  "1.1917707, 1.0813709, 1.0064141, 0.9749829, 0.9491314, 0.9381859, 0.9235273", \
+					  "1.2290320, 1.1224876, 1.0621631, 1.0232088, 0.9716053, 0.9766353, 0.9562066", \
+					  "1.2575657, 1.1525469, 1.0886773, 1.0662286, 0.9980658, 1.0059357, 0.9901125", \
+					  "1.2869228, 1.1859868, 1.1278044, 1.0823235, 1.0580045, 1.0294050, 1.0018904", \
+					  "1.3070661, 1.1970235, 1.1582648, 1.0962328, 1.0644148, 1.0354017, 1.0449219");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8488659, 0.7102961, 0.5822968, 0.4854094, 0.4032375, 0.3141463, 0.2311566", \
+					  "0.9889575, 0.8438808, 0.7146639, 0.6260701, 0.5473206, 0.4626985, 0.3753168", \
+					  "1.0621195, 0.9174035, 0.7738193, 0.6906413, 0.6107079, 0.5338236, 0.4432045", \
+					  "1.1017552, 0.9609726, 0.8226857, 0.7185449, 0.6586544, 0.5631221, 0.4815164", \
+					  "1.1340042, 0.9871787, 0.8552473, 0.7291735, 0.6952467, 0.6097640, 0.5094329", \
+					  "1.1633284, 1.0119094, 0.8833139, 0.7785432, 0.7150328, 0.6366030, 0.5642610", \
+					  "1.1788939, 1.0274749, 0.9007305, 0.7979523, 0.7354300, 0.6569757, 0.5753662");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006458;
+			capacitance : 0.006639;
+			rise_capacitance : 0.006821;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4902844, 0.3813558, 0.3135773, 0.2748382, 0.2384426, 0.2134383, 0.1899579", \
+					  "0.6319029, 0.5198572, 0.4639352, 0.4201488, 0.3905027, 0.3649038, 0.3368413", \
+					  "0.7011998, 0.5769423, 0.5099609, 0.4907365, 0.4550682, 0.4191532, 0.4098867", \
+					  "0.7461197, 0.6087381, 0.5621219, 0.5307568, 0.4859612, 0.4636926, 0.4378766", \
+					  "0.7739149, 0.6583462, 0.5966005, 0.5656378, 0.5460141, 0.4892656, 0.4710864", \
+					  "0.8032211, 0.6833320, 0.6161719, 0.5857518, 0.5390162, 0.5517532, 0.5320769", \
+					  "0.8218384, 0.6988702, 0.6245475, 0.6145369, 0.5551252, 0.5290966, 0.5649801");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7924335, 0.6524726, 0.5079262, 0.3960547, 0.3055822, 0.2134392, 0.1319754", \
+					  "0.9341229, 0.7931095, 0.6459770, 0.5487221, 0.4536699, 0.3535697, 0.2768940", \
+					  "1.0111331, 0.8682799, 0.6930865, 0.6109319, 0.5115818, 0.4193379, 0.3263936", \
+					  "1.0529513, 0.9106403, 0.7549787, 0.6504512, 0.5668723, 0.4608882, 0.3873489", \
+					  "1.0889410, 0.9424161, 0.7833782, 0.6657784, 0.6034974, 0.5159578, 0.4255095", \
+					  "1.1099487, 0.9469109, 0.7754460, 0.6687485, 0.6272637, 0.5312687, 0.4303321", \
+					  "1.1209379, 0.9774256, 0.8284565, 0.7170118, 0.6387923, 0.5557932, 0.4922673");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4633965, -0.3655790, -0.2977025, -0.2540534, -0.2130802, -0.1880759, -0.1615437", \
+					  "-0.6111134, -0.4982823, -0.4467850, -0.4039417, -0.3739731, -0.3385962, -0.3208078", \
+					  "-0.6791141, -0.5532247, -0.4926759, -0.4718155, -0.4278398, -0.3985980, -0.3813414", \
+					  "-0.7253570, -0.5833913, -0.5434705, -0.5224398, -0.4757085, -0.4309483, -0.4097693", \
+					  "-0.7530141, -0.6389902, -0.5875109, -0.5551922, -0.5144751, -0.4762794, -0.4607976", \
+					  "-0.7778586, -0.6597828, -0.6039828, -0.5701524, -0.5260123, -0.5318274, -0.5221615", \
+					  "-0.7949500, -0.6818728, -0.6314752, -0.5739699, -0.5342254, -0.5258814, -0.5408909");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5412302, -0.4051808, -0.2653267, -0.1472419, -0.0513370, 0.0331471, 0.1070271", \
+					  "-0.6889357, -0.5515261, -0.4167410, -0.3021603, -0.2007867, -0.1120582, -0.0286212", \
+					  "-0.7434586, -0.6063359, -0.4684780, -0.3577641, -0.2692355, -0.1783042, -0.0935769", \
+					  "-0.7970872, -0.6303990, -0.4961586, -0.4087775, -0.3097183, -0.2190379, -0.1282320", \
+					  "-0.8277964, -0.6839018, -0.5419260, -0.4422844, -0.3701873, -0.2609668, -0.1783445", \
+					  "-0.8541667, -0.6995478, -0.5705166, -0.4656371, -0.3903878, -0.3128034, -0.2066263", \
+					  "-0.8727837, -0.7136217, -0.5754011, -0.4832474, -0.4060679, -0.3323575, -0.2466693");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0374288, 0.0369051, 0.0363814, 0.0362370, 0.0360983, 0.0359539, 0.0358095");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468905, 0.0462022, 0.0455139, 0.0456500, 0.0457807, 0.0459168, 0.0460530");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.019112;
+			capacitance : 0.018189;
+			fall_capacitance : 0.017265;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0419947, 0.1490228, 0.2560510, 0.2680425, 0.2795543, 0.2915458, 0.3035373");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9296213, 7.4737199, 14.0178180, 14.2378060, 14.4489940, 14.6689820, 14.8889700");
+				}
+			}
+			timing() { /* check skew between enable_vddio and ENABLE_H */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033093;
+			capacitance : 0.033010;
+			fall_capacitance : 0.032927;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0177390, -0.0138055, -0.0098720, 0.0068172, 0.0228388, 0.0395279, 0.0562171");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4103800, 0.4184583, 0.4265366, 0.4440550, 0.4608725, 0.4783909, 0.4959092");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007059;
+			capacitance : 0.006880;
+			fall_capacitance : 0.006701;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0148202, -0.1168045, -0.1787001, -0.2210725, -0.2559422, -0.2885759, -0.3075088", \
+					  "0.1230534, 0.0246792, -0.0386149, -0.0710775, -0.1162866, -0.1407738, -0.1625632", \
+					  "0.1869965, 0.0857350, 0.0266629, -0.0319127, -0.0367579, -0.0540751, -0.0811310", \
+					  "0.2153444, 0.1237039, 0.0593340, 0.0330507, -0.0082880, -0.0450789, -0.0796121", \
+					  "0.2220239, 0.1504375, 0.0886344, 0.0624474, 0.0248988, -0.0209808, -0.0398880", \
+					  "0.2736467, 0.1607173, 0.1080695, 0.0834084, 0.0682624, 0.0182162, -0.0083514", \
+					  "0.2877808, 0.1656017, 0.1221092, 0.0974481, 0.0650584, 0.0338966, -0.0175746");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1521551, -0.2972880, -0.4292861, -0.5439708, -0.6198860, -0.7148845, -0.8012249", \
+					  "-0.0159706, -0.1584394, -0.2964221, -0.4129811, -0.5062374, -0.5873405, -0.6715236", \
+					  "0.0365249, -0.0991807, -0.2276466, -0.3361117, -0.4308050, -0.5188632, -0.6102425", \
+					  "0.0770139, -0.0607275, -0.2031099, -0.2988911, -0.3706555, -0.4793967, -0.5548221", \
+					  "0.0927010, -0.0344783, -0.1757182, -0.2877284, -0.3747847, -0.4544432, -0.5366223", \
+					  "0.0946493, -0.0165691, -0.1562828, -0.2682935, -0.3542807, -0.4347608, -0.5159895", \
+					  "0.1306335, -0.0040557, -0.1422434, -0.2542536, -0.3431893, -0.4215943, -0.5157752");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2385472, 0.3490102, 0.4106376, 0.4554483, 0.4781429, 0.5092507, 0.5098094", \
+					  "0.1023864, 0.2038106, 0.2669659, 0.3152580, 0.3602837, 0.3696773, 0.3870991", \
+					  "0.0448044, 0.1502525, 0.2211633, 0.2480099, 0.2754081, 0.3160091, 0.3285825", \
+					  "0.0078765, 0.1133246, 0.1770980, 0.2245895, 0.2803515, 0.2578685, 0.3081693", \
+					  "-0.0136001, 0.0888470, 0.1519532, 0.1572317, 0.2161008, 0.2416556, 0.2718995", \
+					  "-0.0217206, 0.0691667, 0.1340440, 0.1603629, 0.2057812, 0.2233640, 0.3586159", \
+					  "-0.0145254, 0.0581787, 0.1215302, 0.1478499, 0.1599475, 0.2336691, 0.2432830");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1637813, 0.3073607, 0.4424887, 0.5588536, 0.6500351, 0.7251737, 0.8084420", \
+					  "0.0337076, 0.1652941, 0.3125504, 0.4233430, 0.5177276, 0.5987982, 0.6784187", \
+					  "-0.0211199, 0.1140346, 0.2397139, 0.3626521, 0.4450960, 0.5310796, 0.6155876", \
+					  "-0.0608019, 0.0739466, 0.2124083, 0.3103964, 0.4177863, 0.5010609, 0.5682692", \
+					  "-0.0780168, 0.0476974, 0.1904967, 0.3024871, 0.3897884, 0.4608727, 0.5312545", \
+					  "-0.0802252, 0.0313141, 0.1695354, 0.2830522, 0.3692522, 0.4457882, 0.5245806", \
+					  "-0.1128960, 0.0188003, 0.1554960, 0.2690125, 0.3596482, 0.4335121, 0.5124606");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375150, 0.0369295, 0.0363440, 0.0361929, 0.0360479, 0.0358969, 0.0357458");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467630, 0.0459826, 0.0452022, 0.0453393, 0.0454709, 0.0456080, 0.0457452");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014241;
+			capacitance : 0.014116;
+			fall_capacitance : 0.013990;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4740781, -0.3652263, -0.3086751, -0.2586310, -0.2207096, -0.1911276, -0.1737507", \
+					  "-0.6202691, -0.5080322, -0.4560254, -0.4085194, -0.3802465, -0.3497162, -0.3262841", \
+					  "-0.6867439, -0.5638851, -0.4999076, -0.4776704, -0.4481999, -0.4108051, -0.3813619", \
+					  "-0.7314609, -0.5925258, -0.5527718, -0.5223029, -0.4803811, -0.4355259, -0.4158865", \
+					  "-0.7606438, -0.6450728, -0.5900195, -0.5613945, -0.5175406, -0.4792669, -0.4607059", \
+					  "-0.7870142, -0.6666611, -0.6093742, -0.5744593, -0.5304669, -0.5408717, -0.5236303", \
+					  "-0.8056315, -0.6879555, -0.6341346, -0.6062558, -0.5418424, -0.5566039, -0.5439027");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5366504, -0.3949176, -0.2470546, -0.1380866, -0.0452335, 0.0403392, 0.1215443", \
+					  "-0.6782374, -0.5387105, -0.3984304, -0.2905794, -0.1946188, -0.1027709, -0.0224581", \
+					  "-0.7365201, -0.5926319, -0.4597823, -0.3501346, -0.2643783, -0.1645846, -0.0889317", \
+					  "-0.7879546, -0.6136168, -0.4811867, -0.3981902, -0.3017078, -0.2311783, -0.1270964", \
+					  "-0.8217225, -0.6766218, -0.5357447, -0.4345367, -0.3670987, -0.2596163, -0.1708139", \
+					  "-0.8404312, -0.6935389, -0.5506025, -0.4594698, -0.3813697, -0.3112773, -0.2051440", \
+					  "-0.8666775, -0.7013550, -0.5553752, -0.4755292, -0.3988787, -0.3292605, -0.2408169");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7283220, 0.6229782, 0.5598027, 0.5205015, 0.4764797, 0.4514753, 0.4310467", \
+					  "0.8684119, 0.7659775, 0.6941766, 0.6583399, 0.6271251, 0.6021551, 0.5809258", \
+					  "0.9430552, 0.8410737, 0.7414588, 0.7170154, 0.6946312, 0.6543680, 0.6349336", \
+					  "0.9841640, 0.8757515, 0.8102819, 0.7518099, 0.7514475, 0.7309688, 0.6846002", \
+					  "1.0149533, 0.9032586, 0.8481536, 0.8130775, 0.7763361, 0.7253702, 0.7310758", \
+					  "1.0412585, 0.9381278, 0.8573398, 0.8197419, 0.7767790, 0.7818156, 0.7642967", \
+					  "1.0583499, 0.9494236, 0.8917011, 0.8460945, 0.7898741, 0.8022365, 0.7945335");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498243, 0.4152222, 0.2693279, 0.1527689, 0.0583900, -0.0191361, -0.1018016", \
+					  "0.6991503, 0.5496723, 0.4237927, 0.2985852, 0.2064571, 0.1243868, 0.0403254", \
+					  "0.7575513, 0.6143040, 0.4732699, 0.3648158, 0.2729567, 0.1835846, 0.1006368", \
+					  "0.8118847, 0.6436091, 0.5007157, 0.4195571, 0.3232567, 0.2290293, 0.1283814", \
+					  "0.8479058, 0.7017846, 0.5540190, 0.4545296, 0.3798445, 0.2749884, 0.1920454", \
+					  "0.8673410, 0.7069343, 0.5765059, 0.4810307, 0.3924245, 0.3130168, 0.2349017", \
+					  "0.8798547, 0.7279372, 0.5874936, 0.4955642, 0.4180793, 0.3441652, 0.2572879");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375815, 0.0370577, 0.0365338, 0.0363785, 0.0362294, 0.0360740, 0.0359187");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468326, 0.0461289, 0.0454252, 0.0455667, 0.0457027, 0.0458442, 0.0459858");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.506935;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02278664, 0.05192309, 0.1183153, 0.2696007, 0.6143293, 1.39985");
+					values("1.0545718, 1.0933709, 1.1836003, 1.5587198, 2.6944199, 2.7284020, 2.7155149", \
+					  "0.7675460, 0.7681829, 0.7691789, 0.7702523, 0.9558704, 0.9288862, 0.9151115", \
+					  "1.1544864, 1.1640435, 1.1645704, 1.1672592, 1.1688347, 1.1690959, 1.0173793", \
+					  "1.2602337, 1.2572246, 1.2613510, 1.2643923, 1.2660216, 1.2662454, 1.2482619", \
+					  "1.3463035, 1.3396184, 1.3491053, 1.3472856, 1.3521607, 1.3544404, 1.3539187", \
+					  "1.4388151, 1.4582335, 1.4402929, 1.4359180, 1.4415566, 1.4446258, 1.4340791", \
+					  "1.5062829, 1.4779436, 1.5185263, 1.4905763, 1.5187503, 1.5517187, 1.4964577");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02278664, 0.05192309, 0.1183153, 0.2696007, 0.6143293, 1.39985");
+					values("1.3710187, 1.4775828, 1.7100364, 2.0562230, 2.2790448, 2.3334344, 2.3355443", \
+					  "4.1358475, 4.1349055, 4.1376303, 4.1400663, 4.1431841, 4.1914536, 4.2632759", \
+					  "4.1407810, 4.1417468, 4.1476839, 4.1474363, 4.1517115, 4.1554464, 4.1541588", \
+					  "4.1378467, 4.1448052, 4.1512922, 4.1541939, 4.1567964, 4.1581144, 4.1571234", \
+					  "4.1411883, 4.1540742, 4.1588378, 4.1622877, 4.1764244, 4.1714449, 4.1603132", \
+					  "4.1668357, 4.1268837, 4.1681463, 4.1707506, 4.1853834, 4.1880470, 4.2095268", \
+					  "4.1304273, 4.1417156, 4.1412004, 4.1439864, 4.1554633, 4.1365271, 4.1505120");
+				}
+			}
+/*  End merge */
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.2241442, 3.2698498, 3.3267027, 3.3752269, 3.3871520, 3.3748792, 3.3565287", \
+					  "7.3804192, 7.3817168, 7.3843379, 7.3838461, 7.3837308, 7.2786204, 7.0850699", \
+					  "14.0029080, 13.9977480, 14.0042110, 14.0057090, 14.0290180, 14.0000490, 13.9991360", \
+					  "20.2352960, 20.2397320, 20.2353980, 20.2398240, 20.2997640, 20.3100730, 20.2893670", \
+					  "26.4072320, 26.4059040, 26.4096110, 26.4141260, 26.4343560, 26.4402490, 26.4690560", \
+					  "32.4974280, 32.4988890, 32.4858790, 32.4962420, 32.4834990, 32.4325150, 32.4683300", \
+					  "38.5488520, 38.5380370, 38.5351020, 38.5335240, 38.5301580, 38.5354140, 38.4808710");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.5347636, 3.5509712, 3.5764751, 3.6126623, 3.6400293, 3.6541378, 3.6590522", \
+					  "8.2062412, 8.2068614, 8.2091486, 8.2108877, 8.2132955, 8.2137196, 8.4514756", \
+					  "9.4949491, 9.4956317, 9.4973664, 9.4983405, 9.5001888, 9.5038734, 9.5052317", \
+					  "10.8245440, 10.8232750, 10.8250810, 10.8259300, 10.8309230, 10.8308410, 10.8300640", \
+					  "12.1867560, 12.1827560, 12.1947880, 12.1913070, 12.1942320, 12.2079200, 12.1932220", \
+					  "13.5677540, 13.5713280, 13.5761250, 13.5744520, 13.5744380, 13.5745810, 13.5632300", \
+					  "14.9620330, 14.9616810, 14.9935380, 14.9704940, 14.9747680, 15.0273470, 14.9505690");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("2.7991268, 2.8434208, 2.9003969, 2.9480668, 2.9575002, 2.9542877, 2.9444964", \
+					  "0.6525104, 0.6490169, 0.6578726, 0.6585318, 0.6581877, 0.6561902, 0.3445039", \
+					  "2.3412706, 2.3360719, 2.3436726, 2.3330151, 2.3358531, 2.3353259, 2.3259914", \
+					  "3.9868130, 3.9950465, 3.9803868, 3.9880352, 3.9845401, 3.9825656, 3.9616036", \
+					  "5.5355638, 5.5371697, 5.5352190, 5.5379139, 5.5406790, 5.5196915, 5.5011737", \
+					  "6.9879697, 6.9919990, 6.9450071, 6.9890083, 7.0149802, 7.0110012, 6.9788736", \
+					  "8.4386135, 8.4423865, 8.4141730, 8.4488683, 8.4478579, 8.4467407, 8.4009969");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.4086276, 3.4286307, 3.4630415, 3.5135610, 3.5588000, 3.5836915, 3.5964652", \
+					  "7.8310032, 7.8311030, 7.8326200, 7.8355621, 7.8372456, 7.9847162, 8.1151535", \
+					  "8.6417019, 8.6425268, 8.6409213, 8.6467907, 8.6487024, 8.6467665, 8.6486495", \
+					  "9.4528083, 9.4481688, 9.4510363, 9.4547217, 9.4630614, 9.4704028, 9.4610110", \
+					  "10.2598590, 10.2642980, 10.2651150, 10.2710550, 10.2829690, 10.3195520, 10.2721860", \
+					  "11.0940590, 11.1019200, 11.0908320, 11.0967010, 11.1458060, 11.1442290, 11.1222220", \
+					  "11.9291560, 11.9311510, 11.9219020, 11.9241280, 11.9756930, 11.9777690, 11.9342040");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("6.5148910, 6.5185135, 6.5343182, 6.5545017, 6.5828640, 6.6042097, 6.6062836", \
+					  "6.5147462, 6.5163812, 6.5322804, 6.5523364, 6.5830917, 6.6019213, 6.6070773", \
+					  "6.5182855, 6.5244854, 6.5351349, 6.5519066, 6.5745187, 6.6103599, 6.6151460", \
+					  "6.5200745, 6.5261586, 6.5363514, 6.5521305, 6.5759153, 6.6082145, 6.6130999", \
+					  "6.5154999, 6.5209708, 6.5320982, 6.5540580, 6.5847531, 6.6020166, 6.6041759", \
+					  "6.5205519, 6.5259326, 6.5361926, 6.5519504, 6.5736362, 6.6070561, 6.6126176", \
+					  "6.5108739, 6.5207288, 6.5378709, 6.5487438, 6.5848590, 6.6121956, 6.6084846");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.1794710, 3.2408098, 3.4162311, 3.8528196, 4.4921406, 4.8419294, 4.9294115", \
+					  "3.1784547, 3.2409362, 3.4020311, 3.8391923, 4.4811570, 4.8346087, 4.9373867", \
+					  "3.1813001, 3.2413595, 3.4049786, 3.8450758, 4.4858198, 4.8363103, 4.9299675", \
+					  "3.1930351, 3.2496361, 3.4106141, 3.8500055, 4.4868337, 4.8397610, 4.9459018", \
+					  "3.1873109, 3.2372115, 3.4034221, 3.8448134, 4.4830888, 4.8342085, 4.9249185", \
+					  "3.1892017, 3.2427843, 3.4029487, 3.8418574, 4.4868987, 4.8391902, 4.9315126", \
+					  "3.1965313, 3.2443095, 3.3993604, 3.8396798, 4.4811615, 4.8252010, 4.9301422");
+				}
+			}
+/* Merged from 
+/* ./work_vcchib/sky130_fd_io__top_gpiov2_tt_tt_1p80v_x_3p30v_025C.lib 
+*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("1.4202939, 1.4307932, 1.4542689, 1.5044389, 1.6158905, 1.8659627, 2.4333648", \
+					  "3.2693741, 3.2801324, 3.3032697, 3.3537026, 3.4647445, 3.7146903, 4.2820654", \
+					  "4.7066573, 4.7174225, 4.7406061, 4.7910644, 4.9020485, 5.1518518, 5.7192775", \
+					  "5.0218496, 5.0326023, 5.0557554, 5.1061755, 5.2172164, 5.4670197, 6.0343672", \
+					  "6.8701764, 6.8809798, 6.9041084, 6.9545343, 7.0684396, 7.3154611, 7.8828597", \
+					  "8.4061957, 8.4169631, 8.4401437, 8.4905780, 8.5911003, 8.8513543, 9.4187360", \
+					  "9.5567394, 9.5988000, 9.5988002, 9.6410560, 9.7372945, 10.0021200, 10.5693320", \
+					  "11.2631880, 11.2631889, 11.2968170, 11.3479960, 11.4241750, 11.7084210, 12.2757180", \
+					  "33.6470830, 33.6470852, 33.6789440, 33.6789456, 33.8120150, 34.0322220, 34.6609750", \
+					  "47.0308380, 47.1867560, 47.1867581, 47.1867619, 47.2269870, 47.4763230, 48.0433400", \
+					  "103.3164600, 103.3164635, 103.4328400, 103.4328423, 103.4328499, 103.7588900, 104.3192800", \
+					  "297.7971900, 297.7971955, 297.7972260, 297.8768200, 297.9828700, 297.9828949, 298.2349100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("0.0761248, 0.0882461, 0.1166696, 0.1827091, 0.3361548, 0.6875857, 1.4924380", \
+					  "0.0762173, 0.0884730, 0.1172825, 0.1828831, 0.3358224, 0.6875556, 1.4945537", \
+					  "0.0761798, 0.0886300, 0.1172621, 0.1833004, 0.3360004, 0.6875424, 1.4995513", \
+					  "0.0761829, 0.0884983, 0.1172531, 0.1828612, 0.3358257, 0.6873339, 1.5004383", \
+					  "0.0762010, 0.0886230, 0.1172837, 0.1829677, 0.3365123, 0.6875189, 1.4991378", \
+					  "0.0761258, 0.0885717, 0.1171842, 0.1826738, 0.3363981, 0.6874785, 1.5005596", \
+					  "0.0764226, 0.0887049, 0.1172651, 0.1831202, 0.3356911, 0.6873806, 1.5005767", \
+					  "0.0764778, 0.0887562, 0.1172916, 0.1829535, 0.3355881, 0.6872785, 1.4999294", \
+					  "0.0761581, 0.0887055, 0.1171154, 0.1831031, 0.3362909, 0.6873303, 1.4936641", \
+					  "0.0764400, 0.0885462, 0.1173001, 0.1831123, 0.3357636, 0.6873688, 1.5005747", \
+					  "0.0764913, 0.0885897, 0.1171835, 0.1827640, 0.3365383, 0.6872174, 1.5007210", \
+					  "0.0760807, 0.0885621, 0.1171542, 0.1825539, 0.3362653, 0.6875074, 1.5010889");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("1.2468747, 1.2611234, 1.2895821, 1.3464940, 1.4473210, 1.6325840, 2.0079443", \
+					  "2.8938183, 2.9083287, 2.9377143, 2.9938285, 3.0945322, 3.2797964, 3.6550738", \
+					  "3.9823291, 3.9964583, 4.0259747, 4.0820622, 4.1827684, 4.3680322, 4.7434039", \
+					  "4.2062887, 4.2205022, 4.2499404, 4.3060093, 4.4067234, 4.5919868, 4.9673576", \
+					  "5.4840159, 5.4982410, 5.5274270, 5.5700768, 5.6543006, 5.8692482, 6.2446239", \
+					  "6.4400654, 6.4541541, 6.4834889, 6.5425994, 6.6384489, 6.8252906, 7.2020643", \
+					  "7.1278574, 7.1419124, 7.1713528, 7.2447297, 7.3455011, 7.5133766, 7.8797688", \
+					  "8.0551965, 8.0696676, 8.0969995, 8.1767206, 8.2770741, 8.4384135, 8.8182350", \
+					  "18.2143140, 18.2640440, 18.2640448, 18.3482240, 18.4501960, 18.5991700, 19.0022490", \
+					  "23.7300100, 23.7430220, 23.7734810, 23.8368280, 23.9326000, 24.1155220, 24.4841780", \
+					  "44.7563550, 44.7788760, 44.8008780, 44.8623290, 44.9498290, 45.1410640, 45.4997640", \
+					  "114.2567800, 114.2975100, 114.2975121, 114.3412500, 114.4748000, 114.5979900, 115.0075200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("0.0943671, 0.1057039, 0.1299191, 0.1757845, 0.2655118, 0.4611126, 0.9247306", \
+					  "0.0947689, 0.1067688, 0.1308661, 0.1774783, 0.2656871, 0.4629955, 0.9290763", \
+					  "0.0948376, 0.1067589, 0.1310911, 0.1776408, 0.2656583, 0.4630141, 0.9240194", \
+					  "0.0944743, 0.1067781, 0.1312035, 0.1776530, 0.2654578, 0.4631425, 0.9243972", \
+					  "0.0945535, 0.1065785, 0.1311251, 0.1776845, 0.2654179, 0.4629826, 0.9252440", \
+					  "0.0943422, 0.1067503, 0.1310907, 0.1764766, 0.2654221, 0.4624173, 0.9252037", \
+					  "0.0943444, 0.1067598, 0.1310863, 0.1768569, 0.2654126, 0.4632908, 0.9289218", \
+					  "0.0944030, 0.1071083, 0.1311502, 0.1768577, 0.2654300, 0.4635700, 0.9278292", \
+					  "0.0944561, 0.1065204, 0.1308768, 0.1765821, 0.2653761, 0.4628218, 0.9297841", \
+					  "0.0948640, 0.1065217, 0.1308898, 0.1772951, 0.2654739, 0.4631665, 0.9293881", \
+					  "0.0944561, 0.1070982, 0.1309355, 0.1773559, 0.2655092, 0.4630563, 0.9248641", \
+					  "0.0948169, 0.1066484, 0.1309796, 0.1769919, 0.2656168, 0.4628710, 0.9293984");
+				}
+			}
+/*  End merge */
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.1515571, 1.1620126, 1.1856297, 1.2352522, 1.3458963, 1.5964560, 2.1662361", \
+					  "2.1536735, 2.1641369, 2.1871458, 2.2373365, 2.3478530, 2.5980418, 3.1678014", \
+					  "2.8829670, 2.8936131, 2.9166680, 2.9664819, 3.0811160, 3.3278296, 3.8970716", \
+					  "3.0493725, 3.0598379, 3.0828429, 3.1330238, 3.2319332, 3.4940046, 4.0629788", \
+					  "4.0089212, 4.0196602, 4.0426764, 4.0926795, 4.2060444, 4.4566102, 5.0311852", \
+					  "4.8111319, 4.8215334, 4.8444417, 4.8950684, 5.0108100, 5.2489737, 5.8293668", \
+					  "5.4305862, 5.4407958, 5.4637903, 5.5139524, 5.6238147, 5.8750729, 6.4443046", \
+					  "6.2893473, 6.2997576, 6.3269119, 6.3727001, 6.4835235, 6.7338934, 7.3032540", \
+					  "18.2551470, 18.2656110, 18.2887430, 18.3388880, 18.4442360, 18.7004150, 19.2692990", \
+					  "26.0482480, 26.0587890, 26.0810380, 26.1324470, 26.2415440, 26.4904440, 27.0595740", \
+					  "60.3508780, 60.4211290, 60.4366550, 60.4647070, 60.5557200, 60.8290660, 61.3645740", \
+					  "191.8019300, 191.8125600, 191.8356200, 191.8859000, 191.9963600, 192.2465500, 192.8156300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0748314, 0.0872028, 0.1157103, 0.1821626, 0.3356674, 0.6902054, 1.4987275", \
+					  "0.0749056, 0.0872287, 0.1159511, 0.1821333, 0.3382554, 0.6888696, 1.4969431", \
+					  "0.0751705, 0.0875236, 0.1160567, 0.1820873, 0.3350548, 0.6927324, 1.4999256", \
+					  "0.0748586, 0.0872582, 0.1159754, 0.1821245, 0.3354104, 0.6897287, 1.4991396", \
+					  "0.0752094, 0.0874679, 0.1159125, 0.1821211, 0.3349212, 0.6898977, 1.5018409", \
+					  "0.0748428, 0.0872985, 0.1159924, 0.1820965, 0.3354351, 0.6900126, 1.4971444", \
+					  "0.0752072, 0.0872708, 0.1159847, 0.1821414, 0.3353976, 0.6890597, 1.4988595", \
+					  "0.0751081, 0.0875186, 0.1158590, 0.1820807, 0.3354100, 0.6884903, 1.5005497", \
+					  "0.0748933, 0.0872397, 0.1159795, 0.1821085, 0.3354115, 0.6892095, 1.4992032", \
+					  "0.0750701, 0.0872561, 0.1157250, 0.1821618, 0.3353346, 0.6885867, 1.4991844", \
+					  "0.0749941, 0.0873258, 0.1160536, 0.1820819, 0.3354341, 0.6884572, 1.4973371", \
+					  "0.0750770, 0.0873998, 0.1160610, 0.1821367, 0.3350944, 0.6892625, 1.4989715");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.3097970, 1.3231630, 1.3502202, 1.4032512, 1.4995037, 1.6807113, 2.0537170", \
+					  "2.4753044, 2.4886790, 2.5161437, 2.5689928, 2.6653191, 2.8467504, 3.2202145", \
+					  "3.4318044, 3.4446612, 3.4720469, 3.5248535, 3.6213945, 3.8028465, 4.1761110", \
+					  "3.6487153, 3.6618004, 3.6892220, 3.7418832, 3.8382209, 4.0196251, 4.3926822", \
+					  "4.9625686, 4.9756412, 5.0030331, 5.0558411, 5.1523497, 5.3325491, 5.7066818", \
+					  "6.0614354, 6.0745267, 6.0942700, 6.1546634, 6.2425398, 6.4336132, 6.8052133", \
+					  "6.9047701, 6.9178538, 6.9494779, 6.9979444, 7.0784942, 7.2685671, 7.6487634", \
+					  "8.0844682, 8.0975431, 8.1439246, 8.1776692, 8.2923490, 8.4614165, 8.8284553", \
+					  "25.0467590, 25.0598240, 25.0944880, 25.1408760, 25.2382360, 25.4251960, 25.7877330", \
+					  "36.1725650, 36.1908510, 36.1946210, 36.2536700, 36.3329530, 36.5510470, 36.9163580", \
+					  "85.4813110, 85.5130720, 85.5383250, 85.5932290, 85.6760420, 85.8559300, 86.2313670", \
+					  "274.7980300, 274.8131200, 274.8389800, 274.9041000, 274.9701800, 275.1720800, 275.5436700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0883807, 0.0999011, 0.1220579, 0.1667410, 0.2560106, 0.4539598, 0.9219290", \
+					  "0.0890420, 0.0999865, 0.1226529, 0.1683794, 0.2597903, 0.4582243, 0.9275906", \
+					  "0.0890437, 0.0997672, 0.1233390, 0.1689148, 0.2589365, 0.4575787, 0.9285910", \
+					  "0.0888163, 0.0996632, 0.1234003, 0.1687289, 0.2592249, 0.4571734, 0.9278978", \
+					  "0.0885427, 0.0997341, 0.1234437, 0.1689287, 0.2590548, 0.4572943, 0.9269245", \
+					  "0.0886261, 0.0995676, 0.1234662, 0.1680452, 0.2589257, 0.4572376, 0.9284615", \
+					  "0.0888681, 0.0996771, 0.1234063, 0.1687933, 0.2591813, 0.4572757, 0.9276822", \
+					  "0.0885046, 0.0997351, 0.1234094, 0.1689265, 0.2588787, 0.4573353, 0.9275923", \
+					  "0.0885470, 0.0997359, 0.1234451, 0.1689348, 0.2592201, 0.4577159, 0.9275188", \
+					  "0.0890162, 0.0997540, 0.1233973, 0.1689352, 0.2593194, 0.4577127, 0.9266430", \
+					  "0.0888322, 0.0997360, 0.1234605, 0.1689352, 0.2592775, 0.4571778, 0.9273645", \
+					  "0.0888773, 0.0996804, 0.1234155, 0.1689672, 0.2591984, 0.4577345, 0.9277695");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.1419207, 1.1524403, 1.1753797, 1.2256314, 1.3365987, 1.5864390, 2.1558946", \
+					  "1.2000076, 1.2106940, 1.2337409, 1.2835538, 1.3942153, 1.6448041, 2.2136650", \
+					  "0.9750831, 0.9857699, 1.0088097, 1.0586325, 1.1692795, 1.4198603, 1.9886612", \
+					  "0.9120639, 0.9228026, 0.9458324, 0.9956829, 1.1062701, 1.3568037, 1.9253705", \
+					  "0.4428294, 0.4533052, 0.4763502, 0.5264762, 0.6370613, 0.8874497, 1.4565973", \
+					  "-0.0427570, -0.0322699, -0.0123603, 0.0408853, 0.1513529, 0.4017483, 0.9710653", \
+					  "-0.4394340, -0.4290787, -0.4042416, -0.3557805, -0.2452153, 0.0051820, 0.5742847", \
+					  "-1.0430505, -1.0307950, -1.0109424, -0.9594048, -0.8492401, -0.5984518, -0.0299800", \
+					  "-11.1315450, -11.1311400, -11.1012330, -11.0480190, -10.9483440, -10.6866800, -10.1287000", \
+					  "-18.3338300, -18.3234140, -18.3234128, -18.2497250, -18.1556710, -17.8892900, -17.3515070", \
+					  "-51.7785210, -51.7785205, -51.7785167, -51.7492540, -51.6443850, -51.3549130, -50.8222620", \
+					  "-185.2189900, -185.2083100, -185.1855600, -185.1496300, -184.9921700, -184.8052200, -184.2372400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0749688, 0.0875051, 0.1160005, 0.1815743, 0.3352628, 0.6902041, 1.4998242", \
+					  "0.0752698, 0.0875197, 0.1159254, 0.1821183, 0.3358060, 0.6916172, 1.4992043", \
+					  "0.0752677, 0.0875165, 0.1159072, 0.1821172, 0.3357078, 0.6914716, 1.5020842", \
+					  "0.0752322, 0.0874913, 0.1158715, 0.1821232, 0.3351357, 0.6905861, 1.5007421", \
+					  "0.0748636, 0.0874066, 0.1160785, 0.1819160, 0.3356473, 0.6894402, 1.4996002", \
+					  "0.0751147, 0.0873234, 0.1160134, 0.1821636, 0.3353869, 0.6886140, 1.5002112", \
+					  "0.0748552, 0.0874001, 0.1160780, 0.1819575, 0.3356373, 0.6895258, 1.4997160", \
+					  "0.0749186, 0.0874029, 0.1159207, 0.1821358, 0.3349213, 0.6895093, 1.5006193", \
+					  "0.0752647, 0.0872492, 0.1158452, 0.1821164, 0.3354096, 0.6917501, 1.4971860", \
+					  "0.0748765, 0.0873510, 0.1158386, 0.1819935, 0.3356385, 0.6894062, 1.4997116", \
+					  "0.0750725, 0.0873298, 0.1160809, 0.1821183, 0.3354473, 0.6897015, 1.4962449", \
+					  "0.0750944, 0.0871944, 0.1159736, 0.1821734, 0.3354367, 0.6895138, 1.4995748");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.5512929, 1.5646050, 1.5915104, 1.6448832, 1.7411669, 1.9222501, 2.2956239", \
+					  "3.0598781, 3.0727600, 3.1001475, 3.1529619, 3.2494705, 3.4309229, 3.8039412", \
+					  "4.3623234, 4.3753898, 4.4024540, 4.4556008, 4.5517852, 4.7320858, 5.1068758", \
+					  "4.6599054, 4.6729820, 4.7004023, 4.7530970, 4.8492228, 5.0304618, 5.4038507", \
+					  "6.4705015, 6.4835608, 6.5110286, 6.5637016, 6.6590373, 6.8416113, 7.2143749", \
+					  "7.9930720, 8.0054319, 8.0335063, 8.0863227, 8.1824103, 8.3646245, 8.7368726", \
+					  "9.1602823, 9.1711652, 9.2008916, 9.2535615, 9.3489971, 9.5306989, 9.9029531", \
+					  "10.8213670, 10.8346820, 10.8623780, 10.9135150, 11.0121520, 11.1929240, 11.5662990", \
+					  "34.4903480, 34.5002280, 34.5297050, 34.5815360, 34.6786420, 34.8598700, 35.2327060", \
+					  "50.0724440, 50.0787820, 50.1058820, 50.1656600, 50.2562150, 50.4414360, 50.8099730", \
+					  "119.1896400, 119.2026900, 119.2282600, 119.2835300, 119.3804500, 119.5610800, 119.9339000", \
+					  "384.6453500, 384.6585900, 384.6865300, 384.7392800, 384.8369400, 385.0173300, 385.3950300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0882888, 0.0997088, 0.1220668, 0.1667535, 0.2560163, 0.4538326, 0.9218312", \
+					  "0.0889584, 0.0997525, 0.1233940, 0.1689322, 0.2589946, 0.4577070, 0.9266195", \
+					  "0.0890052, 0.0997513, 0.1234768, 0.1689303, 0.2591696, 0.4547143, 0.9280783", \
+					  "0.0888804, 0.0996736, 0.1234636, 0.1688395, 0.2591985, 0.4575360, 0.9246474", \
+					  "0.0888747, 0.0996780, 0.1234104, 0.1688050, 0.2590517, 0.4575981, 0.9271184", \
+					  "0.0889448, 0.0997548, 0.1233889, 0.1689305, 0.2589803, 0.4577289, 0.9266847", \
+					  "0.0883791, 0.0995185, 0.1234022, 0.1687597, 0.2592458, 0.4576587, 0.9276602", \
+					  "0.0885242, 0.0997554, 0.1234366, 0.1689299, 0.2591399, 0.4577265, 0.9271019", \
+					  "0.0889349, 0.0997355, 0.1234220, 0.1689358, 0.2591729, 0.4567137, 0.9262947", \
+					  "0.0885627, 0.0997217, 0.1228795, 0.1680268, 0.2591909, 0.4563906, 0.9258346", \
+					  "0.0888151, 0.0996660, 0.1234523, 0.1688493, 0.2586695, 0.4571475, 0.9229108", \
+					  "0.0885200, 0.0997552, 0.1234524, 0.1686297, 0.2594676, 0.4577050, 0.9255231");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("4.8736823, 4.8836015, 4.9066480, 4.9568833, 5.0690105, 5.3182529, 5.8917600", \
+					  "5.0200621, 5.0305515, 5.0535904, 5.1038360, 5.2148352, 5.4651599, 6.0388432", \
+					  "5.1575824, 5.1683022, 5.1913553, 5.2411631, 5.3528662, 5.6051079, 6.1752776", \
+					  "5.2706186, 5.2813327, 5.3043854, 5.3541922, 5.4658971, 5.7181628, 6.2883392", \
+					  "5.3642659, 5.3747482, 5.3977884, 5.4480331, 5.5590554, 5.8092247, 6.3829000", \
+					  "5.4562692, 5.4669753, 5.4900280, 5.5398348, 5.6515388, 5.9038059, 6.4739760", \
+					  "5.5363114, 5.5470881, 5.5701381, 5.6201932, 5.7316352, 5.9832641, 6.5541310");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0753134, 0.0873699, 0.1157887, 0.1825829, 0.3371114, 0.6936776, 1.5047690", \
+					  "0.0751619, 0.0873702, 0.1157930, 0.1825831, 0.3369628, 0.6936873, 1.5050428", \
+					  "0.0753494, 0.0876019, 0.1160968, 0.1823992, 0.3371122, 0.6939343, 1.5069347", \
+					  "0.0753494, 0.0876018, 0.1160985, 0.1823994, 0.3371144, 0.6939889, 1.5068833", \
+					  "0.0751699, 0.0873782, 0.1158018, 0.1825713, 0.3370317, 0.6938810, 1.5047764", \
+					  "0.0753494, 0.0876019, 0.1160975, 0.1823993, 0.3371123, 0.6939796, 1.5068949", \
+					  "0.0753465, 0.0875915, 0.1160898, 0.1824126, 0.3370010, 0.6913772, 1.5031150");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("3.5589898, 3.5725376, 3.5991117, 3.6515042, 3.7472492, 3.9251877, 4.2971204", \
+					  "3.6641066, 3.6771663, 3.7043164, 3.7560848, 3.8517474, 4.0323189, 4.4025694", \
+					  "3.7249757, 3.7379566, 3.7647729, 3.8172709, 3.9130823, 4.0927337, 4.4630468", \
+					  "3.7690211, 3.7820436, 3.8088523, 3.8613002, 3.9570929, 4.1368322, 4.5070898", \
+					  "3.8023873, 3.8153338, 3.8421799, 3.8946331, 3.9904280, 4.1701833, 4.5404369", \
+					  "3.8281707, 3.8411812, 3.8680166, 3.9204684, 4.0162669, 4.1960231, 4.5662700", \
+					  "3.8512136, 3.8642178, 3.8910515, 3.9435117, 4.0393084, 4.2190313, 4.5893152");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0882486, 0.0986230, 0.1220902, 0.1674125, 0.2572006, 0.4514644, 0.9137826", \
+					  "0.0881077, 0.0989034, 0.1220619, 0.1672298, 0.2569057, 0.4514617, 0.9137738", \
+					  "0.0885666, 0.0986111, 0.1220657, 0.1671635, 0.2568654, 0.4514613, 0.9136297", \
+					  "0.0883641, 0.0987706, 0.1220829, 0.1675336, 0.2569336, 0.4514604, 0.9137001", \
+					  "0.0885660, 0.0986229, 0.1220637, 0.1675378, 0.2569207, 0.4514759, 0.9138078", \
+					  "0.0885646, 0.0986074, 0.1220702, 0.1671634, 0.2568173, 0.4514547, 0.9137812", \
+					  "0.0885621, 0.0986061, 0.1220675, 0.1671635, 0.2568788, 0.4514727, 0.9137802");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.28175;
+			max_transition : 3.754211;
+/* Merged from 
+/* ./work_vcchib/sky130_fd_io__top_gpiov2_tt_tt_1p80v_x_3p30v_025C.lib 
+*/
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02887865, 0.08339766, 0.2408412, 0.695517, 2.00856, 5.80045");
+					values("2.6546440, 2.6304882, 2.6081163, 2.5733959, 2.5163516, 2.4013979, 2.1093072", \
+					  "0.9301744, 0.9110126, 0.8703688, 0.7879044, 0.7329145, 0.6401422, 0.2933691", \
+					  "1.1510555, 1.1235981, 1.0962502, 1.0426006, 0.9936777, 0.7153812, 0.5885029", \
+					  "1.2495417, 1.2282962, 1.1836208, 1.1374624, 1.0929106, 1.0267215, 0.7002061", \
+					  "1.3346755, 1.3132349, 1.2766166, 1.2243688, 1.1760095, 1.1739799, 0.5928395", \
+					  "1.4200102, 1.3952214, 1.3720375, 1.3135116, 1.2664105, 1.2269132, 0.7309369", \
+					  "1.5166861, 1.4842728, 1.4438408, 1.4065399, 1.3354185, 1.3037406, 1.2445091");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02887865, 0.08339766, 0.2408412, 0.695517, 2.00856, 5.80045");
+					values("2.1248235, 2.1246899, 2.1555711, 2.2113580, 2.2332251, 2.2350856, 2.2361563", \
+					  "4.1264206, 4.1108818, 4.0846137, 4.0584359, 4.1015403, 4.1668127, 4.1695020", \
+					  "4.1313177, 4.1164238, 4.0939058, 4.0684795, 4.0563490, 4.0525586, 4.1666679", \
+					  "4.1470052, 4.1128576, 4.0995549, 4.0706861, 4.0646527, 4.0582320, 4.1144878", \
+					  "4.1194014, 4.1016170, 4.1233566, 4.0749947, 4.0752467, 4.0619105, 4.0599078", \
+					  "4.1623887, 4.1215495, 4.1026978, 4.0760416, 4.0660296, 4.0635733, 4.0644003", \
+					  "4.1234303, 4.1428909, 4.1097802, 4.0876877, 4.0769974, 4.0656727, 4.0679135");
+				}
+			}
+/*  End merge */
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("2.8953816, 2.8786157, 2.8485902, 2.8024981, 2.7297377, 2.6118963, 2.2906967", \
+					  "0.6379025, 0.6142242, 0.5769451, 0.5215310, 0.4756884, 0.0208766, -0.2372472", \
+					  "2.3151576, 2.3011718, 2.2525014, 2.2076410, 2.1451098, 2.1102976, 1.5578600", \
+					  "3.9696961, 3.9544800, 3.9181978, 3.8611805, 3.7958304, 3.7481936, 3.4468442", \
+					  "5.5101449, 5.4913778, 5.4528704, 5.3669829, 5.3409898, 5.2906954, 5.2136372", \
+					  "6.9735923, 6.9625824, 6.9137491, 6.8329795, 6.7624348, 6.7528600, 6.8093816", \
+					  "8.4402719, 8.3998902, 8.3588874, 8.2585952, 8.2177832, 8.1922741, 8.1264049");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.0906085, 3.1135502, 3.1995682, 3.3619279, 3.4614447, 3.4807825, 3.4941746", \
+					  "7.8188072, 7.8020064, 7.7738770, 7.7471397, 7.7326080, 7.9940976, 8.0187862", \
+					  "8.6302233, 8.6126262, 8.5817213, 8.5596920, 8.5425885, 8.5357381, 8.7721939", \
+					  "9.4390468, 9.4223232, 9.3921346, 9.3657894, 9.3539638, 9.3447290, 9.4795369", \
+					  "10.2543890, 10.2352000, 10.2091820, 10.1774720, 10.1652640, 10.1542450, 10.1619990", \
+					  "11.0773870, 11.0602960, 11.0321990, 11.0064240, 11.0010720, 10.9817730, 11.0029880", \
+					  "11.9092020, 11.8897500, 11.8656990, 11.8382380, 11.8288560, 11.8167080, 11.8230120");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.3206530, 3.3039467, 3.2770448, 3.2355230, 3.1650286, 3.0430132, 2.7394457", \
+					  "7.3651710, 7.3422535, 7.3032821, 7.2466703, 7.1784119, 6.8197128, 6.4322857", \
+					  "13.9918790, 13.9642240, 13.8364080, 13.8732580, 13.8224920, 13.7877810, 13.3259710", \
+					  "20.2276080, 20.2702650, 20.2082900, 20.1049650, 20.0488880, 19.9991340, 19.3698890", \
+					  "26.3954640, 26.4339700, 26.3752150, 26.2695260, 26.2169040, 26.1590160, 25.9464850", \
+					  "32.5037150, 32.4504000, 32.4066050, 32.3726810, 32.3168920, 32.2543970, 32.2556880", \
+					  "38.4816410, 38.4845590, 38.4214600, 38.4072630, 38.3544410, 38.1757130, 38.2402690");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.2745469, 3.2906312, 3.3570707, 3.4779610, 3.5373692, 3.5447184, 3.5490465", \
+					  "8.1934546, 8.1767371, 8.1490179, 8.1237812, 8.1099624, 8.3372823, 8.3514237", \
+					  "9.4914025, 9.4700333, 9.4888099, 9.4114213, 9.3978480, 9.3928155, 9.6210895", \
+					  "10.8509600, 10.7952830, 10.8078900, 10.7400460, 10.7282040, 10.7142070, 10.7138530", \
+					  "12.2169530, 12.1594430, 12.1944590, 12.1047820, 12.0867910, 12.0791430, 12.0616350", \
+					  "13.6206050, 13.5405060, 13.5632520, 13.4850650, 13.4744970, 13.4932380, 13.4459030", \
+					  "15.0008960, 14.9284130, 14.9770110, 14.8775700, 14.8594960, 14.8780830, 14.8302690");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("6.0624495, 6.0690679, 6.1339800, 6.3723032, 6.3726554, 6.3009901, 6.0094550", \
+					  "6.0626547, 6.0672348, 6.1272276, 6.3696982, 6.3700924, 6.2981395, 6.0076705", \
+					  "6.0622441, 6.0673559, 6.1278235, 6.3619488, 6.3668802, 6.2939949, 6.0259597", \
+					  "6.0626050, 6.0671963, 6.1292908, 6.3644429, 6.3667579, 6.2943848, 6.0265184", \
+					  "6.0635793, 6.0680922, 6.1264244, 6.3692107, 6.3708383, 6.3027803, 6.0223406", \
+					  "6.0618945, 6.0665314, 6.1282485, 6.3639519, 6.3666403, 6.2937962, 6.0257489", \
+					  "6.0652022, 6.0664108, 6.1310579, 6.3645395, 6.3673463, 6.2943873, 6.0134783");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("4.4862747, 4.4533457, 4.4179205, 4.4272902, 4.5145546, 4.4948451, 4.4703096", \
+					  "4.4845120, 4.4586811, 4.4096105, 4.4283894, 4.5100675, 4.4951732, 4.4676201", \
+					  "4.4941066, 4.4568564, 4.4199320, 4.4346572, 4.5275078, 4.4944327, 4.4789785", \
+					  "4.4918328, 4.4704180, 4.4244969, 4.4346853, 4.5243233, 4.5045418, 4.4728727", \
+					  "4.4815224, 4.4490158, 4.4164581, 4.4298861, 4.5197270, 4.5035763, 4.4819547", \
+					  "4.4881754, 4.4603262, 4.4237543, 4.4350148, 4.5151491, 4.4945943, 4.4688606", \
+					  "4.4919471, 4.4430735, 4.4120670, 4.4289212, 4.5174259, 4.5002504, 4.4669988");
+				}
+			}
+/* Merged from 
+/* ./work_vcchib/sky130_fd_io__top_gpiov2_tt_tt_1p80v_x_3p30v_025C.lib 
+*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("2.0449109, 2.0581659, 2.0934345, 2.1833764, 2.3973479, 2.9620315, 4.5826543", \
+					  "3.8941548, 3.9074646, 3.9430876, 4.0323851, 4.2465547, 4.8113855, 6.4316215", \
+					  "5.3307994, 5.3450591, 5.3802696, 5.4695830, 5.6838139, 6.2482117, 7.8662650", \
+					  "5.6466773, 5.6602141, 5.6959686, 5.7850631, 5.9994414, 6.5646715, 8.1777518", \
+					  "7.4950863, 7.5085973, 7.5440401, 7.6333082, 7.8478397, 8.4126128, 10.0316730", \
+					  "9.0333767, 9.0448156, 9.0800318, 9.1694679, 9.3838270, 9.9486295, 11.5639790", \
+					  "10.2127620, 10.2127624, 10.2305440, 10.3197470, 10.5343890, 11.0990490, 12.7145950", \
+					  "11.8833440, 11.9017130, 11.9371820, 12.0268280, 12.1749690, 12.8055630, 14.4187790", \
+					  "34.3333290, 34.3333302, 34.3333340, 34.4072080, 34.4542490, 35.0828910, 36.8187760", \
+					  "47.6543780, 47.6693640, 47.7046950, 47.7939680, 47.7939702, 48.5731670, 50.1872500", \
+					  "103.7542500, 103.7542534, 103.7542611, 104.1316700, 104.1316720, 104.6442900, 106.4384100", \
+					  "298.4482700, 298.4482880, 298.4799300, 298.8018100, 298.9132200, 298.9132233, 300.4137200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("0.1215717, 0.1338241, 0.1697230, 0.2655937, 0.5318250, 1.3418756, 3.7403187", \
+					  "0.1216228, 0.1344108, 0.1706562, 0.2651789, 0.5304573, 1.3406226, 3.7362571", \
+					  "0.1227053, 0.1361973, 0.1701678, 0.2657682, 0.5306112, 1.3395913, 3.7510253", \
+					  "0.1215473, 0.1338168, 0.1701861, 0.2655102, 0.5316571, 1.3417945, 3.7457486", \
+					  "0.1214217, 0.1339525, 0.1711642, 0.2652873, 0.5319041, 1.3435102, 3.7346433", \
+					  "0.1213730, 0.1339493, 0.1712338, 0.2653203, 0.5318050, 1.3415080, 3.7539731", \
+					  "0.1212490, 0.1339531, 0.1711580, 0.2652834, 0.5318665, 1.3415186, 3.7494328", \
+					  "0.1211830, 0.1339537, 0.1700354, 0.2658593, 0.5305841, 1.3414058, 3.7500434", \
+					  "0.1215652, 0.1339370, 0.1711466, 0.2653020, 0.5318906, 1.3415369, 3.7513255", \
+					  "0.1215271, 0.1339364, 0.1710985, 0.2652896, 0.5318942, 1.3414915, 3.7508392", \
+					  "0.1213939, 0.1339089, 0.1711507, 0.2654500, 0.5318350, 1.3413419, 3.7499510", \
+					  "0.1214029, 0.1340279, 0.1711814, 0.2653355, 0.5313294, 1.3419406, 3.7514121");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("1.5215142, 1.5350466, 1.5695014, 1.6513007, 1.8386509, 2.2983999, 3.5888974", \
+					  "3.1697518, 3.1829457, 3.2175751, 3.2997731, 3.4867507, 3.9471253, 5.2320388", \
+					  "4.2581072, 4.2712962, 4.3059445, 4.3881836, 4.5750777, 5.0355995, 6.3227468", \
+					  "4.4820849, 4.4952775, 4.5299586, 4.6120179, 4.7992379, 5.2589866, 6.5454104", \
+					  "5.7597016, 5.7732286, 5.8075535, 5.8898593, 6.0766858, 6.5369665, 7.8267997", \
+					  "6.7156125, 6.7290848, 6.7635244, 6.8457520, 7.0327371, 7.4929059, 8.7793293", \
+					  "7.4033837, 7.4168449, 7.4506632, 7.5335445, 7.7206117, 8.1806597, 9.4688648", \
+					  "8.3306277, 8.3445526, 8.3771727, 8.4594500, 8.6462428, 9.1079730, 10.3961000", \
+					  "18.4917130, 18.5031870, 18.5630640, 18.6195340, 18.8068800, 19.2681210, 20.5812470", \
+					  "24.0056810, 24.0187960, 24.0565290, 24.1357320, 24.3224230, 24.7832440, 26.0731830", \
+					  "45.0172840, 45.0358790, 45.0620130, 45.1540460, 45.3368190, 45.8009950, 47.0785080", \
+					  "114.5321600, 114.5683100, 114.5891200, 114.6459000, 114.8381400, 115.3047700, 116.6194500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("0.1042680, 0.1142800, 0.1432393, 0.2146985, 0.4080469, 0.9770187, 2.7024465", \
+					  "0.1040887, 0.1151962, 0.1433476, 0.2162484, 0.4085219, 0.9760821, 2.6844569", \
+					  "0.1041025, 0.1151566, 0.1435578, 0.2161062, 0.4084491, 0.9767336, 2.6974343", \
+					  "0.1041081, 0.1150976, 0.1434829, 0.2161155, 0.4087574, 0.9749817, 2.6963023", \
+					  "0.1042396, 0.1145852, 0.1432776, 0.2164827, 0.4079057, 0.9760017, 2.6989211", \
+					  "0.1042022, 0.1145611, 0.1433047, 0.2165096, 0.4083247, 0.9754572, 2.6993034", \
+					  "0.1042224, 0.1145614, 0.1433395, 0.2165282, 0.4081183, 0.9757196, 2.6995002", \
+					  "0.1042447, 0.1148757, 0.1433381, 0.2158186, 0.4082889, 0.9749459, 2.6959164", \
+					  "0.1040520, 0.1148827, 0.1433261, 0.2163144, 0.4084722, 0.9767466, 2.6977660", \
+					  "0.1040445, 0.1148785, 0.1433080, 0.2163200, 0.4085054, 0.9757851, 2.7002229", \
+					  "0.1041126, 0.1151505, 0.1432906, 0.2162789, 0.4077897, 0.9769181, 2.6989804", \
+					  "0.1043101, 0.1149547, 0.1433221, 0.2157086, 0.4079931, 0.9745601, 2.6994091");
+				}
+			}
+/*  End merge */
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2067243, 1.2201776, 1.2557339, 1.3447755, 1.5592909, 2.1250950, 3.7394132", \
+					  "1.2644932, 1.2779672, 1.3135352, 1.4027353, 1.6168679, 2.1812705, 3.8030246", \
+					  "1.0395680, 1.0530429, 1.0886126, 1.1778095, 1.3919420, 1.9563253, 3.5818821", \
+					  "0.9763355, 0.9899167, 1.0253963, 1.1146793, 1.3290595, 1.8938149, 3.5130880", \
+					  "0.5074826, 0.5209043, 0.5564913, 0.6456409, 0.8597273, 1.4247469, 3.0434335", \
+					  "0.0218074, 0.0351784, 0.0708309, 0.1599244, 0.3742901, 0.9399317, 2.5605573", \
+					  "-0.3747863, -0.3613697, -0.3257781, -0.2366341, -0.0200120, 0.5424742, 2.1613000", \
+					  "-0.9785912, -0.9655140, -0.9295975, -0.8404629, -0.6273139, -0.0609423, 1.5579905", \
+					  "-11.0668890, -11.0641110, -11.0179640, -10.9400910, -10.7229960, -10.1606100, -8.5417948", \
+					  "-18.2693040, -18.2693033, -18.2199140, -18.1313510, -17.9481190, -17.3682650, -15.7489190", \
+					  "-51.7753840, -51.7599620, -51.7018210, -51.6352900, -51.4083990, -50.8554230, -49.2360000", \
+					  "-185.1551400, -185.1097000, -185.1096878, -185.0168400, -184.8352900, -184.2056600, -182.5857800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1224711, 0.1349761, 0.1703215, 0.2656548, 0.5309188, 1.3427381, 3.7463015", \
+					  "0.1231186, 0.1352856, 0.1704845, 0.2662689, 0.5316333, 1.3437762, 3.7392249", \
+					  "0.1231210, 0.1352938, 0.1704931, 0.2662718, 0.5316373, 1.3390949, 3.7466483", \
+					  "0.1232577, 0.1355311, 0.1706348, 0.2662582, 0.5318529, 1.3428043, 3.7454813", \
+					  "0.1229684, 0.1350493, 0.1702643, 0.2661948, 0.5311679, 1.3400180, 3.7509360", \
+					  "0.1228596, 0.1352060, 0.1705251, 0.2662356, 0.5320210, 1.3436832, 3.7484806", \
+					  "0.1229645, 0.1350518, 0.1702620, 0.2661946, 0.5311670, 1.3400000, 3.7508170", \
+					  "0.1229532, 0.1350618, 0.1701374, 0.2661476, 0.5311786, 1.3430936, 3.7502130", \
+					  "0.1231232, 0.1350997, 0.1704823, 0.2661587, 0.5317761, 1.3404413, 3.7504995", \
+					  "0.1229693, 0.1351114, 0.1702645, 0.2661946, 0.5312478, 1.3400072, 3.7508139", \
+					  "0.1228889, 0.1351342, 0.1701985, 0.2661949, 0.5311609, 1.3434172, 3.7498449", \
+					  "0.1227966, 0.1350996, 0.1701749, 0.2661196, 0.5310934, 1.3399999, 3.7508072");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2700301, 1.2837821, 1.3196549, 1.4042786, 1.5957277, 2.0609217, 3.3512424", \
+					  "2.7783040, 2.7921676, 2.8278706, 2.9128429, 3.1042791, 3.5690877, 4.8558770", \
+					  "4.0802277, 4.0940540, 4.1297481, 4.2151638, 4.4061554, 4.8709401, 6.1591181", \
+					  "4.3781170, 4.3918846, 4.4278687, 4.5128647, 4.7039759, 5.1688120, 6.4568564", \
+					  "6.1887757, 6.2025856, 6.2373662, 6.3232166, 6.5146484, 6.9785377, 8.2671523", \
+					  "7.7111482, 7.7250348, 7.7570004, 7.8456983, 8.0371301, 8.5017744, 9.7886961", \
+					  "8.8784082, 8.8922327, 8.9278060, 9.0128927, 9.2042951, 9.6690114, 10.9569390", \
+					  "10.5383570, 10.5522440, 10.5901070, 10.6729070, 10.8643270, 11.3312240, 12.6157690", \
+					  "34.2051290, 34.2208790, 34.2553580, 34.3395610, 34.5310660, 34.9957290, 36.2833180", \
+					  "49.7841020, 49.7999470, 49.8338150, 49.9182530, 50.1094170, 50.5759310, 51.8619350", \
+					  "118.9067000, 118.9202700, 118.9551800, 119.0381400, 119.2377800, 119.6983800, 120.9836600", \
+					  "384.3601100, 384.3708200, 384.4072000, 384.4871500, 384.6930700, 385.1532700, 386.4352900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1075552, 0.1188620, 0.1468785, 0.2208663, 0.4141188, 0.9808904, 2.7045779", \
+					  "0.1080003, 0.1190671, 0.1483240, 0.2212060, 0.4137979, 0.9802095, 2.6874811", \
+					  "0.1080880, 0.1191252, 0.1483347, 0.2212477, 0.4138100, 0.9806563, 2.6971065", \
+					  "0.1081168, 0.1191282, 0.1483885, 0.2214444, 0.4137947, 0.9782776, 2.6964304", \
+					  "0.1079872, 0.1190720, 0.1483164, 0.2210534, 0.4142143, 0.9802738, 2.6868869", \
+					  "0.1080189, 0.1190058, 0.1483271, 0.2211734, 0.4137029, 0.9802853, 2.6891247", \
+					  "0.1078716, 0.1190541, 0.1482917, 0.2210528, 0.4142035, 0.9806030, 2.6945781", \
+					  "0.1080291, 0.1189679, 0.1483606, 0.2212025, 0.4136861, 0.9804204, 2.6918447", \
+					  "0.1079320, 0.1190144, 0.1483422, 0.2211575, 0.4142216, 0.9792429, 2.6862803", \
+					  "0.1079585, 0.1189825, 0.1481585, 0.2213553, 0.4140049, 0.9786841, 2.6886415", \
+					  "0.1080669, 0.1191658, 0.1483138, 0.2216278, 0.4139432, 0.9789365, 2.6878459", \
+					  "0.1081435, 0.1189207, 0.1481395, 0.2212079, 0.4142297, 0.9807866, 2.6885925");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2161663, 1.2296036, 1.2650468, 1.3541414, 1.5686041, 2.1342494, 3.7524464", \
+					  "2.2181341, 2.2315256, 2.2671192, 2.3562474, 2.5705111, 3.1365230, 4.7496815", \
+					  "2.9474831, 2.9609533, 2.9965146, 3.0857130, 3.2998138, 3.8643928, 5.4838578", \
+					  "3.1139182, 3.1273268, 3.1629181, 3.2520613, 3.4661483, 4.0311670, 5.6454610", \
+					  "4.0733648, 4.0869037, 4.1223678, 4.2115791, 4.4259463, 4.9914055, 6.6100312", \
+					  "4.8759432, 4.8893036, 4.9247952, 5.0136757, 5.2390261, 5.7928200, 7.4047772", \
+					  "5.4897575, 5.5082729, 5.5438809, 5.6330212, 5.8229475, 6.4121206, 8.0333846", \
+					  "6.3574856, 6.3675660, 6.4042687, 6.4934111, 6.7070354, 7.2726412, 8.8962979", \
+					  "18.3199270, 18.3338550, 18.3694230, 18.4585520, 18.6710590, 19.2371810, 20.8592750", \
+					  "26.1121470, 26.1121474, 26.1121493, 26.1851200, 26.4650450, 26.9656880, 28.6504860", \
+					  "60.4680390, 60.5061740, 60.5220050, 60.5648150, 60.8165610, 61.3626630, 63.0006200", \
+					  "191.8665900, 191.8666001, 191.8765700, 192.0048500, 192.2188300, 192.6649000, 194.3963200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1228067, 0.1349256, 0.1697848, 0.2656423, 0.5316467, 1.3437027, 3.7484997", \
+					  "0.1229473, 0.1351281, 0.1701486, 0.2657920, 0.5317044, 1.3409004, 3.7338461", \
+					  "0.1230740, 0.1351409, 0.1704061, 0.2662491, 0.5315179, 1.3387462, 3.7468877", \
+					  "0.1229319, 0.1351060, 0.1701893, 0.2661743, 0.5311730, 1.3400356, 3.7431014", \
+					  "0.1229637, 0.1353070, 0.1706673, 0.2659919, 0.5314733, 1.3430996, 3.7459644", \
+					  "0.1229129, 0.1350862, 0.1702158, 0.2661808, 0.5312181, 1.3399810, 3.7507681", \
+					  "0.1229389, 0.1352184, 0.1701331, 0.2661790, 0.5314714, 1.3399982, 3.7400496", \
+					  "0.1228996, 0.1352189, 0.1700908, 0.2661005, 0.5316900, 1.3407445, 3.7448880", \
+					  "0.1229331, 0.1351890, 0.1700891, 0.2660687, 0.5311614, 1.3401736, 3.7409720", \
+					  "0.1229701, 0.1351336, 0.1700915, 0.2660556, 0.5315981, 1.3411329, 3.7430685", \
+					  "0.1229552, 0.1350583, 0.1702278, 0.2661175, 0.5319544, 1.3379327, 3.7560559", \
+					  "0.1228915, 0.1351366, 0.1703735, 0.2663951, 0.5318779, 1.3400249, 3.7540824");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.0289914, 1.0428652, 1.0785041, 1.1634631, 1.3547781, 1.8197664, 3.1109531", \
+					  "2.1945243, 2.2083975, 2.2440916, 2.3291349, 2.5205118, 2.9855947, 4.2748116", \
+					  "3.1658596, 3.1658599, 3.1997520, 3.2846807, 3.4762118, 3.9412882, 5.2301634", \
+					  "3.3684544, 3.3812084, 3.4168994, 3.5018868, 3.6939096, 4.1584429, 5.4449720", \
+					  "4.6813891, 4.6948663, 4.7186095, 4.8155777, 4.9919935, 5.4718865, 6.7633636", \
+					  "5.7996683, 5.7996686, 5.8265073, 5.9146408, 6.1029217, 6.5708727, 7.8606570", \
+					  "6.6241248, 6.6370318, 6.6730657, 6.7577117, 6.9442514, 7.4142590, 8.7036653", \
+					  "7.8128757, 7.8195361, 7.8587989, 7.9408000, 8.1348928, 8.5938199, 9.9048352", \
+					  "24.7724080, 24.7797940, 24.8109530, 24.9024930, 25.0969730, 25.5559950, 26.8425760", \
+					  "35.8873390, 35.9084500, 35.9352230, 36.0284350, 36.2202360, 36.6815450, 37.9670200", \
+					  "85.2161220, 85.2247480, 85.2559840, 85.3413550, 85.5304240, 85.9977900, 87.2876600", \
+					  "274.4880300, 274.5295000, 274.5404700, 274.6170100, 274.8438100, 275.3265000, 276.5426400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1075244, 0.1187060, 0.1469039, 0.2205972, 0.4149760, 0.9798038, 2.7033407", \
+					  "0.1081653, 0.1188232, 0.1483104, 0.2209569, 0.4140217, 0.9805839, 2.6878535", \
+					  "0.1081492, 0.1191532, 0.1481023, 0.2209918, 0.4138826, 0.9793557, 2.6959122", \
+					  "0.1079256, 0.1191237, 0.1482822, 0.2210750, 0.4139631, 0.9810167, 2.6916427", \
+					  "0.1081476, 0.1191561, 0.1483739, 0.2212456, 0.4140564, 0.9809187, 2.7033635", \
+					  "0.1080898, 0.1187868, 0.1482802, 0.2213593, 0.4142132, 0.9807265, 2.7053531", \
+					  "0.1080075, 0.1191171, 0.1482762, 0.2210726, 0.4142618, 0.9810164, 2.7030018", \
+					  "0.1078983, 0.1190322, 0.1483756, 0.2215014, 0.4140243, 0.9804671, 2.7029792", \
+					  "0.1080273, 0.1190487, 0.1482992, 0.2212134, 0.4140074, 0.9803655, 2.7033491", \
+					  "0.1081328, 0.1190156, 0.1483321, 0.2212776, 0.4142715, 0.9803580, 2.7039646", \
+					  "0.1081348, 0.1191849, 0.1483726, 0.2214013, 0.4142811, 0.9810178, 2.7053448", \
+					  "0.1081095, 0.1190579, 0.1483922, 0.2213524, 0.4142986, 0.9803048, 2.7039111");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("4.3835611, 4.3970236, 4.4333381, 4.5218345, 4.7355586, 5.3007403, 6.9229196", \
+					  "4.5305368, 4.5439679, 4.5797859, 4.6693440, 4.8825033, 5.4476894, 7.0698780", \
+					  "4.6675024, 4.6809148, 4.7165947, 4.8058348, 5.0193361, 5.5841642, 7.2070172", \
+					  "4.7805342, 4.7939457, 4.8296296, 4.9197380, 5.1323705, 5.6971987, 7.3200486", \
+					  "4.8747206, 4.8881539, 4.9238857, 5.0136215, 5.2267026, 5.7918466, 7.4141524", \
+					  "4.9661790, 4.9795874, 5.0152762, 5.1055551, 5.3180145, 5.8828400, 7.5056938", \
+					  "5.0463460, 5.0597642, 5.0955733, 5.1840137, 5.3980469, 5.9632281, 7.5856192");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("0.1259430, 0.1376980, 0.1707845, 0.2660325, 0.5309844, 1.3417661, 3.7389127", \
+					  "0.1259890, 0.1376917, 0.1721736, 0.2660333, 0.5309840, 1.3417623, 3.7390477", \
+					  "0.1259479, 0.1375836, 0.1715203, 0.2671884, 0.5308879, 1.3403996, 3.7461376", \
+					  "0.1259506, 0.1375892, 0.1715192, 0.2671864, 0.5308841, 1.3404077, 3.7461443", \
+					  "0.1260082, 0.1377297, 0.1721470, 0.2660352, 0.5309932, 1.3416459, 3.7401304", \
+					  "0.1259495, 0.1375870, 0.1715197, 0.2671860, 0.5308857, 1.3404055, 3.7461412", \
+					  "0.1248431, 0.1374147, 0.1715347, 0.2663104, 0.5310342, 1.3425302, 3.7370245");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("3.9507593, 3.9686934, 4.0150736, 4.1207957, 4.3526476, 4.8657731, 6.1700285", \
+					  "4.0560884, 4.0739314, 4.1204262, 4.2263850, 4.4575708, 4.9709659, 6.2759877", \
+					  "4.1189736, 4.1357864, 4.1833679, 4.2880961, 4.5194133, 5.0326188, 6.3385861", \
+					  "4.1613806, 4.1797183, 4.2256549, 4.3320578, 4.5632627, 5.0765046, 6.3811090", \
+					  "4.1947970, 4.2118780, 4.2588000, 4.3643125, 4.5957571, 5.1088933, 6.4133894", \
+					  "4.2205994, 4.2376973, 4.2849502, 4.3901847, 4.6214956, 5.1346376, 6.4397696", \
+					  "4.2437440, 4.2612291, 4.3076800, 4.4137143, 4.6449902, 5.1585558, 6.4632846");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("0.1768339, 0.1903065, 0.2241685, 0.3043789, 0.5035153, 1.0497891, 2.7229423", \
+					  "0.1763433, 0.1903025, 0.2233752, 0.3049968, 0.5043301, 1.0497624, 2.7219766", \
+					  "0.1783205, 0.1908341, 0.2241741, 0.3049128, 0.5040915, 1.0497011, 2.7154854", \
+					  "0.1771481, 0.1900043, 0.2247530, 0.3049550, 0.5043215, 1.0500654, 2.7233953", \
+					  "0.1765122, 0.1903283, 0.2250424, 0.3052312, 0.5035305, 1.0497389, 2.7227585", \
+					  "0.1764207, 0.1905198, 0.2236611, 0.3050992, 0.5036522, 1.0496048, 2.7219835", \
+					  "0.1766175, 0.1905925, 0.2236876, 0.3050731, 0.5037887, 1.0516715, 2.7219773");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.117215;
+			max_capacitance : 551.117000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1446900, 2.1450506, 2.1435830, 2.1410134, 2.1391280, 2.1373926, 2.1375936", \
+					  "5.4656618, 5.4734260, 5.5226297, 5.7301382, 6.0328891, 6.1492300, 6.1733392", \
+					  "7.1336344, 7.1486345, 7.2381732, 7.6140418, 8.2082800, 8.4540113, 8.5038170", \
+					  "7.2570306, 7.2727655, 7.3629928, 7.7414643, 8.3406361, 8.5884578, 8.6377404", \
+					  "7.2604785, 7.2762705, 7.3670746, 7.7444438, 8.3430431, 8.5910886, 8.6424529", \
+					  "7.2532309, 7.2713292, 7.3649766, 7.7484198, 8.3493714, 8.5979434, 8.6455746", \
+					  "7.2653013, 7.2808565, 7.3706779, 7.7487713, 8.3482033, 8.5972532, 8.6477796");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("22.4878615, 22.5026045, 22.5361615, 22.8174175, 23.3786435, 21.5455685, -5.1819519", \
+					  "22.4876792, 22.5000542, 22.5354032, 22.8020922, 23.3628432, 21.9963102, -5.2004260", \
+					  "22.4940289, 22.5028159, 22.5348139, 22.8197369, 23.3045599, 22.0278119, -5.4294930", \
+					  "22.4856455, 22.4992395, 22.5335575, 22.8148855, 23.3751945, 22.0556685, -5.1817451", \
+					  "22.4886719, 22.5031479, 22.5426049, 22.8050609, 23.3475669, 21.3846959, -5.6703305", \
+					  "22.4865825, 22.5026825, 22.5346165, 22.8181625, 23.3751025, 22.0321005, -5.1808008", \
+					  "22.4933811, 22.5029591, 22.5478711, 22.8103291, 23.3550651, 20.8507331, -6.0112773");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.3043115, 25.2391075, 25.2304925, 25.5375995, 24.7977175, 16.1520605, -46.3006165", \
+					  "25.1997024, 25.2189394, 25.2199814, 25.4506844, 25.6827004, 21.0068504, -47.2751806", \
+					  "24.9933054, 25.0285044, 25.2518524, 25.3791734, 25.1446394, 11.2354024, -46.0176346", \
+					  "25.1062129, 25.1578589, 25.2123859, 25.1070439, 25.4708879, 19.5134449, -45.3890691", \
+					  "25.3059661, 25.0498641, 25.3249301, 25.3215491, 25.2097331, 27.5043381, -46.7965589", \
+					  "25.2029837, 25.0675197, 25.2264387, 25.0138937, 24.4377667, 23.1582187, -44.8657843", \
+					  "25.0852182, 24.8933072, 25.1006142, 24.8560352, 25.2492902, 20.4641752, -47.5301468");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.5451642, 16.6067522, 16.8871422, 18.4784222, 23.5627782, 34.9234932, 35.4032552", \
+					  "16.4665514, 16.5285004, 16.8249644, 18.3925944, 23.4459494, 34.8305274, 35.3021014", \
+					  "16.3988515, 16.4524495, 16.7483385, 18.3076155, 23.3350515, 34.7340085, 35.2706345", \
+					  "16.4031124, 16.4527414, 16.7331704, 18.2948824, 23.4342174, 34.7474374, 35.2318244", \
+					  "16.3868952, 16.4422572, 16.7385652, 18.3070622, 23.3601032, 34.7411402, 35.2292392", \
+					  "16.3892671, 16.4443411, 16.7412661, 18.2971161, 23.3536471, 34.7454511, 35.2381861", \
+					  "16.3862680, 16.4471950, 16.7383020, 18.3031910, 23.3393660, 34.7288450, 35.2586260");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6920020, 1.6920124, 1.6917005, 1.6918801, 1.6918867, 1.6919101, 1.6913150", \
+					  "4.2952196, 4.2952491, 4.2951714, 4.2951307, 4.2955882, 4.2957140, 4.2958371", \
+					  "4.8818176, 4.8817647, 4.8809650, 4.8755275, 4.8783273, 4.8776837, 4.8770445", \
+					  "4.9248190, 4.9247999, 4.9232078, 4.9188923, 4.9149271, 4.9140281, 4.9136907", \
+					  "4.9289021, 4.9279927, 4.9250662, 4.9188936, 4.9143903, 4.9144866, 4.9141240", \
+					  "4.9288020, 4.9280960, 4.9263851, 4.9210652, 4.9173455, 4.9134059, 4.9145834", \
+					  "4.9308462, 4.9305677, 4.9283993, 4.9210762, 4.9162671, 4.9156504, 4.9157103");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.6599778, 9.6709881, 9.7079195, 9.9943055, 9.5720829, 9.2073876, 9.7587348", \
+					  "9.7747596, 9.8692690, 9.8197748, 9.2552281, 9.3119041, 1.7241946, 9.6430481", \
+					  "9.7615768, 9.7046024, 9.7068671, 9.6876090, 10.0761969, 9.4859396, 9.6258937", \
+					  "9.7048919, 9.7019923, 9.8165353, 9.7466580, 9.8639860, 9.7696197, 9.6996687", \
+					  "9.7632904, 9.6598428, 9.7417816, 9.5171228, 10.2308509, 10.4368849, 9.4266786", \
+					  "9.7040044, 9.7167780, 9.6974506, 9.7459213, 9.6050115, 9.7824806, 9.6988999", \
+					  "9.5961243, 9.6212093, 9.6685759, 9.4482183, 9.6865164, 9.0493993, 9.8780481");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.0952435, 25.1030635, 25.1469135, 25.4240235, 25.8578435, 23.3765055, -3.3604334", \
+					  "25.0416264, 25.0379194, 25.1158094, 25.3808804, 25.8868384, 24.8404584, -2.7116430", \
+					  "25.0062184, 25.0039274, 25.0447904, 25.3496474, 25.8155864, 24.7701034, -3.1135041", \
+					  "24.9582719, 24.9763319, 25.0353799, 25.2704419, 25.7605899, 23.4495499, -2.8522097", \
+					  "24.9235471, 24.9375741, 24.9945401, 25.2612261, 25.8021891, 24.6742301, -3.1055616", \
+					  "24.8876827, 24.9027657, 24.9726007, 25.2222117, 25.7320577, 23.2129617, -3.4242700", \
+					  "24.8556742, 24.8669842, 24.9211042, 25.2055822, 25.6362492, 24.6242782, -3.0219923");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.6093322, 14.4455052, 14.6978782, 14.9168312, 15.2196432, 14.2515302, 15.3512552", \
+					  "14.4817004, 14.4989574, 14.5515884, 14.8988234, 14.7006604, 12.9394424, 15.9124864", \
+					  "14.4484535, 14.2629305, 14.4619745, 14.7371045, 14.7901215, 15.8180895, 15.0856975", \
+					  "14.5031084, 14.5346714, 14.5558634, 14.7095314, 15.2205364, 16.1634744, 15.7710854", \
+					  "14.4079942, 14.2886552, 14.4343652, 14.7115112, 15.1331742, 23.3953702, 15.1326922", \
+					  "14.3724951, 14.4001481, 14.4632501, 14.8428341, 14.8689781, 12.2213981, 15.6440941", \
+					  "14.3571720, 14.3854120, 14.4600330, 14.7727510, 15.2388940, 15.3056270, 15.6270850");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6919874, 1.6909774, 1.6919656, 1.6915834, 1.6921181, 1.6926102, 1.6904261", \
+					  "4.2878457, 4.2876553, 4.2879398, 4.2875846, 4.2881931, 4.2883423, 4.2885890", \
+					  "4.8759603, 4.8794059, 4.8789648, 4.8743275, 4.8759841, 4.8751372, 4.8746974", \
+					  "4.9242201, 4.9231372, 4.9228800, 4.9181776, 4.9134479, 4.9139076, 4.9131759", \
+					  "4.9263829, 4.9271219, 4.9280643, 4.9188373, 4.9154654, 4.9156866, 4.9149263", \
+					  "4.9291173, 4.9286433, 4.9260859, 4.9211107, 4.9152603, 4.9145955, 4.9143197", \
+					  "4.9300321, 4.9300859, 4.9277588, 4.9222276, 4.9170417, 4.9162289, 4.9154912");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7125235, 9.7104730, 9.7275861, 9.2772796, 9.6510024, 10.2427835, 9.6826680", \
+					  "9.7548631, 9.5935330, 9.5370816, 9.7709197, 10.2446712, 15.6658492, 9.7170835", \
+					  "9.7102342, 9.6459063, 9.5837433, 9.4398185, 9.6958767, 8.8055481, 9.6354364", \
+					  "9.5975481, 9.6132291, 9.7215912, 9.7353277, 9.9691075, 10.0831905, 9.8502297", \
+					  "9.6599382, 9.6694740, 9.5692174, 9.5448792, 9.9318359, 4.1801432, 9.9080819", \
+					  "9.6818172, 9.7075206, 9.7067383, 9.6904449, 9.4853399, 9.5026307, 10.0939795", \
+					  "9.7474850, 9.7537821, 9.7449480, 9.8097521, 9.7608285, 13.4804141, 9.8525052");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.8040532, 18.9623212, 19.8164612, 24.1742922, 28.8598432, 30.1899942, 31.5139562", \
+					  "18.7419594, 18.8837954, 19.7306124, 24.1297484, 28.7405514, 29.9310714, 31.6407664", \
+					  "18.6511315, 18.8070715, 19.6614855, 24.0437695, 28.6950475, 29.7986615, 31.4195315", \
+					  "18.6634644, 18.8103034, 19.6640284, 24.0443094, 28.6881834, 29.7992004, 31.6338024", \
+					  "18.6433092, 18.8086972, 19.6539502, 24.0464312, 28.6825912, 29.8083532, 31.7813522", \
+					  "18.6517731, 18.7813381, 19.6544471, 24.0188861, 28.6612701, 29.8331971, 31.6628861", \
+					  "18.6582330, 18.7885720, 19.6389840, 23.9873850, 28.6499610, 29.8775800, 31.6288940");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2128352, 3.2131412, 3.2143664, 3.2144930, 3.2135584, 3.2131839, 3.2132305", \
+					  "4.5413822, 4.5427553, 4.5426069, 4.5419000, 4.5394268, 4.5390928, 4.5385464", \
+					  "6.5437319, 6.5435801, 6.5423020, 6.5363797, 6.5253204, 6.5137455, 6.5103450", \
+					  "6.8008922, 6.7978885, 6.7872052, 6.7540893, 6.7159337, 6.6970729, 6.6918934", \
+					  "6.8300378, 6.8302768, 6.8191117, 6.7837463, 6.7428988, 6.7227643, 6.7173094", \
+					  "6.8404412, 6.8379488, 6.8280388, 6.7913598, 6.7510101, 6.7313136, 6.7258250", \
+					  "6.8376388, 6.8345788, 6.8227727, 6.7892032, 6.7470850, 6.7260801, 6.7216097");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1428789, 2.1446698, 2.1413777, 2.1380562, 2.1382717, 2.1362278, 2.1373621", \
+					  "5.4677109, 5.4795001, 5.5234541, 5.7347139, 6.0383127, 6.1562079, 6.1788517", \
+					  "7.1508255, 7.1673505, 7.2527370, 7.6323986, 8.2261363, 8.4727507, 8.5219926", \
+					  "7.2697453, 7.2865582, 7.3779114, 7.7586251, 8.3546865, 8.6080211, 8.6529089", \
+					  "7.2738896, 7.2913383, 7.3766841, 7.7592982, 8.3596513, 8.6074947, 8.6578737", \
+					  "7.2673489, 7.2923263, 7.3790044, 7.7617546, 8.3624191, 8.6106771, 8.6606745", \
+					  "7.2809294, 7.2972245, 7.3861430, 7.7665097, 8.3656320, 8.6125604, 8.6654278");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.0917285, 29.1293515, 29.2657935, 29.6025415, 28.6995735, 24.4621975, -1.1170012", \
+					  "29.1190342, 29.1305562, 29.2613892, 29.6106792, 28.6907602, 24.4602852, -1.2829686", \
+					  "29.1156529, 29.1272369, 29.2904679, 29.6083759, 28.7014129, 24.4455079, -1.1045798", \
+					  "29.0876965, 29.1252285, 29.2623965, 29.5991725, 28.6843645, 23.8907125, -1.1225990", \
+					  "29.1143099, 29.1247629, 29.2860919, 29.6003369, 28.6728189, 23.8768549, -1.7246923", \
+					  "29.0909815, 29.1263215, 29.2664385, 29.5965425, 28.6872615, 24.4693085, -1.2270749", \
+					  "29.0973211, 29.1239871, 29.2856951, 29.6013311, 28.6570431, 23.8208421, -0.2955502");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9910722, 15.0332832, 15.2451612, 16.3413972, 21.9734902, 31.4414032, 33.9426072", \
+					  "14.9153044, 14.9588064, 15.1697854, 16.2726334, 21.9016534, 31.2970654, 33.9140694", \
+					  "14.8361155, 14.8746285, 15.0884855, 16.1871495, 21.8235615, 31.2776725, 33.9261715", \
+					  "14.8341614, 14.8736104, 15.0887054, 16.1850354, 21.8257944, 31.2828994, 33.9123894", \
+					  "14.8329352, 14.8713492, 15.0782602, 16.1825722, 21.7929062, 31.2025852, 33.8822612", \
+					  "14.8286021, 14.8660531, 15.0776441, 16.1779641, 21.7898461, 31.2337541, 33.8346241", \
+					  "14.8310740, 14.8600810, 15.0718610, 16.1707770, 21.8233470, 31.2270280, 33.7949670");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3256254, 3.3262974, 3.3252375, 3.3251955, 3.3227161, 3.3222991, 3.3218216", \
+					  "4.7595914, 4.7580115, 4.7577179, 4.7569220, 4.7589877, 4.7580119, 4.7568323", \
+					  "6.7652661, 6.7650262, 6.7632282, 6.7578141, 6.7444910, 6.7340449, 6.7304454", \
+					  "7.0164563, 7.0150850, 7.0044537, 6.9691517, 6.9339095, 6.9144446, 6.9090457", \
+					  "7.0459983, 7.0442194, 7.0326973, 7.0012294, 6.9586073, 6.9387473, 6.9331086", \
+					  "7.0534986, 7.0512669, 7.0407553, 7.0053869, 6.9687634, 6.9451139, 6.9393902", \
+					  "7.0495383, 7.0464991, 7.0373996, 7.0000944, 6.9595227, 6.9423671, 6.9338930");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.5245885, 21.5055015, 21.5965885, 21.3136285, 20.4846345, 16.9521595, -58.2228995", \
+					  "21.5302362, 21.5110522, 21.6149652, 21.3445982, 20.5519492, 16.7552612, -50.1266388", \
+					  "21.5588399, 21.4659519, 21.5682819, 21.4551569, 20.5547229, 15.4985549, -56.7851551", \
+					  "21.5243645, 21.5157485, 21.5637395, 21.3123775, 20.5312905, 16.8883155, -47.9738035", \
+					  "21.6302229, 21.4687049, 21.5537689, 21.3033389, 20.5897829, 16.8392989, -52.6468681", \
+					  "21.5217795, 21.5004705, 21.5657235, 21.3020945, 20.5510355, 16.9264635, -51.3461335", \
+					  "21.6067241, 21.5857121, 21.6532391, 21.1667881, 20.4555691, 15.4157181, -57.9702229");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1413951, 2.1415857, 2.1415935, 2.1416338, 2.1407450, 2.1401309, 2.1404909", \
+					  "4.3422245, 4.3396528, 4.3433152, 4.3426383, 4.3429240, 4.3439263, 4.3439562", \
+					  "4.6029569, 4.6024503, 4.6032856, 4.6067902, 4.6135465, 4.6163147, 4.6161065", \
+					  "4.6072921, 4.6031136, 4.6084540, 4.6153786, 4.6203629, 4.6246684, 4.6242608", \
+					  "4.6034684, 4.6075064, 4.6068611, 4.6151618, 4.6225630, 4.6247799, 4.6253307", \
+					  "4.6078162, 4.6078834, 4.6113815, 4.6191062, 4.6270868, 4.6293463, 4.6300696", \
+					  "4.6081139, 4.6078020, 4.6117815, 4.6204924, 4.6284097, 4.6300841, 4.6309602");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.1057345, 24.1951925, 24.2244935, 24.0181545, 23.3735835, 19.1295795, -50.6116995", \
+					  "24.0625274, 24.0482404, 24.0752064, 24.1895684, 24.2250604, 18.8175444, -49.5235426", \
+					  "24.0846504, 24.0730694, 24.1094154, 23.8928664, 23.3280174, 19.9981154, -50.1632906", \
+					  "24.1498139, 24.0251589, 24.1925579, 23.7760149, 23.7448119, 12.8171729, -49.9658211", \
+					  "24.0468091, 23.9929521, 23.9930391, 23.7245921, 23.2351871, 19.5016671, -46.1389699", \
+					  "23.9916097, 23.9483957, 23.9048907, 23.7833397, 22.1193537, 15.9381937, -42.7452693", \
+					  "23.9224762, 24.0018002, 24.0404812, 23.4840732, 23.1707682, 19.9172162, -50.8202578");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7724602, 11.6454682, 11.6183072, 11.9451652, 12.2593482, 9.6740201, 12.0963372", \
+					  "11.5416114, 11.5206604, 11.5909674, 11.5680914, 12.4852294, 9.8407504, 11.4245254", \
+					  "11.4932305, 11.4457605, 11.4731235, 11.4517235, 12.0789005, 11.4890185, 11.1740285", \
+					  "11.4583834, 11.5083974, 11.5450044, 11.4399734, 11.4147934, 15.2540914, 11.7519254", \
+					  "11.4906932, 11.5113042, 11.5577352, 11.4869252, 12.0872942, 13.5546342, 11.6052942", \
+					  "11.6066261, 11.5749691, 11.5779841, 11.6805461, 11.9495661, 15.5600641, 11.7935851", \
+					  "11.4914520, 11.4747880, 11.4841870, 11.2817490, 11.5840450, 11.6651850, 11.4804800");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6919501, 1.6918408, 1.6920321, 1.6921656, 1.6918557, 1.6920605, 1.6919265", \
+					  "4.2272544, 4.2272995, 4.2261043, 4.2261481, 4.2230243, 4.2212562, 4.2214466", \
+					  "5.1140346, 5.1140849, 5.1123363, 5.1133793, 5.1102694, 5.1073156, 5.1072675", \
+					  "5.4123491, 5.4112389, 5.4048508, 5.3874086, 5.3623128, 5.3479458, 5.3437417", \
+					  "5.5345995, 5.5328713, 5.5204953, 5.4874727, 5.4484843, 5.4295229, 5.4261034", \
+					  "5.5532435, 5.5515552, 5.5427805, 5.5050121, 5.4689529, 5.4491935, 5.4421332", \
+					  "5.5613570, 5.5536813, 5.5440037, 5.5121958, 5.4726072, 5.4522299, 5.4472117");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6067145, 13.6440165, 13.8517745, 14.9582665, 20.5661315, 30.0243055, 32.6067115", \
+					  "13.6036122, 13.6435762, 13.8510042, 14.9581652, 20.5637042, 30.0069242, 32.6676852", \
+					  "13.6063049, 13.6392479, 13.8531769, 14.9586109, 20.5918149, 30.0091079, 32.6028909", \
+					  "13.6022145, 13.6340745, 13.8540795, 14.9445725, 20.5944865, 29.9964865, 32.6019715", \
+					  "13.6033919, 13.6386939, 13.8684879, 14.9561969, 20.6084689, 30.0163659, 32.5876009", \
+					  "13.6106425, 13.6430045, 13.8612205, 14.9566195, 20.6002805, 29.9974785, 32.5786845", \
+					  "13.6045271, 13.6366041, 13.8522941, 14.9589051, 20.5997851, 29.9899541, 32.6477451");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.1650915, 24.1991735, 24.0641375, 24.0821365, 23.4515435, 19.4324375, -49.9023435", \
+					  "24.0698214, 24.0372874, 24.0925454, 23.9948244, 23.7919854, 24.2407494, -49.6291696", \
+					  "24.0643104, 24.0716364, 24.0600714, 23.9580054, 23.2746244, 18.0340664, -50.8612776", \
+					  "24.1416769, 24.0884049, 24.0227409, 23.6745679, 23.7365619, 18.1776349, -49.9476791", \
+					  "24.0471021, 23.9932661, 24.1651521, 23.6725261, 23.2892831, 16.9733231, -52.1244289", \
+					  "23.9872287, 23.9756217, 23.8913527, 23.7336737, 22.1497767, 20.5552367, -59.2525783", \
+					  "23.7826072, 23.9373242, 24.1596562, 24.0675442, 25.0407222, 27.0325632, -50.5979808");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7602992, 11.8030332, 11.6828652, 11.9224732, 12.3138452, 10.5415792, 11.4499862", \
+					  "11.6034514, 11.6155504, 11.4849874, 11.5999494, 11.6307654, 15.2793764, 11.7845944", \
+					  "11.4910985, 11.4463325, 11.4758345, 11.4443655, 12.2069035, 11.8661845, 11.8952225", \
+					  "11.5753814, 11.4313944, 11.4858864, 11.5659774, 11.5268324, 7.7550539, 11.1925204", \
+					  "11.4934782, 11.4738522, 11.4791752, 11.5051252, 12.0404412, 13.3964642, 11.6064602", \
+					  "11.6070171, 11.5764641, 11.5800951, 11.6779521, 12.0617461, 10.1813291, 11.7943171", \
+					  "11.4840240, 11.4516730, 11.4801020, 11.3000280, 11.5812150, 12.3276260, 11.5246780");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.8927675, 24.9029335, 24.9730695, 25.2145695, 25.7686155, 23.1546855, -3.2982802", \
+					  "24.8419874, 24.8518764, 24.8943274, 25.1702614, 25.6411574, 24.8027754, -2.9130275", \
+					  "24.8095254, 24.8046924, 24.8511414, 25.1088124, 25.7063684, 24.0572414, -2.9407753", \
+					  "24.7513699, 24.7657469, 24.8146729, 25.0959729, 25.6322729, 23.4840139, -2.9531142", \
+					  "24.7303921, 24.7349421, 24.7933071, 25.0590351, 25.5105341, 24.3864191, -2.9526368", \
+					  "24.6841837, 24.6968737, 24.7587927, 25.0196427, 25.5145927, 24.7421097, -2.8685806", \
+					  "24.6539212, 24.6590712, 24.7238232, 24.9960792, 25.5516172, 22.9712282, -3.0978171");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4153334, 3.4200566, 3.4246532, 3.4457912, 3.4557693, 3.4517162, 3.4505847", \
+					  "6.0977902, 6.1111350, 6.1886772, 6.5259950, 7.0769636, 7.3078648, 7.3523180", \
+					  "8.0353251, 8.0509896, 8.1408171, 8.5176554, 9.1146874, 9.3606000, 9.4085556", \
+					  "8.6409590, 8.6586575, 8.7498164, 9.1365530, 9.7323674, 9.9796114, 10.0303394", \
+					  "8.6792178, 8.6951686, 8.7850082, 9.1645267, 9.7578332, 10.0058622, 10.0610952", \
+					  "8.6877382, 8.7034062, 8.7937376, 9.1726463, 9.7713011, 10.0184841, 10.0682231", \
+					  "8.6823600, 8.6987332, 8.7953122, 9.1747101, 9.7730760, 10.0205970, 10.0689380");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.8642035, 32.8997445, 33.0700875, 33.5849535, 33.3223165, 29.0324635, 5.2045407", \
+					  "32.8188164, 32.8465614, 33.0395214, 33.5501724, 33.2733764, 28.9812504, 3.5886153", \
+					  "32.7824324, 32.7959184, 33.0066174, 33.4979814, 33.2180664, 28.9523154, 5.2349915", \
+					  "32.7404929, 32.7739449, 32.9677489, 33.4639139, 33.1336509, 29.0182029, 4.8922653", \
+					  "32.7049541, 32.7528151, 32.9102341, 33.4342801, 33.0986531, 29.2890341, 3.4481723", \
+					  "32.6662967, 32.7126107, 32.8954537, 33.3949367, 33.1274727, 29.5531377, 3.5396666", \
+					  "32.6281882, 32.6775962, 32.8607382, 33.3642592, 33.0791392, 28.8255492, 3.3135078");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.4886412, 19.5559782, 19.9065832, 21.8058242, 27.4266702, 38.8998992, 39.4396022", \
+					  "19.4260164, 19.4960084, 19.8362414, 21.7029304, 27.3414794, 38.8356394, 39.3665614", \
+					  "19.3363915, 19.3932245, 19.7506445, 21.6349885, 27.2355815, 38.7431385, 39.2718745", \
+					  "19.3460814, 19.3952194, 19.7825744, 21.6280464, 27.1289284, 38.7431264, 39.2791624", \
+					  "19.3283272, 19.3876392, 19.7481062, 21.6366642, 27.2479692, 38.7377562, 39.2886072", \
+					  "19.3311131, 19.3819681, 19.7614251, 21.6148061, 27.2300341, 38.7349231, 39.2432181", \
+					  "19.3335210, 19.3961660, 19.7569220, 21.6213270, 27.2493020, 38.7341140, 39.2909040");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6921549, 1.6919741, 1.6909251, 1.6919883, 1.6920852, 1.6919998, 1.6920108", \
+					  "4.4835147, 4.4844012, 4.4826252, 4.4826183, 4.4778691, 4.4773196, 4.4768278", \
+					  "5.3943733, 5.3922053, 5.3882098, 5.3771479, 5.3561370, 5.3422969, 5.3359326", \
+					  "5.5290622, 5.5275005, 5.5139180, 5.4809600, 5.4411143, 5.4187095, 5.4118322", \
+					  "5.5322251, 5.5300914, 5.5187766, 5.4866274, 5.4448583, 5.4219526, 5.4156929", \
+					  "5.5345111, 5.5316384, 5.5211064, 5.4868535, 5.4451169, 5.4227173, 5.4159991", \
+					  "5.5341609, 5.5335012, 5.5221557, 5.4890260, 5.4468570, 5.4239253, 5.4169118");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1425515, 10.1528375, 10.2439815, 10.7961585, 13.1926815, 17.5060605, 22.3269175", \
+					  "10.1414532, 10.1620912, 10.2443652, 10.8022942, 13.1728552, 17.4795682, 22.4507292", \
+					  "10.1416629, 10.1530429, 10.2446609, 10.8032269, 13.1798309, 17.5303949, 22.3509909", \
+					  "10.1438355, 10.1528025, 10.2450145, 10.8001645, 13.1837735, 17.4796465, 22.0976265", \
+					  "10.1372869, 10.1596659, 10.2571999, 10.7878499, 13.2049199, 17.5080849, 22.3236839", \
+					  "10.1397305, 10.1750415, 10.2426555, 10.7708805, 13.1748155, 17.5031645, 22.3442625", \
+					  "10.1388101, 10.1580011, 10.2392231, 10.7985771, 13.1792451, 17.4705211, 22.4053811");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6920099, 1.6919861, 1.6926492, 1.6919084, 1.6918922, 1.6916199, 1.6918601", \
+					  "4.2879160, 4.2878574, 4.2882946, 4.2883358, 4.2886667, 4.2884876, 4.2880261", \
+					  "4.8792480, 4.8794722, 4.8753041, 4.8774352, 4.8763268, 4.8730694, 4.8719709", \
+					  "4.9243953, 4.9239616, 4.9228028, 4.9184690, 4.9148482, 4.9138121, 4.9136127", \
+					  "4.9283800, 4.9293540, 4.9241342, 4.9196678, 4.9145178, 4.9141600, 4.9130693", \
+					  "4.9284603, 4.9280990, 4.9284573, 4.9203578, 4.9159846, 4.9138405, 4.9152040", \
+					  "4.9306840, 4.9303587, 4.9282692, 4.9210831, 4.9164137, 4.9155403, 4.9149869");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7089395, 9.7143172, 9.7389711, 9.7160216, 9.8106672, 9.1880671, 9.6531612", \
+					  "9.7063438, 9.7289264, 9.5422823, 9.0842598, 10.0296782, 10.6746412, 9.4785946", \
+					  "9.6371815, 9.6425123, 9.7564333, 9.4155428, 9.7469860, 8.9382935, 9.5986151", \
+					  "9.7063283, 9.7460456, 9.7395638, 9.7370676, 9.6836433, 9.7836067, 9.7341749", \
+					  "9.6351447, 9.6733728, 9.5673551, 9.7298779, 9.9385549, 7.9837061, 9.4523343", \
+					  "9.7205701, 9.7196819, 9.7075068, 9.9520605, 9.7577183, 9.9266155, 9.5554617", \
+					  "9.7362674, 9.6740724, 9.7385824, 9.8677257, 9.8710251, 10.4545891, 9.8061308");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("17.4344295, 17.5548805, 18.4214185, 22.8104855, 27.4387795, 28.5699005, 30.4208245", \
+					  "17.4332892, 17.5521752, 18.4216622, 22.8187562, 27.4446112, 28.5364152, 30.3881892", \
+					  "17.4343019, 17.5614329, 18.4228709, 22.7717059, 27.4377379, 28.6173379, 30.3996739", \
+					  "17.4274055, 17.5569845, 18.4215655, 22.8159645, 27.4353775, 28.6627985, 30.4241415", \
+					  "17.4195359, 17.5597189, 18.4245569, 22.8226059, 27.4270309, 28.7669019, 30.5169579", \
+					  "17.4341865, 17.5620525, 18.4145965, 22.7643395, 27.4326485, 28.6427745, 30.4197065", \
+					  "17.4323531, 17.5527001, 18.4303781, 22.8033101, 27.4379381, 28.6822221, 30.5143681");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6915674, 1.6921039, 1.6915601, 1.6917102, 1.6920708, 1.6915789, 1.6919086", \
+					  "4.0901332, 4.0905439, 4.0905435, 4.0902416, 4.0892056, 4.0895560, 4.0886682", \
+					  "4.8951095, 4.8973195, 4.8964977, 4.8958623, 4.8914258, 4.8901685, 4.8896633", \
+					  "5.1919625, 5.1921373, 5.1869183, 5.1695486, 5.1456579, 5.1310945, 5.1266844", \
+					  "5.3191459, 5.3184798, 5.3077852, 5.2741620, 5.2339424, 5.2157538, 5.2102166", \
+					  "5.3421589, 5.3387484, 5.3282620, 5.2943048, 5.2555667, 5.2346424, 5.2291095", \
+					  "5.3441982, 5.3431851, 5.3345254, 5.3007035, 5.2591482, 5.2408239, 5.2351769");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6918531, 1.6918852, 1.6925184, 1.6925536, 1.6918960, 1.6917416, 1.6917793", \
+					  "4.4886304, 4.4913704, 4.4900451, 4.4887388, 4.4850009, 4.4839219, 4.4828204", \
+					  "5.3958127, 5.3964047, 5.3910266, 5.3785444, 5.3588332, 5.3429036, 5.3365667", \
+					  "5.5270000, 5.5270404, 5.5147459, 5.4812432, 5.4416456, 5.4185850, 5.4118135", \
+					  "5.5302722, 5.5294721, 5.5185238, 5.4871387, 5.4471430, 5.4246171, 5.4166543", \
+					  "5.5370347, 5.5303248, 5.5233694, 5.4862233, 5.4447886, 5.4226769, 5.4158994", \
+					  "5.5337276, 5.5325224, 5.5206391, 5.4876026, 5.4461744, 5.4209433, 5.4165215");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1426295, 10.1609565, 10.2435645, 10.7801975, 13.1827535, 17.4997665, 22.2722115", \
+					  "10.1592462, 10.1687522, 10.2434962, 10.8017842, 13.2668542, 17.6309302, 22.4212012", \
+					  "10.1511439, 10.1637639, 10.2342359, 10.7926309, 13.1678469, 17.5114819, 22.4320349", \
+					  "10.1540045, 10.1520845, 10.2425995, 10.7763305, 13.1960525, 17.4030155, 22.2697455", \
+					  "10.1474309, 10.1662209, 10.2274439, 10.7927549, 13.2454089, 17.4066189, 22.4718679", \
+					  "10.1346435, 10.1554745, 10.2339945, 10.7726505, 13.2693705, 17.4884385, 22.4270915", \
+					  "10.1458701, 10.1600891, 10.2336631, 10.8043941, 13.1931251, 17.5504131, 22.4370311");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6922554, 1.6919341, 1.6919991, 1.6919580, 1.6915176, 1.6931370, 1.6919238", \
+					  "4.2950600, 4.2950023, 4.2951177, 4.2957240, 4.2955487, 4.2959386, 4.2956103", \
+					  "4.8823632, 4.8819108, 4.8794866, 4.8782341, 4.8779132, 4.8744164, 4.8769682", \
+					  "4.9253715, 4.9249434, 4.9229494, 4.9184495, 4.9153396, 4.9138499, 4.9136664", \
+					  "4.9301026, 4.9269818, 4.9245089, 4.9216761, 4.9154754, 4.9129279, 4.9146310", \
+					  "4.9284134, 4.9283883, 4.9289434, 4.9204780, 4.9151524, 4.9153592, 4.9131544", \
+					  "4.9305239, 4.9301440, 4.9272418, 4.9225889, 4.9176063, 4.9159757, 4.9155932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.6703827, 9.7248270, 9.7295654, 9.7571475, 9.5833681, 5.4004715, 9.7609474", \
+					  "9.6969182, 9.7519627, 9.5855272, 9.3362076, 9.6598289, 8.8421913, 9.9880012", \
+					  "9.6822097, 9.6786657, 9.6540820, 9.9125239, 10.0013219, 9.0638554, 9.7011607", \
+					  "9.6364562, 9.7039135, 9.6886567, 9.6769074, 9.6723494, 2.4342827, 9.7480388", \
+					  "9.6910470, 9.6308799, 9.5666777, 9.9184849, 10.8495059, 10.5812639, 9.5069807", \
+					  "9.6398578, 9.7020979, 9.6466969, 9.7304355, 9.6700090, 15.9849605, 9.7412732", \
+					  "9.6347315, 9.6893805, 9.8112361, 9.4330395, 9.8500922, 8.5744138, 9.5519583");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("31.4998955, 31.5276065, 31.6781105, 31.9916275, 31.0841345, 26.2471445, 0.4082950", \
+					  "31.4579604, 31.4785104, 31.6214474, 31.9508264, 30.9899664, 26.3261904, 0.5206751", \
+					  "31.4065054, 31.4403254, 31.5753774, 31.8970014, 30.9477884, 26.0654874, 0.7476198", \
+					  "31.3683719, 31.4038049, 31.5430199, 31.8631009, 30.9442869, 26.2280479, 2.3145193", \
+					  "31.3398931, 31.3582601, 31.5052121, 31.8334881, 30.8967691, 26.6916411, 0.5172573", \
+					  "31.3056007, 31.3245057, 31.4634017, 31.8011837, 30.8384677, 25.9506397, 2.6479701", \
+					  "31.2651602, 31.2995492, 31.4528362, 31.7650342, 30.8256022, 26.1790182, 2.5714032");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4175876, 3.4195652, 3.4257525, 3.4481071, 3.4548426, 3.4508566, 3.4493296", \
+					  "6.1070584, 6.1201790, 6.1951559, 6.5353144, 7.0888013, 7.3210097, 7.3663224", \
+					  "8.0503972, 8.0663385, 8.1554313, 8.5327067, 9.1300822, 9.3750017, 9.4240476", \
+					  "8.6617115, 8.6798593, 8.7685939, 9.1497716, 9.7480804, 9.9922004, 10.0425424", \
+					  "8.6893417, 8.7050669, 8.8013597, 9.1776360, 9.7784382, 10.0265812, 10.0754332", \
+					  "8.7049527, 8.7220701, 8.8124128, 9.1843540, 9.7873191, 10.0339821, 10.0836561", \
+					  "8.7030388, 8.7184405, 8.8058229, 9.1877223, 9.7881010, 10.0354090, 10.0859720");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.0924815, 29.1348815, 29.2663875, 29.6011645, 28.6878675, 24.4620515, -1.1655677", \
+					  "29.0961702, 29.1445452, 29.2666142, 29.5958232, 28.6902432, 24.4560162, -1.1460147", \
+					  "29.0989089, 29.1239759, 29.2690709, 29.6013059, 28.6676759, 24.4725679, -1.2231210", \
+					  "29.0952775, 29.1210795, 29.2640865, 29.5996935, 28.6846025, 24.4509405, -1.2128578", \
+					  "29.0788689, 29.1204889, 29.2913969, 29.6087609, 28.6935279, 23.8778319, -1.7291964", \
+					  "29.0990165, 29.1263875, 29.2664105, 29.6029085, 28.6879915, 24.4626475, -1.2148560", \
+					  "29.1307981, 29.1658391, 29.2911381, 29.5950791, 28.6476041, 23.8158741, -1.4457305");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1450582, 2.1445130, 2.1442905, 2.1409133, 2.1368347, 2.1416061, 2.1390829", \
+					  "5.4715460, 5.4765160, 5.5281530, 5.7314080, 6.0370012, 6.1536974, 6.1774063", \
+					  "7.1505384, 7.1663595, 7.2552532, 7.6298338, 8.2268129, 8.4727941, 8.5219344", \
+					  "7.2756078, 7.2866224, 7.3732294, 7.7581923, 8.3594830, 8.6075979, 8.6520189", \
+					  "7.2723422, 7.2914780, 7.3813210, 7.7571732, 8.3590225, 8.6076508, 8.6581382", \
+					  "7.2699701, 7.2869470, 7.3785655, 7.7620313, 8.3621546, 8.6104360, 8.6607083", \
+					  "7.2810777, 7.2967856, 7.3866460, 7.7645677, 8.3653397, 8.6135578, 8.6646940");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("31.7016685, 31.7127495, 31.8725695, 32.1839185, 31.2319085, 27.0375995, 1.2668481", \
+					  "31.6502374, 31.6745024, 31.8008354, 32.1386104, 31.1593484, 26.8610634, 2.2410732", \
+					  "31.6000064, 31.6371614, 31.7620434, 32.0942084, 31.1767374, 26.3124754, 0.6642415", \
+					  "31.5655649, 31.5973029, 31.7208779, 32.0637459, 31.1385069, 26.9066079, 1.6622453", \
+					  "31.5370931, 31.5523481, 31.7016281, 32.0262611, 31.0460311, 26.8796281, 2.1648232", \
+					  "31.5007227, 31.4978257, 31.6611117, 31.9908057, 31.0498847, 26.1710937, 2.5422741", \
+					  "31.4661212, 31.4959062, 31.6175762, 31.9559202, 31.0306842, 26.3141862, 1.8753966");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5611852, 14.5699162, 14.7047582, 14.8418462, 15.2326812, 15.8187332, 15.6519132", \
+					  "14.4074024, 14.4166774, 14.5794384, 14.9188644, 15.1641784, 16.7711104, 15.8848854", \
+					  "14.3602045, 14.3574485, 14.4112075, 14.6878735, 15.0128405, 12.1786755, 15.3620995", \
+					  "14.4605574, 14.2411164, 14.4918294, 14.5467174, 15.4233324, 14.8321764, 15.3486164", \
+					  "14.3318532, 14.3171412, 14.4497032, 14.7907572, 15.2211762, 15.6689332, 15.7331632", \
+					  "14.4754641, 14.2935611, 14.5208551, 14.9769071, 15.3295551, 13.7007001, 15.8004461", \
+					  "14.3943800, 14.4113300, 14.4758850, 14.7944560, 15.2501610, 16.0896610, 15.5427410");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2219145, 26.1842005, 26.3220135, 26.7816805, 27.9719455, 26.0830065, -0.2753483", \
+					  "26.1474084, 26.1313914, 26.2798164, 26.7490784, 27.9981364, 25.9352774, -0.1200513", \
+					  "26.1008204, 26.1286494, 26.2120734, 26.7240874, 27.8544574, 26.2908614, -0.0259730", \
+					  "26.0629749, 26.0983549, 26.1998079, 26.6705859, 27.9081799, 25.9470399, -0.1562711", \
+					  "26.0515561, 26.0845521, 26.1492471, 26.6263531, 27.8477871, 26.0938691, -0.8216871", \
+					  "26.0038447, 26.0201357, 26.1202047, 26.5765467, 27.8032717, 25.8479887, -0.4632611", \
+					  "25.9722582, 25.9952782, 26.0922732, 26.5600972, 27.8046852, 25.9206402, 0.0349904");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9799872, 15.0000362, 15.1710312, 16.0304462, 18.9416072, 23.5740952, 28.1686152", \
+					  "14.9105774, 14.9331654, 15.0974884, 15.9623474, 18.8361514, 23.3388464, 28.1961494", \
+					  "14.8394205, 14.8559735, 14.9986955, 15.8948835, 18.7424145, 23.1234985, 28.0427565", \
+					  "14.8554774, 14.8534374, 14.9917744, 15.9006204, 18.7744724, 23.2703764, 27.9903164", \
+					  "14.8202072, 14.8384862, 15.0166542, 15.8884552, 18.7876882, 23.1769242, 28.0980622", \
+					  "14.8183101, 14.8390971, 15.0034501, 15.8622091, 18.7642141, 23.2741471, 28.2272541", \
+					  "14.8267880, 14.8517870, 15.0001930, 15.8483510, 18.7449310, 23.3127550, 28.0871740");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.1823505, 25.2768525, 25.3697045, 25.4773665, 25.3841955, 23.6616085, -46.8072665", \
+					  "25.1911074, 25.3276424, 25.2496624, 25.0592304, 25.2077914, 19.2907524, -47.1944436", \
+					  "25.1800384, 25.1798624, 25.1992844, 25.2447914, 25.3229114, 19.8843784, -47.2016946", \
+					  "25.1334009, 25.1629289, 25.1513679, 25.2329169, 25.3034209, 22.2318749, -47.3276781", \
+					  "25.0187761, 25.1331531, 25.1555001, 25.1743581, 25.5751991, 18.8695681, -44.1989249", \
+					  "25.1638037, 25.0743077, 25.2526597, 25.3012037, 25.2182977, 19.9280057, -44.4808283", \
+					  "25.1581702, 25.1204452, 25.2182402, 25.2026932, 24.6820372, 37.7038252, -46.7440538");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.0817832, 12.0979172, 12.1895552, 12.7092372, 15.1723212, 19.4775372, 24.4256782", \
+					  "12.0010374, 12.0279934, 12.1111594, 12.6423994, 15.0852854, 19.4186694, 24.2723124", \
+					  "11.9404515, 11.9533505, 12.0271715, 12.6108715, 14.9079925, 19.2148195, 24.3188235", \
+					  "11.9457134, 11.9589904, 12.0391764, 12.5554054, 15.0045124, 19.1680674, 24.1932564", \
+					  "11.9210192, 11.9324792, 12.0166632, 12.5949582, 14.9963022, 19.2943162, 24.1377002", \
+					  "11.9447751, 11.9426831, 12.0330361, 12.5615611, 14.8989551, 19.2667101, 24.0408161", \
+					  "11.9270350, 11.9345790, 12.0284280, 12.5699470, 14.9725900, 19.2692450, 24.1195840");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.0725973, 14.1092730, 14.2995151, 15.2542600, 17.7568916, 21.4936958, 23.4833607", \
+					  "16.9648699, 17.0004619, 17.1664797, 18.1626115, 21.0473690, 25.0767237, 26.8106942", \
+					  "18.0599166, 18.0870733, 18.3257526, 19.5002438, 22.4801253, 26.5112552, 28.2847084", \
+					  "18.1178039, 18.1665481, 18.4291169, 19.6231152, 22.5296679, 26.1595395, 28.3687237", \
+					  "18.1288197, 18.1613690, 18.3802490, 19.6083519, 22.7161775, 26.2876410, 28.3482125", \
+					  "18.1306037, 18.1813704, 18.4091241, 19.6436106, 22.4787766, 27.4870036, 28.4278624", \
+					  "18.1316362, 18.1733351, 18.4340552, 19.5993383, 22.5417857, 27.1322290, 28.4570921");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.7775497, 25.7848332, 25.8733636, 25.9421016, 25.5708094, 22.3591541, -22.8837105", \
+					  "28.4420401, 28.4437725, 28.5208717, 28.5980562, 28.2027652, 25.0160335, -18.9767528", \
+					  "29.2135692, 29.2010892, 29.2872047, 29.3754863, 28.9935932, 25.5900451, -18.7493482", \
+					  "29.3269311, 29.3431200, 29.4174286, 29.4698459, 29.0343169, 25.7577440, -18.8205743", \
+					  "29.3891834, 29.3580421, 29.4562456, 29.4933508, 29.0713672, 25.3727161, -17.1189745", \
+					  "29.3647138, 29.3730920, 29.4395277, 29.4949635, 29.0516296, 25.8697630, -18.1083017", \
+					  "29.3937650, 29.3824069, 29.4657990, 29.4979604, 29.1591887, 25.1034620, -18.2430121");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("46.2619403, 46.2966771, 46.4151993, 46.8059531, 46.4633464, 39.9737623, -31.1902087", \
+					  "46.6303614, 46.6589396, 46.7815796, 47.1554932, 47.1924626, 41.7333361, -30.6202193", \
+					  "47.2228018, 47.2453922, 47.3963662, 47.7401281, 47.5098347, 39.8080396, -30.0727511", \
+					  "47.2992499, 47.3104686, 47.4420365, 47.6569243, 47.7371689, 40.2308513, -29.3536456", \
+					  "47.2636875, 47.2440372, 47.4240998, 47.6531075, 47.5354787, 42.4208716, -29.3930162", \
+					  "47.2146495, 47.1849630, 47.3359502, 47.6056975, 46.9517859, 41.4556689, -29.2842410", \
+					  "47.1128287, 47.1380567, 47.3412526, 47.5411026, 47.6295671, 45.6035212, -30.0602413");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.0711498, 26.0933055, 26.4616915, 28.3433056, 32.9788067, 39.2824763, 42.3905200", \
+					  "26.7834101, 26.8519048, 27.2268443, 29.1916152, 33.9162782, 41.1852289, 43.7361821", \
+					  "27.3135119, 27.3277497, 27.7322016, 29.6751178, 34.5121974, 41.1632281, 44.0999072", \
+					  "27.5580186, 27.5663998, 27.9827372, 29.8594706, 34.6536751, 41.8312859, 44.3962968", \
+					  "27.5042119, 27.5453494, 27.9599243, 29.9154375, 34.8143196, 43.8348201, 44.4385601", \
+					  "27.5696890, 27.5876832, 28.0032672, 30.0112970, 34.7444054, 41.4673567, 44.5718844", \
+					  "27.5125576, 27.5697928, 27.9560053, 29.8353991, 34.6658315, 42.0989453, 44.4183405");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2796685, 2.2800877, 2.2810474, 2.2819358, 2.2819359, 2.2819361, 2.4067086", \
+					  "2.3767419, 2.3770654, 2.3770656, 2.3777524, 2.3777525, 2.3777527, 2.4548681", \
+					  "2.4327997, 2.4328000, 2.4328002, 2.4328005, 2.4328007, 2.4328009, 2.5279547", \
+					  "2.4716511, 2.4719988, 2.4719989, 2.4719991, 2.4719993, 2.4719996, 2.5866769", \
+					  "2.4948386, 2.4948389, 2.4948391, 2.4958888, 2.4958889, 2.4958891, 2.6081155", \
+					  "2.5233098, 2.5234097, 2.5234098, 2.5234100, 2.5234103, 2.5234105, 2.6024076", \
+					  "2.5335796, 2.5362767, 2.5362770, 2.5362772, 2.5362775, 2.5362777, 2.6210176");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3217283, 9.5178274, 10.7219630, 18.1531680, 63.6393200, 342.7242100, 2060.7160000", \
+					  "9.4216333, 9.6202770, 10.8289570, 18.2648010, 63.7880430, 342.6655200, 2060.1728000", \
+					  "9.4790886, 9.6741939, 10.8838040, 18.3190440, 63.8625930, 343.0025700, 2060.4195000", \
+					  "9.5180415, 9.7174597, 10.9265890, 18.3600740, 63.9355020, 342.6853800, 2060.3813000", \
+					  "9.5494297, 9.7428741, 10.9525810, 18.3871580, 63.9520930, 342.9747700, 2060.9464000", \
+					  "9.5695638, 9.7691188, 10.9749170, 18.4063360, 63.9581260, 342.7225300, 2060.4275000", \
+					  "9.5914841, 9.7843884, 10.9962310, 18.4324200, 64.0156090, 342.7695100, 2060.1944000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5016640, 13.8873210, 16.2582940, 30.7765970, 120.9411000, 677.8128100, 4111.6755000", \
+					  "13.4890740, 13.8913090, 16.2364170, 30.8116700, 121.1678600, 678.2326500, 4113.6350000", \
+					  "13.5060330, 13.8651710, 16.2363740, 30.8515170, 121.2412700, 678.4423500, 4112.2544000", \
+					  "13.4903880, 13.8913100, 16.2166030, 30.8188960, 121.3944100, 678.4451900, 4112.4690000", \
+					  "13.4999720, 13.8643290, 16.2505810, 30.8416010, 121.3044500, 678.5180600, 4111.5891000", \
+					  "13.4827860, 13.8763760, 16.2552640, 30.8013630, 121.1592300, 677.8324700, 4112.5086000", \
+					  "13.5069100, 13.8855370, 16.2541430, 30.8104900, 121.4484100, 677.4453700, 4113.0174000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.2702170, 3.2834611, 3.3660115, 3.7332186, 5.2291695, 9.6839221, 21.8233570", \
+					  "3.4182557, 3.4318737, 3.5152747, 3.8825680, 5.3781646, 9.8332631, 21.9725890", \
+					  "3.5387855, 3.5517174, 3.6349452, 4.0021311, 5.4977423, 9.9529327, 22.0923350", \
+					  "3.6381134, 3.6510059, 3.7353973, 4.1028443, 5.5985843, 10.0554440, 22.1925130", \
+					  "3.7230405, 3.7356149, 3.8200607, 4.1873478, 5.6829323, 10.1380260, 22.2773830", \
+					  "3.8039381, 3.8180161, 3.9009715, 4.2682786, 5.7639542, 10.2197050, 22.3586460", \
+					  "3.8802979, 3.8942027, 3.9773678, 4.3447326, 5.8404099, 10.2962940, 22.4349320");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.8962357, 0.9044015, 0.9322416, 1.0647666, 2.5415716, 5.2769527, 17.8759600", \
+					  "0.8985418, 0.9044070, 0.9312260, 1.0646843, 2.5378866, 5.2774634, 17.8762320", \
+					  "0.8985729, 0.9043165, 0.9309957, 1.0647536, 2.5379279, 5.2774549, 17.8765180", \
+					  "0.8981331, 0.9044284, 0.9312583, 1.0653148, 2.5392719, 5.2705991, 17.8807120", \
+					  "0.8985294, 0.9045013, 0.9312120, 1.0646639, 2.5378841, 5.2773732, 17.8760210", \
+					  "0.8984612, 0.9043284, 0.9313060, 1.0651939, 2.5436671, 5.2748574, 17.8687730", \
+					  "0.8983673, 0.9041035, 0.9314064, 1.0650993, 2.5435314, 5.2738689, 17.8761310");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7864210, 3.7864212, 3.7907752, 3.7907755, 3.7907757, 3.7907759, 3.7907762", \
+					  "3.8970258, 3.8970260, 3.8976520, 3.8976521, 3.8976523, 3.8976526, 3.8976528", \
+					  "3.9511425, 3.9511427, 3.9511430, 3.9511432, 3.9511434, 3.9511437, 3.9511439", \
+					  "4.0011385, 4.0018562, 4.0018566, 4.0018571, 4.0018576, 4.0018580, 4.0018585", \
+					  "4.0153800, 4.0153802, 4.0153807, 4.0153811, 4.0153816, 4.0153821, 4.0153826", \
+					  "4.0461106, 4.0461109, 4.0553680, 4.0553682, 4.0553687, 4.0553692, 4.0553697", \
+					  "4.0560142, 4.0560143, 4.0560148, 4.0560153, 4.0560158, 4.0560163, 4.0560167");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4593339, 3.4702674, 3.4702675, 3.4702677, 3.4702679, 3.4702682, 4264.0204000", \
+					  "3.5655592, 3.5655593, 3.5655596, 3.5655598, 3.5655600, 3.5655603, 3.5655605", \
+					  "3.6209586, 3.6269587, 3.6269588, 3.6269590, 3.6269593, 3.6269595, 3.6269597", \
+					  "3.6808243, 3.6829388, 3.6829390, 3.6829392, 3.6829395, 3.6829397, 3.6829399", \
+					  "3.6920853, 3.6988215, 3.6988217, 3.6988220, 3.6988222, 3.6988224, 3.6988227", \
+					  "3.7294434, 3.7294435, 3.7294437, 3.7294440, 3.7294442, 3.7294444, 3.7294447", \
+					  "3.7843164, 3.7905341, 3.7905342, 3.7905344, 3.7905347, 3.7905349, 3.7905351");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0206133, 3.0479350, 3.2158598, 4.2342898, 10.4233670, 40.6463450, 86.5244800", \
+					  "3.1215110, 3.1490504, 3.3177270, 4.3355359, 10.5244130, 40.8082710, 86.6256260", \
+					  "3.1796250, 3.2069636, 3.3751399, 4.3935948, 10.5820900, 40.8282190, 86.6858660", \
+					  "3.2186434, 3.2461867, 3.4143717, 4.4328270, 10.6210920, 40.8942390, 86.7207820", \
+					  "3.2477072, 3.2750997, 3.4429970, 4.4617909, 10.6495120, 40.9204440, 86.7488480", \
+					  "3.2704026, 3.2978307, 3.4662462, 4.4848631, 10.6744560, 40.9114480, 86.7778030", \
+					  "3.2900824, 3.3174841, 3.4846566, 4.5032618, 10.6900180, 40.9769430, 86.8298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8250127, 1.8752349, 2.1877649, 4.1506105, 16.3419070, 38.1397560, 62.4822720", \
+					  "1.8248514, 1.8763036, 2.1869964, 4.1502011, 16.3422310, 38.2901960, 62.4411600", \
+					  "1.8252434, 1.8762878, 2.1869961, 4.1474841, 16.3479430, 38.2064760, 62.4392910", \
+					  "1.8250240, 1.8764925, 2.1878135, 4.1547192, 16.3438060, 38.1168870, 62.4642450", \
+					  "1.8250915, 1.8764239, 2.1877458, 4.1564645, 16.3474900, 38.2564450, 62.4666400", \
+					  "1.8251657, 1.8765152, 2.1874378, 4.1572070, 16.3462150, 38.1034790, 62.4931500", \
+					  "1.8251440, 1.8766357, 2.1873907, 4.1578399, 16.3481800, 38.3065560, 62.5107570");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.7371151, 9.9363078, 11.1577750, 18.6521610, 64.0700860, 342.4671600, 2060.1419000", \
+					  "9.8872235, 10.0871570, 11.2947870, 18.8008630, 64.2204160, 342.6847600, 2059.2388000", \
+					  "10.0057360, 10.2086530, 11.4450290, 18.9202220, 64.3385930, 342.7161100, 2060.4173000", \
+					  "10.1030690, 10.3054810, 11.5353140, 19.0190010, 64.4442220, 343.3318900, 2060.7440000", \
+					  "10.1915590, 10.3914640, 11.5992750, 19.1045670, 64.5237110, 342.9221600, 2060.6069000", \
+					  "10.2545310, 10.4637530, 11.7005140, 19.1863520, 64.6043930, 343.3133600, 2059.7140000", \
+					  "10.3434020, 10.5487840, 11.7880790, 19.2635470, 64.6807480, 343.5709500, 2060.3070000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2216560, 13.6027510, 15.9675210, 30.5526480, 121.0161100, 677.3430500, 4112.8233000", \
+					  "13.2214360, 13.6036190, 15.9641350, 30.5552810, 121.0116000, 676.7766100, 4109.2985000", \
+					  "13.2216390, 13.6042550, 15.9648150, 30.5532030, 121.0147200, 677.2546000, 4108.7101000", \
+					  "13.2059750, 13.6001510, 15.9735340, 30.5539490, 120.8830300, 677.6908200, 4110.3376000", \
+					  "13.2213520, 13.6035560, 15.9640640, 30.5525670, 121.0158600, 677.3437900, 4108.7687000", \
+					  "13.1989730, 13.6029130, 15.9673750, 30.5566030, 121.0156600, 677.6749600, 4113.8592000", \
+					  "13.1936920, 13.6002590, 15.9650980, 30.5516570, 121.0174900, 677.6774100, 4113.4590000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7879109, 3.7879111, 3.7879113, 3.7879116, 3.7879118, 3.7879121, 3.7879123", \
+					  "3.8987529, 3.8994901, 3.8994903, 3.8994905, 3.8994908, 3.8994910, 3.8994912", \
+					  "3.9587351, 3.9605457, 3.9605459, 3.9605462, 3.9605464, 3.9605467, 3.9605469", \
+					  "3.9999559, 3.9999561, 3.9999563, 3.9999565, 3.9999568, 3.9999570, 3.9999573", \
+					  "4.0057793, 4.0057796, 4.0057800, 4.0057805, 4.0057810, 4.0057815, 4.0057819", \
+					  "4.0456580, 4.0551917, 4.0551918, 4.0551923, 4.0551928, 4.0551932, 4.0551937", \
+					  "4.0534287, 4.0547228, 4.0547231, 4.0547236, 4.0547240, 4.0547245, 4.0547250");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2779447, 2.2779450, 2.2779452, 2.2779454, 2.2779457, 2.2779459, 2.3941995", \
+					  "2.3744395, 2.3746223, 2.3746225, 2.3746228, 2.3746230, 2.3746232, 2.4560975", \
+					  "2.4302058, 2.4303042, 2.4303045, 2.4311653, 2.4311654, 2.4311656, 2.5100244", \
+					  "2.4685087, 2.4685089, 2.4685091, 2.4685094, 2.4685096, 2.4685098, 2.5474871", \
+					  "2.4911400, 2.4912956, 2.4912957, 2.4957609, 2.4957611, 2.4957613, 2.5691032", \
+					  "2.5211853, 2.5211856, 2.5211858, 2.5211860, 2.5211863, 2.5211865, 2.6353000", \
+					  "2.5370677, 2.5371369, 2.5371370, 2.5371372, 2.5371374, 2.5371377, 2.6263371");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3533318, 3.3817289, 3.5589269, 4.6412487, 10.8188340, 34.9515550, 102.0864900", \
+					  "3.5029728, 3.5303847, 3.7098213, 4.7902953, 10.9691780, 35.1055010, 102.2597300", \
+					  "3.6230297, 3.6516379, 3.8274042, 4.9097535, 11.0888730, 35.2208110, 102.3527600", \
+					  "3.7232046, 3.7512762, 3.9306527, 5.0109232, 11.1885930, 35.3216980, 102.4686800", \
+					  "3.8073527, 3.8357619, 4.0151287, 5.0946993, 11.2747480, 35.4060350, 102.5740300", \
+					  "3.8887249, 3.9172030, 4.0948076, 5.1752744, 11.3537770, 35.4885330, 102.5932500", \
+					  "3.9654884, 3.9939931, 4.1724348, 5.2532876, 11.4316140, 35.5683270, 102.7349700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8841428, 1.9364073, 2.2655138, 4.3063455, 11.7833210, 41.1444460, 78.8594580", \
+					  "1.8823565, 1.9348800, 2.2620930, 4.3067594, 11.7824590, 41.1588310, 78.8176710", \
+					  "1.8841730, 1.9362607, 2.2654842, 4.3062731, 11.7833720, 41.1520450, 78.8576240", \
+					  "1.8812383, 1.9355884, 2.2621154, 4.3062025, 11.7836520, 41.1526630, 78.8026280", \
+					  "1.8841881, 1.9354685, 2.2615883, 4.3067754, 11.7827440, 41.1520630, 78.8001130", \
+					  "1.8824076, 1.9372381, 2.2649091, 4.3061030, 11.7821060, 41.1537260, 78.8211380", \
+					  "1.8797608, 1.9369606, 2.2636535, 4.3080894, 11.7815180, 41.1507560, 78.8396120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2777107, 2.2780500, 2.2780501, 2.2780504, 2.2780506, 2.2780508, 2.3990994", \
+					  "2.3749973, 2.3752311, 2.3752312, 2.3752314, 2.3752317, 2.3752319, 2.4699232", \
+					  "2.4307818, 2.4308093, 2.4308094, 2.4317022, 2.4317023, 2.4317025, 2.5202760", \
+					  "2.4702376, 2.4702377, 2.4702379, 2.4702381, 2.4702384, 2.4702386, 2.5368319", \
+					  "2.4916116, 2.4917630, 2.4959212, 2.4959213, 2.4959215, 2.4959218, 2.5742577", \
+					  "2.5218525, 2.5218527, 2.5218529, 2.5218531, 2.5218534, 2.5218536, 2.6297644", \
+					  "2.5373934, 2.5376101, 2.5376102, 2.5376105, 2.5376107, 2.5376109, 2.6277309");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.2383847, 3.2530465, 3.3364107, 3.7090322, 5.2158948, 13.2986480, 46.4331080", \
+					  "3.3866268, 3.4013241, 3.4854843, 3.8578980, 5.3650191, 13.4478660, 46.5864640", \
+					  "3.5070071, 3.5229997, 3.6048660, 3.9786991, 5.4864726, 13.5685250, 46.7026880", \
+					  "3.6079786, 3.6220393, 3.7062473, 4.0787951, 5.5862981, 13.6684920, 46.8028530", \
+					  "3.6915015, 3.7073513, 3.7908854, 4.1628212, 5.6713749, 13.7527700, 46.8863490", \
+					  "3.7730419, 3.7882772, 3.8709818, 4.2445660, 5.7510041, 13.8337600, 46.9667290", \
+					  "3.8494292, 3.8646477, 3.9475894, 4.3206532, 5.8276379, 13.9096590, 47.0325080");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9041716, 0.9142986, 0.9413114, 1.0781620, 2.6513145, 12.9220950, 40.3767720", \
+					  "0.9040164, 0.9119375, 0.9398344, 1.0776231, 2.6513631, 12.9160060, 40.3707960", \
+					  "0.9041698, 0.9142834, 0.9410779, 1.0781067, 2.6512605, 12.9220890, 40.3731450", \
+					  "0.9041008, 0.9142956, 0.9413453, 1.0780389, 2.6512295, 12.9220980, 40.3731550", \
+					  "0.9051713, 0.9142944, 0.9382653, 1.0777387, 2.6500597, 12.9157430, 40.3541020", \
+					  "0.9023267, 0.9069886, 0.9395037, 1.0796674, 2.6506224, 12.9214810, 40.3671230", \
+					  "0.9072910, 0.9149420, 0.9400411, 1.0785534, 2.6517553, 12.9226380, 40.3566500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948262, 9.2879073, 10.4737750, 17.8063910, 62.9902390, 341.6121800, 2059.0815000", \
+					  "9.2430827, 9.4362225, 10.6216840, 17.9575870, 63.1381100, 341.7581000, 2059.2061000", \
+					  "9.3653192, 9.5583772, 10.7426060, 18.0734430, 63.2143440, 341.7677000, 2059.3679000", \
+					  "9.4647712, 9.6578532, 10.8418470, 18.1753390, 63.3634660, 341.9799300, 2059.4662000", \
+					  "9.5479331, 9.7424087, 10.9253380, 18.2589300, 63.4633320, 342.0690000, 2059.4525000", \
+					  "9.6284847, 9.8241593, 11.0039140, 18.3424170, 63.5481780, 342.1418200, 2059.5876000", \
+					  "9.7026414, 9.8962349, 11.0823600, 18.4097680, 63.6593780, 342.1604600, 2059.7031000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4997170, 13.8879950, 16.2568040, 30.8206790, 121.2799400, 678.3507700, 4112.8319000", \
+					  "13.4996290, 13.8878950, 16.2559410, 30.8126500, 121.2845900, 678.3396300, 4112.8010000", \
+					  "13.5068580, 13.8892600, 16.2558710, 30.8428090, 121.4784900, 678.1707500, 4112.7655000", \
+					  "13.4998370, 13.8875300, 16.2560600, 30.8194110, 121.2577300, 678.3501500, 4112.7305000", \
+					  "13.5108270, 13.8894500, 16.2548800, 30.8125290, 121.1884600, 678.3733400, 4112.8205000", \
+					  "13.4999000, 13.8879920, 16.2563170, 30.8196880, 121.1266000, 678.3442400, 4112.7946000", \
+					  "13.4913170, 13.8899830, 16.2582270, 30.8201960, 121.4321200, 678.3141700, 4112.8010000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5941852, 3.6085452, 3.6918673, 4.0602912, 5.5564251, 10.0140480, 22.1525680", \
+					  "3.7420471, 3.7573398, 3.8413392, 4.2086366, 5.7047673, 10.1637300, 22.3007940", \
+					  "3.8639874, 3.8795065, 3.9628878, 4.3307455, 5.8271663, 10.2843350, 22.4230550", \
+					  "3.9651975, 3.9784465, 4.0612620, 4.4302548, 5.9240581, 10.3821980, 22.5228350", \
+					  "4.0475490, 4.0632973, 4.1454622, 4.5133075, 6.0071486, 10.4667900, 22.6050010", \
+					  "4.1303805, 4.1460924, 4.2275721, 4.5943227, 6.0894028, 10.5492890, 22.6887270", \
+					  "4.2071946, 4.2202100, 4.2999586, 4.6680486, 6.1642984, 10.6224980, 22.7639310");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9054315, 0.9098464, 0.9383339, 1.0687115, 2.5445017, 5.2720037, 17.8818830", \
+					  "0.9039742, 0.9096805, 0.9382312, 1.0689227, 2.5443037, 5.2720805, 17.8797920", \
+					  "0.9040085, 0.9098237, 0.9367942, 1.0684179, 2.5443174, 5.2745679, 17.8801700", \
+					  "0.9041262, 0.9103305, 0.9367931, 1.0686654, 2.5386448, 5.2780500, 17.8803120", \
+					  "0.9040995, 0.9108152, 0.9367846, 1.0687637, 2.5443008, 5.2768208, 17.8573940", \
+					  "0.9041660, 0.9113021, 0.9382817, 1.0688126, 2.5386488, 5.2741393, 17.8802150", \
+					  "0.9039572, 0.9098418, 0.9368153, 1.0688689, 2.5438547, 5.2733760, 17.8756960");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0333039, 9.2261088, 10.4146010, 17.7481270, 62.9959520, 341.5365800, 2059.1649000", \
+					  "9.1347863, 9.3256061, 10.5134910, 17.8476490, 62.9776270, 341.6213000, 2059.7463000", \
+					  "9.1924592, 9.3829406, 10.5696020, 17.9049970, 63.0499600, 341.8162200, 2059.2395000", \
+					  "9.2301180, 9.4231094, 10.6077020, 17.9396870, 63.1924240, 341.8653300, 2060.0619000", \
+					  "9.2606189, 9.4537986, 10.6418770, 17.9702990, 63.2215350, 341.6201900, 2059.1665000", \
+					  "9.2837507, 9.4771675, 10.6654230, 17.9965290, 63.1990100, 341.7670500, 2059.1715000", \
+					  "9.3002068, 9.4930428, 10.6786010, 18.0164880, 63.2635920, 341.7038100, 2059.2915000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5005130, 13.8906680, 16.2540330, 30.8075590, 121.4231800, 678.6159500, 4112.2962000", \
+					  "13.5073990, 13.8617780, 16.2421540, 30.8348370, 120.9096600, 678.6851800, 4117.6722000", \
+					  "13.5071290, 13.8858200, 16.2563580, 30.8152120, 120.9458800, 678.5743900, 4112.8146000", \
+					  "13.5046630, 13.8674150, 16.2587420, 30.8491260, 121.3752100, 678.1493800, 4112.1157000", \
+					  "13.5081020, 13.8911820, 16.2542000, 30.8332380, 121.3774800, 678.1520500, 4112.4972000", \
+					  "13.5040550, 13.8871260, 16.2486100, 30.8140360, 121.3422300, 678.4488800, 4112.3867000", \
+					  "13.4867880, 13.8589920, 16.2583690, 30.8473920, 121.4357200, 677.5186400, 4112.0184000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3297317, 9.5280308, 10.7184680, 18.0644650, 63.2070110, 341.5864600, 2059.2170000", \
+					  "9.4601296, 9.6641921, 10.8663720, 18.1929990, 63.3463420, 341.9235100, 2059.9687000", \
+					  "9.5933519, 9.7881090, 10.9872850, 18.3065260, 63.5039830, 342.0854000, 2058.5394000", \
+					  "9.6968904, 9.8946007, 11.0853930, 18.4287820, 63.5780850, 342.2561600, 2058.6372000", \
+					  "9.7652739, 9.9691517, 11.1578830, 18.4980830, 63.6517470, 342.4928000, 2060.3795000", \
+					  "9.8593533, 10.0541160, 11.2533460, 18.5725640, 63.7697040, 342.3885200, 2059.4468000", \
+					  "9.9268931, 10.1287570, 11.3115360, 18.6575220, 63.8153140, 342.7441600, 2060.0398000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2053630, 13.5950890, 15.9520270, 30.5449000, 121.0153600, 677.2502800, 4110.5500000", \
+					  "13.2087140, 13.6063620, 15.9496220, 30.5249050, 120.5883100, 677.4448600, 4107.3208000", \
+					  "13.2212860, 13.6012460, 15.9519310, 30.5250580, 121.0313600, 677.6359100, 4109.1953000", \
+					  "13.2107290, 13.5937900, 15.9498410, 30.5602050, 120.9846200, 677.9250700, 4109.1462000", \
+					  "13.2094750, 13.6063210, 15.9636270, 30.5251540, 120.5926200, 677.6668300, 4109.4813000", \
+					  "13.2208450, 13.6021070, 15.9519400, 30.5308490, 121.0277200, 677.7710300, 4111.0447000", \
+					  "13.2172730, 13.6047460, 15.9597100, 30.5300960, 120.6386900, 677.4564000, 4109.8447000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3194537, 2.3200915, 2.3200917, 2.3200919, 2.3200921, 2.3200924, 2.3869120", \
+					  "2.4079740, 2.4079742, 2.4081921, 2.4081923, 2.4081926, 2.4081928, 2.4852345", \
+					  "2.4767461, 2.4767463, 2.4767465, 2.4767467, 2.4767470, 2.4767472, 2.5375042", \
+					  "2.5029470, 2.5031395, 2.5031397, 2.5031399, 2.5031401, 2.5031404, 2.5713476", \
+					  "2.5354059, 2.5407894, 2.5407895, 2.5407898, 2.5407900, 2.5407903, 2.6053248", \
+					  "2.5494495, 2.5494496, 2.5494499, 2.5494501, 2.5494503, 2.5494506, 2.6504658", \
+					  "2.5782820, 2.5782823, 2.5782825, 2.5782828, 2.5782830, 2.5782832, 2.6698397");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4666106, 3.4684431, 3.4684433, 3.4684436, 3.4684438, 3.4684440, 7147.7630000", \
+					  "3.5658594, 3.5658595, 3.5658597, 3.5658600, 3.5658602, 3.5658604, 3.5658607", \
+					  "3.6249883, 3.6268113, 3.6268114, 3.6268117, 3.6268119, 3.6268122, 3.6268124", \
+					  "3.6805514, 3.6826757, 3.6826758, 3.6826760, 3.6826762, 3.6826765, 3.6826767", \
+					  "3.6933463, 3.7001611, 3.7001614, 3.7001616, 3.7001619, 3.7001621, 3.7001623", \
+					  "3.7287347, 3.7287349, 3.7287351, 3.7287354, 3.7287356, 3.7287359, 3.7287361", \
+					  "3.7799928, 3.7849270, 3.7849271, 3.7849273, 3.7849275, 3.7849278, 3.7849280");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1134026, 3.1405072, 3.3085814, 4.3274193, 10.5149480, 40.8216000, 86.6820290", \
+					  "3.2615761, 3.2887366, 3.4566969, 4.4753290, 10.6641900, 40.9800980, 86.8309800", \
+					  "3.3841807, 3.4112868, 3.5790462, 4.5974637, 10.7866970, 41.0887110, 86.9482410", \
+					  "3.4833565, 3.5106962, 3.6760053, 4.6970619, 10.8835450, 41.1870220, 87.0480790", \
+					  "3.5678975, 3.5952727, 3.7607926, 4.7814166, 10.9685660, 41.2936600, 87.1382540", \
+					  "3.6454992, 3.6748724, 3.8402308, 4.8619735, 11.0489010, 41.3522340, 87.2162500", \
+					  "3.7244368, 3.7518855, 3.9199870, 4.9387991, 11.1255930, 41.4040390, 87.2943460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8291890, 1.8741322, 2.1916291, 4.1452108, 16.3457270, 38.3303100, 62.6392520", \
+					  "1.8291850, 1.8741702, 2.1916546, 4.1443928, 16.3099680, 38.3119920, 62.6253820", \
+					  "1.8291806, 1.8741675, 2.1916826, 4.1452135, 16.3310800, 38.3293010, 62.4613680", \
+					  "1.8291886, 1.8741129, 2.1916773, 4.1451953, 16.3461190, 38.3300180, 62.6434510", \
+					  "1.8286614, 1.8740454, 2.1956195, 4.1444808, 16.3273630, 38.2343860, 62.6283740", \
+					  "1.8291877, 1.8741855, 2.1916358, 4.1452055, 16.3460910, 38.3294570, 62.6414900", \
+					  "1.8219385, 1.8742254, 2.1900615, 4.1445087, 16.3401150, 38.1645150, 62.4856540");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.7718108, 2.7718109, 2.7718112, 2.7718114, 2.7718117, 2.7718119, 2.8221911", \
+					  "2.8653002, 2.8741842, 2.8741843, 2.8741845, 2.8741848, 2.8741850, 2.9265297", \
+					  "2.9332163, 2.9332165, 2.9332167, 2.9332170, 2.9332172, 2.9332175, 2.9608991", \
+					  "2.9712002, 2.9712004, 2.9721683, 2.9721684, 2.9721686, 2.9721689, 3.0055631", \
+					  "2.9925002, 2.9925005, 2.9925007, 2.9925010, 2.9925012, 2.9925014, 3.0438536", \
+					  "3.0129410, 3.0129411, 3.0138201, 3.0138203, 3.0138206, 3.0138208, 3.0638090", \
+					  "3.0415530, 3.0421883, 3.0436662, 3.0436663, 3.0436665, 3.0436668, 3.0739136");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0338208, 9.2261416, 10.4117250, 17.7402980, 62.9965980, 341.8497300, 2059.3445000", \
+					  "9.1344612, 9.3249435, 10.5132250, 17.8431760, 62.9991700, 341.8576900, 2059.7986000", \
+					  "9.1920880, 9.3852357, 10.5735340, 17.9057890, 63.1596540, 341.7123900, 2059.2802000", \
+					  "9.2298169, 9.4222683, 10.6074890, 17.9393460, 63.1940860, 341.8683100, 2060.3354000", \
+					  "9.2602601, 9.4534398, 10.6360560, 17.9708920, 63.2099240, 341.6657800, 2059.1558000", \
+					  "9.2833581, 9.4767820, 10.6646130, 17.9970330, 63.1981080, 341.7891600, 2059.2136000", \
+					  "9.3002557, 9.4925472, 10.6797730, 18.0161570, 63.1697220, 341.6952800, 2059.4878000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5030630, 13.8910310, 16.2439110, 30.8495520, 121.4168700, 678.8134200, 4113.5063000", \
+					  "13.5072810, 13.8582550, 16.2414310, 30.8466390, 120.9753900, 678.6689300, 4110.5959000", \
+					  "13.5066910, 13.8910800, 16.2336900, 30.8253010, 121.4372700, 677.5673600, 4112.1052000", \
+					  "13.5050450, 13.8597680, 16.2585150, 30.8491290, 121.3859900, 678.1395400, 4112.4954000", \
+					  "13.5078810, 13.8909260, 16.2582610, 30.8400840, 121.4788200, 678.2024700, 4112.4922000", \
+					  "13.5048800, 13.8868400, 16.2500960, 30.8190450, 121.3430900, 678.4422900, 4112.3458000", \
+					  "13.4929930, 13.8600140, 16.2570060, 30.8101780, 120.9868700, 677.5096600, 4112.8328000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3298604, 9.5275023, 10.7171510, 18.0644070, 63.2069190, 341.5933600, 2060.0364000", \
+					  "9.4728190, 9.6641166, 10.8555180, 18.1869540, 63.3867000, 342.1984600, 2058.5817000", \
+					  "9.5931911, 9.7879855, 10.9872100, 18.3061970, 63.5028310, 342.0892100, 2058.4898000", \
+					  "9.6918340, 9.8945785, 11.0710440, 18.4060340, 63.6058810, 342.2766200, 2058.6276000", \
+					  "9.7641077, 9.9691135, 11.1598410, 18.4966890, 63.6509160, 342.4780200, 2059.4621000", \
+					  "9.8593160, 10.0539940, 11.2532440, 18.5724020, 63.7690580, 342.3984400, 2059.5164000", \
+					  "9.9262314, 10.1284320, 11.3119470, 18.6574050, 63.8153570, 342.7435300, 2060.3882000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2052430, 13.5962540, 15.9492930, 30.5443030, 121.0151900, 677.2443700, 4110.5245000", \
+					  "13.2214710, 13.6063170, 15.9641540, 30.5295380, 121.0265500, 677.6622900, 4109.1657000", \
+					  "13.2212930, 13.6010070, 15.9519780, 30.5247380, 121.0322600, 677.6463700, 4108.6132000", \
+					  "13.2214940, 13.5949960, 15.9659240, 30.5296090, 121.0261200, 677.7054900, 4109.1134000", \
+					  "13.2107670, 13.6062640, 15.9638810, 30.5254100, 120.5979300, 677.6759800, 4109.2153000", \
+					  "13.2208920, 13.6019220, 15.9522580, 30.5303590, 121.0284600, 677.7947900, 4110.5814000", \
+					  "13.2170070, 13.6047450, 15.9597490, 30.5325050, 120.6427500, 677.4524800, 4110.8528000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0146434, 3.0422691, 3.2098946, 4.2285113, 10.4200380, 40.7428330, 86.5987740", \
+					  "3.1160001, 3.1437370, 3.3117433, 4.3302895, 10.5194250, 40.8346460, 86.6771190", \
+					  "3.1740144, 3.2011596, 3.3692753, 4.3877164, 10.5756750, 40.9035540, 86.7344450", \
+					  "3.2133991, 3.2407266, 3.4087868, 4.4272452, 10.6141580, 40.9332870, 86.7717280", \
+					  "3.2420435, 3.2693404, 3.4371614, 4.4559192, 10.6453110, 40.9480170, 86.8031340", \
+					  "3.2649838, 3.2929273, 3.4605190, 4.4793111, 10.6670330, 40.9557720, 86.8346250", \
+					  "3.2836698, 3.3117440, 3.4795509, 4.4983102, 10.6844460, 40.9719330, 86.8536180");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8240175, 1.8739899, 2.1868498, 4.1509534, 16.3159280, 38.1298050, 62.4621280", \
+					  "1.8241434, 1.8754720, 2.1867677, 4.1482497, 16.3407600, 38.3568950, 62.4431640", \
+					  "1.8244736, 1.8755550, 2.1871551, 4.1535024, 16.3462770, 38.3166490, 62.4821940", \
+					  "1.8243456, 1.8756070, 2.1867530, 4.1442161, 16.3484000, 38.1361610, 62.4461830", \
+					  "1.8239895, 1.8757182, 2.1870628, 4.1562340, 16.3382850, 38.3053620, 62.4861580", \
+					  "1.8244040, 1.8757937, 2.1868751, 4.1565102, 16.3374010, 38.2386180, 62.5224670", \
+					  "1.8243508, 1.8758755, 2.1868136, 4.1505031, 16.3485010, 38.1507480, 62.5073330");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3584701, 2.3590010, 2.3590011, 2.3598297, 2.3598298, 2.3598301, 2.4307192", \
+					  "2.4563749, 2.4564093, 2.4605653, 2.4605655, 2.4605657, 2.4605660, 2.5194575", \
+					  "2.5159255, 2.5160387, 2.5161380, 2.5172626, 2.5172629, 2.5172631, 2.5776026", \
+					  "2.5551012, 2.5554387, 2.5554389, 2.5564602, 2.5564603, 2.5564605, 2.6207705", \
+					  "2.5822442, 2.5823896, 2.5823898, 2.5834253, 2.5834255, 2.5834257, 2.6483720", \
+					  "2.6095799, 2.6096059, 2.6096060, 2.6097093, 2.6097094, 2.6097097, 2.6686611", \
+					  "2.6244100, 2.6244729, 2.6245264, 2.6275035, 2.6275036, 2.6275038, 2.6939786");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0909335, 3.1201204, 3.2670386, 3.7187524, 4.8931601, 10.3417780, 40.3144040", \
+					  "3.1930827, 3.2216296, 3.3683603, 3.8206229, 4.9946909, 10.4385650, 40.4147790", \
+					  "3.2501168, 3.2793634, 3.4266416, 3.8779358, 5.0523372, 10.5017270, 40.4750220", \
+					  "3.2898515, 3.3189027, 3.4656498, 3.9173673, 5.0915951, 10.5408850, 40.5120310", \
+					  "3.3185419, 3.3475940, 3.4951617, 3.9461274, 5.1205262, 10.5699630, 40.5401190", \
+					  "3.3418299, 3.3706862, 3.5178458, 3.9694323, 5.1433791, 10.5934940, 40.5627740", \
+					  "3.3598413, 3.3891074, 3.5367109, 3.9876702, 5.1629816, 10.6107690, 40.5838070");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2040302, 1.2099465, 1.2463335, 1.3196082, 2.0317663, 9.8511492, 51.0139770", \
+					  "1.2038915, 1.2102841, 1.2461472, 1.3194198, 2.0296265, 9.8416475, 51.0127050", \
+					  "1.2038341, 1.2104376, 1.2458985, 1.3200194, 2.0318971, 9.8527018, 51.0151740", \
+					  "1.2038778, 1.2101981, 1.2460973, 1.3194021, 2.0328244, 9.8480983, 51.0156330", \
+					  "1.2031389, 1.2105785, 1.2463818, 1.3198759, 2.0328559, 9.8544284, 51.0120230", \
+					  "1.2030879, 1.2107089, 1.2457397, 1.3198390, 2.0308115, 9.8515338, 51.0115930", \
+					  "1.2039250, 1.2104727, 1.2458460, 1.3196960, 2.0270796, 9.8565387, 51.0119770");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3069394, 3.3200149, 3.4002923, 3.7651851, 5.2617501, 9.6998543, 21.8325090", \
+					  "3.4553933, 3.4701573, 3.5501297, 3.9143662, 5.4105733, 9.8485726, 21.9822100", \
+					  "3.5753929, 3.5893255, 3.6692858, 4.0337182, 5.5301110, 9.9665858, 22.1010370", \
+					  "3.6763073, 3.6900771, 3.7695251, 4.1343542, 5.6295253, 10.0675820, 22.2014690", \
+					  "3.7609538, 3.7747125, 3.8542072, 4.2197348, 5.7144192, 10.1512030, 22.2862080", \
+					  "3.8401451, 3.8545553, 3.9352015, 4.2999608, 5.7965002, 10.2331600, 22.3676240", \
+					  "3.9169517, 3.9314143, 4.0118744, 4.3766271, 5.8730882, 10.3099760, 22.4440220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.8413479, 0.8496747, 0.8718067, 1.0226805, 2.5249645, 5.2637903, 17.8606620", \
+					  "0.8459969, 0.8507479, 0.8730613, 1.0233073, 2.5262548, 5.2597775, 17.8720510", \
+					  "0.8416343, 0.8450908, 0.8718306, 1.0231692, 2.5293769, 5.2660329, 17.8737100", \
+					  "0.8464587, 0.8483172, 0.8738565, 1.0233661, 2.5246696, 5.2527169, 17.8788130", \
+					  "0.8415231, 0.8454436, 0.8714774, 1.0222599, 2.5279467, 5.2639231, 17.8788580", \
+					  "0.8449879, 0.8493500, 0.8740963, 1.0232759, 2.5293487, 5.2651892, 17.8554380", \
+					  "0.8441322, 0.8495960, 0.8742503, 1.0232849, 2.5286286, 5.2634919, 17.8743880");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3929103, 2.3929104, 2.3929106, 2.3929109, 2.3929111, 2.3929114, 2.4661506", \
+					  "2.4940852, 2.4940855, 2.4940857, 2.4940860, 2.4940862, 2.4940864, 2.5643683", \
+					  "2.5520424, 2.5521261, 2.5521263, 2.5521266, 2.5521268, 2.5521271, 2.6200631", \
+					  "2.5916453, 2.5916454, 2.5917013, 2.5917014, 2.5917017, 2.5917019, 2.6612557", \
+					  "2.6195617, 2.6208227, 2.6208228, 2.6208231, 2.6208233, 2.6208236, 2.6896487", \
+					  "2.6404070, 2.6430316, 2.6430318, 2.6435081, 2.6435084, 2.6435086, 2.7153184", \
+					  "2.6632132, 2.6632134, 2.6632585, 2.6632587, 2.6632590, 2.6632592, 2.7267864");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3219870, 2.3220765, 2.3220767, 2.3220770, 2.3220772, 2.3220774, 2.4094544", \
+					  "2.4164006, 2.4164008, 2.4164011, 2.4164013, 2.4164016, 2.4164018, 2.4834834", \
+					  "2.4798961, 2.4798962, 2.4798965, 2.4798967, 2.4798970, 2.4798972, 2.5355047", \
+					  "2.5082718, 2.5082719, 2.5082721, 2.5082723, 2.5082726, 2.5082728, 2.5578764", \
+					  "2.5365008, 2.5377602, 2.5491633, 2.5491635, 2.5491637, 2.5491640, 2.6222073", \
+					  "2.5631133, 2.5631134, 2.5631136, 2.5631139, 2.5631141, 2.5631143, 2.6303202", \
+					  "2.5746549, 2.5746550, 2.5746552, 2.5746555, 2.5746557, 2.5746559, 2.6691123");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4773184, 3.4773185, 3.4773187, 3.4773189, 3.4773192, 3.4773194, 3.4773196", \
+					  "3.5768717, 3.5768718, 3.5768720, 3.5768723, 3.5768725, 3.5768728, 3.5768730", \
+					  "3.6386234, 3.6386236, 3.6386239, 3.6386241, 3.6386244, 3.6386246, 3.6386248", \
+					  "3.6805303, 3.6826691, 3.6826693, 3.6826696, 3.6826698, 3.6826700, 3.6826703", \
+					  "3.6933552, 3.7001124, 3.7001125, 3.7001128, 3.7001130, 3.7001132, 3.7001135", \
+					  "3.7287666, 3.7287668, 3.7287671, 3.7287673, 3.7287676, 3.7287678, 3.7287680", \
+					  "3.7800381, 3.7849226, 3.7849228, 3.7849230, 3.7849232, 3.7849235, 3.7849237");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1399769, 3.1686767, 3.3264858, 3.7946499, 4.9709086, 10.4076840, 40.3822860", \
+					  "3.2877334, 3.3174796, 3.4751129, 3.9435823, 5.1190647, 10.5614560, 40.5270950", \
+					  "3.4096423, 3.4393506, 3.5969723, 4.0655062, 5.2412266, 10.6770000, 40.6515750", \
+					  "3.5067877, 3.5391052, 3.6966852, 4.1623456, 5.3388729, 10.7742490, 40.7511930", \
+					  "3.5923680, 3.6216674, 3.7812606, 4.2484554, 5.4202740, 10.8657070, 40.8322340", \
+					  "3.6680829, 3.7041852, 3.8598986, 4.3271906, 5.5039355, 10.9390980, 40.9169410", \
+					  "3.7508943, 3.7803924, 3.9387857, 4.4062083, 5.5783262, 11.0259650, 40.9923150");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2353881, 1.2417413, 1.2814051, 1.3720682, 2.0431632, 9.8376454, 51.0166200", \
+					  "1.2353968, 1.2418910, 1.2814042, 1.3717739, 2.0432154, 9.8489732, 50.9334510", \
+					  "1.2354064, 1.2423250, 1.2812601, 1.3720671, 2.0432349, 9.8398161, 51.0158640", \
+					  "1.2353840, 1.2420963, 1.2815398, 1.3720688, 2.0431501, 9.8396189, 51.0164320", \
+					  "1.2356886, 1.2427799, 1.2814122, 1.3719842, 2.0433555, 9.8508535, 51.0041640", \
+					  "1.2354004, 1.2417766, 1.2814073, 1.3720679, 2.0431814, 9.8349702, 51.0163400", \
+					  "1.2353235, 1.2421345, 1.2813902, 1.3720793, 2.0433224, 9.8539328, 51.0164890");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6074242, 9.8019859, 10.9999720, 18.3216800, 63.5207800, 342.3413700, 2060.0683000", \
+					  "9.7566382, 9.9501323, 11.1483600, 18.4706970, 63.6705980, 342.4892200, 2060.1842000", \
+					  "9.8777075, 10.0723920, 11.2711420, 18.5921340, 63.7914110, 342.6505400, 2058.6742000", \
+					  "9.9771329, 10.1696060, 11.3708540, 18.6900750, 63.8641710, 342.7197700, 2059.3982000", \
+					  "10.0574060, 10.2550070, 11.4293500, 18.7867640, 63.9476380, 342.6738700, 2059.6465000", \
+					  "10.1282600, 10.3308710, 11.5346660, 18.8554860, 64.0535160, 342.8931400, 2059.4016000", \
+					  "10.2188230, 10.4136870, 11.6116150, 18.9317910, 64.1253590, 342.9510400, 2060.1528000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2137800, 13.5975640, 15.9533930, 30.5622050, 120.9838400, 677.7633000, 4112.6150000", \
+					  "13.2140500, 13.5882140, 15.9546310, 30.5610540, 120.9843700, 677.7816600, 4107.6987000", \
+					  "13.2048310, 13.5882160, 15.9539140, 30.5621770, 120.9456300, 677.3096300, 4109.3285000", \
+					  "13.2048100, 13.5882120, 15.9552690, 30.5598890, 120.7656800, 677.6678600, 4110.4540000", \
+					  "13.2235520, 13.5990470, 15.9507620, 30.5627310, 120.7684300, 677.7375500, 4108.7424000", \
+					  "13.2158000, 13.6003400, 15.9533220, 30.5589970, 120.9765100, 677.6358500, 4108.6009000", \
+					  "13.2134130, 13.5979440, 15.9544340, 30.5595940, 120.9851100, 677.7678400, 4110.5350000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7877528, 3.7877530, 3.7877533, 3.7877535, 3.7877538, 3.7877540, 3.7877542", \
+					  "3.8987240, 3.8990420, 3.8990421, 3.8990423, 3.8990425, 3.8990428, 3.8990430", \
+					  "3.9567305, 3.9567308, 3.9567310, 3.9567313, 3.9567315, 3.9567317, 3.9567320", \
+					  "3.9999080, 4.0003858, 4.0003860, 4.0003865, 4.0003870, 4.0003875, 4.0003880", \
+					  "4.0075263, 4.0075267, 4.0075272, 4.0075276, 4.0075281, 4.0075286, 4.0075291", \
+					  "4.0459693, 4.0486703, 4.0517381, 4.0517386, 4.0517390, 4.0517395, 4.0517400", \
+					  "4.0536223, 4.0540544, 4.0540545, 4.0540550, 4.0540555, 4.0540560, 4.0540565");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2790922, 2.2793092, 2.2794167, 2.2832405, 2.2832407, 2.2832410, 2.4076525", \
+					  "2.3761271, 2.3764635, 2.3777076, 2.3777077, 2.3777079, 2.3777081, 2.4676083", \
+					  "2.4284839, 2.4321420, 2.4321422, 2.4321424, 2.4338920, 2.4338922, 2.5283846", \
+					  "2.4710145, 2.4713649, 2.4713651, 2.4720821, 2.4720823, 2.4720825, 2.5862881", \
+					  "2.4942564, 2.4942567, 2.4983295, 2.4983296, 2.4983298, 2.4983300, 2.6076872", \
+					  "2.5227962, 2.5229310, 2.5229313, 2.5229315, 2.5229317, 2.5229320, 2.6015734", \
+					  "2.5329652, 2.5358178, 2.5358180, 2.5358183, 2.5358185, 2.5358187, 2.6204576");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.6831962, 3.7117616, 3.8896988, 4.9710387, 11.1484900, 35.2805290, 102.3838900", \
+					  "3.8319112, 3.8610732, 4.0383288, 5.1193672, 11.2974790, 35.4299350, 102.5307100", \
+					  "3.9535930, 3.9823984, 4.1605617, 5.2417684, 11.4209480, 35.5568520, 102.6541800", \
+					  "4.0509418, 4.0817065, 4.2595039, 5.3398495, 11.5169200, 35.6530950, 102.7542900", \
+					  "4.1354036, 4.1669139, 4.3434918, 5.4219611, 11.6021130, 35.7340470, 102.8715200", \
+					  "4.2164046, 4.2448396, 4.4243076, 5.5049227, 11.6818480, 35.8167720, 102.9171900", \
+					  "4.2947814, 4.3233794, 4.4970472, 5.5825629, 11.7596850, 35.8948500, 103.0248500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8818429, 1.9372097, 2.2644400, 4.3060853, 11.7825160, 41.1449400, 78.8352350", \
+					  "1.8821500, 1.9372282, 2.2645821, 4.3061759, 11.7825930, 41.1444070, 78.8355980", \
+					  "1.8820141, 1.9372153, 2.2645199, 4.3052228, 11.7825610, 41.1635600, 78.8355620", \
+					  "1.8828568, 1.9370887, 2.2635758, 4.3062087, 11.7824610, 41.1650090, 78.8203070", \
+					  "1.8835344, 1.9372455, 2.2644679, 4.3068762, 11.7864450, 41.1428650, 78.8598840", \
+					  "1.8797925, 1.9371889, 2.2638336, 4.3049906, 11.7821420, 41.1615770, 78.8352350", \
+					  "1.8817985, 1.9372288, 2.2633606, 4.3060120, 11.7824430, 41.1538710, 78.8480530");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3120172, 2.3120174, 2.3126965, 2.3126966, 2.3126969, 2.3126971, 2.3815017", \
+					  "2.4045137, 2.4045138, 2.4045140, 2.4045142, 2.4045145, 2.4045147, 2.4809785", \
+					  "2.4662186, 2.4662189, 2.4662191, 2.4662194, 2.4662196, 2.4662198, 2.5343379", \
+					  "2.4873437, 2.5081595, 2.5081596, 2.5081598, 2.5081600, 2.5081603, 2.5543827", \
+					  "2.5400502, 2.5400504, 2.5400507, 2.5400509, 2.5400512, 2.5400514, 2.6004054", \
+					  "2.5530424, 2.5530729, 2.5531248, 2.5531251, 2.5531253, 2.5531256, 2.6267470", \
+					  "2.5688622, 2.5689411, 2.5689413, 2.5693505, 2.5693507, 2.5693509, 2.6600697");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2802005, 2.2808584, 2.2810405, 2.2838949, 2.2838952, 2.2838954, 2.4058202", \
+					  "2.3774851, 2.3777906, 2.3777909, 2.3783280, 2.3783283, 2.3783285, 2.4467894", \
+					  "2.4306868, 2.4318212, 2.4318213, 2.4318215, 2.4318218, 2.4318220, 2.5129447", \
+					  "2.4724034, 2.4727929, 2.4727930, 2.4739228, 2.4739229, 2.4739231, 2.5323668", \
+					  "2.4930829, 2.4933058, 2.4933059, 2.4934976, 2.4934978, 2.4934980, 2.6103688", \
+					  "2.5235789, 2.5236451, 2.5236453, 2.5236456, 2.5236458, 2.5236460, 2.6025642", \
+					  "2.5370919, 2.5371956, 2.5371959, 2.5371961, 2.5371963, 2.5371966, 2.6214888");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7863686, 3.7863688, 3.7907504, 3.7907507, 3.7907509, 3.7907511, 3.7907514", \
+					  "3.8970338, 3.8970339, 3.9072665, 3.9072668, 3.9072670, 3.9072673, 3.9072675", \
+					  "3.9511470, 3.9511472, 3.9511475, 3.9511477, 3.9511480, 3.9511482, 3.9511484", \
+					  "4.0018291, 4.0021590, 4.0021594, 4.0021599, 4.0021604, 4.0021608, 4.0021613", \
+					  "4.0153241, 4.0153244, 4.0153249, 4.0153254, 4.0153258, 4.0153263, 4.0153268", \
+					  "4.0462345, 4.0462349, 4.0554724, 4.0554727, 4.0554731, 4.0554736, 4.0554741", \
+					  "4.0561452, 4.0561455, 4.0561460, 4.0561464, 4.0561469, 4.0561474, 4.0561479");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4694179, 3.4704968, 3.4704971, 3.4704973, 3.4704975, 3.4704978, 3.4704980", \
+					  "3.5657235, 3.5657236, 3.5657238, 3.5657241, 3.5657243, 3.5657245, 3.5657248", \
+					  "3.6364776, 3.6364779, 3.6364781, 3.6364784, 3.6364786, 3.6364788, 3.6370988", \
+					  "3.6808459, 3.6808461, 3.6808464, 3.6808466, 3.6808469, 3.6808471, 3.6808473", \
+					  "3.6920986, 3.6965977, 3.6965980, 3.6965982, 3.6965985, 3.6965987, 3.6965989", \
+					  "3.7294074, 3.7294075, 3.7294077, 3.7294080, 3.7294082, 3.7294084, 3.7294087", \
+					  "3.7841490, 3.7854444, 3.7854447, 3.7854449, 3.7854451, 3.7854454, 3.7854456");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0409000, 3.0702294, 3.2284606, 3.6963315, 4.8725179, 10.3141530, 40.2873930", \
+					  "3.1422078, 3.1722061, 3.3301759, 3.7976777, 4.9743023, 10.4170060, 40.3896370", \
+					  "3.2000276, 3.2295704, 3.3871490, 3.8557344, 5.0316444, 10.4732070, 40.4489600", \
+					  "3.2396055, 3.2689311, 3.4268437, 3.8949075, 5.0712541, 10.5074620, 40.4921000", \
+					  "3.2682992, 3.2976146, 3.4554553, 3.9239700, 5.1001194, 10.5410330, 40.5138540", \
+					  "3.2911183, 3.3207419, 3.4783807, 3.9467296, 5.1239404, 10.5648490, 40.5408470", \
+					  "3.3099312, 3.3390708, 3.4973802, 3.9654071, 5.1423470, 10.5824660, 40.5471210");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2357385, 1.2429510, 1.2812514, 1.3723793, 2.0432558, 9.8482893, 51.0156040", \
+					  "1.2350315, 1.2428970, 1.2811893, 1.3720375, 2.0430351, 9.8437294, 51.0121330", \
+					  "1.2353772, 1.2425366, 1.2816718, 1.3722063, 2.0446080, 9.8529558, 51.0144000", \
+					  "1.2354964, 1.2428114, 1.2812651, 1.3722725, 2.0406683, 9.8338901, 50.9828340", \
+					  "1.2345169, 1.2430398, 1.2812459, 1.3723844, 2.0393371, 9.8498285, 51.0109250", \
+					  "1.2357200, 1.2422644, 1.2816667, 1.3723719, 2.0429876, 9.8498978, 51.0225430", \
+					  "1.2360043, 1.2425558, 1.2812897, 1.3723216, 2.0386959, 9.8504849, 51.0003030");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.7943274, 2.7943277, 2.8020022, 2.8020024, 2.8020026, 2.8020028, 2.8472646", \
+					  "2.8963394, 2.9055502, 2.9055504, 2.9055506, 2.9055509, 2.9055511, 2.9494180", \
+					  "2.9645775, 2.9645776, 2.9645778, 2.9645781, 2.9645783, 2.9645786, 3.0147884", \
+					  "3.0030725, 3.0040046, 3.0040049, 3.0040051, 3.0040054, 3.0040056, 3.0576801", \
+					  "3.0246528, 3.0246529, 3.0246531, 3.0246534, 3.0246536, 3.0246538, 3.0842675", \
+					  "3.0460428, 3.0460431, 3.0462179, 3.0462181, 3.0462183, 3.0462186, 3.0985485", \
+					  "3.0646252, 3.0647560, 3.0647561, 3.0647563, 3.0647566, 3.0647568, 3.1207796");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1392813, 3.1694116, 3.3264580, 3.7948493, 4.9708428, 10.4078210, 40.3820300", \
+					  "3.2872118, 3.3169618, 3.4760679, 3.9430761, 5.1189604, 10.5614180, 40.5299010", \
+					  "3.4098189, 3.4389871, 3.5968921, 4.0646135, 5.2417914, 10.6779070, 40.6525980", \
+					  "3.5084222, 3.5382379, 3.6957712, 4.1624704, 5.3388765, 10.7778080, 40.7518610", \
+					  "3.5936851, 3.6228864, 3.7815107, 4.2493831, 5.4246860, 10.8641110, 40.8320060", \
+					  "3.6730343, 3.7016918, 3.8582315, 4.3294697, 5.5063780, 10.9380540, 40.9171080", \
+					  "3.7505488, 3.7802383, 3.9383576, 4.4061905, 5.5823355, 11.0224080, 40.9854440");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2354833, 1.2418579, 1.2814801, 1.3721083, 2.0430739, 9.8404298, 51.0164890", \
+					  "1.2354763, 1.2419972, 1.2814650, 1.3720065, 2.0431292, 9.8484678, 51.0164570", \
+					  "1.2354725, 1.2428875, 1.2816678, 1.3718642, 2.0400146, 9.8373736, 51.0161800", \
+					  "1.2354734, 1.2420072, 1.2814801, 1.3721101, 2.0430750, 9.8403019, 51.0165460", \
+					  "1.2354743, 1.2422181, 1.2814673, 1.3720344, 2.0430582, 9.8511279, 51.0036390", \
+					  "1.2354869, 1.2418444, 1.2814807, 1.3721084, 2.0430497, 9.8355697, 51.0166200", \
+					  "1.2345831, 1.2422783, 1.2811854, 1.3721250, 2.0444022, 9.8507531, 51.0027540");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5940158, 3.6083618, 3.6915251, 4.0603623, 5.5565170, 10.0131760, 22.1519050", \
+					  "3.7425552, 3.7570542, 3.8392707, 4.2078590, 5.7062786, 10.1634560, 22.2997320", \
+					  "3.8647539, 3.8791823, 3.9619312, 4.3312895, 5.8267484, 10.2841260, 22.4224510", \
+					  "3.9626302, 3.9779584, 4.0622745, 4.4295718, 5.9266130, 10.3811920, 22.5221650", \
+					  "4.0479455, 4.0630468, 4.1446278, 4.5138258, 6.0113834, 10.4675420, 22.6061250", \
+					  "4.1266941, 4.1442965, 4.2261856, 4.5923771, 6.0895995, 10.5476790, 22.6880630", \
+					  "4.2031725, 4.2178718, 4.3030011, 4.6716635, 6.1678649, 10.6249170, 22.7638160");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9041566, 0.9086957, 0.9368441, 1.0686731, 2.5424487, 5.2752727, 17.8819060", \
+					  "0.9040912, 0.9096117, 0.9368166, 1.0686859, 2.5423630, 5.2721472, 17.8817870", \
+					  "0.9041311, 0.9100161, 0.9368060, 1.0686515, 2.5443363, 5.2761226, 17.8724640", \
+					  "0.9042729, 0.9103200, 0.9368095, 1.0686042, 2.5386302, 5.2775393, 17.8761820", \
+					  "0.9041467, 0.9102005, 0.9364639, 1.0686546, 2.5386222, 5.2735420, 17.8817970", \
+					  "0.9043177, 0.9098138, 0.9364106, 1.0685666, 2.5441491, 5.2775002, 17.8821620", \
+					  "0.9041668, 0.9100323, 0.9383987, 1.0687368, 2.5433442, 5.2744649, 17.8807280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1141403, 3.1404497, 3.3084422, 4.3272146, 10.5149430, 40.8185520, 86.6833430", \
+					  "3.2617593, 3.2896796, 3.4560399, 4.4744994, 10.6644100, 40.9668000, 86.8310150", \
+					  "3.3838385, 3.4113647, 3.5786563, 4.5974460, 10.7857140, 41.0887640, 86.9525960", \
+					  "3.4840424, 3.5095713, 3.6783239, 4.6971969, 10.8828930, 41.1873060, 87.0509850", \
+					  "3.5659906, 3.5951866, 3.7615084, 4.7781983, 10.9682390, 41.2934040, 87.1362220", \
+					  "3.6477663, 3.6754699, 3.8440899, 4.8618070, 11.0486710, 41.3538860, 87.2126980", \
+					  "3.7245138, 3.7519867, 3.9167687, 4.9347451, 11.1246190, 41.4550880, 87.2916890");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8293568, 1.8743116, 2.1918092, 4.1452708, 16.3457530, 38.3296100, 62.6447300", \
+					  "1.8287430, 1.8742978, 2.1917952, 4.1444825, 16.3094870, 38.3294500, 62.6397140", \
+					  "1.8293539, 1.8743682, 2.1918221, 4.1452894, 16.3461330, 38.3292440, 62.6444390", \
+					  "1.8293607, 1.8743711, 2.1919835, 4.1452735, 16.3432810, 38.3299370, 62.6399910", \
+					  "1.8287603, 1.8742354, 2.1901954, 4.1424899, 16.3462360, 38.2345110, 62.6184970", \
+					  "1.8293106, 1.8743820, 2.1918007, 4.1452739, 16.3459630, 38.3293470, 62.6388470", \
+					  "1.8221907, 1.8742101, 2.1906545, 4.1449351, 16.3390970, 38.3241920, 62.5247690");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7033328, 3.7332524, 3.9093857, 4.9879110, 11.5511020, 44.3964900, 79.6575110", \
+					  "3.8489776, 3.8806798, 4.0582133, 5.1325784, 11.7007490, 44.5530440, 79.7995480", \
+					  "3.9729819, 4.0035677, 4.1807970, 5.2577174, 11.8381400, 44.6617960, 79.9270270", \
+					  "4.0704751, 4.1020614, 4.2784976, 5.3574181, 11.9353660, 44.7564550, 80.0171090", \
+					  "4.1576547, 4.1877102, 4.3630770, 5.4391300, 12.0166970, 44.8448890, 80.1117110", \
+					  "4.2366812, 4.2671955, 4.4428630, 5.5203664, 12.0915460, 44.9340970, 80.1896150", \
+					  "4.3136734, 4.3444959, 4.5196762, 5.5968137, 12.1832380, 45.0106410, 80.2682370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8738742, 1.9247945, 2.2542423, 4.3014059, 17.0869540, 38.7836390, 42.2344190", \
+					  "1.8740476, 1.9265092, 2.2550846, 4.2967798, 17.0876520, 38.7801120, 42.2368020", \
+					  "1.8740411, 1.9264770, 2.2541338, 4.3014290, 17.0778570, 38.7830390, 42.2571950", \
+					  "1.8740465, 1.9265494, 2.2540096, 4.3013815, 17.0768770, 38.7823430, 42.3432420", \
+					  "1.8740072, 1.9264819, 2.2540960, 4.3025565, 17.0863630, 38.8071260, 42.2314770", \
+					  "1.8739192, 1.9266977, 2.2554625, 4.3048809, 17.0495550, 38.7852990, 42.2387140", \
+					  "1.8740482, 1.9263178, 2.2551141, 4.2996535, 17.0835970, 38.7848190, 42.2368770");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3006252, 2.3006253, 2.3006255, 2.3006257, 2.3006260, 2.3006262, 2.3663611", \
+					  "2.4018283, 2.4018285, 2.4018287, 2.4018289, 2.4018292, 2.4018294, 2.4785640", \
+					  "2.4583349, 2.4583351, 2.4583353, 2.4583356, 2.4583358, 2.4583360, 2.5338178", \
+					  "2.4991527, 2.4991558, 2.4991559, 2.4991562, 2.4991564, 2.4991566, 2.5711966", \
+					  "2.5368792, 2.5368795, 2.5368797, 2.5368799, 2.5368802, 2.5368804, 2.5871412", \
+					  "2.5514448, 2.5514449, 2.5514452, 2.5514454, 2.5514457, 2.5514459, 2.6427960", \
+					  "2.5713751, 2.5731501, 2.5731503, 2.5731506, 2.5731508, 2.5731510, 2.6587503");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2784232, 2.2784235, 2.2784237, 2.2784240, 2.2784242, 2.2784244, 2.3881339", \
+					  "2.3747404, 2.3749180, 2.3749182, 2.3749184, 2.3749186, 2.3749189, 2.4702707", \
+					  "2.4312936, 2.4312937, 2.4312939, 2.4312941, 2.4312944, 2.4312946, 2.5092446", \
+					  "2.4699069, 2.4699070, 2.4699072, 2.4699075, 2.4699077, 2.4699079, 2.5474687", \
+					  "2.4907438, 2.4908824, 2.4908826, 2.4992199, 2.4992201, 2.4992203, 2.5713345", \
+					  "2.5211198, 2.5211200, 2.5211202, 2.5211205, 2.5211207, 2.5211210, 2.6362952", \
+					  "2.5372653, 2.5375888, 2.5375890, 2.5375892, 2.5375895, 2.5375897, 2.6273304");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.1119630, 2.1119632, 2.1119634, 2.1119637, 2.1119639, 2.1119642, 2.2448658", \
+					  "2.2055324, 2.2064299, 2.2064301, 2.2064304, 2.2064306, 2.2064308, 2.3265612", \
+					  "2.3160571, 2.3168742, 2.3168744, 2.3168747, 2.3168749, 2.3168752, 2.4535061", \
+					  "2.4108979, 2.4108981, 2.4108984, 2.4127362, 2.4127363, 2.4127366, 2.5565654", \
+					  "2.4940721, 2.4947135, 2.4947137, 2.4947140, 2.4947142, 2.4947144, 2.6418931", \
+					  "2.5736344, 2.5765459, 2.5765461, 2.5765464, 2.5765466, 2.5765468, 2.7111400", \
+					  "2.6508955, 2.6511044, 2.6511046, 2.6511049, 2.6511051, 2.6511053, 2.7840439");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5672827, 3.5824246, 3.6655425, 4.0399479, 5.5457394, 13.6279910, 46.7675290", \
+					  "3.7161672, 3.7323447, 3.8133705, 4.1879376, 5.6951150, 13.7768790, 46.9060770", \
+					  "3.8386778, 3.8529739, 3.9351353, 4.3086974, 5.8170575, 13.8989040, 47.0238390", \
+					  "3.9361652, 3.9519983, 4.0355776, 4.4079278, 5.9151470, 13.9973820, 47.1335220", \
+					  "4.0231773, 4.0345709, 4.1182178, 4.4937916, 6.0009944, 14.0831430, 47.2168760", \
+					  "4.0998516, 4.1169717, 4.1982937, 4.5711488, 6.0804775, 14.1613260, 47.2919770", \
+					  "4.1797996, 4.1938084, 4.2767176, 4.6465365, 6.1577863, 14.2396320, 47.3684200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9023521, 0.9073739, 0.9404272, 1.0787787, 2.6507374, 12.9217650, 40.3775610", \
+					  "0.9032983, 0.9070431, 0.9408295, 1.0797280, 2.6507641, 12.9223290, 40.3406340", \
+					  "0.9031903, 0.9146602, 0.9409332, 1.0788279, 2.6516376, 12.9223400, 40.3234890", \
+					  "0.9032956, 0.9144674, 0.9411071, 1.0785700, 2.6516160, 12.9221280, 40.3736070", \
+					  "0.9034321, 0.9131306, 0.9414685, 1.0787049, 2.6503026, 12.9224620, 40.3733620", \
+					  "0.9079500, 0.9070738, 0.9412621, 1.0788499, 2.6512281, 12.9212510, 40.3253930", \
+					  "0.9041418, 0.9151666, 0.9404963, 1.0797265, 2.6512132, 12.9212850, 40.3692370");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.1066948, 2.1066949, 2.1066951, 2.1066953, 2.1066956, 2.1066958, 2.2345772", \
+					  "2.2243016, 2.2258764, 2.2258765, 2.2258767, 2.2258770, 2.2258772, 2.3478577", \
+					  "2.3306863, 2.3328661, 2.3328664, 2.3328666, 2.3328668, 2.3328671, 2.4481883", \
+					  "2.4185833, 2.4185836, 2.4185838, 2.4185840, 2.4185843, 2.4185845, 2.5471709", \
+					  "2.5021003, 2.5029856, 2.5029859, 2.5029861, 2.5029864, 2.5029866, 2.6310363", \
+					  "2.5829727, 2.5855507, 2.5902607, 2.5902609, 2.5902612, 2.5902614, 2.7059905", \
+					  "2.6732669, 2.6732671, 2.6732673, 2.6732675, 2.6732678, 2.6732680, 2.7862581");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948129, 9.2878922, 10.4728540, 17.8064190, 62.9908640, 341.6108400, 2059.0805000", \
+					  "9.2434700, 9.4354551, 10.6203430, 17.9553510, 63.1008280, 341.7608000, 2059.2295000", \
+					  "9.3619086, 9.5583435, 10.7423330, 18.0791610, 63.2342250, 342.1290000, 2059.3682000", \
+					  "9.4648183, 9.6560218, 10.8405250, 18.1738060, 63.3821740, 341.9830000, 2059.4530000", \
+					  "9.5480504, 9.7406234, 10.9286430, 18.2614790, 63.4170900, 342.0738300, 2059.5455000", \
+					  "9.6289385, 9.8226351, 11.0073570, 18.3401420, 63.5209500, 342.1468500, 2059.6121000", \
+					  "9.7029593, 9.8979429, 11.0827080, 18.4188770, 63.5923950, 342.1539500, 2060.0664000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4996720, 13.8876550, 16.2574660, 30.8192760, 121.2766700, 678.3420200, 4112.8319000", \
+					  "13.4996050, 13.8876020, 16.2550490, 30.8191160, 120.9564000, 678.3484400, 4112.8319000", \
+					  "13.5032680, 13.8889990, 16.2541890, 30.8157450, 120.9579100, 678.6973500, 4112.7701000", \
+					  "13.4995740, 13.8877270, 16.2577330, 30.8175880, 121.1436600, 678.3522500, 4112.8314000", \
+					  "13.5106750, 13.8888800, 16.2562340, 30.8155420, 121.4961000, 678.3884000, 4112.7910000", \
+					  "13.4993480, 13.8869220, 16.2556690, 30.8215750, 121.2880000, 678.3413400, 4112.8283000", \
+					  "13.4884150, 13.8895400, 16.2572070, 30.8455660, 121.0844300, 678.3007500, 4114.4272000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.5997885, 9.7938804, 10.9918550, 18.3230800, 63.4782040, 342.3106400, 2058.7361000", \
+					  "9.7478630, 9.9502655, 11.1415920, 18.4706440, 63.6203840, 342.3625700, 2058.6781000", \
+					  "9.8707273, 10.0641760, 11.2629940, 18.5929480, 63.7432650, 342.4857200, 2061.8104000", \
+					  "9.9669242, 10.1648350, 11.3678040, 18.6938710, 63.8458180, 342.7240900, 2059.1128000", \
+					  "10.0662960, 10.2640890, 11.4411900, 18.8063700, 63.9427990, 342.3688500, 2059.9646000", \
+					  "10.1295000, 10.3290570, 11.5271960, 18.8603760, 64.0284840, 342.8910100, 2059.4703000", \
+					  "10.2061960, 10.4031480, 11.6044440, 18.9319830, 64.0835580, 343.0007200, 2060.0503000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2013560, 13.6044660, 15.9660730, 30.5234200, 120.6072300, 677.7347600, 4109.1625000", \
+					  "13.1991940, 13.6054460, 15.9645880, 30.5232500, 120.6336300, 677.7164000, 4107.1708000", \
+					  "13.1991300, 13.6062110, 15.9603100, 30.5233350, 120.6329300, 677.7181100, 4110.0875000", \
+					  "13.1914010, 13.6060350, 15.9547260, 30.5247810, 120.6054200, 677.7127100, 4111.7783000", \
+					  "13.2064950, 13.5983230, 15.9728210, 30.5359860, 121.0450600, 677.6647900, 4109.9802000", \
+					  "13.1931830, 13.6040200, 15.9666570, 30.5252180, 120.7022100, 677.7084400, 4112.6982000", \
+					  "13.1991240, 13.6041680, 15.9657090, 30.5223330, 120.6348500, 677.6636500, 4110.7041000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0468676, 3.0762208, 3.2322180, 3.6968748, 4.8730282, 10.3143340, 40.2966370", \
+					  "3.1488689, 3.1776581, 3.3335177, 3.7988954, 4.9742703, 10.4208600, 40.4090840", \
+					  "3.2061493, 3.2354268, 3.3916638, 3.8560026, 5.0320059, 10.4779830, 40.4654300", \
+					  "3.2454801, 3.2746597, 3.4307246, 3.8955026, 5.0715512, 10.5176160, 40.4837370", \
+					  "3.2743637, 3.3037970, 3.4594929, 3.9245198, 5.1003965, 10.5461600, 40.5343350", \
+					  "3.2974796, 3.3264724, 3.4819918, 3.9475920, 5.1234816, 10.5688570, 40.5442510", \
+					  "3.3159311, 3.3459475, 3.5016805, 3.9660031, 5.1421032, 10.5891110, 40.5598290");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2298378, 1.2374917, 1.2761904, 1.3667867, 2.0430211, 9.8464001, 51.0112730", \
+					  "1.2307337, 1.2381293, 1.2764021, 1.3668694, 2.0439153, 9.8634283, 51.0302310", \
+					  "1.2305276, 1.2369741, 1.2764199, 1.3666306, 2.0405544, 9.8559045, 51.0200700", \
+					  "1.2305708, 1.2373892, 1.2764145, 1.3667730, 2.0426243, 9.8564810, 51.0208620", \
+					  "1.2295133, 1.2380064, 1.2759804, 1.3666435, 2.0434039, 9.8571391, 51.0306140", \
+					  "1.2305744, 1.2372667, 1.2765010, 1.3667399, 2.0391548, 9.8614494, 50.9909950", \
+					  "1.2305417, 1.2377860, 1.2766400, 1.3668765, 2.0443651, 9.8515622, 50.9837080");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.7205231, 9.9319601, 11.1630420, 18.6596390, 64.0893560, 342.5188000, 2059.8332000", \
+					  "9.8788648, 10.0860010, 11.2968950, 18.7746580, 64.2380300, 343.1123600, 2059.0990000", \
+					  "10.0008770, 10.2078980, 11.4225340, 18.9010210, 64.3354240, 342.9736900, 2060.3325000", \
+					  "10.1068660, 10.3066040, 11.5163680, 19.0206530, 64.4370030, 343.3085600, 2060.8338000", \
+					  "10.1889570, 10.3956760, 11.6022670, 19.0966480, 64.5452050, 343.4665500, 2061.0444000", \
+					  "10.2727200, 10.4694190, 11.6915180, 19.1862540, 64.6089260, 343.4126900, 2059.5069000", \
+					  "10.3347660, 10.5442770, 11.7718320, 19.2341840, 64.7030450, 343.6348900, 2061.2022000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2010830, 13.5924330, 15.9663910, 30.5309520, 120.9873500, 677.3798900, 4110.4299000", \
+					  "13.2188120, 13.6061400, 15.9555660, 30.4899430, 120.9880200, 677.7428300, 4113.2230000", \
+					  "13.2216660, 13.6044190, 15.9608610, 30.4945940, 121.0140500, 677.6327800, 4109.4554000", \
+					  "13.2211880, 13.6035800, 15.9677890, 30.5571640, 121.0123900, 677.7667600, 4111.2912000", \
+					  "13.2228910, 13.6022360, 15.9577100, 30.5078270, 120.9628000, 677.7123600, 4109.9893000", \
+					  "13.2208930, 13.6024190, 15.9651030, 30.5552630, 120.8542400, 677.6339200, 4108.6814000", \
+					  "13.2069050, 13.6055920, 15.9650050, 30.4940040, 120.9683900, 677.5464400, 4113.5168000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1133847, 3.1404297, 3.3085590, 4.3272452, 10.5150790, 40.8199520, 86.6819720", \
+					  "3.2601524, 3.2883005, 3.4569070, 4.4756141, 10.6632240, 40.9667360, 86.8313780", \
+					  "3.3835861, 3.4113357, 3.5791423, 4.5973705, 10.7859850, 41.0892720, 86.9527380", \
+					  "3.4795986, 3.5080785, 3.6774630, 4.6959032, 10.8851660, 41.1870150, 87.0515180", \
+					  "3.5678944, 3.5935643, 3.7633021, 4.7820858, 10.9644320, 41.2699140, 87.1321010", \
+					  "3.6480787, 3.6750185, 3.8448094, 4.8619846, 11.0517830, 41.3586710, 87.2160090", \
+					  "3.7245074, 3.7519676, 3.9159511, 4.9386069, 11.1210070, 41.4007180, 87.2899050");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8292020, 1.8741326, 2.1916078, 4.1451869, 16.3460110, 38.3299830, 62.6385200", \
+					  "1.8291904, 1.8741431, 2.1898072, 4.1452006, 16.3460960, 38.3295850, 62.6215030", \
+					  "1.8291881, 1.8741950, 2.1916535, 4.1444483, 16.3461030, 38.3293250, 62.6410780", \
+					  "1.8291975, 1.8741417, 2.1916198, 4.1452082, 16.3457960, 38.3293330, 62.6397560", \
+					  "1.8291686, 1.8798789, 2.1922548, 4.1449209, 16.3429540, 38.3297230, 62.5219630", \
+					  "1.8291926, 1.8742163, 2.1916093, 4.1451886, 16.3460320, 38.3302810, 62.6397640", \
+					  "1.8219776, 1.8740380, 2.1918434, 4.1444985, 16.3441530, 38.1652260, 62.4554910");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6074286, 9.8020347, 10.9999490, 18.3209680, 63.5185770, 342.3824600, 2058.8507000", \
+					  "9.7550226, 9.9512221, 11.1477880, 18.4684550, 63.6696670, 342.4866600, 2059.7797000", \
+					  "9.8778301, 10.0727680, 11.2689510, 18.5915390, 63.7901680, 342.6548300, 2060.8504000", \
+					  "9.9769775, 10.1704600, 11.3700210, 18.6894250, 63.8868940, 342.7219600, 2060.3234000", \
+					  "10.0627500, 10.2556600, 11.4294720, 18.7864750, 63.9484410, 342.8342000, 2059.5257000", \
+					  "10.1419280, 10.3368500, 11.5352010, 18.8674320, 64.0287040, 342.8658900, 2060.6572000", \
+					  "10.2134100, 10.4129900, 11.6093950, 18.9325570, 64.1308380, 342.9529400, 2059.9018000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2194220, 13.5979160, 15.9547260, 30.5535650, 120.9849400, 677.3425400, 4111.1512000", \
+					  "13.2173050, 13.5977580, 15.9543680, 30.5618710, 120.9825800, 677.7746600, 4110.3017000", \
+					  "13.2048740, 13.5881950, 15.9556880, 30.5622230, 120.9365900, 677.3067300, 4109.4936000", \
+					  "13.2112380, 13.5919730, 15.9556460, 30.5604250, 120.9816000, 677.6766700, 4109.3399000", \
+					  "13.2048840, 13.5991340, 15.9507940, 30.5610260, 120.7777400, 677.2941700, 4111.1439000", \
+					  "13.2157300, 13.5990690, 15.9535830, 30.5606350, 120.7564500, 677.7756900, 4108.7901000", \
+					  "13.2130710, 13.5978770, 15.9545620, 30.5605820, 120.9837000, 677.7617000, 4113.1298000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948813, 9.2879064, 10.4730650, 17.8063840, 63.0013940, 341.6124300, 2059.0780000", \
+					  "9.2424770, 9.4364481, 10.6238680, 17.9548450, 63.1458900, 341.7585200, 2059.2267000", \
+					  "9.3651256, 9.5581507, 10.7444840, 18.0769780, 63.2159570, 341.7683600, 2060.0473000", \
+					  "9.4627053, 9.6583692, 10.8427330, 18.1759190, 63.3873610, 341.9355600, 2059.4496000", \
+					  "9.5463966, 9.7419397, 10.9264510, 18.2589640, 63.4032630, 341.9757800, 2059.5407000", \
+					  "9.6301020, 9.8218615, 11.0078640, 18.3400350, 63.5318860, 342.1456000, 2059.6162000", \
+					  "9.7015951, 9.8995301, 11.0821010, 18.4175910, 63.6621140, 342.5232400, 2059.5155000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4998390, 13.8879670, 16.2558070, 30.8191230, 121.2223400, 678.3506600, 4112.8301000", \
+					  "13.4996320, 13.8817080, 16.2485260, 30.8158580, 121.2447700, 678.3413900, 4112.8301000", \
+					  "13.5013720, 13.8825960, 16.2377630, 30.8174000, 121.4780000, 678.1725100, 4115.4258000", \
+					  "13.4998590, 13.8893260, 16.2582230, 30.8185650, 121.0950000, 678.2190700, 4112.8319000", \
+					  "13.5015650, 13.8825560, 16.2574200, 30.8126540, 121.4491600, 678.2375400, 4112.8096000", \
+					  "13.4996970, 13.8870710, 16.2558060, 30.8190380, 121.2558200, 678.3440700, 4112.8319000", \
+					  "13.4918090, 13.8805360, 16.2353170, 30.8106460, 121.4089500, 678.7261700, 4112.7105000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7024315, 3.7332861, 3.9092289, 4.9852096, 11.5659340, 44.4043240, 79.6558340", \
+					  "3.8534842, 3.8820018, 4.0579784, 5.1357594, 11.7008730, 44.5453490, 79.8055030", \
+					  "3.9739736, 4.0037635, 4.1803281, 5.2583604, 11.8373860, 44.6584280, 79.9301180", \
+					  "4.0728136, 4.1019508, 4.2795461, 5.3577236, 11.9315930, 44.7647680, 80.0288830", \
+					  "4.1561066, 4.1885331, 4.3622750, 5.4364460, 12.0200720, 44.8467330, 80.1110290", \
+					  "4.2383292, 4.2652277, 4.4448369, 5.5172515, 12.0962090, 44.9253970, 80.1884430", \
+					  "4.3154396, 4.3447459, 4.5209405, 5.5989058, 12.1776380, 45.0151630, 80.2654090");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8736925, 1.9263111, 2.2547624, 4.2988080, 17.0764310, 38.7801290, 42.2702260", \
+					  "1.8717488, 1.9252338, 2.2538817, 4.3015964, 17.0864820, 38.7772520, 42.2640160", \
+					  "1.8737694, 1.9262936, 2.2537217, 4.3014858, 17.0772210, 38.7835150, 42.2746250", \
+					  "1.8708508, 1.9266717, 2.2528823, 4.3015675, 17.0723810, 38.7826340, 42.3558860", \
+					  "1.8738238, 1.9262076, 2.2553273, 4.3028177, 17.0766650, 38.8097270, 42.2461460", \
+					  "1.8737736, 1.9246365, 2.2519884, 4.3005701, 17.0680860, 38.7815010, 42.2184700", \
+					  "1.8738087, 1.9259081, 2.2544160, 4.3014365, 17.0774330, 38.7798910, 42.2157490");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1392970, 3.1687521, 3.3266243, 3.7947068, 4.9716706, 10.4074370, 40.3820510", \
+					  "3.2883609, 3.3162895, 3.4764036, 3.9438803, 5.1200466, 10.5619190, 40.5232720", \
+					  "3.4101615, 3.4391809, 3.5976544, 4.0659542, 5.2418074, 10.6765390, 40.6520330", \
+					  "3.5072698, 3.5378984, 3.6957633, 4.1623354, 5.3388205, 10.7765360, 40.7497290", \
+					  "3.5927838, 3.6221772, 3.7810599, 4.2480974, 5.4244906, 10.8635700, 40.8243890", \
+					  "3.6750201, 3.7042236, 3.8601096, 4.3271422, 5.5040057, 10.9401320, 40.9141800", \
+					  "3.7486356, 3.7788501, 3.9389421, 4.4064379, 5.5832841, 11.0164190, 41.0006500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2354038, 1.2418923, 1.2814122, 1.3720749, 2.0431645, 9.8355448, 51.0164710", \
+					  "1.2347620, 1.2417556, 1.2814394, 1.3717781, 2.0433006, 9.8484518, 50.9717000", \
+					  "1.2347384, 1.2417921, 1.2812787, 1.3721626, 2.0431825, 9.8397246, 51.0158390", \
+					  "1.2354098, 1.2419121, 1.2814083, 1.3720715, 2.0430966, 9.8371427, 51.0164780", \
+					  "1.2345456, 1.2421087, 1.2814075, 1.3719487, 2.0433137, 9.8510844, 50.9977270", \
+					  "1.2353988, 1.2417845, 1.2814102, 1.3720750, 2.0431314, 9.8373407, 51.0161340", \
+					  "1.2356454, 1.2420651, 1.2814017, 1.3722341, 2.0396633, 9.8506661, 50.9589600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0947951, 9.2878434, 10.4729160, 17.8062680, 63.0289420, 341.6127200, 2059.0801000", \
+					  "9.2450891, 9.4360031, 10.6210580, 17.9540400, 63.1371080, 341.7587500, 2059.2254000", \
+					  "9.3656434, 9.5583594, 10.7423630, 18.0798260, 63.2561030, 341.7677600, 2060.3502000", \
+					  "9.4640695, 9.6550865, 10.8425740, 18.1757470, 63.3627270, 341.9816000, 2059.4482000", \
+					  "9.5479171, 9.7429975, 10.9284600, 18.2589890, 63.4774440, 342.2784700, 2060.0464000", \
+					  "9.6282591, 9.8187964, 11.0041650, 18.3402410, 63.5242120, 342.1436400, 2059.6101000", \
+					  "9.7059711, 9.8998374, 11.0867490, 18.4170710, 63.5472620, 342.5291800, 2059.7843000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4996860, 13.8876530, 16.2576490, 30.8179010, 120.9705400, 678.3501500, 4112.8319000", \
+					  "13.5095930, 13.8876500, 16.2572020, 30.8222110, 121.2845900, 678.3398600, 4112.8287000", \
+					  "13.5067270, 13.8802300, 16.2551890, 30.8076840, 121.0842800, 678.1689300, 4113.4840000", \
+					  "13.5012610, 13.8877740, 16.2568610, 30.8188710, 121.2685800, 678.3473100, 4112.8314000", \
+					  "13.5106360, 13.8892160, 16.2562480, 30.8136410, 121.0358200, 678.2636300, 4111.7928000", \
+					  "13.4996620, 13.8877500, 16.2554270, 30.8223170, 121.2682900, 678.3461700, 4112.8296000", \
+					  "13.5044490, 13.8901100, 16.2388080, 30.8238840, 120.9670900, 678.8612800, 4112.6941000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6213597, 9.7947250, 10.9992460, 18.3235330, 63.4760510, 342.2212800, 2058.2588000", \
+					  "9.7475015, 9.9415676, 11.1399430, 18.4726670, 63.6256770, 342.5506300, 2061.0198000", \
+					  "9.8689661, 10.0644790, 11.2622770, 18.5939960, 63.7397410, 342.4906400, 2059.2422000", \
+					  "9.9686295, 10.1650930, 11.3620670, 18.6924010, 63.8452060, 342.6077900, 2059.7654000", \
+					  "10.0722430, 10.2490470, 11.4503760, 18.8044900, 63.9409590, 342.3297100, 2060.5012000", \
+					  "10.1359800, 10.3286140, 11.5206450, 18.8583620, 64.0107200, 342.6993400, 2058.9246000", \
+					  "10.2105150, 10.4054410, 11.6036300, 18.9287910, 64.0812560, 343.0045900, 2060.1653000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2144160, 13.6045960, 15.9538320, 30.5242160, 120.6166900, 677.7180500, 4112.6350000", \
+					  "13.1977390, 13.6045900, 15.9577860, 30.5240630, 120.6154800, 677.6482500, 4112.1943000", \
+					  "13.1964630, 13.6047080, 15.9571590, 30.5242020, 120.6455400, 677.7159500, 4106.8606000", \
+					  "13.1982190, 13.6062690, 15.9607920, 30.5255910, 120.6171200, 677.7162300, 4111.6346000", \
+					  "13.2146390, 13.6047260, 15.9577120, 30.5368850, 121.0372900, 677.6108400, 4107.3677000", \
+					  "13.2231000, 13.6044930, 15.9735190, 30.5236230, 120.6083900, 677.7253800, 4109.5986000", \
+					  "13.1983830, 13.6044820, 15.9652420, 30.5237650, 120.6445100, 677.6608600, 4112.0015000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0619747, 3.0896503, 3.2604537, 4.2927133, 10.5271430, 40.7787760, 86.6139090", \
+					  "3.1637699, 3.1910508, 3.3619723, 4.3946087, 10.6274340, 40.9149660, 86.7145150", \
+					  "3.2211125, 3.2487726, 3.4192587, 4.4518429, 10.6855380, 40.9718480, 86.7714720", \
+					  "3.2604406, 3.2882881, 3.4588643, 4.4912731, 10.7287830, 40.9738980, 86.8090670", \
+					  "3.2891496, 3.3169791, 3.4875443, 4.5201101, 10.7575940, 41.0051090, 86.8392010", \
+					  "3.3125147, 3.3401311, 3.5110626, 4.5436215, 10.7807820, 41.0338470, 86.8642900", \
+					  "3.3310315, 3.3596559, 3.5292376, 4.5617918, 10.7948190, 41.0758570, 86.8927190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8232746, 1.8713022, 2.1859667, 4.1566550, 16.3078210, 38.0592800, 62.4739730", \
+					  "1.8232723, 1.8713655, 2.1862294, 4.1547192, 16.3440460, 38.0908570, 62.4347720", \
+					  "1.8232329, 1.8713906, 2.1865503, 4.1576320, 16.3458580, 38.0720310, 62.4759340", \
+					  "1.8206561, 1.8743118, 2.1856579, 4.1574570, 16.3409430, 38.0738780, 62.4167380", \
+					  "1.8207568, 1.8713664, 2.1862716, 4.1479580, 16.3368150, 38.0466110, 62.4417000", \
+					  "1.8240747, 1.8712301, 2.1860009, 4.1578336, 16.3213980, 38.0334630, 62.4662630", \
+					  "1.8235534, 1.8712913, 2.1865163, 4.1578843, 16.3430990, 38.0718210, 62.4392480");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4528524, 3.4813903, 3.6653351, 4.7681836, 11.3725860, 44.1356390, 79.3408650", \
+					  "3.6030168, 3.6320127, 3.8133456, 4.9142139, 11.5102200, 44.2760640, 79.4901710", \
+					  "3.7213721, 3.7522363, 3.9337387, 5.0350009, 11.6238810, 44.4083060, 79.6111620", \
+					  "3.8240877, 3.8514258, 4.0362451, 5.1321041, 11.7240530, 44.4998780, 79.7126060", \
+					  "3.9055781, 3.9337422, 4.1201598, 5.2215334, 11.8282100, 44.5902660, 79.7962940", \
+					  "3.9889199, 4.0191832, 4.1989274, 5.2970015, 11.9087650, 44.6612310, 79.8754840", \
+					  "4.0645936, 4.0958752, 4.2781476, 5.3741260, 11.9894620, 44.7469900, 79.9518460");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8683852, 1.9218656, 2.2527049, 4.3044266, 17.0860730, 38.6645880, 42.2232200", \
+					  "1.8704083, 1.9236903, 2.2510662, 4.3022079, 17.0698890, 38.6616850, 42.2063810", \
+					  "1.8704609, 1.9232227, 2.2484981, 4.3032768, 17.0836180, 38.6594690, 42.2477910", \
+					  "1.8701969, 1.9232176, 2.2508466, 4.3032267, 17.0838990, 38.6856240, 42.2159160", \
+					  "1.8690269, 1.9219908, 2.2489242, 4.3043276, 17.0853930, 38.6624240, 42.2423840", \
+					  "1.8691177, 1.9227249, 2.2523603, 4.3031512, 17.0684910, 38.6651530, 42.3248810", \
+					  "1.8705961, 1.9233266, 2.2514861, 4.3029535, 17.0859860, 38.6962390, 42.2550170");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3204440, 9.5183212, 10.7221530, 18.1529010, 63.7299780, 342.7524900, 2060.8677000", \
+					  "9.4230996, 9.6196713, 10.8297930, 18.2646950, 63.8460310, 342.9693700, 2061.4364000", \
+					  "9.4804902, 9.6768478, 10.8864590, 18.3209930, 63.8989590, 342.9167100, 2060.4575000", \
+					  "9.5209485, 9.7173567, 10.9259060, 18.3622170, 63.9052690, 342.7962300, 2060.5180000", \
+					  "9.5465991, 9.7455892, 10.9521800, 18.3888620, 63.9294130, 343.1818500, 2060.7072000", \
+					  "9.5692183, 9.7687751, 10.9744430, 18.4071820, 63.9888640, 342.9366300, 2060.4341000", \
+					  "9.5918748, 9.7853006, 10.9943170, 18.4263040, 64.0137050, 342.7687400, 2060.0412000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4978240, 13.8912540, 16.2585450, 30.7804130, 121.4767600, 678.1763800, 4113.5513000", \
+					  "13.4956210, 13.8913780, 16.2518760, 30.8087640, 121.4346500, 678.7332200, 4117.9978000", \
+					  "13.5062600, 13.8897330, 16.2361720, 30.8469730, 121.4306500, 678.1922400, 4111.4986000", \
+					  "13.5083290, 13.8793110, 16.2538160, 30.8109480, 121.2619800, 678.1137900, 4112.0302000", \
+					  "13.4889960, 13.8910130, 16.2420030, 30.8163700, 121.2546200, 678.8620800, 4113.6186000", \
+					  "13.4826090, 13.8776780, 16.2576480, 30.8187890, 121.4682500, 678.4530300, 4112.4554000", \
+					  "13.5025480, 13.8636860, 16.2431930, 30.8477510, 121.4368100, 677.5025600, 4110.1016000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3833321, 3.4121139, 3.5869760, 4.6646895, 11.2478360, 44.0622580, 79.3205860", \
+					  "3.5299379, 3.5606729, 3.7383319, 4.8168798, 11.3842600, 44.2065090, 79.4708650", \
+					  "3.6521799, 3.6809074, 3.8586698, 4.9384603, 11.5192630, 44.3234390, 79.5920980", \
+					  "3.7510555, 3.7797236, 3.9564236, 5.0326823, 11.6031180, 44.4355810, 79.6959090", \
+					  "3.8332884, 3.8626342, 4.0432013, 5.1218385, 11.6879320, 44.5114840, 79.7755960", \
+					  "3.9181929, 3.9472603, 4.1240802, 5.1993512, 11.7613260, 44.5880670, 79.8555040", \
+					  "3.9929899, 4.0227661, 4.1991921, 5.2764180, 11.8571880, 44.6821820, 79.9365050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8720119, 1.9244026, 2.2550555, 4.3035406, 17.0844760, 38.8027100, 42.2850840", \
+					  "1.8724138, 1.9261999, 2.2506781, 4.3035664, 17.0515760, 38.7719400, 42.2927040", \
+					  "1.8735966, 1.9267532, 2.2526478, 4.3051007, 17.0822910, 38.7822500, 42.2292420", \
+					  "1.8737694, 1.9260913, 2.2551896, 4.2979171, 17.0550740, 38.7826340, 42.2284290", \
+					  "1.8721897, 1.9268642, 2.2501132, 4.3037964, 17.0474190, 38.7723450, 42.2864870", \
+					  "1.8729529, 1.9269804, 2.2538731, 4.3050661, 17.0681480, 38.7828510, 42.3239680", \
+					  "1.8737849, 1.9257065, 2.2542386, 4.3014334, 17.0784450, 38.7765550, 42.2167720");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8540525, -3.9169035, -3.9797545, -3.9806407, -3.9814914, -3.9823776, -3.9832638");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9666343, 4.0300597, 4.0934851, 4.0914259, 4.0894490, 4.0873898, 4.0853306");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.149251;
+			capacitance : 0.148539;
+			fall_capacitance : 0.147827;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1582647, -0.1580962, -0.1579278, -0.1569414, -0.1559944, -0.1550080, -0.1540216");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1566545, 0.1570026, 0.1573506, 0.1563425, 0.1553746, 0.1543664, 0.1533583");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.142339;
+			capacitance : 0.141664;
+			fall_capacitance : 0.140989;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1592391, -0.1586047, -0.1579704, -0.1576334, -0.1573098, -0.1569728, -0.1566358");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1574531, 0.1575279, 0.1576028, 0.1564022, 0.1552495, 0.1540489, 0.1528482");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "tt_tt_1p80v_x_3p30v_025C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_100C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_100C_1v95_5v50.lib
new file mode 100644
index 0000000..c794811
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_100C_1v95_5v50.lib
@@ -0,0 +1,4752 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ff_100C_1v95_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.986820e+03;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1984.4800000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "685.6870000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1825.5600000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1978.3400000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1986.8200000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1817.0800000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1821.2600000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1823.2200000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1982.5100000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1805.2400000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1958.1600000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1816.3200000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1816.4500000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1812.5900000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1804.6000000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1973.8500000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1977.7100000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1977.5800000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006905;
+			capacitance : 0.006776;
+			fall_capacitance : 0.006648;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3544162, 0.2854593, 0.2586238, 0.2442987, 0.2384207, 0.2363045, 0.2387641", \
+					  "0.4035896, 0.3397306, 0.3095143, 0.2991401, 0.2959092, 0.2979569, 0.2993903", \
+					  "0.3999496, 0.3216500, 0.3158738, 0.3007289, 0.2917365, 0.2787635, 0.2931124", \
+					  "0.3791312, 0.2963632, 0.2670267, 0.2632066, 0.2676919, 0.2644888, 0.2527765", \
+					  "0.3374250, 0.2737530, 0.2211375, 0.2281022, 0.2130690, 0.2081434, 0.2103606", \
+					  "0.3134259, 0.2340454, 0.1703557, 0.1940029, 0.1928678, 0.1872775, 0.1737277", \
+					  "0.2649045, 0.1940241, 0.1356870, 0.1505467, 0.1408741, 0.1421937, 0.1522827");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1972970, 0.0867916, 0.0114313, -0.0498097, -0.1020991, -0.1479605, -0.1928617", \
+					  "0.2597356, 0.1536752, 0.0710379, 0.0160878, -0.0388826, -0.0919247, -0.1381937", \
+					  "0.2427616, 0.1366490, 0.0662726, -0.0004490, -0.0367949, -0.1039938, -0.1536372", \
+					  "0.2265892, 0.1017658, 0.0529096, -0.0200360, -0.0822198, -0.1204716, -0.1790473", \
+					  "0.1678833, 0.0716522, 0.0305642, -0.0558443, -0.1269821, -0.1361326, -0.2339033", \
+					  "0.1320753, 0.0071639, -0.0140877, -0.0962605, -0.1274450, -0.1718272, -0.2549664", \
+					  "0.0738976, -0.0077881, -0.0610831, -0.1226543, -0.2019070, -0.2139485, -0.2768490");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1001743, -0.0309116, -0.0059053, 0.0038422, 0.0066684, 0.0011551, 0.0093768", \
+					  "-0.1564603, -0.0877434, -0.0562869, -0.0505348, -0.0353185, -0.0389874, -0.0374379", \
+					  "-0.1351075, -0.0872391, -0.0700307, -0.0392763, -0.0459951, -0.0374711, -0.0520315", \
+					  "-0.1233623, -0.0509248, -0.0406687, -0.0243639, -0.0421940, -0.0157001, -0.0032607", \
+					  "-0.0696945, -0.0207160, -0.0009180, 0.0131096, -0.0031126, -0.0081009, 0.0285418", \
+					  "-0.0469757, 0.0376687, 0.0422258, 0.0515181, 0.0157400, 0.0125104, 0.0234323", \
+					  "-0.0030320, 0.0983971, 0.0876954, 0.1049853, 0.0619964, 0.0401773, 0.0485485");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1765133, -0.0660068, 0.0032499, 0.0662468, 0.1217556, 0.1650531, 0.2027351", \
+					  "-0.2373769, -0.1360762, -0.0543083, -0.0056749, 0.0553318, 0.1059400, 0.1620185", \
+					  "-0.2314929, -0.1280459, -0.0531102, 0.0139499, 0.0696762, 0.1166854, 0.1670533", \
+					  "-0.1858218, -0.0947834, -0.0439549, 0.0422611, 0.0967974, 0.1488857, 0.1984301", \
+					  "-0.1468222, -0.0569451, -0.0143248, 0.0779923, 0.1368991, 0.1877991, 0.2410947", \
+					  "-0.1010479, -0.0122933, 0.0303270, 0.1125767, 0.1717090, 0.1830667, 0.2666433", \
+					  "-0.0520182, 0.0408057, 0.0834260, 0.1361753, 0.2177412, 0.2616112, 0.2999088");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0444465, 0.0448841, 0.0453217, 0.0477679, 0.0501163, 0.0525625, 0.0550087");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559635, 0.0570456, 0.0581278, 0.0609150, 0.0635907, 0.0663779, 0.0691651");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005135;
+			capacitance : 0.005008;
+			fall_capacitance : 0.004881;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0757812, -0.0034458, 0.0223568, 0.0381159, 0.0314891, 0.0433119, 0.0515447", \
+					  "-0.1354154, -0.0655857, -0.0387939, -0.0103247, -0.0069030, 0.0003964, 0.0019098", \
+					  "-0.1232022, -0.0660907, -0.0275653, -0.0111582, 0.0020101, 0.0534840, 0.0139815", \
+					  "-0.0925100, -0.0278501, -0.0240454, 0.0192560, 0.0268020, 0.0334470, 0.0249154", \
+					  "-0.0552722, 0.0034842, 0.0326182, 0.0669421, 0.0312896, 0.0109256, 0.0649935", \
+					  "-0.0117053, 0.0481360, 0.0773794, 0.1064364, 0.0757348, 0.0948019, 0.0296667", \
+					  "0.0366198, 0.0966573, 0.1173118, 0.1442538, 0.1209227, 0.0906322, 0.0863483");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1627456, -0.0537998, 0.0314863, 0.0879067, 0.1485967, 0.2012928, 0.2503641", \
+					  "-0.2175056, -0.1150958, -0.0367552, 0.0395637, 0.0906747, 0.1503170, 0.2025592", \
+					  "-0.2005132, -0.1043935, -0.0238858, 0.0321517, 0.0996145, 0.1518895, 0.2020274", \
+					  "-0.1889853, -0.0680792, -0.0038976, 0.0662647, 0.1269331, 0.1861092, 0.2368408", \
+					  "-0.1288707, -0.0409221, 0.0002956, 0.0997378, 0.1504147, 0.2197147, 0.2636588", \
+					  "-0.1110728, 0.0189885, 0.0437320, 0.1394638, 0.2054875, 0.2024193, 0.3156806", \
+					  "-0.0656033, 0.0385181, 0.0898013, 0.1857730, 0.2432494, 0.2882394, 0.3352865");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3574914, 0.2854577, 0.2510236, 0.2259883, 0.2170586, 0.2134165, 0.2143502", \
+					  "0.4036923, 0.3385496, 0.3067757, 0.2810371, 0.2803813, 0.2784559, 0.2739402", \
+					  "0.4014281, 0.3217049, 0.3081466, 0.2885219, 0.2700780, 0.2627443, 0.2504042", \
+					  "0.3822062, 0.2962306, 0.2704661, 0.2493267, 0.2493813, 0.2351110, 0.2222589", \
+					  "0.3456324, 0.2751646, 0.2180520, 0.2281022, 0.2176466, 0.2109827, 0.2073088", \
+					  "0.3165008, 0.2325140, 0.1673795, 0.1895539, 0.1547195, 0.1427004, 0.1372289", \
+					  "0.2679795, 0.1857786, 0.1372508, 0.1374968, 0.1306714, 0.1185847, 0.1281806");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820048, 0.0684814, -0.0068236, -0.0729986, -0.1292417, -0.1879788, -0.2357782", \
+					  "0.2459768, 0.1311929, 0.0556016, -0.0077740, -0.0740692, -0.1261055, -0.1778990", \
+					  "0.2214112, 0.1236852, 0.0411906, -0.0229476, -0.0767624, -0.1307408, -0.1880003", \
+					  "0.2082456, 0.0843191, 0.0234159, -0.0457564, -0.1076103, -0.1760248, -0.2102349", \
+					  "0.1499105, 0.0602138, 0.0189844, -0.0822158, -0.1387377, -0.1988686, -0.2524909", \
+					  "0.1318591, -0.0027486, -0.0265597, -0.1248727, -0.1871222, -0.1907889, -0.2883551", \
+					  "0.0879154, -0.0207524, -0.0706288, -0.1710717, -0.2241854, -0.2624789, -0.3193653");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0531662, 0.0535960, 0.0540257, 0.0563422, 0.0585659, 0.0608824, 0.0631988");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0651380, 0.0660632, 0.0669884, 0.0697852, 0.0724700, 0.0752667, 0.0780635");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006797;
+			capacitance : 0.006671;
+			fall_capacitance : 0.006545;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3727263, 0.3038207, 0.2723567, 0.2580316, 0.2491019, 0.2500375, 0.2524970", \
+					  "0.4309903, 0.3576525, 0.3231489, 0.3100500, 0.3146640, 0.3014984, 0.3197059", \
+					  "0.4198314, 0.3394933, 0.3252627, 0.3145859, 0.3047701, 0.2949396, 0.3049701", \
+					  "0.3974415, 0.3122727, 0.2822081, 0.2768427, 0.2768471, 0.2751820, 0.2708651", \
+					  "0.3558972, 0.2925805, 0.2338395, 0.2418351, 0.2374830, 0.2292634, 0.2210417", \
+					  "0.3317361, 0.2593371, 0.1843405, 0.2140287, 0.2065641, 0.2074997, 0.1826610", \
+					  "0.2832148, 0.2123416, 0.1510933, 0.1594852, 0.1533065, 0.1482972, 0.1677173");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2018690, 0.0929131, 0.0160098, -0.0470571, -0.1059486, -0.1545740, -0.1936587", \
+					  "0.2554736, 0.1507429, 0.0712426, 0.0161833, -0.0368673, -0.0933470, -0.1425571", \
+					  "0.2332740, 0.1337362, 0.0680255, 0.0026435, -0.0597549, -0.0935281, -0.1551580", \
+					  "0.2310963, 0.1031618, 0.0639191, -0.0286006, -0.0851946, -0.1199563, -0.1805731", \
+					  "0.1689133, 0.0733169, 0.0352521, -0.0554288, -0.1241878, -0.1674992, -0.2121595", \
+					  "0.1517271, 0.0088286, -0.0093461, -0.0959647, -0.1728730, -0.1675410, -0.2504239", \
+					  "0.1093093, -0.0015457, -0.0563416, -0.1207112, -0.2031350, -0.2100363, -0.2676220");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1032260, -0.0324375, -0.0089571, 0.0007904, 0.0020907, -0.0018966, 0.0108642", \
+					  "-0.1595135, -0.0975251, -0.0662402, -0.0526361, -0.0410081, -0.0433202, -0.0435957", \
+					  "-0.1381468, -0.0902917, -0.0745680, -0.0444357, -0.0459956, -0.0393523, 0.0231368", \
+					  "-0.1248881, -0.0509256, -0.0440616, -0.0300332, -0.0393077, -0.0203999, -0.0096920", \
+					  "-0.0712149, -0.0222426, -0.0039673, 0.0100731, -0.0030951, -0.0081037, 0.0252066", \
+					  "-0.0500274, 0.0376680, 0.0391738, 0.0483809, 0.0142527, 0.0110367, 0.0234285", \
+					  "-0.0060837, 0.0968705, 0.0846434, 0.1049867, 0.0604797, 0.0416418, 0.0485514");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1719356, -0.0644810, 0.0032499, 0.0692993, 0.1262281, 0.1664999, 0.2027149", \
+					  "-0.2343250, -0.1330246, -0.0525531, 0.0052820, 0.0586030, 0.1090885, 0.1635599", \
+					  "-0.2172968, -0.1158386, -0.0472859, 0.0175084, 0.0734538, 0.1235478, 0.1686211", \
+					  "-0.1997012, -0.0867632, -0.0423185, 0.0437634, 0.0965513, 0.1504116, 0.1984175", \
+					  "-0.1425747, -0.0523676, -0.0158507, 0.0806511, 0.1369117, 0.1910606, 0.2395541", \
+					  "-0.1233146, 0.0121210, 0.0288011, 0.1155821, 0.1611365, 0.1860369, 0.2752321", \
+					  "-0.0793709, 0.0316506, 0.0757966, 0.1409244, 0.2206550, 0.2627973, 0.2642110");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0444765, 0.0449360, 0.0453955, 0.0478237, 0.0501547, 0.0525828, 0.0550109");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0558366, 0.0569161, 0.0579955, 0.0607866, 0.0634661, 0.0662572, 0.0690483");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028535;
+			capacitance : 0.028478;
+			fall_capacitance : 0.028421;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0526147, 0.0428694, 0.1383535, 0.2696870, 0.3957671, 0.5271006, 0.6584340");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3253130, 0.4274158, 0.5295187, 0.6585681, 0.7824556, 0.9115050, 1.0405545");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.033399;
+			capacitance : 0.033147;
+			fall_capacitance : 0.032895;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1620832, 0.1715677, 0.1810523, 0.1936545, 0.2057527, 0.2183549, 0.2309571");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1506218, 0.1601252, 0.1696286, 0.1839903, 0.1977776, 0.2121393, 0.2265011");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.053139;
+			capacitance : 0.052701;
+			fall_capacitance : 0.052264;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0213208, -0.0215074, -0.0216941, -0.0217055, -0.0217165, -0.0217280, -0.0217395");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0222071, 0.0220684, 0.0219297, 0.0219776, 0.0220235, 0.0220714, 0.0221192");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016868;
+			capacitance : 0.016830;
+			fall_capacitance : 0.016791;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263417, 0.0329462, 0.0395508, 0.0489434, 0.0579602, 0.0673528, 0.0767454");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312378, 0.0374381, 0.0436384, 0.0526211, 0.0612445, 0.0702272, 0.0792099");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037954;
+			capacitance : 0.037550;
+			fall_capacitance : 0.037146;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5243962, -0.4666785, -0.4346896, -0.4142486, -0.4098966, -0.4093063, -0.4117658", \
+					  "-0.5847088, -0.5191066, -0.4949306, -0.4832874, -0.4788713, -0.4810518, -0.4869081", \
+					  "-0.5651538, -0.5019982, -0.4914451, -0.4783526, -0.4864002, -0.4809374, -0.4866053", \
+					  "-0.5460583, -0.4777363, -0.4620859, -0.4437117, -0.4530388, -0.4523106, -0.4456149", \
+					  "-0.5035871, -0.4602156, -0.4133601, -0.4059429, -0.4028556, -0.4255036, -0.4184578", \
+					  "-0.4834047, -0.4079347, -0.3673796, -0.3522989, -0.3681785, -0.3670576, -0.3753318", \
+					  "-0.4364092, -0.3624649, -0.3438593, -0.2880946, -0.3227532, -0.3140281, -0.3329143");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5564437, -0.4598554, -0.3921280, -0.3333771, -0.2863004, -0.2414596, -0.1950910", \
+					  "-0.6152313, -0.5191875, -0.4409327, -0.3960875, -0.3429247, -0.2986068, -0.2500658", \
+					  "-0.5956797, -0.5028992, -0.4222637, -0.3846600, -0.3429333, -0.2848125, -0.2498913", \
+					  "-0.5781059, -0.4771021, -0.4154875, -0.3616620, -0.3064165, -0.2726841, -0.2060519", \
+					  "-0.5371548, -0.4610862, -0.3736038, -0.3224641, -0.2873435, -0.2237375, -0.1788948", \
+					  "-0.5093487, -0.4072798, -0.3304196, -0.2763954, -0.2377571, -0.2032152, -0.1379123", \
+					  "-0.4715086, -0.3602837, -0.2474881, -0.2153439, -0.1927780, -0.1427714, -0.1106493");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7420174, 0.6715324, 0.6419784, 0.6333762, 0.6351495, 0.6437145, 0.6461740", \
+					  "0.7993203, 0.7300105, 0.7079564, 0.6963329, 0.6889290, 0.6913905, 0.6899722", \
+					  "0.7814861, 0.7093669, 0.6982391, 0.6742691, 0.6839500, 0.6833598, 0.6839794", \
+					  "0.7636808, 0.6775333, 0.6726617, 0.6619355, 0.6583448, 0.6623043, 0.6678155", \
+					  "0.7265251, 0.6530582, 0.6347624, 0.6234347, 0.6082716, 0.6275177, 0.6345549", \
+					  "0.7132342, 0.6303102, 0.6086939, 0.5878974, 0.5804044, 0.5844071, 0.5853255", \
+					  "0.6662387, 0.5875346, 0.5599911, 0.5443362, 0.5257796, 0.5480775, 0.5474853");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5650203, 0.4685204, 0.4039569, 0.3465602, 0.2948784, 0.2485118, 0.2036691", \
+					  "0.6253660, 0.5268292, 0.4491227, 0.4033641, 0.3516603, 0.3027202, 0.2601890", \
+					  "0.6059236, 0.5116131, 0.4318789, 0.3971031, 0.3497826, 0.2918829, 0.2585732", \
+					  "0.5866834, 0.4858236, 0.4240669, 0.3702384, 0.3149941, 0.2777828, 0.2146295", \
+					  "0.5424516, 0.4672364, 0.3897293, 0.3310100, 0.2944075, 0.2384186, 0.1859465", \
+					  "0.5286074, 0.4174147, 0.3406610, 0.2819043, 0.2447346, 0.2059739, 0.1435063", \
+					  "0.4816120, 0.3704186, 0.2573720, 0.2237185, 0.1977156, 0.1498231, 0.1150597");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2178832, 0.2826795, 0.3474757, 0.3517733, 0.3558990, 0.3601967, 0.3644943");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5204402, 0.5962112, 0.6719823, 0.6882009, 0.7037708, 0.7199894, 0.7362081");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.013197;
+			capacitance : 0.012983;
+			fall_capacitance : 0.012769;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("5.2982028, 13.3656850, 21.4331660, 23.7516660, 25.9774270, 28.2959270, 30.6144280");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0000620, 13.4792750, 22.9584880, 23.5941980, 24.2044810, 24.8401920, 25.4759030");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007195;
+			capacitance : 0.007070;
+			fall_capacitance : 0.006944;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4429170, 0.3724567, 0.3534216, 0.3404293, 0.3345513, 0.3324352, 0.3333688", \
+					  "0.5032733, 0.4337272, 0.4062782, 0.4016568, 0.3841280, 0.3922570, 0.3879187", \
+					  "0.4869199, 0.4190601, 0.3857503, 0.3886396, 0.3635155, 0.3705546, 0.3747820", \
+					  "0.4676322, 0.3889413, 0.3477312, 0.3369137, 0.3333047, 0.3464473, 0.3503245", \
+					  "0.4274455, 0.3695951, 0.3355083, 0.3007806, 0.3004377, 0.2933503, 0.2899341", \
+					  "0.4034527, 0.3279950, 0.2954900, 0.2789870, 0.2589013, 0.2746384, 0.2427437", \
+					  "0.3564572, 0.2791527, 0.2269320, 0.1972197, 0.2115206, 0.2062807, 0.2209472");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1957708, 0.0928971, 0.0099055, -0.0483876, -0.1058634, -0.1567988, -0.1930944", \
+					  "0.2582158, 0.1521834, 0.0754189, 0.0157909, -0.0388944, -0.0938080, -0.1426540", \
+					  "0.2427777, 0.1366517, 0.0664927, -0.0005409, -0.0570347, -0.1055196, -0.1552050", \
+					  "0.2250633, 0.1048960, 0.0576763, -0.0229736, -0.0796783, -0.1203612, -0.1836249", \
+					  "0.1651405, 0.0701289, 0.0290417, -0.0572280, -0.1269859, -0.1742555, -0.2338919", \
+					  "0.1456249, 0.0117438, -0.0156101, -0.0993191, -0.1274436, -0.1732660, -0.2549639", \
+					  "0.0977541, -0.0047337, -0.0656573, -0.1242395, -0.1974700, -0.2138717, -0.2768459");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0925449, -0.0263340, 0.0032499, 0.0084198, 0.0110895, 0.0087845, 0.0197714", \
+					  "-0.1473050, -0.0852008, -0.0522137, -0.0471817, -0.0312791, -0.0327884, -0.0315229", \
+					  "-0.1244811, -0.0811350, -0.0624835, -0.0327007, -0.0290386, -0.0217060, -0.0211137", \
+					  "-0.1157329, -0.0432948, -0.0340268, -0.0187189, -0.0362898, 0.0008118, -0.0032607", \
+					  "-0.0621193, -0.0146119, 0.0112853, 0.0207040, -0.0010196, -0.0081014, 0.0372315", \
+					  "-0.0408722, 0.0437728, 0.0529070, 0.0592771, 0.0231544, 0.0125101, 0.0322137", \
+					  "0.0030715, 0.1045012, 0.0983766, 0.1186521, 0.0680472, 0.0416440, 0.1283140");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1688838, -0.0583774, 0.0093535, 0.0754078, 0.1322059, 0.1767606, 0.2099069", \
+					  "-0.2312732, -0.1268333, -0.0519123, 0.0068769, 0.0618251, 0.1169441, 0.1712659", \
+					  "-0.2142512, -0.1112604, -0.0412300, 0.0241033, 0.0734535, 0.1235479, 0.1717562", \
+					  "-0.1951236, -0.0703684, -0.0211039, 0.0528136, 0.0965495, 0.1549892, 0.1984220", \
+					  "-0.1364970, -0.0447372, -0.0082208, 0.0865865, 0.1384173, 0.1927329, 0.2410958", \
+					  "-0.1172111, 0.0166993, 0.0349051, 0.1231705, 0.1611375, 0.1890120, 0.2826179", \
+					  "-0.0732674, 0.0377548, 0.0834264, 0.1424945, 0.2250674, 0.2627971, 0.3062390");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0445592, 0.0450262, 0.0454933, 0.0479235, 0.0502564, 0.0526865, 0.0551166");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559187, 0.0569985, 0.0580783, 0.0608648, 0.0635398, 0.0663262, 0.0691127");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017788;
+			capacitance : 0.017746;
+			fall_capacitance : 0.017705;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1375098, 0.2010625, 0.2646153, 0.2628468, 0.2611490, 0.2593806, 0.2576121");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4068724, 0.4790928, 0.5513131, 0.5608438, 0.5699933, 0.5795240, 0.5890547");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005788;
+			capacitance : 0.005666;
+			fall_capacitance : 0.005544;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0986804, -0.0263340, 0.0032499, 0.0125946, 0.0180249, 0.0072586, 0.0245085", \
+					  "-0.1564923, -0.0862175, -0.0564322, -0.0438811, -0.0277649, -0.0259124, -0.0196163", \
+					  "-0.1321026, -0.0792705, -0.0624850, -0.0276963, -0.0246653, -0.0123682, -0.0026110", \
+					  "-0.1233943, -0.0399044, -0.0373183, -0.0074741, -0.0143274, 0.0023598, 0.0731840", \
+					  "-0.0697265, -0.0112215, -0.0036960, 0.0372534, 0.0267385, 0.0049787, 0.0450881", \
+					  "-0.0470078, 0.0410597, 0.0394300, 0.0775940, 0.0620686, 0.0391099, 0.0265434", \
+					  "-0.0030641, 0.1017881, 0.0848996, 0.1234877, 0.1057243, 0.0814755, 0.1106378");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1261127, -0.0171790, 0.0562068, 0.1206616, 0.1801031, 0.2218264, 0.2530623", \
+					  "-0.1839215, -0.0809198, -0.0020263, 0.0622330, 0.1241030, 0.1772678, 0.2326540", \
+					  "-0.1654980, -0.0751982, 0.0017818, 0.0543133, 0.1302917, 0.1812360, 0.2324958", \
+					  "-0.1508268, -0.0358322, 0.0281779, 0.0924756, 0.1559248, 0.2203547, 0.2444021", \
+					  "-0.0939474, -0.0056233, 0.0295219, 0.1160134, 0.1571059, 0.2456665, 0.2893008", \
+					  "-0.0744402, 0.0512356, 0.0680816, 0.1664888, 0.2366931, 0.2299624, 0.3326760", \
+					  "-0.0274448, 0.1119639, 0.1135512, 0.2118618, 0.2547583, 0.2987533, 0.3509577");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4124323, 0.3376762, 0.3135557, 0.2870235, 0.2750421, 0.2668224, 0.2631784", \
+					  "0.4697413, 0.4020953, 0.3734387, 0.3559485, 0.3392640, 0.3450160, 0.3268790", \
+					  "0.4549297, 0.3795894, 0.3582281, 0.3266688, 0.3438736, 0.3097127, 0.3196084", \
+					  "0.4371476, 0.3506473, 0.3219843, 0.3215880, 0.2844765, 0.2782181, 0.2832940", \
+					  "0.3970303, 0.3326126, 0.2700532, 0.2562641, 0.2618971, 0.2431075, 0.2607146", \
+					  "0.3699163, 0.2925713, 0.2557912, 0.2325043, 0.2432033, 0.2026843, 0.1856187", \
+					  "0.3259726, 0.2440499, 0.1958056, 0.1563224, 0.1774218, 0.1544008, 0.1492309");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1499463, 0.0379639, -0.0295910, -0.0997057, -0.1609751, -0.2044754, -0.2376428", \
+					  "0.2154849, 0.1079569, 0.0232503, -0.0323640, -0.0920684, -0.1539464, -0.2053901", \
+					  "0.1879570, 0.1005959, 0.0260151, -0.0454335, -0.0970650, -0.1536149, -0.2042909", \
+					  "0.1792395, 0.0551263, 0.0033755, -0.0678702, -0.1337020, -0.1982829, -0.2398485", \
+					  "0.1181516, 0.0264433, -0.0086086, -0.1001149, -0.1452817, -0.2259438, -0.2691152", \
+					  "0.0998012, -0.0319414, -0.0517448, -0.1459961, -0.2100082, -0.2172427, -0.3192538", \
+					  "0.0558575, -0.0484192, -0.0956885, -0.1864886, -0.2433539, -0.2670734, -0.3334781");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0525792, 0.0531812, 0.0537832, 0.0560446, 0.0582154, 0.0604768, 0.0627381");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0630425, 0.0639262, 0.0648100, 0.0676631, 0.0704021, 0.0732552, 0.0761083");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005734;
+			capacitance : 0.005563;
+			fall_capacitance : 0.005392;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("1");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1039792, -0.1034393, -0.1028993, -0.1025761, -0.1022659, -0.1019426, -0.1016195");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1095761, 0.1094684, 0.1093606, 0.1090696, 0.1087902, 0.1084992, 0.1082082");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3544162, 0.4035896, 0.3999496, 0.3791312, 0.3374250, 0.3134259, 0.2649045", \
+					  "0.2854593, 0.3397306, 0.3216500, 0.2963632, 0.2737530, 0.2340454, 0.1940241", \
+					  "0.2586238, 0.3095143, 0.3158738, 0.2670267, 0.2211375, 0.1703557, 0.1356870", \
+					  "0.2442987, 0.2991401, 0.3007289, 0.2632066, 0.2281022, 0.1940029, 0.1505467", \
+					  "0.2384207, 0.2959092, 0.2917365, 0.2676919, 0.2130690, 0.1928678, 0.1408741", \
+					  "0.2363045, 0.2979569, 0.2787635, 0.2644888, 0.2081434, 0.1872775, 0.1421937", \
+					  "0.2387641, 0.2993903, 0.2931124, 0.2527765, 0.2103606, 0.1737277, 0.1522827");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1001743, -0.1564603, -0.1351075, -0.1233623, -0.0696945, -0.0469757, -0.0030320", \
+					  "-0.0309116, -0.0877434, -0.0872391, -0.0509248, -0.0207160, 0.0376687, 0.0983971", \
+					  "-0.0059053, -0.0562869, -0.0700307, -0.0406687, -0.0009180, 0.0422258, 0.0876954", \
+					  "0.0038422, -0.0505348, -0.0392763, -0.0243639, 0.0131096, 0.0515181, 0.1049853", \
+					  "0.0066684, -0.0353185, -0.0459951, -0.0421940, -0.0031126, 0.0157400, 0.0619964", \
+					  "0.0011551, -0.0389874, -0.0374711, -0.0157001, -0.0081009, 0.0125104, 0.0401773", \
+					  "0.0093768, -0.0374379, -0.0520315, -0.0032607, 0.0285418, 0.0234323, 0.0485485");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5243962, -0.5847088, -0.5651538, -0.5460583, -0.5035871, -0.4834047, -0.4364092", \
+					  "-0.4666785, -0.5191066, -0.5019982, -0.4777363, -0.4602156, -0.4079347, -0.3624649", \
+					  "-0.4346896, -0.4949306, -0.4914451, -0.4620859, -0.4133601, -0.3673796, -0.3438593", \
+					  "-0.4142486, -0.4832874, -0.4783526, -0.4437117, -0.4059429, -0.3522989, -0.2880946", \
+					  "-0.4098966, -0.4788713, -0.4864002, -0.4530388, -0.4028556, -0.3681785, -0.3227532", \
+					  "-0.4093063, -0.4810518, -0.4809374, -0.4523106, -0.4255036, -0.3670576, -0.3140281", \
+					  "-0.4117658, -0.4869081, -0.4866053, -0.4456149, -0.4184578, -0.3753318, -0.3329143");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7420174, 0.7993203, 0.7814861, 0.7636808, 0.7265251, 0.7132342, 0.6662387", \
+					  "0.6715324, 0.7300105, 0.7093669, 0.6775333, 0.6530582, 0.6303102, 0.5875346", \
+					  "0.6419784, 0.7079564, 0.6982391, 0.6726617, 0.6347624, 0.6086939, 0.5599911", \
+					  "0.6333762, 0.6963329, 0.6742691, 0.6619355, 0.6234347, 0.5878974, 0.5443362", \
+					  "0.6351495, 0.6889290, 0.6839500, 0.6583448, 0.6082716, 0.5804044, 0.5257796", \
+					  "0.6437145, 0.6913905, 0.6833598, 0.6623043, 0.6275177, 0.5844071, 0.5480775", \
+					  "0.6461740, 0.6899722, 0.6839794, 0.6678155, 0.6345549, 0.5853255, 0.5474853");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4429170, 0.5032733, 0.4869199, 0.4676322, 0.4274455, 0.4034527, 0.3564572", \
+					  "0.3724567, 0.4337272, 0.4190601, 0.3889413, 0.3695951, 0.3279950, 0.2791527", \
+					  "0.3534216, 0.4062782, 0.3857503, 0.3477312, 0.3355083, 0.2954900, 0.2269320", \
+					  "0.3404293, 0.4016568, 0.3886396, 0.3369137, 0.3007806, 0.2789870, 0.1972197", \
+					  "0.3345513, 0.3841280, 0.3635155, 0.3333047, 0.3004377, 0.2589013, 0.2115206", \
+					  "0.3324352, 0.3922570, 0.3705546, 0.3464473, 0.2933503, 0.2746384, 0.2062807", \
+					  "0.3333688, 0.3879187, 0.3747820, 0.3503245, 0.2899341, 0.2427437, 0.2209472");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0925449, -0.1473050, -0.1244811, -0.1157329, -0.0621193, -0.0408722, 0.0030715", \
+					  "-0.0263340, -0.0852008, -0.0811350, -0.0432948, -0.0146119, 0.0437728, 0.1045012", \
+					  "0.0032499, -0.0522137, -0.0624835, -0.0340268, 0.0112853, 0.0529070, 0.0983766", \
+					  "0.0084198, -0.0471817, -0.0327007, -0.0187189, 0.0207040, 0.0592771, 0.1186521", \
+					  "0.0110895, -0.0312791, -0.0290386, -0.0362898, -0.0010196, 0.0231544, 0.0680472", \
+					  "0.0087845, -0.0327884, -0.0217060, 0.0008118, -0.0081014, 0.0125101, 0.0416440", \
+					  "0.0197714, -0.0315229, -0.0211137, -0.0032607, 0.0372315, 0.0322137, 0.1283140");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0986804, -0.1564923, -0.1321026, -0.1233943, -0.0697265, -0.0470078, -0.0030641", \
+					  "-0.0263340, -0.0862175, -0.0792705, -0.0399044, -0.0112215, 0.0410597, 0.1017881", \
+					  "0.0032499, -0.0564322, -0.0624850, -0.0373183, -0.0036960, 0.0394300, 0.0848996", \
+					  "0.0125946, -0.0438811, -0.0276963, -0.0074741, 0.0372534, 0.0775940, 0.1234877", \
+					  "0.0180249, -0.0277649, -0.0246653, -0.0143274, 0.0267385, 0.0620686, 0.1057243", \
+					  "0.0072586, -0.0259124, -0.0123682, 0.0023598, 0.0049787, 0.0391099, 0.0814755", \
+					  "0.0245085, -0.0196163, -0.0026110, 0.0731840, 0.0450881, 0.0265434, 0.1106378");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4124323, 0.4697413, 0.4549297, 0.4371476, 0.3970303, 0.3699163, 0.3259726", \
+					  "0.3376762, 0.4020953, 0.3795894, 0.3506473, 0.3326126, 0.2925713, 0.2440499", \
+					  "0.3135557, 0.3734387, 0.3582281, 0.3219843, 0.2700532, 0.2557912, 0.1958056", \
+					  "0.2870235, 0.3559485, 0.3266688, 0.3215880, 0.2562641, 0.2325043, 0.1563224", \
+					  "0.2750421, 0.3392640, 0.3438736, 0.2844765, 0.2618971, 0.2432033, 0.1774218", \
+					  "0.2668224, 0.3450160, 0.3097127, 0.2782181, 0.2431075, 0.2026843, 0.1544008", \
+					  "0.2631784, 0.3268790, 0.3196084, 0.2832940, 0.2607146, 0.1856187, 0.1492309");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0757812, -0.1354154, -0.1232022, -0.0925100, -0.0552722, -0.0117053, 0.0366198", \
+					  "-0.0034458, -0.0655857, -0.0660907, -0.0278501, 0.0034842, 0.0481360, 0.0966573", \
+					  "0.0223568, -0.0387939, -0.0275653, -0.0240454, 0.0326182, 0.0773794, 0.1173118", \
+					  "0.0381159, -0.0103247, -0.0111582, 0.0192560, 0.0669421, 0.1064364, 0.1442538", \
+					  "0.0314891, -0.0069030, 0.0020101, 0.0268020, 0.0312896, 0.0757348, 0.1209227", \
+					  "0.0433119, 0.0003964, 0.0534840, 0.0334470, 0.0109256, 0.0948019, 0.0906322", \
+					  "0.0515447, 0.0019098, 0.0139815, 0.0249154, 0.0649935, 0.0296667, 0.0863483");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3574914, 0.4036923, 0.4014281, 0.3822062, 0.3456324, 0.3165008, 0.2679795", \
+					  "0.2854577, 0.3385496, 0.3217049, 0.2962306, 0.2751646, 0.2325140, 0.1857786", \
+					  "0.2510236, 0.3067757, 0.3081466, 0.2704661, 0.2180520, 0.1673795, 0.1372508", \
+					  "0.2259883, 0.2810371, 0.2885219, 0.2493267, 0.2281022, 0.1895539, 0.1374968", \
+					  "0.2170586, 0.2803813, 0.2700780, 0.2493813, 0.2176466, 0.1547195, 0.1306714", \
+					  "0.2134165, 0.2784559, 0.2627443, 0.2351110, 0.2109827, 0.1427004, 0.1185847", \
+					  "0.2143502, 0.2739402, 0.2504042, 0.2222589, 0.2073088, 0.1372289, 0.1281806");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5213572, -0.5831957, -0.5621149, -0.5430194, -0.5005481, -0.4803657, -0.4333703", \
+					  "-0.4596705, -0.5239941, -0.5004657, -0.4746862, -0.4602906, -0.4064836, -0.3610139", \
+					  "-0.4336410, -0.4964693, -0.4719192, -0.4528235, -0.4146595, -0.3705618, -0.3390898", \
+					  "-0.4218780, -0.4787098, -0.4798344, -0.4578843, -0.4077562, -0.3553258, -0.2930060", \
+					  "-0.4175260, -0.4773313, -0.4712014, -0.4315386, -0.3906485, -0.3527470, -0.3212805", \
+					  "-0.4169357, -0.4812695, -0.4840248, -0.4492588, -0.4209482, -0.3779364, -0.3125123", \
+					  "-0.4193952, -0.4837914, -0.4680707, -0.4394781, -0.4154060, -0.3722801, -0.3283364");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7588162, 0.8176392, 0.8072370, 0.7804794, 0.7453796, 0.7300328, 0.6815115", \
+					  "0.6864407, 0.7473113, 0.7278868, 0.6913355, 0.6654513, 0.6480927, 0.5980001", \
+					  "0.6600661, 0.7144776, 0.7180698, 0.6876752, 0.6483111, 0.6173922, 0.5749744", \
+					  "0.6608422, 0.7147028, 0.7050868, 0.6704048, 0.6324589, 0.6091706, 0.5514940", \
+					  "0.6549858, 0.7071013, 0.7007347, 0.6827309, 0.6387892, 0.5971891, 0.5410384", \
+					  "0.6513438, 0.7097010, 0.7062479, 0.6806148, 0.6458283, 0.6042283, 0.5541810", \
+					  "0.6553292, 0.7133412, 0.7026040, 0.6937555, 0.6513396, 0.6051619, 0.5627441");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1041794, 0.1620681, 0.1391908, 0.1258429, 0.0724373, 0.0525098, 0.0085661", \
+					  "0.0349121, 0.1029375, 0.0928893, 0.0550491, 0.0263661, -0.0320186, -0.0927469", \
+					  "0.0114317, 0.0545984, 0.0770599, 0.0480716, 0.0064734, -0.0352499, -0.0807195", \
+					  "0.0047359, 0.0551187, 0.0449036, 0.0341685, -0.0075742, -0.0458922, -0.1021459", \
+					  "0.0003838, 0.0465340, 0.0357716, 0.0450459, 0.0015155, -0.0116591, -0.0533368", \
+					  "0.0104747, 0.0502723, 0.0416083, 0.0242542, 0.0140154, -0.0069607, -0.0160261", \
+					  "-0.0007989, 0.0446244, 0.0559640, 0.0137046, -0.0212633, -0.0175671, -0.0429882");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0772927, -0.1291699, -0.1123544, -0.1004807, -0.0484978, -0.0256200, 0.0183220", \
+					  "-0.0064976, -0.0686120, -0.0674950, -0.0311807, -0.0009719, 0.0558870, 0.1150895", \
+					  "0.0121860, -0.0408098, -0.0367969, -0.0164285, 0.0249938, 0.0651623, 0.1106319", \
+					  "0.0153123, -0.0261309, -0.0210059, 0.0007764, 0.0328737, 0.0731111, 0.1170954", \
+					  "0.0194466, -0.0152912, -0.0117621, -0.0231002, -0.0010257, 0.0365938, 0.0756313", \
+					  "0.0164139, -0.0204031, -0.0140564, 0.0104653, -0.0080242, 0.0125492, 0.0387696", \
+					  "0.0288321, -0.0211088, -0.0191215, 0.0149234, 0.0417268, 0.0234929, 0.0485067");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3727263, 0.4309903, 0.4198314, 0.3974415, 0.3558972, 0.3317361, 0.2832148", \
+					  "0.3038207, 0.3576525, 0.3394933, 0.3122727, 0.2925805, 0.2593371, 0.2123416", \
+					  "0.2723567, 0.3231489, 0.3252627, 0.2822081, 0.2338395, 0.1843405, 0.1510933", \
+					  "0.2580316, 0.3100500, 0.3145859, 0.2768427, 0.2418351, 0.2140287, 0.1594852", \
+					  "0.2491019, 0.3146640, 0.3047701, 0.2768471, 0.2374830, 0.2065641, 0.1533065", \
+					  "0.2500375, 0.3014984, 0.2949396, 0.2751820, 0.2292634, 0.2074997, 0.1482972", \
+					  "0.2524970, 0.3197059, 0.3049701, 0.2708651, 0.2210417, 0.1826610, 0.1677173");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1032260, -0.1595135, -0.1381468, -0.1248881, -0.0712149, -0.0500274, -0.0060837", \
+					  "-0.0324375, -0.0975251, -0.0902917, -0.0509256, -0.0222426, 0.0376680, 0.0968705", \
+					  "-0.0089571, -0.0662402, -0.0745680, -0.0440616, -0.0039673, 0.0391738, 0.0846434", \
+					  "0.0007904, -0.0526361, -0.0444357, -0.0300332, 0.0100731, 0.0483809, 0.1049867", \
+					  "0.0020907, -0.0410081, -0.0459956, -0.0393077, -0.0030951, 0.0142527, 0.0604797", \
+					  "-0.0018966, -0.0433202, -0.0393523, -0.0203999, -0.0081037, 0.0110367, 0.0416418", \
+					  "0.0108642, -0.0435957, 0.0231368, -0.0096920, 0.0252066, 0.0234285, 0.0485514");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0919723, 0.1513817, 0.1194068, 0.1105841, 0.0541870, 0.0311458, -0.0127979", \
+					  "0.0257566, 0.0898981, 0.0806794, 0.0428392, 0.0126304, -0.0472803, -0.1080086", \
+					  "0.0007503, 0.0533036, 0.0575145, 0.0331648, -0.0072470, -0.0489891, -0.0944586", \
+					  "-0.0059033, 0.0511614, 0.0350435, 0.0081368, -0.0197323, -0.0598400, -0.1166917", \
+					  "-0.0112617, 0.0373787, 0.0343948, 0.0094746, -0.0302477, -0.0685975, -0.1122718", \
+					  "-0.0063101, 0.0294803, 0.0416166, 0.0009734, -0.0347860, -0.0726317, -0.1190995", \
+					  "-0.0158506, 0.0327024, 0.0449502, -0.0020453, -0.0363290, -0.0718022, -0.1101685");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2949748, 0.2396942, 0.2507767, 0.2809892, 0.3218792, 0.3650051, 0.4181041", \
+					  "0.3614134, 0.3088057, 0.3162010, 0.3411345, 0.3820246, 0.4251505, 0.4736719", \
+					  "0.3867045, 0.3385556, 0.3492850, 0.3763573, 0.4141952, 0.4603734, 0.5073688", \
+					  "0.3875011, 0.3606582, 0.3735077, 0.3957258, 0.4213446, 0.4764293, 0.5129919", \
+					  "0.3734373, 0.3559028, 0.3575359, 0.3751758, 0.4304490, 0.4780022, 0.5250120", \
+					  "0.3917801, 0.3502408, 0.3687717, 0.3839147, 0.6839268, 0.4545955, 0.5242611", \
+					  "0.3923724, 0.3433279, 0.3888210, 0.4226102, 0.3947193, 0.4866819, 0.5063194");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0971219, -0.1564597, -0.1320699, -0.1233616, -0.0696939, -0.0469751, -0.0030314", \
+					  "-0.0309116, -0.0892839, -0.0888264, -0.0494604, -0.0253550, 0.0315038, 0.0556111", \
+					  "-0.0074312, -0.0644935, -0.0730508, -0.0372855, 0.0036329, 0.0468292, 0.0907729", \
+					  "0.0023163, -0.0505348, -0.0409263, -0.0285752, 0.0131173, 0.0531359, 0.1096491", \
+					  "0.0051425, -0.0353185, -0.0303725, -0.0392677, -0.0010238, 0.0157655, 0.0620244", \
+					  "-0.0018966, -0.0432554, -0.0374747, -0.0171595, 0.0064440, 0.0480334, 0.0918908", \
+					  "0.0108703, -0.0420440, -0.0348466, -0.0078897, 0.0269035, 0.0234726, 0.1283352");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4719083, 0.5322607, 0.5143673, 0.4966234, 0.4563806, 0.4324439, 0.3854484", \
+					  "0.4061342, 0.4663161, 0.4455328, 0.4301061, 0.4062748, 0.3662007, 0.3146276", \
+					  "0.3760955, 0.4289270, 0.4069406, 0.3704592, 0.3586305, 0.3343823, 0.2408687", \
+					  "0.3572139, 0.4216746, 0.4029967, 0.3731229, 0.3309232, 0.2834632, 0.2264967", \
+					  "0.3513360, 0.4172933, 0.4169212, 0.3747962, 0.3276566, 0.2791002, 0.2451908", \
+					  "0.3507457, 0.4128050, 0.4224345, 0.3617061, 0.3299714, 0.2929490, 0.2584813", \
+					  "0.3532052, 0.4207177, 0.3964789, 0.3548579, 0.3475304, 0.3078823, 0.2499389");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024896;
+			capacitance : 0.025494;
+			rise_capacitance : 0.025702;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5213572, -0.4596705, -0.4336410, -0.4218780, -0.4175260, -0.4169357, -0.4193952", \
+					  "-0.5831957, -0.5239941, -0.4964693, -0.4787098, -0.4773313, -0.4812695, -0.4837914", \
+					  "-0.5621149, -0.5004657, -0.4719192, -0.4798344, -0.4712014, -0.4840248, -0.4680707", \
+					  "-0.5430194, -0.4746862, -0.4528235, -0.4578843, -0.4315386, -0.4492588, -0.4394781", \
+					  "-0.5005481, -0.4602906, -0.4146595, -0.4077562, -0.3906485, -0.4209482, -0.4154060", \
+					  "-0.4803657, -0.4064836, -0.3705618, -0.3553258, -0.3527470, -0.3779364, -0.3722801", \
+					  "-0.4333703, -0.3610139, -0.3390898, -0.2930060, -0.3212805, -0.3125123, -0.3283364");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5594640, -0.4643899, -0.3921268, -0.3333771, -0.2847745, -0.2368820, -0.1935651", \
+					  "-0.6182515, -0.5197741, -0.4450827, -0.3976686, -0.3488243, -0.3001051, -0.2546482", \
+					  "-0.5986999, -0.5065676, -0.4237529, -0.3870477, -0.3490636, -0.2924988, -0.2438921", \
+					  "-0.5796002, -0.4792801, -0.4124957, -0.3600243, -0.3125200, -0.2651232, -0.2045260", \
+					  "-0.5417620, -0.4631709, -0.3794510, -0.3238683, -0.2797308, -0.2237375, -0.1759382", \
+					  "-0.5047395, -0.4107433, -0.3394126, -0.2734574, -0.2438539, -0.2047227, -0.1332511", \
+					  "-0.4745288, -0.3652166, -0.2551254, -0.2167073, -0.1795133, -0.1381937, -0.1311137");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7588162, 0.6864407, 0.6600661, 0.6608422, 0.6549858, 0.6513438, 0.6553292", \
+					  "0.8176392, 0.7473113, 0.7144776, 0.7147028, 0.7071013, 0.7097010, 0.7133412", \
+					  "0.8072370, 0.7278868, 0.7180698, 0.7050868, 0.7007347, 0.7062479, 0.7026040", \
+					  "0.7804794, 0.6913355, 0.6876752, 0.6704048, 0.6827309, 0.6806148, 0.6937555", \
+					  "0.7453796, 0.6654513, 0.6483111, 0.6324589, 0.6387892, 0.6458283, 0.6513396", \
+					  "0.7300328, 0.6480927, 0.6173922, 0.6091706, 0.5971891, 0.6042283, 0.6051619", \
+					  "0.6815115, 0.5980001, 0.5749744, 0.5514940, 0.5410384, 0.5541810, 0.5627441");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5680396, 0.4722963, 0.4039589, 0.3450227, 0.2964043, 0.2469859, 0.2036691", \
+					  "0.6283897, 0.5249485, 0.4518613, 0.4094676, 0.3552811, 0.3010780, 0.2647738", \
+					  "0.6074375, 0.5151314, 0.4319036, 0.3999442, 0.3558861, 0.2995816, 0.2486538", \
+					  "0.5897028, 0.4895244, 0.4226835, 0.3791266, 0.3210976, 0.2721378, 0.2146295", \
+					  "0.5454391, 0.4676240, 0.3896497, 0.3414106, 0.2882988, 0.2323151, 0.1813689", \
+					  "0.5316268, 0.4206170, 0.3423657, 0.2804909, 0.2525043, 0.2090256, 0.1419257", \
+					  "0.4861573, 0.3750859, 0.2615484, 0.2250586, 0.1893730, 0.1467714, 0.1382309");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006842;
+			capacitance : 0.006975;
+			rise_capacitance : 0.007108;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1041794, 0.0349121, 0.0114317, 0.0047359, 0.0003838, 0.0104747, -0.0007989", \
+					  "0.1620681, 0.1029375, 0.0545984, 0.0551187, 0.0465340, 0.0502723, 0.0446244", \
+					  "0.1391908, 0.0928893, 0.0770599, 0.0449036, 0.0357716, 0.0416083, 0.0559640", \
+					  "0.1258429, 0.0550491, 0.0480716, 0.0341685, 0.0450459, 0.0242542, 0.0137046", \
+					  "0.0724373, 0.0263661, 0.0064734, -0.0075742, 0.0015155, 0.0140154, -0.0212633", \
+					  "0.0525098, -0.0320186, -0.0352499, -0.0458922, -0.0116591, -0.0069607, -0.0175671", \
+					  "0.0085661, -0.0927469, -0.0807195, -0.1021459, -0.0533368, -0.0160261, -0.0429882");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4963717, 0.3957109, 0.3166075, 0.2488766, 0.1895929, 0.1371227, 0.0861765", \
+					  "0.5597963, 0.4520940, 0.3749646, 0.3133372, 0.2467078, 0.2046655, 0.1465239", \
+					  "0.5419758, 0.4371609, 0.3655454, 0.2976771, 0.2474561, 0.1935526, 0.1366604", \
+					  "0.5210873, 0.4062344, 0.3338440, 0.2769008, 0.2127602, 0.1607175, 0.1005356", \
+					  "0.4796243, 0.3860861, 0.2790484, 0.2311540, 0.1772634, 0.1148225, 0.0715056", \
+					  "0.4584337, 0.3444860, 0.2710177, 0.1984701, 0.1378996, 0.0849503, 0.0451644", \
+					  "0.4099123, 0.2959644, 0.1957810, 0.1447620, 0.0832747, 0.0583658, -0.0170899");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0772927, -0.0064976, 0.0121860, 0.0153123, 0.0194466, 0.0164139, 0.0288321", \
+					  "-0.1291699, -0.0686120, -0.0408098, -0.0261309, -0.0152912, -0.0204031, -0.0211088", \
+					  "-0.1123544, -0.0674950, -0.0367969, -0.0210059, -0.0117621, -0.0140564, -0.0191215", \
+					  "-0.1004807, -0.0311807, -0.0164285, 0.0007764, -0.0231002, 0.0104653, 0.0149234", \
+					  "-0.0484978, -0.0009719, 0.0249938, 0.0328737, -0.0010257, -0.0080242, 0.0417268", \
+					  "-0.0256200, 0.0558870, 0.0651623, 0.0731111, 0.0365938, 0.0125492, 0.0234929", \
+					  "0.0183220, 0.1150895, 0.1106319, 0.1170954, 0.0756313, 0.0387696, 0.0485067");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1810941, -0.0721104, 0.0047758, 0.0647548, 0.1203370, 0.1654823, 0.5410106", \
+					  "-0.2389059, -0.1346276, -0.0541648, 0.0052818, 0.0569672, 0.1091353, 0.1650671", \
+					  "-0.2203459, -0.1189674, -0.0503243, 0.0139266, 0.0718074, 0.1165946, 0.1670533", \
+					  "-0.2088597, -0.0868129, -0.0422598, 0.0422617, 0.0967969, 0.1488857, 0.1984073", \
+					  "-0.1513440, -0.0554960, -0.0159102, 0.0778775, 0.1368479, 0.1894160, 0.2299029", \
+					  "-0.1055401, -0.0093184, 0.0302675, 0.1139985, 0.1719423, 0.1844925, 0.2665996", \
+					  "-0.0564782, 0.0330995, 0.0803147, 0.1393643, 0.2191853, 0.2616402, 0.3000283");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0436415, 0.0439836, 0.0443257, 0.0468937, 0.0493591, 0.0519272, 0.0544952");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0568904, 0.0579716, 0.0590528, 0.0618550, 0.0645450, 0.0673472, 0.0701494");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.020091;
+			capacitance : 0.019231;
+			fall_capacitance : 0.018371;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5533684, 0.8272487, 1.1011290, 1.3368005, 1.5630451, 1.7987166, 2.0343881");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.6293364, 27.2034940, 46.7776510, 47.1429650, 47.4936660, 47.8589790, 48.2242930");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033213;
+			capacitance : 0.033190;
+			fall_capacitance : 0.033167;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0564922, 0.0871894, 0.2308711, 0.4598392, 0.6796485, 0.9086165, 1.1375846");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1551235, 1.3113689, 1.4676142, 1.6967678, 1.9167552, 2.1459088, 2.3750624");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007342;
+			capacitance : 0.007221;
+			fall_capacitance : 0.007099;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0919723, 0.0257566, 0.0007503, -0.0059033, -0.0112617, -0.0063101, -0.0158506", \
+					  "0.1513817, 0.0898981, 0.0533036, 0.0511614, 0.0373787, 0.0294803, 0.0327024", \
+					  "0.1194068, 0.0806794, 0.0575145, 0.0350435, 0.0343948, 0.0416166, 0.0449502", \
+					  "0.1105841, 0.0428392, 0.0331648, 0.0081368, 0.0094746, 0.0009734, -0.0020453", \
+					  "0.0541870, 0.0126304, -0.0072470, -0.0197323, -0.0302477, -0.0347860, -0.0363290", \
+					  "0.0311458, -0.0472803, -0.0489891, -0.0598400, -0.0685975, -0.0726317, -0.0718022", \
+					  "-0.0127979, -0.1080086, -0.0944586, -0.1166917, -0.1122718, -0.1190995, -0.1101685");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1979096, -0.3059160, -0.3745885, -0.4399295, -0.5026841, -0.5507795, -0.4974182", \
+					  "-0.1425755, -0.2505547, -0.3208362, -0.4008112, -0.4524321, -0.5002129, -0.5455476", \
+					  "-0.1551839, -0.2645760, -0.3215080, -0.3968538, -0.4483954, -0.5044991, -0.5614445", \
+					  "-0.1853947, -0.2932609, -0.3435230, -0.4315920, -0.4845664, -0.5177254, -0.5581392", \
+					  "-0.2354400, -0.3310991, -0.3798353, -0.4349763, -0.5166882, -0.5696178, -0.6288728", \
+					  "-0.2724624, -0.3757513, -0.4229611, -0.5132210, -0.5357190, -0.6047801, -0.6637492", \
+					  "-0.3331907, -0.4227468, -0.4714825, -0.5281493, -0.6328675, -0.6637882, -0.6845933");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2949748, 0.3614134, 0.3867045, 0.3875011, 0.3734373, 0.3917801, 0.3923724", \
+					  "0.2396942, 0.3088057, 0.3385556, 0.3606582, 0.3559028, 0.3502408, 0.3433279", \
+					  "0.2507767, 0.3162010, 0.3492850, 0.3735077, 0.3575359, 0.3687717, 0.3888210", \
+					  "0.2809892, 0.3411345, 0.3763573, 0.3957258, 0.3751758, 0.3839147, 0.4226102", \
+					  "0.3218792, 0.3820246, 0.4141952, 0.4213446, 0.4304490, 0.6839268, 0.3947193", \
+					  "0.3650051, 0.4251505, 0.4603734, 0.4764293, 0.4780022, 0.4545955, 0.4866819", \
+					  "0.4181041, 0.4736719, 0.5073688, 0.5129919, 0.5250120, 0.5242611, 0.5063194");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2186962, 0.3236752, 0.3909211, 0.4558905, 0.5142777, 0.5669713, 0.5136021", \
+					  "0.1664120, 0.2686760, 0.3385595, 0.4169811, 0.4721907, 0.5194105, 0.5604585", \
+					  "0.1774945, 0.2839397, 0.3428632, 0.4117088, 0.4690408, 0.5429982, 0.5735775", \
+					  "0.2077053, 0.3156023, 0.3582396, 0.4485545, 0.4910782, 0.5450782, 0.5663077", \
+					  "0.2546988, 0.3534401, 0.3945519, 0.4497671, 0.5338853, 0.5894372, 0.6309282", \
+					  "0.2947730, 0.3980923, 0.4376778, 0.5296980, 0.5213102, 0.5644411, 0.6703836", \
+					  "0.3539755, 0.4450878, 0.4861991, 0.5532549, 0.5667798, 0.6632625, 0.6879294");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0441341, 0.0445634, 0.0449927, 0.0475440, 0.0499933, 0.0525446, 0.0550959");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0557310, 0.0567881, 0.0578453, 0.0606501, 0.0633428, 0.0661477, 0.0689525");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014520;
+			capacitance : 0.014451;
+			fall_capacitance : 0.014382;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0971219, -0.0309116, -0.0074312, 0.0023163, 0.0051425, -0.0018966, 0.0108703", \
+					  "-0.1564597, -0.0892839, -0.0644935, -0.0505348, -0.0353185, -0.0432554, -0.0420440", \
+					  "-0.1320699, -0.0888264, -0.0730508, -0.0409263, -0.0303725, -0.0374747, -0.0348466", \
+					  "-0.1233616, -0.0494604, -0.0372855, -0.0285752, -0.0392677, -0.0171595, -0.0078897", \
+					  "-0.0696939, -0.0253550, 0.0036329, 0.0131173, -0.0010238, 0.0064440, 0.0269035", \
+					  "-0.0469751, 0.0315038, 0.0468292, 0.0531359, 0.0157655, 0.0480334, 0.0234726", \
+					  "-0.0030314, 0.0556111, 0.0907729, 0.1096491, 0.0620244, 0.0918908, 0.1283352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1749900, -0.0675327, 0.0047758, 0.0707810, 0.1247893, 0.1726405, 0.2056708", \
+					  "-0.2358535, -0.1346013, -0.0542378, 0.0052818, 0.0553324, 0.1075467, 0.1619962", \
+					  "-0.2218684, -0.1219930, -0.0518405, 0.0145352, 0.0671790, 0.1166246, 0.1654854", \
+					  "-0.2042815, -0.0867953, -0.0274848, 0.0437629, 0.0967969, 0.1488857, 0.1983630", \
+					  "-0.1456187, -0.0524181, -0.0143660, 0.0805912, 0.1368778, 0.1861813, 0.2363227", \
+					  "-0.1278949, 0.0105447, 0.0302858, 0.1139959, 0.1374764, 0.1860045, 0.2737630", \
+					  "-0.0839512, 0.0315998, 0.0772813, 0.1378183, 0.2176908, 0.2628123, 0.2730669");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4719083, 0.4061342, 0.3760955, 0.3572139, 0.3513360, 0.3507457, 0.3532052", \
+					  "0.5322607, 0.4663161, 0.4289270, 0.4216746, 0.4172933, 0.4128050, 0.4207177", \
+					  "0.5143673, 0.4455328, 0.4069406, 0.4029967, 0.4169212, 0.4224345, 0.3964789", \
+					  "0.4966234, 0.4301061, 0.3704592, 0.3731229, 0.3747962, 0.3617061, 0.3548579", \
+					  "0.4563806, 0.4062748, 0.3586305, 0.3309232, 0.3276566, 0.3299714, 0.3475304", \
+					  "0.4324439, 0.3662007, 0.3343823, 0.2834632, 0.2791002, 0.2929490, 0.3078823", \
+					  "0.3854484, 0.3146276, 0.2408687, 0.2264967, 0.2451908, 0.2584813, 0.2499389");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1942476, 0.0822143, 0.0099057, -0.0498488, -0.1103647, -0.1585327, -0.1946059", \
+					  "0.2566933, 0.1491921, 0.0683446, 0.0051097, -0.0406633, -0.0968868, -0.1457065", \
+					  "0.2382112, 0.1336533, 0.0649751, -0.0002853, -0.0583642, -0.1070455, -0.1595620", \
+					  "0.2220143, 0.0986592, 0.0562049, -0.0332367, -0.0847054, -0.1374045, -0.1836249", \
+					  "0.1621172, 0.0656042, 0.0290840, -0.0586432, -0.1269565, -0.1312437, -0.2153226", \
+					  "0.1425760, 0.0102712, -0.0170937, -0.1007795, -0.1394231, -0.1746905, -0.2500784", \
+					  "0.1001582, -0.0046808, -0.0625633, -0.1258575, -0.2018332, -0.2564454, -0.2506033");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0445693, 0.0448718, 0.0451743, 0.0476771, 0.0500798, 0.0525826, 0.0550854");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0558986, 0.0570101, 0.0581216, 0.0609192, 0.0636050, 0.0664026, 0.0692003");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.948250;
+			max_transition : 1.503775;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("2.2933166, 2.4039251, 2.8331324, 6.6127078, 9.1686806, 9.1756069, 9.1874434", \
+					  "6.8814086, 6.8830328, 6.8913308, 6.8944503, 6.8967245, 6.7352625, 6.7068447", \
+					  "7.0718752, 7.0700182, 7.0713804, 7.0745471, 7.0769159, 7.0834645, 6.9909937", \
+					  "7.2283468, 7.2239098, 7.2689107, 7.2175213, 7.2210658, 7.2133042, 7.2214804", \
+					  "7.4517285, 7.4698693, 7.4682300, 7.4696438, 7.4703473, 7.3480918, 7.3580820", \
+					  "7.4314045, 7.5400771, 7.5040460, 7.5677268, 7.4137137, 7.6780093, 7.4764899", \
+					  "7.7296572, 7.5972631, 7.6276407, 7.7582385, 7.7663691, 7.6260239, 7.7848318");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("4.5350472, 5.1013668, 6.1026578, 6.8287584, 7.0431156, 7.0531137, 7.0561902", \
+					  "9.7599116, 9.7610548, 9.7622691, 9.7636075, 9.7657438, 9.7682539, 9.9435963", \
+					  "9.8042815, 9.8044472, 9.8421341, 9.8461586, 9.8496437, 9.8162303, 9.8152450", \
+					  "9.8929554, 9.8953198, 9.8128363, 9.8145771, 9.8321863, 9.8521356, 9.8440058", \
+					  "9.9516888, 9.9535406, 9.9546638, 9.9571454, 9.9476608, 9.9747658, 9.9147088", \
+					  "10.0302340, 10.0340010, 10.0367220, 10.0395160, 9.9680177, 9.9724248, 9.9631805", \
+					  "9.9999661, 10.0019920, 10.0068280, 10.0074230, 10.0111520, 9.9758960, 10.1251300");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("11.2924622, 11.6655310, 12.1618937, 12.3866963, 12.3936708, 12.3931508, 12.4364935", \
+					  "41.0146710, 41.0203920, 41.0129330, 41.0094840, 41.0177340, 41.0199170, 40.2360470", \
+					  "73.6901170, 73.6931280, 73.7062560, 73.7030640, 73.7069090, 73.7886140, 73.6860780", \
+					  "105.3681100, 105.3319400, 105.3883200, 105.3832300, 105.3436600, 104.9492400, 105.2677200", \
+					  "136.3871000, 136.3831100, 136.4442300, 136.3681900, 136.3674000, 136.3708700, 136.4148700", \
+					  "166.8820900, 166.8703000, 166.9363300, 166.9247900, 166.8946200, 166.9223800, 166.7348200", \
+					  "197.2673400, 197.3196300, 197.2149700, 197.2135400, 197.2389600, 197.6110900, 197.2750400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("11.0820399, 11.1119219, 11.1669109, 11.2295119, 11.2700539, 11.2907179, 11.3027969", \
+					  "35.1845430, 35.1882720, 35.1902990, 35.1916240, 35.1826620, 35.1803030, 35.7485880", \
+					  "52.0918170, 52.0935660, 52.0932880, 52.0989540, 52.1110100, 52.0657370, 52.0299980", \
+					  "69.2065290, 69.2035600, 69.2361170, 69.2258400, 69.2318630, 69.1951980, 69.1297850", \
+					  "86.5242950, 86.5328090, 86.5284090, 86.5103960, 86.4577580, 86.4957410, 86.4578480", \
+					  "103.7799400, 103.8454800, 103.8892200, 103.7779700, 103.8284000, 103.8572800, 103.6970400", \
+					  "121.2126500, 121.2316600, 121.2108500, 121.2134000, 121.1852300, 121.1319400, 121.0922600");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("9.7281239, 10.0810835, 10.5905410, 10.8096725, 10.8240140, 10.7956616, 10.8493268", \
+					  "12.5011030, 12.4992920, 12.5113100, 12.5046740, 12.5049810, 12.5041720, 11.7718760", \
+					  "22.8994670, 22.8902570, 22.9143820, 22.8954490, 22.8971690, 22.8404590, 22.9058530", \
+					  "32.7718370, 32.7629660, 32.8057230, 32.7990970, 32.7847920, 32.7192440, 32.7360710", \
+					  "42.3558270, 42.3689870, 42.3398890, 42.3478410, 42.4092290, 42.3615830, 42.3399540", \
+					  "51.8175050, 51.8098170, 51.8494760, 51.8494970, 51.8343220, 51.7716110, 51.8373330", \
+					  "61.1550460, 61.1118730, 61.2510600, 61.2517810, 61.1941470, 61.2299930, 61.1653780");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("11.2042459, 11.2538499, 11.3329159, 11.4367209, 11.5164479, 11.5588009, 11.5829049", \
+					  "29.2080040, 29.2096240, 29.2080840, 29.2128820, 29.2178260, 29.7260240, 29.8458790", \
+					  "39.1404580, 39.1415820, 39.1438100, 39.1468800, 39.1442330, 39.1383280, 39.3294810", \
+					  "49.1680830, 49.1867280, 49.1621290, 49.1646720, 49.1735370, 49.1493410, 49.1424020", \
+					  "59.2756750, 59.3755050, 59.2775970, 59.2649120, 59.2701100, 59.2691870, 59.2170760", \
+					  "69.3743400, 69.5694540, 69.4002560, 69.4100820, 69.3920130, 69.4043990, 69.3540090", \
+					  "79.4976520, 79.6460470, 79.4967980, 79.5532950, 79.5068660, 79.5014960, 79.5031330");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("25.2305446, 25.6731946, 26.2641176, 26.6505826, 26.8392146, 26.9641446, 27.0215306", \
+					  "25.1873624, 25.6717434, 26.2393794, 26.6572084, 26.8627214, 26.9440364, 27.0041064", \
+					  "25.2045182, 25.6448042, 26.2757752, 26.7071842, 26.9012022, 26.9002132, 26.9976112", \
+					  "25.2027080, 25.6349700, 26.2469440, 26.6635260, 26.8779100, 26.9799510, 27.0227750", \
+					  "25.3088530, 25.7080180, 26.2893120, 26.7086690, 26.9283000, 26.9086810, 27.0048240", \
+					  "25.2251638, 25.6850688, 26.2302648, 26.7406128, 26.9334188, 26.9133188, 26.9339568", \
+					  "25.2475066, 25.6953986, 26.3089646, 26.6883606, 26.8811006, 27.0275916, 27.0609036");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("17.9534535, 18.3123485, 19.0744925, 19.8582145, 20.4445515, 20.7276925, 20.7575265", \
+					  "17.9529344, 18.3121684, 19.0368474, 19.8585894, 20.4347394, 20.7261744, 20.7492134", \
+					  "17.9541483, 18.3135313, 19.0645013, 19.8594683, 20.4306933, 20.7205883, 20.7465593", \
+					  "17.9522723, 18.3063923, 19.0347163, 19.8502703, 20.4254173, 20.7159183, 20.7350243", \
+					  "17.9414809, 18.2865049, 19.0644179, 19.8577359, 20.4233009, 20.7112059, 20.7311309", \
+					  "17.9506788, 18.3177848, 19.0132908, 19.8450878, 20.4201468, 20.7084768, 20.7396928", \
+					  "17.9560038, 18.3172708, 19.0204708, 19.8477268, 20.4280218, 20.7169558, 20.7358808");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("1.1066030, 1.1162445, 1.1354254, 1.1778742, 1.2767344, 1.5099827, 2.0700957", \
+					  "2.4697020, 2.4783173, 2.4977918, 2.5407503, 2.6395088, 2.8726965, 3.4327823", \
+					  "3.4138106, 3.4225076, 3.4419383, 3.4847787, 3.5834902, 3.8167056, 4.3767465", \
+					  "3.6266965, 3.6355261, 3.6547172, 3.6976038, 3.7961279, 4.0295038, 4.5896238", \
+					  "4.7415982, 4.7503104, 4.7697002, 4.8125401, 4.9112563, 5.1444689, 5.7044964", \
+					  "5.6359419, 5.6447322, 5.6642002, 5.7069647, 5.8056653, 6.0400049, 6.5989725", \
+					  "6.2785581, 6.2872072, 6.3122672, 6.3551049, 6.4538139, 6.6486683, 7.2470638", \
+					  "7.2727819, 7.2814754, 7.3011188, 7.3437474, 7.4424578, 7.6756663, 8.2357099", \
+					  "20.0800280, 20.0887800, 20.1161330, 20.1583500, 20.2275030, 20.4899740, 21.0429540", \
+					  "28.1924260, 28.2007840, 28.2209950, 28.2657950, 28.3631310, 28.5910140, 29.1552790", \
+					  "63.7367560, 63.7443380, 63.7677860, 63.7803770, 63.8902260, 64.1283790, 64.6709640", \
+					  "197.8049200, 197.8135900, 197.8135911, 197.9498900, 198.0524500, 198.3279800, 198.7670300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0598353, 0.0693109, 0.0930049, 0.1508335, 0.2951070, 0.6466007, 1.5028891", \
+					  "0.0599556, 0.0700929, 0.0936530, 0.1512986, 0.2952634, 0.6466868, 1.5029554", \
+					  "0.0602005, 0.0700716, 0.0933858, 0.1512716, 0.2951661, 0.6467234, 1.5057668", \
+					  "0.0602966, 0.0698044, 0.0932655, 0.1515792, 0.2953446, 0.6464448, 1.4967113", \
+					  "0.0602041, 0.0700391, 0.0933993, 0.1512387, 0.2951702, 0.6467232, 1.4995237", \
+					  "0.0601938, 0.0700126, 0.0934133, 0.1512589, 0.2951111, 0.6467632, 1.4995000", \
+					  "0.0600943, 0.0700835, 0.0933838, 0.1512193, 0.2952393, 0.6467238, 1.4995082", \
+					  "0.0602019, 0.0700473, 0.0933938, 0.1512457, 0.2951772, 0.6467217, 1.4995245", \
+					  "0.0600234, 0.0697329, 0.0928489, 0.1511478, 0.2948954, 0.6467228, 1.5004364", \
+					  "0.0598891, 0.0700820, 0.0932845, 0.1513063, 0.2951866, 0.6463618, 1.4995657", \
+					  "0.0601433, 0.0700879, 0.0932801, 0.1511050, 0.2951728, 0.6467175, 1.4994115", \
+					  "0.0600997, 0.0700851, 0.0932352, 0.1507380, 0.2954334, 0.6467217, 1.4995717");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.9088198, 0.9222449, 0.9504771, 1.0065466, 1.1141682, 1.3347305, 1.8342103", \
+					  "1.7225918, 1.7359761, 1.7643339, 1.8201984, 1.9278985, 2.1483073, 2.6477789", \
+					  "2.1134925, 2.1268016, 2.1550175, 2.2110052, 2.3186879, 2.5391311, 3.0383103", \
+					  "2.1915421, 2.2048952, 2.2331879, 2.2891464, 2.3968667, 2.6172711, 3.1163656", \
+					  "2.6076346, 2.6209865, 2.6492744, 2.7052631, 2.8129554, 3.0333265, 3.5323624", \
+					  "2.9213065, 2.9346621, 2.9629386, 3.0189156, 3.1266345, 3.3470151, 3.8461794", \
+					  "3.1420946, 3.1554794, 3.1838256, 3.2396876, 3.3473933, 3.5677845, 4.0668380", \
+					  "3.4464525, 3.4598142, 3.4880678, 3.5440699, 3.6517904, 3.8721590, 4.3712030", \
+					  "6.8188832, 6.8357728, 6.8663382, 6.9226473, 7.0293300, 7.2523205, 7.7493620", \
+					  "8.6870138, 8.6936822, 8.7283762, 8.7891880, 8.8902086, 9.1151646, 9.6154311", \
+					  "15.9966970, 16.0133200, 16.0451740, 16.1027800, 16.1969960, 16.4263480, 16.9292370", \
+					  "40.5080200, 40.5300500, 40.6747560, 40.7243550, 40.8213230, 41.0457410, 41.5322350");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0841781, 0.0942175, 0.1169678, 0.1650790, 0.2735281, 0.5388179, 1.2073705", \
+					  "0.0837934, 0.0943884, 0.1173007, 0.1656583, 0.2745601, 0.5388962, 1.2086997", \
+					  "0.0843226, 0.0948523, 0.1171660, 0.1650504, 0.2745701, 0.5390366, 1.2103161", \
+					  "0.0837862, 0.0945264, 0.1175814, 0.1656857, 0.2746571, 0.5386249, 1.2098537", \
+					  "0.0838101, 0.0945498, 0.1176071, 0.1656125, 0.2746957, 0.5388872, 1.2080728", \
+					  "0.0837536, 0.0944928, 0.1175688, 0.1657038, 0.2746240, 0.5388457, 1.2100353", \
+					  "0.0837450, 0.0944227, 0.1173674, 0.1657226, 0.2745352, 0.5403038, 1.2055775", \
+					  "0.0836871, 0.0944279, 0.1175378, 0.1657404, 0.2745667, 0.5403055, 1.2078325", \
+					  "0.0843050, 0.0949437, 0.1170822, 0.1660219, 0.2738301, 0.5404895, 1.2071708", \
+					  "0.0843177, 0.0949032, 0.1171342, 0.1652236, 0.2745621, 0.5395269, 1.2095568", \
+					  "0.0838948, 0.0947474, 0.1171006, 0.1654155, 0.2744750, 0.5400792, 1.2085823", \
+					  "0.0836919, 0.0946267, 0.1173210, 0.1652365, 0.2740823, 0.5397072, 1.2088455");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.8008330, 0.8095675, 0.8287095, 0.8714676, 0.9694893, 1.2033936, 1.7654014", \
+					  "1.3489863, 1.3577932, 1.3770800, 1.4198031, 1.5178695, 1.7517463, 2.3135842", \
+					  "1.6153805, 1.6239443, 1.6434479, 1.6862061, 1.7843282, 2.0181550, 2.5803315", \
+					  "1.6662162, 1.6745627, 1.6942823, 1.7369907, 1.8351239, 2.0689883, 2.6309868", \
+					  "1.9567168, 1.9773061, 1.9847721, 2.0274951, 2.1258222, 2.3594904, 2.9218490", \
+					  "2.1818678, 2.1912929, 2.2098887, 2.2525459, 2.3506368, 2.5845952, 3.1466711", \
+					  "2.3310969, 2.3466304, 2.3553091, 2.4017115, 2.4965234, 2.7337579, 3.2959357", \
+					  "2.5521882, 2.5605542, 2.5908977, 2.6223861, 2.7309881, 2.9544422, 3.5172989", \
+					  "5.1224656, 5.1309623, 5.1502731, 5.1790230, 5.2910685, 5.5253344, 6.0752714", \
+					  "6.6569901, 6.6660313, 6.6854406, 6.7164940, 6.8145156, 7.0573773, 7.6198940", \
+					  "13.0354900, 13.0354906, 13.0608760, 13.1102200, 13.2076060, 13.4308730, 13.9951510", \
+					  "36.1968380, 36.2051080, 36.2523060, 36.2652630, 36.3345580, 36.6412360, 37.1308460");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0587826, 0.0682589, 0.0923548, 0.1499070, 0.2945882, 0.6469614, 1.5047911", \
+					  "0.0587459, 0.0681893, 0.0920038, 0.1502416, 0.2956428, 0.6507243, 1.5062877", \
+					  "0.0587513, 0.0682163, 0.0918437, 0.1502655, 0.2956232, 0.6507346, 1.5042673", \
+					  "0.0587524, 0.0683845, 0.0918245, 0.1502686, 0.2956278, 0.6507360, 1.4992401", \
+					  "0.0587483, 0.0682660, 0.0918389, 0.1502274, 0.2956610, 0.6507091, 1.5018301", \
+					  "0.0587521, 0.0682729, 0.0918065, 0.1502663, 0.2956130, 0.6507232, 1.5027060", \
+					  "0.0587464, 0.0682622, 0.0918934, 0.1502346, 0.2956571, 0.6507067, 1.5051187", \
+					  "0.0586837, 0.0682688, 0.0918720, 0.1502549, 0.2956288, 0.6504750, 1.5020990", \
+					  "0.0586570, 0.0682025, 0.0917970, 0.1502281, 0.2956057, 0.6507329, 1.5018384", \
+					  "0.0587528, 0.0681254, 0.0918448, 0.1502636, 0.2956188, 0.6506439, 1.5020184", \
+					  "0.0587460, 0.0682974, 0.0918356, 0.1502488, 0.2957060, 0.6506081, 1.5022684", \
+					  "0.0585700, 0.0683801, 0.0918639, 0.1502233, 0.2956588, 0.6506710, 1.5002747");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.9317739, 0.9444909, 0.9710739, 1.0247700, 1.1291432, 1.3472938, 1.8458952", \
+					  "2.2827387, 2.2951792, 2.3221016, 2.3754534, 2.4799396, 2.6983376, 3.1982497", \
+					  "3.4865815, 3.4990237, 3.5259460, 3.5792962, 3.6948524, 3.9021937, 4.4023079", \
+					  "3.7680161, 3.7804657, 3.8073873, 3.8607260, 3.9633741, 4.1836303, 4.6836903", \
+					  "5.4812248, 5.4936544, 5.5205800, 5.5739453, 5.6823275, 5.8968355, 6.3968502", \
+					  "6.9463524, 6.9588681, 6.9856028, 7.0392283, 7.1419444, 7.3619382, 7.8609261", \
+					  "8.0692786, 8.0817282, 8.1086489, 8.1619902, 8.2684251, 8.4848901, 8.9854577", \
+					  "9.6850101, 9.6974571, 9.7243777, 9.7777253, 9.8783124, 10.1006230, 10.6101170", \
+					  "33.1251720, 33.1268590, 33.1527050, 33.2075030, 33.2466210, 33.5282340, 34.0442660", \
+					  "48.7434660, 48.7571230, 48.7842160, 48.8370480, 48.9416760, 49.1607640, 49.6652280", \
+					  "118.5569500, 118.5987600, 118.6360700, 118.6826700, 118.7796800, 118.9802200, 119.5159300", \
+					  "388.7128100, 388.7206200, 388.7206269, 388.7741400, 388.8991100, 389.1249800, 389.6250000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0780554, 0.0884784, 0.1113161, 0.1583315, 0.2672391, 0.5347632, 1.2098427", \
+					  "0.0783490, 0.0899057, 0.1125357, 0.1601879, 0.2696612, 0.5390674, 1.2179587", \
+					  "0.0783500, 0.0899086, 0.1125398, 0.1611246, 0.2715030, 0.5378369, 1.2227626", \
+					  "0.0783556, 0.0899205, 0.1125703, 0.1611089, 0.2713557, 0.5377674, 1.2207106", \
+					  "0.0783507, 0.0898926, 0.1125137, 0.1611412, 0.2714578, 0.5380524, 1.2170756", \
+					  "0.0786497, 0.0886238, 0.1120807, 0.1612155, 0.2713822, 0.5388693, 1.2156214", \
+					  "0.0783542, 0.0899176, 0.1125658, 0.1611087, 0.2712546, 0.5377059, 1.2171592", \
+					  "0.0783508, 0.0899101, 0.1125513, 0.1611166, 0.2713879, 0.5378146, 1.2169217", \
+					  "0.0783542, 0.0890346, 0.1122600, 0.1611019, 0.2713908, 0.5381431, 1.2171814", \
+					  "0.0783567, 0.0899485, 0.1125096, 0.1611458, 0.2713583, 0.5379591, 1.2159490", \
+					  "0.0785089, 0.0890344, 0.1122552, 0.1613545, 0.2712548, 0.5394020, 1.2164851", \
+					  "0.0786057, 0.0889832, 0.1125055, 0.1611066, 0.2709873, 0.5388082, 1.2165653");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.7879991, 0.7968522, 0.8157136, 0.8584763, 0.9569051, 1.1905917, 1.7520754", \
+					  "0.2920361, 0.3008349, 0.3201336, 0.3628438, 0.4609156, 0.6948001, 1.2566530", \
+					  "-0.5261921, -0.5174998, -0.4983168, -0.4556018, -0.3576578, -0.1240214, 0.4378757", \
+					  "-0.7348716, -0.7261605, -0.7069591, -0.6642333, -0.5660967, -0.3321735, 0.2302630", \
+					  "-2.1004416, -2.0938682, -2.0729007, -2.0298987, -1.9318513, -1.6981671, -1.1402415", \
+					  "-3.3414049, -3.3318550, -3.3136667, -3.2708081, -3.1729741, -2.9392766, -2.3771642", \
+					  "-4.3256341, -4.3161776, -4.2986037, -4.2556971, -4.1572497, -3.9235162, -3.3651231", \
+					  "-5.7762612, -5.7624256, -5.7481517, -5.7053824, -5.6019731, -5.3734790, -4.8071183", \
+					  "-28.2621140, -28.2573320, -28.2341970, -28.1916480, -28.0934690, -27.8596810, -27.2980070", \
+					  "-43.7777890, -43.7756750, -43.7503510, -43.7074950, -43.6150560, -43.3800870, -42.8167580", \
+					  "-114.4389300, -114.4297800, -114.4082100, -114.3654700, -114.2749800, -114.0331800, -113.4745100", \
+					  "-392.2908400, -392.2908172, -392.2907867, -392.2907562, -392.1566400, -391.8885600, -391.3680500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0586350, 0.0683823, 0.0920521, 0.1501006, 0.2944152, 0.6472687, 1.5078429", \
+					  "0.0587471, 0.0681634, 0.0919700, 0.1502581, 0.2956325, 0.6507244, 1.5013022", \
+					  "0.0588478, 0.0683298, 0.0923015, 0.1506947, 0.2941937, 0.6493589, 1.4979323", \
+					  "0.0586554, 0.0683342, 0.0920343, 0.1502629, 0.2957976, 0.6502987, 1.5014744", \
+					  "0.0587762, 0.0683081, 0.0923342, 0.1502017, 0.2960012, 0.6487804, 1.5022490", \
+					  "0.0587428, 0.0681120, 0.0924878, 0.1509165, 0.2941408, 0.6494679, 1.5023673", \
+					  "0.0588153, 0.0685668, 0.0923073, 0.1502350, 0.2944018, 0.6495458, 1.5009181", \
+					  "0.0587469, 0.0682609, 0.0919755, 0.1502505, 0.2942056, 0.6507097, 1.4988297", \
+					  "0.0588180, 0.0684881, 0.0922219, 0.1504861, 0.2942089, 0.6499127, 1.4976593", \
+					  "0.0587468, 0.0681838, 0.0921861, 0.1500798, 0.2955821, 0.6506891, 1.5014513", \
+					  "0.0587461, 0.0681657, 0.0919886, 0.1502441, 0.2959160, 0.6491393, 1.5012236", \
+					  "0.0588562, 0.0681968, 0.0918829, 0.1506523, 0.2941423, 0.6493719, 1.5020357");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("1.0758834, 1.0886143, 1.1152727, 1.1688162, 1.2734029, 1.4915451, 1.9900255", \
+					  "2.9870264, 2.9994527, 3.0263831, 3.0797540, 3.1844265, 3.4026435, 3.9021555", \
+					  "4.7997921, 4.8122315, 4.8374189, 4.8924940, 4.9973252, 5.2154272, 5.7146989", \
+					  "5.2185221, 5.2309668, 5.2591775, 5.3112421, 5.4160174, 5.6341816, 6.1335332", \
+					  "7.8065678, 7.8191889, 7.8455784, 7.8994740, 8.0066185, 8.2222016, 8.7210106", \
+					  "10.0139300, 10.0264050, 10.0532240, 10.1068070, 10.2122050, 10.4295340, 10.9283830", \
+					  "11.7218940, 11.7344130, 11.7620060, 11.8145320, 11.9190610, 12.1373530, 12.6369990", \
+					  "14.1667420, 14.1792950, 14.2094660, 14.2594060, 14.3674590, 14.5821250, 15.0811170", \
+					  "49.8488290, 49.8605100, 49.8880370, 49.9406380, 50.0461720, 50.2639640, 50.7627610", \
+					  "73.6696390, 73.6834880, 73.7086480, 73.7625500, 73.8685910, 74.0841270, 74.5851030", \
+					  "180.2393300, 180.2540200, 180.2804100, 180.3329200, 180.4383100, 180.6563600, 181.1560700", \
+					  "592.4264900, 592.4612200, 592.4635500, 592.5266500, 592.6360100, 592.8540600, 593.3489400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0782271, 0.0888854, 0.1118501, 0.1583570, 0.2677389, 0.5350536, 1.2089378", \
+					  "0.0783583, 0.0899501, 0.1125115, 0.1611481, 0.2713827, 0.5381567, 1.2101354", \
+					  "0.0783488, 0.0883516, 0.1110449, 0.1605463, 0.2715622, 0.5391786, 1.2119409", \
+					  "0.0783479, 0.0898986, 0.1125190, 0.1611297, 0.2710014, 0.5377852, 1.2124475", \
+					  "0.0785707, 0.0890239, 0.1125988, 0.1610664, 0.2714423, 0.5387801, 1.2160003", \
+					  "0.0785848, 0.0886993, 0.1120638, 0.1613046, 0.2716145, 0.5392799, 1.2098159", \
+					  "0.0786956, 0.0894132, 0.1127221, 0.1611430, 0.2711507, 0.5385703, 1.2162747", \
+					  "0.0785093, 0.0886476, 0.1117922, 0.1610682, 0.2712374, 0.5388579, 1.2143105", \
+					  "0.0783823, 0.0886709, 0.1122177, 0.1610630, 0.2706443, 0.5381047, 1.2149551", \
+					  "0.0783756, 0.0895156, 0.1123323, 0.1613278, 0.2702731, 0.5378385, 1.2153324", \
+					  "0.0782977, 0.0894622, 0.1120414, 0.1611388, 0.2713472, 0.5364541, 1.2084137", \
+					  "0.0791295, 0.0895249, 0.1122534, 0.1612309, 0.2710655, 0.5369679, 1.2125547");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("3.3576297, 3.3662237, 3.3853746, 3.4281866, 3.5258729, 3.7590482, 4.3190607", \
+					  "3.4638392, 3.4724486, 3.4915522, 3.5343997, 3.6320815, 3.8652930, 4.4252921", \
+					  "3.5399550, 3.5488321, 3.5686325, 3.6104173, 3.7084082, 3.9415555, 4.5024193", \
+					  "3.6056200, 3.6144896, 3.6335093, 3.6764689, 3.7741938, 4.0073456, 4.5672435", \
+					  "3.6596139, 3.6684049, 3.6870806, 3.7301087, 3.8279993, 4.0611430, 4.6208757", \
+					  "3.7137444, 3.7226213, 3.7414853, 3.7842063, 3.8821972, 4.1153445, 4.6752060", \
+					  "3.7634078, 3.7719809, 3.7890109, 3.8339611, 3.9316412, 4.1648245, 4.7228013");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0587747, 0.0684048, 0.0924714, 0.1508330, 0.2935447, 0.6483735, 1.4943419", \
+					  "0.0587924, 0.0683768, 0.0922252, 0.1508077, 0.2935600, 0.6484884, 1.4955768", \
+					  "0.0588996, 0.0686000, 0.0924593, 0.1504259, 0.2940585, 0.6483826, 1.4978855", \
+					  "0.0588631, 0.0684676, 0.0922168, 0.1507403, 0.2935965, 0.6484386, 1.4955773", \
+					  "0.0589039, 0.0685157, 0.0924727, 0.1504919, 0.2939965, 0.6483586, 1.4966176", \
+					  "0.0588996, 0.0685998, 0.0921605, 0.1504260, 0.2940581, 0.6483822, 1.4953890", \
+					  "0.0587494, 0.0684548, 0.0924708, 0.1507495, 0.2935609, 0.6484147, 1.4971312");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("2.4550644, 2.4675664, 2.4940605, 2.5471283, 2.6508167, 2.8673259, 3.3594594", \
+					  "2.5253544, 2.5377640, 2.5644689, 2.6174141, 2.7211036, 2.9376430, 3.4297731", \
+					  "2.5509019, 2.5634150, 2.5903757, 2.6429687, 2.7471494, 2.9630194, 3.4551377", \
+					  "2.5655946, 2.5780038, 2.6047100, 2.6576523, 2.7613412, 2.9778804, 3.4700129", \
+					  "2.5688414, 2.5811600, 2.6078193, 2.6608362, 2.7645664, 2.9810125, 3.4731358", \
+					  "2.5726825, 2.5850944, 2.6117764, 2.6647431, 2.7684335, 2.9850131, 3.4771579", \
+					  "2.5703530, 2.5827700, 2.6094591, 2.6624178, 2.7661053, 2.9826634, 3.4747971");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0786288, 0.0887530, 0.1110270, 0.1582967, 0.2693212, 0.5337629, 1.2036268", \
+					  "0.0785144, 0.0889812, 0.1102591, 0.1583055, 0.2669252, 0.5336410, 1.2035853", \
+					  "0.0785858, 0.0887220, 0.1110899, 0.1582795, 0.2693110, 0.5348707, 1.2012561", \
+					  "0.0785142, 0.0889828, 0.1102787, 0.1583005, 0.2668727, 0.5336345, 1.2035039", \
+					  "0.0780823, 0.0887992, 0.1108983, 0.1582140, 0.2691470, 0.5345052, 1.2027385", \
+					  "0.0785164, 0.0889368, 0.1103456, 0.1583222, 0.2670753, 0.5326144, 1.2033826", \
+					  "0.0785175, 0.0889066, 0.1103034, 0.1583545, 0.2670342, 0.5334141, 1.2034881");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 8.214010;
+			max_transition : 3.761060;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03059946, 0.09363272, 0.2865111, 0.8767085, 2.682681, 8.20886");
+					values("8.9671131, 8.8510440, 8.5406816, 8.0081679, 7.3368534, 6.5208668, 5.2313659", \
+					  "6.8163101, 6.6927059, 6.3472261, 5.7637350, 4.8996085, 4.2361795, 2.6011031", \
+					  "6.9980697, 6.8741154, 6.5404058, 5.9533095, 5.3357973, 4.3452321, 3.6571792", \
+					  "7.2181163, 7.0399507, 6.6780470, 6.1371481, 5.4883047, 4.9679202, 2.9043007", \
+					  "7.3673385, 7.2085593, 6.9079217, 6.2208047, 5.6405288, 5.0889874, 3.6330346", \
+					  "7.3862912, 7.3508127, 6.9258752, 6.4767375, 5.7721701, 5.2110490, 4.5977202", \
+					  "7.4437843, 7.4447228, 7.2500291, 6.4967133, 5.9231175, 5.3600453, 4.9667527");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03059946, 0.09363272, 0.2865111, 0.8767085, 2.682681, 8.20886");
+					values("6.6627072, 6.5673521, 6.3992687, 6.1743748, 5.8797164, 5.6999626, 5.6058564", \
+					  "9.7028991, 9.5939307, 9.3353901, 8.9360134, 8.6108108, 8.5785224, 8.4993956", \
+					  "9.7356524, 9.6430615, 9.4402940, 8.9993525, 8.6674704, 8.4751737, 8.5448879", \
+					  "9.7710798, 9.6809904, 9.3682857, 9.0905729, 8.7181165, 8.5273663, 8.4597216", \
+					  "9.8532892, 9.6800909, 9.4939083, 9.1030638, 8.7314149, 8.5866210, 8.5068697", \
+					  "9.9629393, 9.8539614, 9.5535160, 9.1297204, 8.8561112, 8.6024599, 8.5489367", \
+					  "9.9757000, 9.9118396, 9.6133691, 9.1226115, 8.9201285, 8.7244248, 8.5779318");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("10.6656162, 10.5396766, 10.2033735, 9.5728840, 8.8188141, 7.9878826, 6.4025515", \
+					  "12.4201260, 12.2963990, 11.9460410, 11.3031430, 10.6455300, 9.2714673, 7.8339939", \
+					  "22.7947520, 22.6728340, 22.3232660, 21.6805270, 20.9987630, 20.4056200, 18.2633800", \
+					  "32.6737770, 32.5365530, 32.2220860, 31.5503770, 30.8636800, 30.2429820, 29.0760820", \
+					  "42.3112900, 42.0472930, 41.8097150, 41.1660740, 40.4285080, 39.8459490, 39.1956610", \
+					  "51.7429400, 51.6548470, 51.2820830, 50.6176590, 49.9210150, 49.3216790, 48.6960260", \
+					  "61.1765920, 60.9239530, 60.6860260, 59.9313580, 59.3052200, 58.6793950, 58.0315730");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("10.6442249, 10.5663169, 10.4609879, 10.4565869, 10.2926179, 10.1250189, 10.0467499", \
+					  "29.1519170, 29.0424040, 28.7773020, 28.3511890, 27.9799620, 28.3761230, 28.2986520", \
+					  "39.0849570, 38.9719920, 38.7082970, 38.2832720, 37.9116810, 37.6798900, 38.1701270", \
+					  "49.1007170, 49.0008590, 48.7244790, 48.3108800, 47.9499740, 47.6718350, 48.1318320", \
+					  "59.2112330, 59.0994060, 58.8323070, 58.4128440, 58.0450440, 57.7611360, 57.9977700", \
+					  "69.3571310, 69.2343340, 68.9497630, 68.5158800, 68.2137120, 67.8948770, 67.7370450", \
+					  "79.4357980, 79.3072070, 79.0606890, 78.6309350, 78.2777800, 78.0373610, 77.8386040");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("12.2315841, 12.1085560, 11.7764360, 11.1472576, 10.3994913, 9.5430707, 7.9738980", \
+					  "40.9539730, 40.8244510, 40.4632160, 39.8228220, 39.1789730, 37.4419560, 36.3214560", \
+					  "73.6117210, 73.4674060, 73.1327640, 72.5002140, 71.8576800, 71.2170810, 69.1650070", \
+					  "105.2333600, 105.1129200, 104.8083400, 104.1617700, 103.4470000, 102.6820300, 100.6083500", \
+					  "136.3476600, 136.1238900, 135.8532000, 135.2838100, 134.5355900, 133.9803300, 133.0099600", \
+					  "166.7674100, 166.7044300, 166.3370300, 165.6754500, 164.9791700, 164.4232400, 163.6490100", \
+					  "197.2215700, 197.0590400, 196.7080500, 196.4159500, 195.4321400, 194.6312400, 194.0816400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("10.6488409, 10.5646449, 10.4264509, 10.2850749, 10.0367559, 9.8449889, 9.7541559", \
+					  "35.1254060, 35.0197890, 34.7503620, 34.3269790, 33.9475770, 34.2839190, 34.2098430", \
+					  "52.0320310, 51.9030270, 51.6666400, 51.2295660, 50.8720670, 50.5615830, 51.0166180", \
+					  "69.1299100, 69.0168270, 68.7766020, 68.3522410, 67.9838200, 67.6850240, 68.0136090", \
+					  "86.4137930, 86.3688080, 86.0716220, 85.6555040, 85.2446170, 85.1220410, 84.7286340", \
+					  "103.7068600, 103.6316900, 103.3302900, 102.8299700, 102.4918700, 102.4247600, 102.0088700", \
+					  "121.1443400, 120.8965200, 120.7286200, 120.3391300, 119.9492000, 119.7225000, 119.4044200");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("26.4066976, 26.3064776, 26.0078766, 25.5278606, 24.9395956, 24.1603346, 22.7027386", \
+					  "26.4087944, 26.3014514, 26.0134774, 25.5142204, 24.9503974, 24.1580104, 22.6600244", \
+					  "26.4482712, 26.2983132, 26.0424572, 25.5457292, 24.9553802, 24.1602512, 22.7743252", \
+					  "26.4106770, 26.3106880, 26.0199430, 25.5397200, 24.9613320, 24.1855410, 22.7200760", \
+					  "26.4611360, 26.2975430, 26.0483010, 25.5650390, 24.9730210, 24.1738820, 22.8080750", \
+					  "26.4810098, 26.3180518, 26.0749838, 25.5729098, 24.9804498, 24.1832938, 22.7956558", \
+					  "26.4445856, 26.3481196, 26.0490996, 25.5422346, 24.9891106, 24.2095326, 22.7517336");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("19.8273925, 19.6453415, 19.2221805, 18.4273145, 17.5394465, 16.5748845, 16.0315045", \
+					  "19.8269464, 19.6490384, 19.2202284, 18.4250724, 17.5456614, 16.5641254, 15.9610504", \
+					  "19.8090013, 19.6459963, 19.2074563, 18.4232303, 17.5309363, 16.5637363, 16.0152633", \
+					  "19.8202823, 19.6441223, 19.2167373, 18.4214863, 17.5310423, 16.5573673, 15.9899173", \
+					  "19.8101469, 19.6557479, 19.2100959, 18.4207619, 17.5226469, 16.5643179, 15.9971579", \
+					  "19.8184248, 19.6475548, 19.2208198, 18.4204458, 17.5345958, 16.5640318, 15.9718278", \
+					  "19.8125538, 19.6426288, 19.2208278, 18.4269368, 17.5240338, 16.5573698, 15.9769378");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("1.5587988, 1.5695608, 1.5988529, 1.6696534, 1.8485642, 2.3636857, 3.9487031", \
+					  "2.9208536, 2.9315730, 2.9608453, 3.0316754, 3.2101066, 3.7262988, 5.3065512", \
+					  "3.8645354, 3.8749950, 3.9041632, 3.9748089, 4.1531703, 4.6707531, 6.2541257", \
+					  "4.0775161, 4.0882719, 4.1170818, 4.1877355, 4.3663024, 4.8843170, 6.4682917", \
+					  "5.1922928, 5.2027547, 5.2319167, 5.3025588, 5.4809481, 5.9984626, 7.5864168", \
+					  "6.0866721, 6.0972147, 6.1263901, 6.1970047, 6.3753811, 6.8929049, 8.4813445", \
+					  "6.7292638, 6.7396906, 6.7744983, 6.8451524, 7.0177109, 7.5411428, 9.1280308", \
+					  "7.7235214, 7.7339832, 7.7631537, 7.8337967, 8.0121545, 8.5297387, 10.1172690", \
+					  "20.5308360, 20.5308371, 20.5703280, 20.6486120, 20.8445690, 21.3374010, 22.9216910", \
+					  "28.6436260, 28.6537820, 28.6829530, 28.7555010, 28.9321060, 29.4494030, 31.0330960", \
+					  "64.1653980, 64.1833750, 64.1931660, 64.2841870, 64.3797900, 64.9662510, 66.5775130", \
+					  "197.5995500, 198.3856200, 198.3856278, 198.4043500, 198.5459800, 198.8309500, 200.7018500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("0.1115243, 0.1212792, 0.1475373, 0.2197050, 0.4601461, 1.2563474, 3.7466643", \
+					  "0.1112161, 0.1211938, 0.1478719, 0.2195609, 0.4604868, 1.2558806, 3.7397152", \
+					  "0.1117528, 0.1207631, 0.1475030, 0.2197172, 0.4604537, 1.2562309, 3.7446570", \
+					  "0.1114387, 0.1212874, 0.1472692, 0.2193698, 0.4597429, 1.2595919, 3.7544914", \
+					  "0.1117733, 0.1206494, 0.1475081, 0.2197124, 0.4604688, 1.2518090, 3.7617647", \
+					  "0.1117824, 0.1206009, 0.1475109, 0.2197129, 0.4604742, 1.2515307, 3.7555181", \
+					  "0.1117323, 0.1213976, 0.1474952, 0.2197129, 0.4603063, 1.2526307, 3.7573105", \
+					  "0.1117500, 0.1207790, 0.1475022, 0.2197176, 0.4604508, 1.2521820, 3.7571435", \
+					  "0.1116128, 0.1211229, 0.1474561, 0.2197152, 0.4604112, 1.2559110, 3.7475831", \
+					  "0.1114884, 0.1212537, 0.1474340, 0.2196808, 0.4603685, 1.2551929, 3.7478620", \
+					  "0.1115387, 0.1213830, 0.1472337, 0.2197091, 0.4599397, 1.2577769, 3.7478332", \
+					  "0.1117433, 0.1206077, 0.1474019, 0.2197146, 0.4602893, 1.2517841, 3.7460497");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("1.0775525, 1.0878671, 1.1162334, 1.1876262, 1.3718937, 1.8962627, 3.5000129", \
+					  "1.8913073, 1.9016253, 1.9298831, 2.0016524, 2.1858013, 2.7114826, 4.3102295", \
+					  "2.2824238, 2.2927720, 2.3210371, 2.3926641, 2.5768223, 3.1010194, 4.7006234", \
+					  "2.3606330, 2.3710092, 2.3992097, 2.4710027, 2.6550873, 3.1793046, 4.7815840", \
+					  "2.7767939, 2.7871778, 2.8153844, 2.8871805, 3.0712599, 3.5954817, 5.1985607", \
+					  "3.0905769, 3.1009499, 3.1291485, 3.2009411, 3.3850278, 3.9092436, 5.5118701", \
+					  "3.3115024, 3.3218146, 3.3500309, 3.4218006, 3.6059340, 4.1301198, 5.7294955", \
+					  "3.6160419, 3.6263565, 3.6545762, 3.7263641, 3.9104622, 4.4346686, 6.0414735", \
+					  "6.9921988, 7.0038957, 7.0403487, 7.1113408, 7.2891781, 7.8105700, 9.4063779", \
+					  "8.8554524, 8.8735224, 8.8950634, 8.9663521, 9.1465804, 9.6775477, 11.2766130", \
+					  "16.1773390, 16.1895330, 16.2103040, 16.2835770, 16.4649840, 16.9920290, 18.5894430", \
+					  "40.6939940, 40.8267060, 40.8440390, 40.8492580, 41.0968330, 41.6056770, 43.2149920");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("0.0932405, 0.1007861, 0.1231549, 0.1898428, 0.4000480, 1.0861804, 3.2520524", \
+					  "0.0928370, 0.1006152, 0.1235817, 0.1898995, 0.4001364, 1.0882434, 3.2310898", \
+					  "0.0925929, 0.1007004, 0.1237633, 0.1895835, 0.4005639, 1.0871396, 3.2402963", \
+					  "0.0922128, 0.1017827, 0.1234381, 0.1899570, 0.4001476, 1.0872275, 3.2379532", \
+					  "0.0921976, 0.1017783, 0.1234422, 0.1899607, 0.4001605, 1.0872321, 3.2513940", \
+					  "0.0922179, 0.1017844, 0.1234356, 0.1899556, 0.4001378, 1.0872265, 3.2509742", \
+					  "0.0926751, 0.1004367, 0.1233256, 0.1899166, 0.3999875, 1.0872061, 3.2537768", \
+					  "0.0922357, 0.1005348, 0.1234233, 0.1899481, 0.4001015, 1.0872210, 3.2511800", \
+					  "0.0927190, 0.1007413, 0.1243827, 0.1890222, 0.4006765, 1.0866957, 3.2452021", \
+					  "0.0927140, 0.1006813, 0.1243464, 0.1890150, 0.4006722, 1.0866914, 3.2385949", \
+					  "0.0926845, 0.1008866, 0.1238926, 0.1898517, 0.4006712, 1.0872373, 3.2360998", \
+					  "0.0926797, 0.1007812, 0.1242685, 0.1898845, 0.4000293, 1.0867685, 3.2316509");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.8599844, 0.8709203, 0.9003863, 0.9723907, 1.1529829, 1.6687882, 3.2559180", \
+					  "0.3638686, 0.3749883, 0.4045318, 0.4769094, 0.6569110, 1.1747094, 2.7573155", \
+					  "-0.4545571, -0.4436031, -0.4141400, -0.3415788, -0.1621114, 0.3567431, 1.9418021", \
+					  "-0.6630699, -0.6521189, -0.6224585, -0.5500816, -0.3698690, 0.1472139, 1.7341264", \
+					  "-2.0287638, -2.0178439, -1.9883097, -1.9159747, -1.7353705, -1.2194189, 0.3668487", \
+					  "-3.2697185, -3.2587568, -3.2293119, -3.1567322, -2.9769593, -2.4585944, -0.8720643", \
+					  "-4.2540180, -4.2430752, -4.2135953, -4.1411967, -3.9608050, -3.4432701, -1.8588271", \
+					  "-5.7043286, -5.6931833, -5.6636589, -5.5912590, -5.4108567, -4.8934816, -3.3103948", \
+					  "-28.1901740, -28.1844660, -28.1550480, -28.0771480, -27.9006520, -27.3806650, -25.7969880", \
+					  "-43.7066990, -43.7008830, -43.6855170, -43.6001210, -43.4217280, -42.8936810, -41.3178720", \
+					  "-114.3644500, -114.3528200, -114.3250800, -114.2536200, -114.0715300, -113.5556400, -111.9735100", \
+					  "-392.2099800, -392.2031600, -392.2031402, -392.0968100, -392.0372600, -391.4403500, -389.8540500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.1151543, 0.1249846, 0.1515620, 0.2235028, 0.4635108, 1.2594820, 3.7591934", \
+					  "0.1151969, 0.1245692, 0.1517702, 0.2242587, 0.4634127, 1.2597300, 3.7534091", \
+					  "0.1150867, 0.1251460, 0.1517757, 0.2244677, 0.4624340, 1.2596296, 3.7531929", \
+					  "0.1151641, 0.1249905, 0.1514628, 0.2240641, 0.4638548, 1.2587765, 3.7497041", \
+					  "0.1149438, 0.1252361, 0.1518628, 0.2240004, 0.4635444, 1.2592117, 3.7510564", \
+					  "0.1149843, 0.1252412, 0.1519032, 0.2243620, 0.4631810, 1.2570127, 3.7535752", \
+					  "0.1147877, 0.1252264, 0.1520053, 0.2238619, 0.4635189, 1.2539613, 3.7497849", \
+					  "0.1151987, 0.1245820, 0.1517817, 0.2242629, 0.4639970, 1.2597267, 3.7554218", \
+					  "0.1152869, 0.1245997, 0.1517921, 0.2240856, 0.4640056, 1.2567540, 3.7593879", \
+					  "0.1151293, 0.1245976, 0.1517987, 0.2240748, 0.4640195, 1.2564493, 3.7582759", \
+					  "0.1148026, 0.1251488, 0.1517543, 0.2242765, 0.4634145, 1.2595132, 3.7617993", \
+					  "0.1151763, 0.1245324, 0.1519230, 0.2242589, 0.4633628, 1.2592423, 3.7621706");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.8559089, 0.8665669, 0.8956982, 0.9689596, 1.1558083, 1.6832200, 3.2872820", \
+					  "2.7669331, 2.7775053, 2.8066889, 2.8801272, 3.0669254, 3.5943379, 5.1958375", \
+					  "4.5793755, 4.5899760, 4.6191073, 4.6924975, 4.8777173, 5.4064300, 7.0108328", \
+					  "4.9979185, 5.0087814, 5.0378484, 5.1109748, 5.2992828, 5.8251177, 7.4286186", \
+					  "7.5856184, 7.5963751, 7.6253501, 7.6987963, 7.8855846, 8.4131493, 10.0148350", \
+					  "9.7928678, 9.8036788, 9.8327506, 9.9060937, 10.0930070, 10.6201490, 12.2226390", \
+					  "11.5008860, 11.5115040, 11.5406500, 11.6140570, 11.7997570, 12.3282900, 13.9310790", \
+					  "13.9453810, 13.9561480, 13.9852510, 14.0573480, 14.2490320, 14.7729060, 16.3757470", \
+					  "49.6246560, 49.6358510, 49.6649410, 49.7381510, 49.9253370, 50.4526270, 52.0538030", \
+					  "73.4470620, 73.4568740, 73.4845000, 73.5605430, 73.7453550, 74.2741900, 75.8762580", \
+					  "180.0154200, 180.0244000, 180.0540000, 180.1265200, 180.3123800, 180.8390500, 182.4412500", \
+					  "592.1958700, 592.2056500, 592.2506700, 592.3088200, 592.5189200, 593.0382900, 594.6361100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.0967295, 0.1046299, 0.1276561, 0.1929822, 0.4039568, 1.0881004, 3.2504814", \
+					  "0.0966703, 0.1048130, 0.1281043, 0.1933991, 0.4039901, 1.0893779, 3.2388254", \
+					  "0.0964048, 0.1049827, 0.1280872, 0.1935586, 0.4038390, 1.0886740, 3.2433527", \
+					  "0.0964612, 0.1050643, 0.1278714, 0.1936201, 0.4044889, 1.0892247, 3.2536942", \
+					  "0.0967579, 0.1050306, 0.1279785, 0.1936835, 0.4040199, 1.0873794, 3.2451957", \
+					  "0.0967405, 0.1049030, 0.1279158, 0.1932791, 0.4042911, 1.0868082, 3.2439194", \
+					  "0.0968527, 0.1048507, 0.1280021, 0.1934616, 0.4040609, 1.0877538, 3.2427254", \
+					  "0.0967978, 0.1050640, 0.1279016, 0.1934528, 0.4039523, 1.0886381, 3.2459151", \
+					  "0.0966111, 0.1048986, 0.1280268, 0.1937635, 0.4040950, 1.0883860, 3.2388074", \
+					  "0.0966487, 0.1050371, 0.1280954, 0.1934379, 0.4038899, 1.0867012, 3.2410672", \
+					  "0.0969752, 0.1053110, 0.1280429, 0.1936212, 0.4037010, 1.0876494, 3.2408762", \
+					  "0.0970701, 0.1052386, 0.1285384, 0.1937018, 0.4039177, 1.0888132, 3.2384011");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.8727888, 0.8836995, 0.9134262, 0.9853620, 1.1658066, 1.6822775, 3.2684504", \
+					  "1.4207563, 1.4319004, 1.4614358, 1.5337976, 1.7133571, 2.2315805, 3.8158183", \
+					  "1.6872668, 1.6982143, 1.7279794, 1.8003014, 1.9841404, 2.4978888, 4.0872545", \
+					  "1.7380524, 1.7490215, 1.7787221, 1.8510637, 2.0373379, 2.5487925, 4.1376635", \
+					  "2.0287934, 2.0396789, 2.0695683, 2.1416358, 2.3307885, 2.8389195, 4.4324175", \
+					  "2.2535713, 2.2645985, 2.2942366, 2.3666380, 2.5472773, 3.0644340, 4.6497677", \
+					  "2.4027365, 2.4139875, 2.4436102, 2.5157514, 2.7113078, 3.2134355, 4.8118354", \
+					  "2.6235702, 2.6346552, 2.6647122, 2.7365428, 2.9243203, 3.4338676, 5.0202891", \
+					  "5.1969500, 5.2050804, 5.2332489, 5.3017222, 5.4841585, 5.9955800, 7.5856450", \
+					  "6.7266344, 6.7369093, 6.7694783, 6.8412644, 7.0182002, 7.5368201, 9.1236805", \
+					  "13.0889200, 13.0940160, 13.1478090, 13.2202680, 13.4043650, 13.9166570, 15.4820370", \
+					  "36.2149170, 36.2621040, 36.3071140, 36.3708850, 36.5762420, 37.0607470, 38.6888420");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.1151926, 0.1251652, 0.1515550, 0.2233776, 0.4634637, 1.2570996, 3.7482537", \
+					  "0.1152052, 0.1245841, 0.1517791, 0.2242565, 0.4634125, 1.2598474, 3.7583718", \
+					  "0.1151447, 0.1247369, 0.1516409, 0.2242568, 0.4635909, 1.2600765, 3.7652810", \
+					  "0.1151693, 0.1245876, 0.1517109, 0.2242430, 0.4639876, 1.2599781, 3.7730850", \
+					  "0.1151083, 0.1248464, 0.1515727, 0.2241439, 0.4636412, 1.2601589, 3.7469583", \
+					  "0.1151544, 0.1246857, 0.1516584, 0.2242112, 0.4636264, 1.2601422, 3.7474570", \
+					  "0.1151368, 0.1247700, 0.1516217, 0.2241737, 0.4636195, 1.2601471, 3.7605821", \
+					  "0.1151537, 0.1248461, 0.1514784, 0.2240940, 0.4635996, 1.2592700, 3.7477350", \
+					  "0.1151570, 0.1246437, 0.1515357, 0.2242256, 0.4634143, 1.2596707, 3.7554670", \
+					  "0.1151404, 0.1248043, 0.1516880, 0.2242540, 0.4634210, 1.2600826, 3.7575383", \
+					  "0.1151278, 0.1250124, 0.1517713, 0.2242602, 0.4637098, 1.2597914, 3.7580006", \
+					  "0.1149779, 0.1249541, 0.1515322, 0.2244232, 0.4634222, 1.2597419, 3.7457548");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.7119807, 0.7227309, 0.7518853, 0.8252807, 1.0119861, 1.5393465, 3.1427645", \
+					  "2.0629844, 2.0736910, 2.1027329, 2.1761928, 2.3629925, 2.8904492, 4.4916537", \
+					  "3.2667027, 3.2774092, 3.3064447, 3.3799292, 3.5647540, 4.0941037, 5.6991318", \
+					  "3.5478700, 3.5585532, 3.5876582, 3.6609724, 3.8601575, 4.3753330, 5.9787175", \
+					  "5.2612319, 5.2718074, 5.3009876, 5.3744529, 5.5644329, 6.0886554, 7.6958697", \
+					  "6.7259704, 6.7367574, 6.7658523, 6.8391923, 7.0247261, 7.5535214, 9.1598595", \
+					  "7.8490388, 7.8596996, 7.8888576, 7.9621696, 8.1502733, 8.6772296, 10.2822930", \
+					  "9.4646495, 9.4737995, 9.5044692, 9.5778567, 9.7607540, 10.2734070, 11.8924770", \
+					  "32.8911230, 32.9020830, 32.9316980, 33.0143170, 33.1363910, 33.7300780, 35.3349600", \
+					  "48.5047170, 48.5346160, 48.5649670, 48.6374320, 48.8058090, 49.3555700, 50.9488910", \
+					  "118.3563000, 118.3760900, 118.4021900, 118.4525700, 118.6505200, 119.1947100, 120.7854000", \
+					  "388.4954900, 388.5117800, 388.5117951, 388.6104600, 388.7994400, 389.2507700, 390.8504000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.0965422, 0.1050207, 0.1275719, 0.1930123, 0.4030265, 1.0896209, 3.2497027", \
+					  "0.0967071, 0.1046941, 0.1281593, 0.1934269, 0.4036527, 1.0884317, 3.2438887", \
+					  "0.0967244, 0.1046845, 0.1281554, 0.1934067, 0.4040189, 1.0889813, 3.2514376", \
+					  "0.0966308, 0.1051406, 0.1279458, 0.1936909, 0.4037585, 1.0881553, 3.2506093", \
+					  "0.0967139, 0.1048594, 0.1281596, 0.1934328, 0.4037574, 1.0887836, 3.2540866", \
+					  "0.0967901, 0.1049203, 0.1279985, 0.1935953, 0.4040300, 1.0896293, 3.2531509", \
+					  "0.0964326, 0.1050767, 0.1279929, 0.1936603, 0.4041688, 1.0885914, 3.2518079", \
+					  "0.0964412, 0.1051335, 0.1279952, 0.1934965, 0.4040401, 1.0886412, 3.2549399", \
+					  "0.0968852, 0.1048604, 0.1281588, 0.1934578, 0.4040384, 1.0886976, 3.2562666", \
+					  "0.0968740, 0.1048393, 0.1281678, 0.1934852, 0.4042518, 1.0882512, 3.2562189", \
+					  "0.0968016, 0.1048762, 0.1280381, 0.1933404, 0.4041510, 1.0882988, 3.2546923", \
+					  "0.0968387, 0.1049595, 0.1279276, 0.1933821, 0.4042908, 1.0883610, 3.2562197");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("3.4299439, 3.4409202, 3.4704384, 3.5429939, 3.7228109, 4.2410200, 5.8275655", \
+					  "3.5361876, 3.5471599, 3.5766605, 3.6492489, 3.8290233, 4.3474837, 5.9344063", \
+					  "3.6125678, 3.6235586, 3.6531020, 3.7256473, 3.9055190, 4.4237285, 6.0149317", \
+					  "3.6782852, 3.6893475, 3.7188390, 3.7914090, 3.9712047, 4.4893755, 6.0738010", \
+					  "3.7321439, 3.7431325, 3.7726591, 3.8452255, 4.0249648, 4.5433821, 6.1342957", \
+					  "3.7863570, 3.7973478, 3.8268917, 3.8994368, 4.0793084, 4.5975184, 6.1887220", \
+					  "3.8358530, 3.8468260, 3.8763281, 3.9488763, 4.1287667, 4.6468869, 6.2316698");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("0.1152326, 0.1255608, 0.1522633, 0.2246623, 0.4632792, 1.2565040, 3.7584047", \
+					  "0.1152592, 0.1255599, 0.1522323, 0.2246947, 0.4632344, 1.2569217, 3.7585681", \
+					  "0.1153624, 0.1253610, 0.1522123, 0.2246557, 0.4633009, 1.2602402, 3.7492063", \
+					  "0.1152000, 0.1255616, 0.1522669, 0.2247024, 0.4633612, 1.2558501, 3.7563184", \
+					  "0.1153633, 0.1254063, 0.1521894, 0.2246975, 0.4629534, 1.2603114, 3.7460919", \
+					  "0.1153624, 0.1253610, 0.1522123, 0.2246557, 0.4633019, 1.2602401, 3.7492129", \
+					  "0.1152021, 0.1255670, 0.1522702, 0.2245803, 0.4633523, 1.2560358, 3.7567256");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("2.4667313, 2.4801079, 2.5157221, 2.6028910, 2.8102018, 3.3508674, 4.9477302", \
+					  "2.5369531, 2.5503952, 2.5859019, 2.6731075, 2.8804217, 3.4210765, 5.0179110", \
+					  "2.5625910, 2.5759785, 2.6115210, 2.6990312, 2.9058942, 3.4467065, 5.0439857", \
+					  "2.5771345, 2.5905762, 2.6260718, 2.7132694, 2.9205807, 3.4612393, 5.0580584", \
+					  "2.5806457, 2.5938103, 2.6293783, 2.7167053, 2.9240217, 3.4646401, 5.0605733", \
+					  "2.5843814, 2.5978284, 2.6333231, 2.7205225, 2.9278309, 3.4687733, 5.0653202", \
+					  "2.5819911, 2.5954510, 2.6309268, 2.7181477, 2.9254597, 3.4662844, 5.0629829");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("0.1904954, 0.2002009, 0.2263142, 0.2903530, 0.4784280, 1.1244351, 3.2510332", \
+					  "0.1905873, 0.2004147, 0.2263228, 0.2901622, 0.4783145, 1.1247570, 3.2514407", \
+					  "0.1897900, 0.1992489, 0.2261200, 0.2902446, 0.4784155, 1.1244823, 3.2522158", \
+					  "0.1905259, 0.2003516, 0.2262518, 0.2901107, 0.4782995, 1.1247323, 3.2511387", \
+					  "0.1892083, 0.1999397, 0.2256313, 0.2902403, 0.4783288, 1.1227209, 3.2594558", \
+					  "0.1905563, 0.2003808, 0.2262693, 0.2900868, 0.4782803, 1.1252718, 3.2515157", \
+					  "0.1906108, 0.2004675, 0.2263106, 0.2900935, 0.4782465, 1.1233585, 3.2521772");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.040736;
+			max_capacitance : 551.041000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1413217, 16.1578847, 16.2524237, 16.6271437, 17.1159587, 17.2865137, 17.3188607", \
+					  "21.8397685, 21.8801175, 22.1168335, 23.2364275, 25.4028315, 26.4227445, 26.6339265", \
+					  "22.0986572, 22.1389572, 22.3767732, 23.5001632, 25.6652802, 26.6879032, 26.9015202", \
+					  "22.1072661, 22.1421491, 22.3828871, 23.5067711, 25.6735451, 26.6974411, 26.9066711", \
+					  "22.1072450, 22.1485160, 22.3839190, 23.5073120, 25.6734750, 26.6957270, 26.9097090", \
+					  "22.1074169, 22.1477459, 22.3838539, 23.5091419, 25.6791279, 26.7001529, 26.9152689", \
+					  "22.1124848, 22.1542868, 22.3903858, 23.5135398, 25.6797338, 26.7033038, 26.9166798");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.6601692, 63.6907352, 63.9629622, 64.7844572, 65.1922292, 64.3874352, -23.1233398", \
+					  "63.5883577, 63.7177267, 63.9949427, 64.7040627, 65.4307107, 63.8240797, -15.0877593", \
+					  "63.6866262, 63.7336992, 63.9453082, 64.7672822, 65.5699732, 63.2457772, -16.9058458", \
+					  "63.6761534, 63.7083284, 64.0168944, 64.7669744, 65.3298374, 63.0631644, -19.1541906", \
+					  "63.6729790, 63.7369560, 63.9543650, 64.8801800, 65.5935610, 63.4749710, -14.8143420", \
+					  "63.6987132, 63.7443162, 64.0822542, 64.8118782, 65.2889272, 61.7912252, -13.1607208", \
+					  "63.6585905, 63.7100975, 64.0406325, 64.7583285, 65.8655055, 65.0950035, -20.0400405");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.7027408, 69.8950528, 70.3215388, 71.3091788, 71.2791958, 57.1303848, -131.0380732", \
+					  "71.1556475, 69.9635665, 70.4776075, 70.9927225, 72.9055195, 50.3401735, -130.2744995", \
+					  "70.3885313, 70.1493133, 70.7463633, 70.2435223, 71.8515003, 84.5291993, -131.8332557", \
+					  "70.3992427, 70.4328687, 70.4986217, 70.4500427, 73.0102857, 62.3112677, -144.4281233", \
+					  "70.4497820, 70.1067920, 70.6814640, 70.2977990, 72.7259190, 52.6004660, -134.8503690", \
+					  "70.4300723, 70.4057793, 70.5039653, 70.6427573, 70.7616823, 59.3831113, -131.8934267", \
+					  "70.5584187, 70.4609347, 70.4579577, 70.7083477, 70.9050927, 59.6913407, -132.3808243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("55.3610118, 55.7322358, 57.6759898, 67.5098078, 95.8468008, 163.3806698, 211.6503298", \
+					  "55.2699788, 55.6490748, 57.6061378, 67.4110068, 95.7705918, 163.2557088, 211.7022988", \
+					  "55.2252417, 55.5958967, 57.5581047, 67.3588277, 95.6944537, 163.2328077, 211.6931577", \
+					  "55.2389721, 55.6008661, 57.5646811, 67.3679571, 95.7061501, 163.2130791, 211.6561491", \
+					  "55.2524032, 55.6751372, 57.5697262, 67.3917102, 95.7703082, 163.2481492, 211.6583692", \
+					  "55.2987076, 55.5637626, 57.5228656, 67.3564236, 95.8986406, 163.2551906, 211.5872706", \
+					  "55.3640519, 55.6642529, 57.4422049, 67.3871459, 95.3474049, 163.2789019, 211.6867919");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1889857, 12.1891197, 12.1894827, 12.1906177, 12.1914057, 12.1916347, 12.1916087", \
+					  "15.6084025, 15.6082495, 15.6074985, 15.6056835, 15.6043615, 15.6042695, 15.6038725", \
+					  "15.7019032, 15.7009682, 15.6992612, 15.6972952, 15.6922982, 15.6910902, 15.6887862", \
+					  "15.7053491, 15.7047691, 15.7019021, 15.6938021, 15.6877641, 15.6861631, 15.6861761", \
+					  "15.7114410, 15.7118400, 15.7126660, 15.7068660, 15.7004790, 15.6971870, 15.6967230", \
+					  "15.7135929, 15.7137769, 15.7101319, 15.7015549, 15.6942779, 15.6934789, 15.6923459", \
+					  "15.7169988, 15.7167938, 15.7135568, 15.7055838, 15.6985668, 15.6958658, 15.6956878");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2844272, 27.3717802, 27.6055032, 27.9487112, 27.3480352, 24.5779372, 26.6609582", \
+					  "27.2547187, 27.5993057, 27.3710857, 27.3834527, 30.1440137, 25.7465417, 26.6273247", \
+					  "27.3889092, 27.4550212, 27.6147812, 27.2226102, 28.4174582, 28.7811712, 30.1894172", \
+					  "27.2533174, 27.5961754, 27.1155734, 27.8186834, 28.2249644, 20.3503534, 26.3536834", \
+					  "27.3621660, 27.1093970, 27.5007460, 26.5992460, 25.3047660, 28.3304240, 21.4546100", \
+					  "27.4146942, 27.4581942, 27.5166282, 27.4923332, 28.1670702, 28.9896962, 26.9556222", \
+					  "27.4371605, 27.4190215, 27.4227675, 27.7540005, 27.4186935, 31.1010535, 27.2653215");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9750778, 71.0574848, 71.3402858, 71.9731198, 73.0074658, 69.0191708, -9.4749592", \
+					  "70.9646415, 70.9489245, 71.2653035, 71.9515325, 72.9110075, 68.6695115, -15.0767555", \
+					  "70.8394623, 70.8477403, 71.1241303, 71.9430933, 72.5385343, 71.6613603, -12.5526027", \
+					  "70.8533097, 70.8642947, 71.1510167, 71.9535347, 72.5878667, 70.5473817, -13.7965153", \
+					  "70.8996510, 70.9459200, 71.1663610, 71.9598720, 72.9223110, 68.4524070, -14.6691210", \
+					  "70.8925913, 70.9172183, 71.1351403, 72.0395283, 72.8966483, 68.8034713, -11.9951557", \
+					  "70.9206087, 70.9468447, 71.2217637, 72.0498787, 72.9985717, 75.0690467, -13.7651663");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.8571038, 41.2783118, 41.3647908, 42.4531948, 44.9948838, 69.6877528, 46.3859548", \
+					  "40.9623998, 40.9310908, 41.3991748, 42.8014148, 45.6736138, 30.9044488, 44.2902168", \
+					  "40.9173957, 40.9563327, 41.1726847, 42.3073257, 44.1686977, 44.7360287, 48.9550637", \
+					  "40.9237211, 40.9777651, 41.1157931, 42.3577821, 44.5314951, 46.8781211, 45.2833731", \
+					  "40.9450842, 41.0104242, 41.1735642, 42.1907812, 44.4976272, 44.5834932, 44.4541202", \
+					  "40.8931156, 41.2946246, 41.2980386, 42.0366426, 44.1191496, 45.1325096, 45.0859006", \
+					  "40.9818449, 41.0047709, 41.2032199, 42.1630559, 44.0327759, 44.9046789, 45.0832759");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1791427, 12.1792167, 12.1796057, 12.1806427, 12.1814667, 12.1816947, 12.1817217", \
+					  "15.6023915, 15.6022365, 15.6014975, 15.5996695, 15.5982795, 15.5978425, 15.5977565", \
+					  "15.7004822, 15.7000332, 15.6981822, 15.6926602, 15.6879212, 15.6870592, 15.6868702", \
+					  "15.7050661, 15.7045441, 15.7015511, 15.6940711, 15.6880381, 15.6862151, 15.6859511", \
+					  "15.7117770, 15.7123410, 15.7084870, 15.7046320, 15.6984840, 15.6947600, 15.6959130", \
+					  "15.7134749, 15.7131819, 15.7101629, 15.7020079, 15.6940889, 15.6919939, 15.6919609", \
+					  "15.7169068, 15.7166308, 15.7131198, 15.7050128, 15.6979688, 15.6957078, 15.6953198");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2773252, 27.2410952, 27.3974132, 27.0961922, 26.6133682, 23.1059772, 26.7433402", \
+					  "27.3305317, 27.1656457, 27.3716547, 27.5984547, 26.5986497, 26.6673507, 27.6760507", \
+					  "27.5096552, 27.3932842, 27.3655722, 26.8506442, 28.8715552, 28.4957322, 27.9062922", \
+					  "27.1273314, 27.4492564, 27.3392614, 27.6489144, 30.0147004, 28.1584724, 27.7730274", \
+					  "27.3176330, 27.1916800, 27.6580730, 27.3647780, 26.5943320, 15.7318200, 27.8275050", \
+					  "27.4542282, 27.4161772, 27.4203092, 27.2929632, 27.4510282, 25.2913002, 26.8987252", \
+					  "27.4128025, 27.4688555, 27.4154955, 27.7773325, 27.9875355, 29.8253695, 27.1531215");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("90.0072008, 91.1284148, 98.3388138, 132.2068598, 173.4902498, 194.6931298, 199.9560598", \
+					  "89.9229228, 91.1144418, 98.2566178, 131.2067988, 173.1833488, 194.7628488, 199.8625188", \
+					  "89.6322607, 91.0649967, 97.7170577, 131.0725377, 173.2188477, 194.6005977, 199.6334977", \
+					  "89.9116041, 91.0721341, 98.2314631, 131.1104591, 173.1829991, 194.6190291, 199.9565091", \
+					  "89.6747052, 90.9899022, 98.1693472, 132.1306492, 173.1967092, 194.5153192, 199.9541392", \
+					  "89.7079326, 90.7023456, 97.6367986, 131.0978906, 173.1585606, 194.6208606, 199.9228706", \
+					  "89.9565369, 90.7980929, 98.4579239, 132.0698119, 173.2411619, 194.6273119, 200.0414219");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.9733648, 13.9733388, 13.9729768, 13.9716878, 13.9689888, 13.9663728, 13.9656278", \
+					  "21.4344415, 21.4049205, 21.4029705, 21.4218385, 21.3995705, 21.3749595, 21.3640895", \
+					  "21.7851883, 21.7771993, 21.7653303, 21.7232863, 21.6703613, 21.6302703, 21.6187983", \
+					  "21.8390107, 21.8078337, 21.7947197, 21.7810117, 21.7247217, 21.6563407, 21.6729647", \
+					  "21.8009140, 21.8064220, 21.7928210, 21.7491440, 21.6947700, 21.6533290, 21.6391320", \
+					  "21.8194433, 21.8187583, 21.8086723, 21.7606833, 21.7031043, 21.6698353, 21.6511493", \
+					  "21.8448237, 21.8412967, 21.8316417, 21.7875327, 21.7307597, 21.6923217, 21.6782427");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1510297, 16.1673587, 16.2625137, 16.6371287, 17.1241207, 17.2960197, 17.3282667", \
+					  "21.8893605, 21.9296495, 22.1665885, 23.2865905, 25.4526885, 26.4768545, 26.6884725", \
+					  "22.1470282, 22.1865052, 22.4256162, 23.5470072, 25.7181982, 26.7358112, 26.9481132", \
+					  "22.1498971, 22.1927321, 22.4259361, 23.5482851, 25.7175501, 26.7370541, 26.9542671", \
+					  "22.1558740, 22.1929300, 22.4302800, 23.5521930, 25.7157730, 26.7429050, 26.9576030", \
+					  "22.1555129, 22.1952979, 22.4330249, 23.5548599, 25.7222829, 26.7490459, 26.9597409", \
+					  "22.1619508, 22.2023178, 22.4396378, 23.5612788, 25.7280218, 26.7514598, 26.9640068");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.9751792, 87.0469832, 87.2315232, 87.2775972, 90.1869922, 88.9511122, 10.4105042", \
+					  "87.0700817, 87.1155387, 87.2164157, 87.2672087, 90.1852007, 88.6215907, 10.6518997", \
+					  "87.1127412, 87.1202422, 87.1827272, 87.2823552, 90.1681162, 89.4733802, 7.9101384", \
+					  "87.0516624, 87.0775934, 87.2184944, 87.3175754, 90.0162224, 89.3989724, 5.6254103", \
+					  "87.1273910, 87.1305140, 87.2028860, 87.3281270, 89.9730660, 89.0095940, 12.0976360", \
+					  "87.0647102, 87.0607552, 87.2374192, 87.3029642, 90.2393372, 88.7615822, 8.4809680", \
+					  "87.0017445, 87.1315155, 87.2405185, 87.3762845, 90.2060355, 90.5698835, 9.7379083");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("51.4914368, 51.7455468, 53.4460958, 62.5493798, 107.4385298, 182.9918998, 206.8127098", \
+					  "51.4200758, 51.7040398, 53.3906138, 62.4765718, 107.6260188, 183.0295588, 206.6535888", \
+					  "51.3654547, 51.6458037, 53.3480907, 62.4300347, 107.5227877, 182.9236577, 206.6785277", \
+					  "51.3846381, 51.6445761, 53.3417601, 62.4389411, 107.4913591, 182.8856391, 206.6868291", \
+					  "51.3684782, 51.6095392, 53.2778782, 62.4105972, 107.2904592, 182.9352592, 206.6517192", \
+					  "51.2861806, 51.6510276, 53.2568786, 62.3935666, 107.6316306, 182.9671206, 206.6510206", \
+					  "51.3634189, 51.6412759, 53.2882569, 62.4042779, 107.8175719, 182.9743519, 206.6480819");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5921558, 14.5943918, 14.5879578, 14.5909668, 14.5868798, 14.5752088, 14.5779028", \
+					  "22.0877975, 22.1008565, 22.0618375, 22.0820905, 22.0481035, 22.0293635, 22.0448425", \
+					  "22.4325853, 22.4346723, 22.4249473, 22.3782983, 22.3226503, 22.2891473, 22.2766633", \
+					  "22.4788237, 22.4542567, 22.4410017, 22.4217057, 22.3660227, 22.3277327, 22.3139897", \
+					  "22.4548370, 22.4535860, 22.4402200, 22.3962710, 22.3417260, 22.3030560, 22.2894870", \
+					  "22.4597123, 22.4608313, 22.4476123, 22.3985043, 22.3381173, 22.3017243, 22.2915453", \
+					  "22.4881487, 22.4820517, 22.4691727, 22.4305907, 22.3761277, 22.3365637, 22.3230537");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.4222182, 60.5908952, 60.5013352, 60.0399192, 58.0593302, 53.4942772, -154.6935158", \
+					  "60.5446607, 60.4185747, 59.8451717, 59.1423387, 58.0698727, 42.1136647, -164.9697613", \
+					  "60.0627542, 61.0519972, 60.0315572, 58.9311662, 59.0396972, 36.4378502, -171.9642468", \
+					  "60.6237404, 60.4934764, 60.3162714, 59.2303064, 59.5398994, 49.8890354, -110.3300776", \
+					  "60.7127430, 60.1876840, 60.0753290, 60.2389690, 56.3936320, 50.8048530, -159.8539780", \
+					  "60.5849122, 60.4932362, 59.6811352, 59.7182722, 54.2196152, 77.1283112, -147.5181388", \
+					  "60.4712655, 60.4425385, 60.1966025, 59.9226625, 58.0765485, 44.6049605, -146.6645995");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.7562507, 13.7561867, 13.7560597, 13.7537897, 13.7516457, 13.7510687, 13.7511427", \
+					  "15.1489035, 15.1494825, 15.1526725, 15.1603235, 15.1670905, 15.1711425, 15.1693745", \
+					  "15.1560492, 15.1576542, 15.1622352, 15.1749892, 15.1861002, 15.1893342, 15.1897882", \
+					  "15.1648211, 15.1471411, 15.1662101, 15.1699121, 15.1849001, 15.1855211, 15.1884581", \
+					  "15.1620040, 15.1601130, 15.1688520, 15.1844200, 15.1976680, 15.1981640, 15.2026380", \
+					  "15.1634389, 15.1647229, 15.1698419, 15.1846229, 15.1974069, 15.2021009, 15.2025429", \
+					  "15.1655978, 15.1676998, 15.1733448, 15.1892368, 15.2015528, 15.2057028, 15.2067658");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.7874958, 67.8243558, 67.6430628, 67.3124728, 65.7308698, 52.9024828, -141.4149632", \
+					  "68.1089115, 67.7960505, 67.7259605, 67.1474235, 68.2433525, 44.0511385, -129.4078495", \
+					  "67.8178793, 67.6972673, 67.4609083, 67.0245283, 60.2136633, 32.9012233, -142.5636957", \
+					  "67.6788707, 67.6732357, 67.6341487, 67.2607677, 65.6521097, 54.9139597, -159.5253933", \
+					  "67.6173460, 67.6748970, 67.3134710, 68.0146950, 61.9510520, 75.2594340, -139.8111790", \
+					  "67.7325643, 67.6763723, 67.6889253, 67.2047503, 65.7551183, 51.9232793, -141.5845867", \
+					  "67.7608447, 67.7110787, 67.6332867, 67.1780957, 65.4533777, 51.6890337, -141.8119843");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.4554788, 33.4777048, 34.0610158, 34.3425538, 35.5638278, 41.3627898, 34.4858528", \
+					  "33.5059438, 33.5217748, 33.6619928, 33.6302508, 34.6442768, 33.6573718, 33.2902308", \
+					  "33.5458827, 33.4660367, 33.5233867, 33.4984547, 33.4150497, 32.1255327, 33.3263427", \
+					  "33.5005201, 33.5636891, 33.5166251, 33.5841651, 33.1326241, 31.9890521, 33.5332481", \
+					  "33.4308482, 33.5053822, 33.5067002, 33.8509772, 33.7971032, 34.5848972, 33.0267752", \
+					  "33.4915296, 33.5886776, 33.5221506, 33.5317026, 33.3904506, 35.6061646, 33.4645406", \
+					  "33.5334799, 33.5323179, 33.5867949, 33.4587409, 33.5810559, 31.7562449, 33.3604379");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.2336887, 12.2344657, 12.2347227, 12.2330567, 12.2311767, 12.2310987, 12.2305597", \
+					  "16.7225715, 16.7219955, 16.7219315, 16.7189595, 16.7095125, 16.6913935, 16.6908835", \
+					  "17.4354262, 17.4377452, 17.4261672, 17.3932532, 17.3392282, 17.3100542, 17.2955292", \
+					  "17.5402771, 17.5386561, 17.5254221, 17.4869251, 17.4342281, 17.3941991, 17.3815551", \
+					  "17.5508290, 17.5464830, 17.5367380, 17.4942070, 17.4413350, 17.4037070, 17.3876870", \
+					  "17.5525849, 17.5502019, 17.5353229, 17.4956149, 17.4318239, 17.4023749, 17.3875239", \
+					  "17.5549278, 17.5454538, 17.5327648, 17.4941268, 17.4382538, 17.4027628, 17.3875298");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("46.7253302, 46.9631332, 48.6813872, 57.7726842, 102.8714242, 178.3665442, 201.9266842", \
+					  "46.6845917, 46.9569707, 48.6387467, 57.8201907, 103.1203287, 178.3890987, 202.1182487", \
+					  "46.7283512, 46.9517872, 48.6878912, 57.7768122, 102.9174232, 178.3097532, 202.0163332", \
+					  "46.7143594, 47.0173674, 48.7169174, 57.8074414, 102.9451524, 178.2369324, 202.0869424", \
+					  "46.6858940, 46.9600880, 48.7125400, 57.8477150, 103.1884020, 178.3112420, 201.9806620", \
+					  "46.7370002, 47.0119012, 48.7386562, 57.8708062, 103.1454412, 178.3306512, 202.0653212", \
+					  "46.7499245, 46.9879465, 48.7094965, 57.8616895, 103.1993805, 178.3824905, 202.0518705");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.7845888, 67.8268118, 67.6430628, 67.3123278, 65.7352278, 52.7719068, -141.9896132", \
+					  "68.1086275, 67.7940595, 67.7237195, 66.9934705, 67.8048565, 44.8349935, -157.7318995", \
+					  "67.7324893, 67.6977533, 67.4476823, 66.8992113, 61.3258923, 30.0233723, -139.7447657", \
+					  "67.6788007, 67.6736867, 67.5804767, 67.2615997, 66.3102217, 51.9149867, -144.1937933", \
+					  "67.5633340, 67.6811770, 68.0384260, 68.0364340, 68.5844540, 14.5725780, -139.6842090", \
+					  "67.7333273, 67.6683163, 67.6543553, 67.2039243, 65.4701653, 53.1809093, -141.9952067", \
+					  "67.7567647, 67.7103917, 67.6377827, 67.1839097, 65.4695797, 51.7525147, -142.1308043");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.4590108, 33.5134748, 34.0638358, 34.2739528, 35.5717968, 23.3176338, 29.1038868", \
+					  "33.5849918, 33.5249908, 33.5853668, 33.6355208, 33.7694138, 33.6366658, 33.2851488", \
+					  "33.5837137, 33.4697727, 33.5453067, 33.4971227, 33.4026987, 33.4643057, 33.2937477", \
+					  "33.4981651, 33.5661971, 33.5100791, 33.3714081, 33.2330291, 30.2414021, 33.6098461", \
+					  "33.5120332, 33.4516852, 33.7219552, 33.7963752, 33.7500262, 30.6035302, 33.5949182", \
+					  "33.4922476, 33.5882406, 33.5233376, 33.5373296, 34.1204506, 33.0804346, 33.4843266", \
+					  "33.5361789, 33.5321199, 33.5803239, 33.4733439, 33.5817399, 32.7780179, 33.3532139");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.5722888, 70.5352558, 70.7643298, 71.5311958, 72.1314658, 69.8162408, -12.1701902", \
+					  "70.3236055, 70.4780585, 70.6248715, 71.4525565, 72.3472985, 64.6050265, -15.2671895", \
+					  "70.3767563, 70.4481233, 70.7188783, 71.3769983, 72.1175373, 69.9599233, -14.2108927", \
+					  "70.4097417, 70.4198237, 70.6494737, 71.4403617, 72.4872467, 69.7847207, -14.1700003", \
+					  "70.3890940, 70.4003350, 70.7100180, 71.4474350, 72.5384790, 68.1912270, -12.9856140", \
+					  "70.4439853, 70.4721293, 70.6651283, 71.5840043, 72.5652123, 67.9228283, -14.4015707", \
+					  "70.4537247, 70.4802527, 70.7710617, 71.5030387, 72.5768667, 68.3586227, -14.8552353");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.6176278, 18.6509588, 18.8355608, 19.7118778, 21.3133918, 22.0322628, 22.1787318", \
+					  "26.6707518, 26.7116048, 26.9511368, 28.0753958, 30.2380788, 31.2528778, 31.4620648", \
+					  "27.0331767, 27.0737207, 27.3122867, 28.4391067, 30.6028547, 31.6185837, 31.8278257", \
+					  "27.0614231, 27.1018851, 27.3405361, 28.4667901, 30.6316141, 31.6477971, 31.8573211", \
+					  "27.0656412, 27.1060952, 27.3441972, 28.4740802, 30.6391642, 31.6529752, 31.8572702", \
+					  "27.0767846, 27.1170366, 27.3557016, 28.4812496, 30.6455526, 31.6631376, 31.8745796", \
+					  "27.0979329, 27.1382759, 27.3769099, 28.5020219, 30.6662729, 31.6835639, 31.8937049");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("97.1050238, 97.1488638, 97.4465488, 98.0390958, 103.2936368, 103.3691668, 26.2249368", \
+					  "97.0991595, 97.1290315, 97.3597905, 98.0734835, 102.9461105, 105.3971005, 26.1485945", \
+					  "96.9719613, 97.0575253, 97.3234513, 97.9222223, 102.8609243, 105.4592743, 26.2999253", \
+					  "97.0110597, 97.0252147, 97.3558747, 97.9848987, 102.8835267, 105.2992267, 23.5314227", \
+					  "97.0022710, 97.0774400, 97.2902770, 97.9822720, 102.7238010, 103.9991410, 20.7229690", \
+					  "97.0424723, 97.0901913, 97.3514063, 97.9930593, 102.8229833, 104.4770633, 23.7579153", \
+					  "97.0887107, 97.1485037, 97.3620897, 97.9795957, 102.8252957, 105.3611657, 27.5287367");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.1142408, 63.4971008, 65.5781378, 76.4630488, 106.8317598, 174.9417898, 223.4355498", \
+					  "63.0304348, 63.3179478, 65.5135458, 76.3974638, 106.8078788, 174.8365988, 223.4387988", \
+					  "62.9407857, 63.3146257, 65.4227657, 76.3248507, 106.7303377, 174.8810377, 223.5123677", \
+					  "63.0368941, 63.2900311, 65.4753671, 76.3519301, 106.7755291, 174.7646491, 223.4500491", \
+					  "62.9952262, 63.3111272, 65.4879062, 76.3470462, 106.7629692, 174.8727392, 223.4403592", \
+					  "62.9664696, 63.3867026, 65.4616406, 76.3588536, 106.8032606, 174.8902206, 223.3682306", \
+					  "62.9970879, 63.3164159, 65.5242339, 76.3608499, 106.4428819, 174.9163019, 223.4413419");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.4221397, 12.4234427, 12.4221777, 12.4190777, 12.4170607, 12.4168487, 12.4179037", \
+					  "17.1866725, 17.1917255, 17.1797005, 17.1753675, 17.1503715, 17.1153635, 17.1035165", \
+					  "17.4199422, 17.4174902, 17.4047992, 17.3632822, 17.3088882, 17.2640892, 17.2498292", \
+					  "17.4175761, 17.4080861, 17.4047501, 17.3654951, 17.3109811, 17.2554071, 17.2485931", \
+					  "17.4232160, 17.4208840, 17.4079480, 17.3588520, 17.3115320, 17.2640670, 17.2504930", \
+					  "17.4205289, 17.4187439, 17.4061029, 17.3630649, 17.3122839, 17.2597329, 17.2492689", \
+					  "17.4214428, 17.4190278, 17.4060868, 17.3665628, 17.3110778, 17.2641788, 17.2497328");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7725512, 29.8236422, 30.2936272, 31.9880602, 36.1759112, 49.7014462, 82.0295732", \
+					  "29.7618507, 29.8657227, 30.2849707, 31.9468177, 36.0447167, 49.5711787, 83.0751177", \
+					  "29.8029172, 29.8628422, 30.3118332, 31.9578362, 36.1732632, 49.4217872, 82.6827082", \
+					  "29.8219934, 29.8626414, 30.1950394, 31.9473564, 36.0779414, 49.5928944, 83.0081884", \
+					  "29.8663550, 29.8942560, 30.3092820, 31.9624010, 36.1451560, 49.5065510, 82.6178390", \
+					  "29.8342122, 29.9264502, 30.3184082, 31.9707352, 36.1489102, 49.6497602, 82.6538282", \
+					  "29.8424595, 29.9300395, 30.3208375, 31.9466765, 36.1485335, 49.6487695, 82.6066215");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1794847, 12.1795607, 12.1799627, 12.1810377, 12.1818397, 12.1820557, 12.1820857", \
+					  "15.6025105, 15.6023635, 15.6016285, 15.5997855, 15.5984045, 15.5987695, 15.5978865", \
+					  "15.7007792, 15.7002082, 15.6983002, 15.6925772, 15.6879592, 15.6907472, 15.6869612", \
+					  "15.7051061, 15.7045561, 15.7015741, 15.6940821, 15.6880411, 15.6857291, 15.6859631", \
+					  "15.7130990, 15.7153990, 15.7089600, 15.7043940, 15.6949070, 15.6971810, 15.6957400", \
+					  "15.7138229, 15.7129529, 15.7101319, 15.7012919, 15.6952459, 15.6921829, 15.6920839", \
+					  "15.7169898, 15.7167468, 15.7133048, 15.7048848, 15.6984788, 15.6957398, 15.6955918");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2828552, 27.2523062, 27.3887082, 27.0798892, 26.4893352, 27.9925602, 27.6801522", \
+					  "27.2737107, 27.3104257, 27.5169187, 27.2060547, 26.5909097, 14.7461117, 26.2742187", \
+					  "27.4554602, 27.4056112, 27.3679382, 26.7870872, 27.4480082, 30.7869252, 27.5427582", \
+					  "27.5270674, 27.5598084, 27.4711384, 27.6505764, 29.4752504, 24.6330614, 27.7546234", \
+					  "27.2662910, 27.3376990, 27.6215990, 26.7267190, 27.5603850, 26.0366490, 28.0552990", \
+					  "27.4154282, 27.4031652, 27.3801042, 27.4784052, 26.6458782, 27.9393682, 27.1631042", \
+					  "27.4113855, 27.4325825, 27.4194315, 27.1409625, 27.8794345, 27.0652445, 27.2562765");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.1890522, 86.3379182, 93.5572752, 127.4909342, 168.7311442, 189.8976842, 195.0583742", \
+					  "85.0744627, 86.0504247, 93.8209177, 127.3449787, 168.6839087, 189.8930387, 195.3475387", \
+					  "85.1649522, 86.3281672, 93.5449362, 127.4889332, 168.5625932, 190.0462032, 195.4834532", \
+					  "85.2848884, 86.4347464, 93.5940054, 127.2924124, 168.5989524, 189.9791924, 195.3822824", \
+					  "85.2845180, 86.0618550, 93.8740220, 127.3790120, 168.5817720, 190.0500220, 195.2535220", \
+					  "85.1548492, 86.0839942, 93.0862752, 126.8571612, 168.6428912, 190.0001312, 195.3505112", \
+					  "85.1950925, 86.0819595, 93.0059555, 126.7814405, 168.7055905, 190.0006005, 195.3512605");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.0305877, 12.0305057, 12.0303947, 12.0301547, 12.0301767, 12.0301197, 12.0260757", \
+					  "16.0776935, 16.0746975, 16.0652575, 16.0678405, 16.0552285, 16.0435675, 16.0429815", \
+					  "16.8011242, 16.7862492, 16.7755682, 16.7557452, 16.7091822, 16.6703952, 16.6545242", \
+					  "16.9161511, 16.9093991, 16.8986631, 16.8601371, 16.8053651, 16.7646071, 16.7542111", \
+					  "16.9285210, 16.9166730, 16.9040790, 16.8737190, 16.8168690, 16.7687150, 16.7643730", \
+					  "16.9201289, 16.9225909, 16.9101599, 16.8650999, 16.8135299, 16.7794409, 16.7630169", \
+					  "16.9264648, 16.9232488, 16.9098188, 16.8713888, 16.8165808, 16.7785918, 16.7647858");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.4288807, 12.4338377, 12.4272677, 12.4282507, 12.4270097, 12.4241807, 12.4257897", \
+					  "17.1921855, 17.1966145, 17.1872905, 17.1795965, 17.1539215, 17.1193655, 17.1070765", \
+					  "17.4223482, 17.4199542, 17.4051602, 17.3636432, 17.3096102, 17.2646702, 17.2503782", \
+					  "17.4281611, 17.4216891, 17.4005201, 17.3602261, 17.3071091, 17.2564741, 17.2438071", \
+					  "17.4188490, 17.4152670, 17.4099150, 17.3701370, 17.3079850, 17.2641430, 17.2522000", \
+					  "17.4166659, 17.4174659, 17.4066259, 17.3663569, 17.3088129, 17.2579809, 17.2496689", \
+					  "17.4218028, 17.4193868, 17.4071168, 17.3667758, 17.3112808, 17.2645538, 17.2501438");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7721072, 29.8224832, 30.3100902, 31.9031282, 36.1670052, 49.7015332, 82.0613462", \
+					  "29.7907547, 29.8635297, 30.2834677, 31.9442747, 36.0778327, 49.5049017, 82.3978607", \
+					  "29.7973322, 29.8442462, 30.2380592, 31.9106172, 36.1133592, 49.7320732, 82.6508592", \
+					  "29.7781054, 29.9126084, 30.2993374, 31.9435814, 36.1084864, 49.5952984, 82.4821794", \
+					  "29.8168320, 29.8413200, 30.3582460, 31.9395610, 36.0404580, 49.6138020, 83.0248470", \
+					  "29.8438152, 29.9235882, 30.3201112, 31.9865702, 36.1661952, 49.6123012, 82.6382852", \
+					  "29.8423735, 29.9257895, 30.3322525, 31.9506315, 36.2173225, 49.6447515, 82.6958065");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1886507, 12.1886947, 12.1890847, 12.1901767, 12.1910587, 12.1912387, 12.1912747", \
+					  "15.6083485, 15.6082235, 15.6074705, 15.6056405, 15.6043515, 15.6039525, 15.6038605", \
+					  "15.7014712, 15.7015042, 15.6995692, 15.6940272, 15.6893092, 15.6886322, 15.6908652", \
+					  "15.7052771, 15.7048071, 15.7019071, 15.6942271, 15.6881231, 15.6865371, 15.6857841", \
+					  "15.7123030, 15.7146240, 15.7095480, 15.7052910, 15.6963610, 15.6960490, 15.6976840", \
+					  "15.7136069, 15.7133579, 15.7098959, 15.7014549, 15.6933809, 15.6927309, 15.6920179", \
+					  "15.7170958, 15.7168298, 15.7137078, 15.7055618, 15.6988428, 15.6955558, 15.6956358");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3118602, 27.3867772, 27.6163172, 27.9311872, 27.1800732, 30.3197212, 24.5765282", \
+					  "27.2499187, 27.5890937, 27.3510397, 27.7691027, 30.2988547, 10.7500617, 26.5937497", \
+					  "27.3241592, 27.4191492, 27.3669742, 27.9417532, 28.5855422, 45.4756862, 29.7769522", \
+					  "27.5130804, 27.6077964, 27.1920264, 27.2163544, 24.9741144, 25.7406494, 32.1746814", \
+					  "27.4965560, 27.3729170, 27.5010910, 27.7879740, 26.3541960, 38.2392170, 26.2325430", \
+					  "27.4338732, 27.2627702, 27.3410342, 27.5068042, 27.7298642, 25.4502672, 27.1046832", \
+					  "27.4170735, 27.4252475, 27.3229735, 27.9977915, 26.3923985, 27.7402805, 27.3126265");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("93.8236618, 93.8970898, 94.0039758, 94.1563818, 96.8615378, 96.5228568, 16.2790128", \
+					  "93.7912775, 93.8289765, 93.9649645, 94.0671055, 96.6905415, 97.2487135, 12.2679245", \
+					  "93.7580113, 93.7559643, 93.9135123, 93.9807783, 96.7891983, 97.2521403, 17.5319133", \
+					  "93.7841137, 93.7937097, 93.9013527, 94.0516217, 96.8802057, 95.9062417, 15.0144387", \
+					  "93.7261420, 93.7509000, 93.9152890, 94.0058000, 96.9314740, 96.4146230, 17.9367200", \
+					  "93.7938973, 93.7899423, 93.9605143, 94.0302643, 96.9548063, 95.6834973, 17.5497703", \
+					  "93.7701367, 93.8142607, 93.9677697, 94.0196247, 96.9496767, 95.8104347, 23.0357547");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.6465208, 18.6783078, 18.8629458, 19.7376658, 21.3359048, 22.0552578, 22.2138708", \
+					  "26.7235238, 26.7639338, 27.0025268, 28.1237978, 30.2845208, 31.3028658, 31.5128888", \
+					  "27.0796207, 27.1200047, 27.3596587, 28.4834557, 30.6465037, 31.6663757, 31.8766027", \
+					  "27.1076011, 27.1546611, 27.3872661, 28.5374591, 30.6749061, 31.7174781, 31.9170751", \
+					  "27.1243202, 27.1661872, 27.3964912, 28.5245502, 30.6892322, 31.7055652, 31.9155012", \
+					  "27.1226016, 27.1634526, 27.4010266, 28.5256546, 30.6905896, 31.7095766, 31.9202176", \
+					  "27.1454699, 27.1857539, 27.4243349, 28.5487069, 30.7126109, 31.7308069, 31.9410379");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.9777052, 87.0494742, 87.2386772, 87.2648362, 90.2488312, 88.8921322, 10.6554322", \
+					  "87.0653217, 87.1153997, 87.1683707, 87.2677777, 90.1052647, 89.1667027, 8.5321971", \
+					  "87.0812802, 87.0626012, 87.2184762, 87.2757362, 90.2228572, 89.3878732, 8.1152391", \
+					  "87.0508304, 87.0892304, 87.2514054, 87.2794874, 90.1724654, 90.4352754, 7.7378555", \
+					  "87.1377720, 87.1413390, 87.1985840, 87.3482010, 89.9526240, 89.0450170, 11.8980420", \
+					  "86.9842812, 87.0612062, 87.1811172, 87.3566152, 90.2147532, 90.4545262, 11.6901732", \
+					  "87.0074685, 87.1369835, 87.2195975, 87.3789285, 90.2035235, 90.5340225, 8.5166716");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1506067, 16.1670877, 16.2624057, 16.6369727, 17.1240407, 17.2959277, 17.3282367", \
+					  "21.8888785, 21.9293775, 22.1663195, 23.2863505, 25.4526235, 26.4767985, 26.6884555", \
+					  "22.1524422, 22.1862702, 22.4242912, 23.5469942, 25.7126002, 26.7357282, 26.9509462", \
+					  "22.1519891, 22.1860001, 22.4258011, 23.5524241, 25.7134971, 26.7408961, 26.9497741", \
+					  "22.1524190, 22.1945340, 22.4301120, 23.5584330, 25.7210540, 26.7432380, 26.9559310", \
+					  "22.1551859, 22.1972289, 22.4326969, 23.5553889, 25.7233129, 26.7468549, 26.9601869", \
+					  "22.1612928, 22.2015368, 22.4393918, 23.5610758, 25.7271528, 26.7513788, 26.9647688");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.1853868, 94.2566908, 94.4059738, 94.5312908, 97.2908608, 96.2950118, 14.0768418", \
+					  "94.1738045, 94.1994855, 94.3583095, 94.4236185, 97.4569975, 96.2764075, 17.1266865", \
+					  "94.1118193, 94.1267443, 94.3182093, 94.3596133, 97.2484483, 96.2027923, 16.5313383", \
+					  "94.0931717, 94.1850357, 94.3121837, 94.4175507, 97.2819337, 96.2196377, 14.8331827", \
+					  "94.1180300, 94.1326990, 94.2849790, 94.3710910, 97.2823730, 96.2343090, 16.4332270", \
+					  "94.0950663, 94.1570303, 94.2312143, 94.4421773, 97.0329593, 96.1614063, 16.6982653", \
+					  "94.1914727, 94.1934577, 94.3487427, 94.4131497, 97.3202337, 96.2149377, 23.0458457");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.1078058, 41.1199978, 41.3763128, 42.4037588, 44.1212148, 45.3662358, 45.0191108", \
+					  "40.8124398, 41.1801768, 41.8320688, 43.0015778, 44.6005938, 37.9853818, 45.9892118", \
+					  "40.9379447, 40.9502127, 41.2985487, 42.1757847, 43.7791267, 44.4156007, 44.7721177", \
+					  "40.9727901, 41.0592691, 41.3291431, 43.0396541, 47.6831511, 46.3768801, 44.0499251", \
+					  "40.9380542, 41.0808332, 41.2255992, 42.2494322, 44.4639422, 47.7905382, 45.5727012", \
+					  "40.8767086, 41.1111216, 41.3611166, 42.0124396, 44.1679056, 44.9048166, 45.0747706", \
+					  "41.0099019, 41.0178989, 41.2573359, 42.2365149, 44.4268739, 45.0436369, 45.1103579");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.6752928, 73.7516768, 74.1329058, 75.5293518, 77.6941198, 73.5291388, 11.6102758", \
+					  "73.5979655, 73.6370655, 74.0506725, 75.5861805, 78.6862495, 75.4290355, -1.0491038", \
+					  "73.6272113, 73.5735183, 73.9913443, 75.2847333, 78.1906723, 74.3979283, -3.5091025", \
+					  "73.4898747, 73.5484457, 74.0346547, 75.2514167, 78.1324527, 73.4113677, -1.4096774", \
+					  "73.5385260, 73.6016150, 74.0089490, 75.1684310, 77.8597090, 76.8269650, -1.7619090", \
+					  "73.5284413, 73.6276883, 74.0183553, 75.2787493, 78.4728963, 76.3453823, -6.9830986", \
+					  "73.5769077, 73.6388717, 73.9863297, 75.3053097, 77.9189967, 76.3583627, -2.0136138");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("43.5807448, 43.6379418, 44.2707378, 46.8911168, 53.1559118, 67.5175238, 100.5090598", \
+					  "43.4596938, 43.5896898, 44.2842808, 46.8477408, 52.9565448, 67.5233338, 100.4218888", \
+					  "43.4092907, 43.5215727, 44.1323477, 46.8199617, 52.9351507, 67.3435277, 100.5613977", \
+					  "43.4243771, 43.5261741, 44.0984041, 46.8295801, 52.9148171, 67.2884721, 100.5328791", \
+					  "43.4266612, 43.5368122, 44.1494992, 46.8306152, 52.9102592, 67.3085822, 100.4292792", \
+					  "43.4318416, 43.5423996, 44.1783006, 46.8419856, 53.0099756, 67.2986846, 100.4783606", \
+					  "43.4221289, 43.5194709, 44.1787599, 46.8489189, 53.0076279, 67.3280889, 100.4783319");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.4575888, 70.5008048, 70.7066538, 70.7626298, 70.7686528, 59.6250808, -133.5789732", \
+					  "70.2673375, 70.5058895, 70.2263355, 70.5935485, 71.2909215, 57.6907135, -133.8245695", \
+					  "70.2337803, 70.3519633, 70.3846733, 70.6278953, 70.7335893, 58.3539223, -133.8521557", \
+					  "70.3625427, 70.3490327, 70.3162047, 70.2599997, 71.2330067, 59.3777817, -138.0736933", \
+					  "70.2763440, 70.2836370, 70.5643220, 70.1953050, 70.8737620, 36.4608450, -133.4676590", \
+					  "70.4775073, 70.4424383, 70.4663983, 70.5534043, 70.6567453, 59.4006103, -132.9634267", \
+					  "70.3770157, 70.3228787, 70.3064057, 70.5725537, 70.7313917, 59.5462347, -132.4376343");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.9868018, 36.1627368, 36.4629308, 38.2073998, 42.4578128, 55.9874898, 88.8286368", \
+					  "35.9930408, 36.0680718, 36.4403228, 38.1113818, 42.2551568, 55.8188278, 88.7469748", \
+					  "35.9465977, 36.0182167, 36.4191807, 38.0716127, 42.2144827, 55.8035707, 88.7130447", \
+					  "35.9517021, 36.0276061, 36.4021961, 38.0749241, 42.2499831, 55.7814721, 88.6021521", \
+					  "35.9344212, 36.0131922, 36.3972012, 38.0964462, 42.2195332, 55.6907572, 88.7621152", \
+					  "35.9684896, 36.0344746, 36.3945616, 38.0815876, 42.2731716, 55.7933706, 88.6539566", \
+					  "35.9806259, 36.0440719, 36.4433599, 38.1137289, 42.2629029, 55.7640689, 88.8071989");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("55.0713427, 55.3137014, 56.8248948, 63.6503698, 78.8638040, 104.6552775, 123.6731966", \
+					  "59.0353942, 59.3328392, 60.8911411, 68.1668048, 84.9570696, 107.8824051, 130.1811443", \
+					  "59.2735747, 59.5204165, 61.0370344, 68.2269373, 85.1237292, 113.6223161, 131.1729386", \
+					  "59.2591089, 59.5975723, 60.9752605, 68.3687770, 85.0650504, 110.1539741, 131.0480697", \
+					  "59.2746414, 59.4423759, 61.1523922, 68.2084584, 84.4075715, 111.1103054, 129.9876872", \
+					  "59.2944525, 59.5167817, 61.0147237, 68.2902549, 84.8392522, 111.0614442, 130.4243047", \
+					  "59.3284396, 59.5516584, 60.9937140, 68.3965184, 84.7785360, 111.8921617, 130.5425205");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("81.6239973, 81.7343020, 81.7644810, 81.7014185, 82.2116738, 79.8599294, -50.1519106", \
+					  "85.7828833, 85.8340640, 85.8647346, 85.6347135, 86.3408068, 79.4193073, -48.5701245", \
+					  "86.0594785, 86.1388722, 86.0322022, 86.0545003, 86.8105937, 80.9715257, -51.9244711", \
+					  "86.1543978, 86.2585255, 86.1644604, 86.3051635, 87.6157208, 83.3699666, -43.3607590", \
+					  "86.1997220, 85.9926405, 86.0903724, 86.4129487, 86.6546332, 82.7168938, -43.5809408", \
+					  "86.1583123, 86.3065794, 86.1880922, 86.3144223, 87.5129084, 77.8902946, -45.2593454", \
+					  "86.1172098, 86.1725708, 86.1945514, 86.3316743, 86.7373448, 81.3903704, -46.0029616");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("134.2724444, 134.2103029, 134.4948788, 135.1699499, 137.0581503, 126.5871704, -78.8220291", \
+					  "136.8522028, 136.6311475, 136.8737238, 137.4642618, 140.7884215, 124.6578562, -80.6132882", \
+					  "136.6792793, 136.6529641, 136.9365718, 137.2940301, 137.9771619, 127.4434256, -79.0013054", \
+					  "136.6797604, 136.7045731, 136.9449549, 137.4224186, 140.0916001, 130.6117742, -86.3718663", \
+					  "136.6393785, 136.6525700, 137.0344328, 137.6040915, 139.7383050, 122.1613967, -79.7014208", \
+					  "136.7415134, 136.7544493, 136.9886146, 137.5219678, 139.5717398, 129.5421864, -79.9779711", \
+					  "136.7979296, 136.7918039, 136.9990007, 137.5219379, 139.5426617, 130.6467632, -76.9639382");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.6141641, 88.1037888, 90.7228613, 102.7917694, 130.3536808, 177.2224059, 205.0966256", \
+					  "90.2260329, 90.6794729, 93.3206309, 105.2864869, 132.9683396, 172.9944148, 208.4426384", \
+					  "90.2695609, 90.6995321, 93.1349032, 105.0798459, 132.3884986, 176.1352711, 209.1406156", \
+					  "90.3354014, 90.7641424, 93.2188857, 105.2551750, 133.0346095, 176.2338452, 208.5225594", \
+					  "90.2779794, 90.7427196, 93.2366776, 105.3822101, 132.6645556, 176.5819676, 208.5528779", \
+					  "90.2687682, 90.7906444, 93.1520695, 105.0425544, 132.6515564, 176.8203479, 208.5943409", \
+					  "90.3981098, 90.7324530, 93.2939431, 105.2611863, 132.5201468, 176.1309958, 208.6408661");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7006315, 1.7006316, 1.7006317, 1.7006534, 1.7006535, 1.7006537, 1.9050530", \
+					  "1.7588107, 1.7588109, 1.7588110, 1.7588111, 1.7588112, 1.7588113, 1.9185622", \
+					  "1.7733782, 1.7734919, 1.7748438, 1.7775422, 1.7775423, 1.7775425, 1.9285815", \
+					  "1.7833689, 1.7839253, 1.7848308, 1.7885061, 1.7886973, 1.7886974, 1.9295974", \
+					  "1.7871220, 1.7882101, 1.7882103, 1.7882104, 1.7882105, 1.7882106, 1.9341790", \
+					  "1.7826487, 1.7837363, 1.7860859, 1.7860860, 1.7860861, 1.7860862, 1.9239057", \
+					  "1.7871905, 1.7872661, 1.7872662, 1.7872664, 1.7872665, 1.7872666, 1.9146598");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3890432, 8.5941068, 9.8647011, 17.7049380, 65.7666420, 360.1784600, 2173.2696000", \
+					  "8.4692804, 8.6569285, 9.9293498, 17.7602400, 66.0210660, 360.4264400, 2174.1728000", \
+					  "8.4774383, 8.6828846, 9.9544888, 17.8215630, 65.9303150, 360.4758700, 2173.3640000", \
+					  "8.4873308, 8.7085485, 9.9630313, 17.7948090, 66.0308500, 360.5054000, 2173.4654000", \
+					  "8.5101259, 8.6975334, 9.9770929, 17.8050850, 65.8674540, 360.8372200, 2174.1930000", \
+					  "8.5093710, 8.6974197, 9.9876978, 17.8348320, 65.8496760, 360.8584200, 2173.4454000", \
+					  "8.5010843, 8.7051601, 9.9721440, 17.8040500, 65.8697840, 360.8243400, 2175.0193000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.4098670, 13.8199370, 16.3023690, 31.6591620, 126.9541600, 714.9544700, 4341.1601000", \
+					  "13.3586890, 13.7513100, 16.2318530, 31.6175740, 126.9790700, 714.7343700, 4340.7281000", \
+					  "13.3499040, 13.7560660, 16.3105300, 31.6159860, 127.2124600, 714.8592500, 4341.1619000", \
+					  "13.3412230, 13.7626210, 16.2293010, 31.6165830, 127.2130400, 715.0933900, 4341.0323000", \
+					  "13.3346330, 13.7500540, 16.2442860, 31.6178760, 126.9372700, 715.4665700, 4344.6676000", \
+					  "13.3431120, 13.7499250, 16.2398560, 31.5927200, 127.0203100, 715.5594500, 4342.0418000", \
+					  "13.3556300, 13.7598030, 16.2428840, 31.6160150, 127.0411000, 715.4561100, 4338.8873000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2253590, 2.2382234, 2.3141078, 2.6784372, 3.9971138, 7.6906073, 19.3884270", \
+					  "2.3277433, 2.3406093, 2.4166908, 2.7808988, 4.0995962, 7.7933908, 19.4919830", \
+					  "2.3954043, 2.4082618, 2.4843823, 2.8485687, 4.1672741, 7.8617095, 19.5598560", \
+					  "2.4510856, 2.4640232, 2.5400593, 2.9042502, 4.2229482, 7.9173486, 19.6160100", \
+					  "2.4997393, 2.5136149, 2.5887017, 2.9528966, 4.2715844, 7.9633020, 19.6654200", \
+					  "2.5468017, 2.5598113, 2.6352207, 2.9999843, 4.3178687, 8.0115514, 19.7167690", \
+					  "2.5926057, 2.6052625, 2.6806903, 3.0458116, 4.3635149, 8.0550899, 19.7592150");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6893184, 0.6950752, 0.7352413, 0.9799989, 2.0243807, 4.7508024, 17.2227030", \
+					  "0.6897699, 0.6955563, 0.7341591, 0.9797948, 2.0242941, 4.7689359, 17.2448880", \
+					  "0.6899273, 0.6957337, 0.7339523, 0.9797511, 2.0245434, 4.7689106, 17.2356480", \
+					  "0.6899216, 0.6957365, 0.7339558, 0.9797510, 2.0245312, 4.7688369, 17.2516720", \
+					  "0.6893221, 0.6913365, 0.7347275, 0.9800424, 2.0239956, 4.7568660, 17.2300310", \
+					  "0.6865217, 0.6924611, 0.7374060, 0.9780612, 2.0226452, 4.7557749, 17.2602610", \
+					  "0.6855251, 0.6935603, 0.7347557, 0.9784730, 2.0274655, 4.7610342, 17.2446700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.3937453, 3.3940006, 3.3940007, 3.3940009, 3.3940012, 3.3940014, 3.3940017", \
+					  "3.4797421, 3.4797422, 3.4797425, 3.4797427, 3.4797429, 3.4797432, 3.4797434", \
+					  "3.4764196, 3.4764199, 3.5012802, 3.5012805, 3.5012807, 3.5012810, 3.5012812", \
+					  "3.5122931, 3.5122933, 3.5122935, 3.5122937, 3.5122940, 3.5122942, 3.5122945", \
+					  "3.5159688, 3.5159690, 3.5159692, 3.5159694, 3.5159697, 3.5159699, 3.5159702", \
+					  "3.5213250, 3.5213253, 3.5213255, 3.5213258, 3.5213260, 3.5213262, 3.5213265", \
+					  "3.5152024, 3.5152025, 3.5152027, 3.5152029, 3.5152032, 3.5152034, 3.5152036");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0890950, 3.0894760, 3.0894763, 3.0894765, 3.0894768, 3.0894770, 3.0894772", \
+					  "3.1414067, 3.1485976, 3.1485979, 3.1485981, 3.1485984, 3.1485986, 3.1485988", \
+					  "3.1703904, 3.1703905, 3.1703908, 3.1703910, 3.1703913, 3.1703915, 3.1703917", \
+					  "3.1729477, 3.1729478, 3.1770453, 3.1770455, 3.1770458, 3.1770460, 3.1770462", \
+					  "3.1835206, 3.1835207, 3.1835210, 3.1835212, 3.1835214, 3.1835217, 3.1835219", \
+					  "3.1790379, 3.1790380, 3.1909759, 3.1909761, 3.1909763, 3.1909766, 3.1909768", \
+					  "3.1908516, 3.1910550, 3.1912950, 3.1912951, 3.1912953, 3.1912956, 3.1912958");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2588595, 2.2863884, 2.4543894, 3.4589946, 9.5879162, 23.6631690, 51.7879290", \
+					  "2.3250886, 2.3525895, 2.5206353, 3.5252665, 9.6600905, 23.7278160, 51.8316090", \
+					  "2.3485727, 2.3760454, 2.5441167, 3.5487635, 9.6833768, 23.7462260, 51.8681810", \
+					  "2.3598117, 2.3872853, 2.5553279, 3.5599297, 9.6893293, 23.7303870, 51.8719570", \
+					  "2.3639715, 2.3915718, 2.5594864, 3.5639935, 9.6928998, 23.7645140, 51.8778160", \
+					  "2.3640117, 2.3916114, 2.5595444, 3.5640708, 9.6917523, 23.7644980, 51.8797950", \
+					  "2.3619415, 2.3894782, 2.5574352, 3.5615180, 9.6917399, 23.7629560, 51.8840080");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7050572, 1.7544398, 2.0698774, 4.0269201, 11.9751760, 17.0601740, 40.1852310", \
+					  "1.7059864, 1.7554279, 2.0685875, 4.0281809, 11.9765420, 17.0587860, 40.2218240", \
+					  "1.7064288, 1.7550865, 2.0706257, 4.0261008, 11.9757740, 17.0780830, 40.2209430", \
+					  "1.7064316, 1.7548237, 2.0688444, 4.0258747, 11.9752920, 17.0987220, 40.1991860", \
+					  "1.7066266, 1.7566675, 2.0711710, 4.0290975, 11.9761240, 17.0997210, 40.2318070", \
+					  "1.7066635, 1.7559745, 2.0692865, 4.0265826, 11.9745430, 17.1218290, 40.2274050", \
+					  "1.7064598, 1.7548865, 2.0706648, 4.0298906, 11.9747500, 17.1115390, 40.2229220");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.6441183, 8.8579704, 10.1552960, 18.0975450, 66.3006930, 361.4029800, 2178.7441000", \
+					  "8.7394509, 8.9582572, 10.2548870, 18.2051560, 66.3458910, 361.8660000, 2180.2725000", \
+					  "8.8149914, 9.0282120, 10.3165170, 18.2588750, 66.3813180, 361.8104400, 2179.1052000", \
+					  "8.8625134, 9.0810488, 10.3780870, 18.3286200, 66.5124200, 362.1375400, 2180.5629000", \
+					  "8.9231005, 9.1280281, 10.4264760, 18.3647760, 66.5296010, 361.9460900, 2180.2261000", \
+					  "8.9592067, 9.1763646, 10.4688050, 18.4162340, 66.6088550, 361.8466500, 2180.0151000", \
+					  "9.0132071, 9.2245305, 10.5191320, 18.4606660, 66.6510260, 362.1700600, 2181.8346000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1481640, 13.5579650, 16.0581500, 31.4518400, 127.1674500, 716.7806200, 4352.8571000", \
+					  "13.1390040, 13.5551720, 16.0555500, 31.4788480, 127.2882700, 717.1880700, 4355.9698000", \
+					  "13.1496000, 13.5575840, 16.0425080, 31.4300830, 127.1528400, 716.9853100, 4351.8344000", \
+					  "13.1386910, 13.5544100, 16.0555270, 31.4802050, 127.0011300, 716.5577900, 4352.5047000", \
+					  "13.1515260, 13.5559380, 16.0261490, 31.4878900, 127.2987900, 716.0130600, 4352.0085000", \
+					  "13.1410790, 13.5536870, 16.0460600, 31.4602990, 127.0264200, 716.9497800, 4355.5251000", \
+					  "13.1521860, 13.5559880, 16.0541000, 31.4579050, 127.1193200, 717.1332200, 4350.0249000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.4053291, 3.4053292, 3.4053294, 3.4053296, 3.4053299, 3.4053301, 3.4053304", \
+					  "3.4776435, 3.4804399, 3.4804401, 3.4804403, 3.4804405, 3.4804408, 3.4804410", \
+					  "3.4810310, 3.5042478, 3.5042481, 3.5042483, 3.5042485, 3.5042488, 3.5042490", \
+					  "3.5102210, 3.5102212, 3.5102214, 3.5102217, 3.5102219, 3.5102221, 3.5102224", \
+					  "3.5207997, 3.5207998, 3.5208000, 3.5208003, 3.5208005, 3.5208008, 3.5208010", \
+					  "3.5201384, 3.5201387, 3.5201389, 3.5201391, 3.5201394, 3.5201396, 3.5201399", \
+					  "3.5140297, 3.5140299, 3.5140301, 3.5140304, 3.5140306, 3.5140309, 3.5140311");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7001494, 1.7010533, 1.7010534, 1.7010535, 1.7010536, 1.7010537, 1.9413973", \
+					  "1.7576735, 1.7576736, 1.7576737, 1.7576738, 1.7576740, 1.7576741, 1.9417274", \
+					  "1.7764890, 1.7770729, 1.7770730, 1.7770731, 1.7770733, 1.7770734, 1.9274999", \
+					  "1.7841800, 1.7841802, 1.7841803, 1.7841804, 1.7841805, 1.7841806, 1.9232971", \
+					  "1.7889104, 1.7889105, 1.7889106, 1.7889107, 1.7889109, 1.7889110, 1.9403670", \
+					  "1.7839014, 1.7839528, 1.7839529, 1.7839531, 1.7839532, 1.7839533, 1.9263231", \
+					  "1.7875066, 1.7875067, 1.7875068, 1.7875069, 1.7875070, 1.7875072, 1.9154005");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4416666, 2.4700710, 2.6454550, 3.7158512, 9.4996446, 34.6400060, 97.8161760", \
+					  "2.5445581, 2.5723987, 2.7475526, 3.8197179, 9.6029416, 34.7415110, 97.9140820", \
+					  "2.6128240, 2.6394777, 2.8165406, 3.8870120, 9.6682307, 34.8094710, 97.9876730", \
+					  "2.6678244, 2.6951603, 2.8707523, 3.9425525, 9.7240367, 34.8652240, 98.0474370", \
+					  "2.7173748, 2.7449769, 2.9211322, 3.9879144, 9.7746140, 34.9170080, 98.0234840", \
+					  "2.7633069, 2.7927554, 2.9686802, 4.0376382, 9.8219379, 34.9608380, 98.1107460", \
+					  "2.8100009, 2.8381819, 3.0139324, 4.0805199, 9.8652180, 35.0065720, 98.1799180");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7674920, 1.8227505, 2.1516993, 4.0705799, 11.4069050, 42.5438170, 59.5429730", \
+					  "1.7671740, 1.8213623, 2.1510864, 4.0705803, 11.4068340, 42.5606040, 59.5491270", \
+					  "1.7688082, 1.8219419, 2.1528499, 4.0706056, 11.4076510, 42.5614420, 59.3933150", \
+					  "1.7664014, 1.8219315, 2.1503070, 4.0705959, 11.4076690, 42.5704310, 59.3829590", \
+					  "1.7675661, 1.8230462, 2.1542621, 4.0680415, 11.4078190, 42.5835650, 59.4319940", \
+					  "1.7681371, 1.8231143, 2.1517408, 4.0684687, 11.4051120, 42.5785880, 59.5440960", \
+					  "1.7668628, 1.8221005, 2.1531434, 4.0665484, 11.4024870, 42.5688040, 59.4071570");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7005889, 1.7005891, 1.7005892, 1.7005893, 1.7005894, 1.7005895, 1.9420119", \
+					  "1.7580943, 1.7580944, 1.7583428, 1.7583429, 1.7583430, 1.7583431, 1.9325102", \
+					  "1.7769636, 1.7775275, 1.7775276, 1.7775277, 1.7775278, 1.7775279, 1.9289539", \
+					  "1.7846502, 1.7846503, 1.7846504, 1.7846506, 1.7846507, 1.7846508, 1.9235218", \
+					  "1.7891327, 1.7891328, 1.7894355, 1.7894356, 1.7894357, 1.7894359, 1.9404924", \
+					  "1.7843018, 1.7843480, 1.7843481, 1.7843482, 1.7843484, 1.7843485, 1.9269797", \
+					  "1.7878985, 1.7878987, 1.7878988, 1.7878989, 1.7878990, 1.7878991, 1.9156492");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2050848, 2.2178934, 2.2935800, 2.6639990, 4.0692361, 11.0358180, 49.6532880", \
+					  "2.3072544, 2.3202158, 2.3956397, 2.7665821, 4.1717638, 11.1355680, 49.7730030", \
+					  "2.3749616, 2.3880997, 2.4634617, 2.8343123, 4.2393151, 11.2034430, 49.8353180", \
+					  "2.4307969, 2.4436624, 2.5193103, 2.8899370, 4.2949488, 11.2618110, 49.8942190", \
+					  "2.4794231, 2.4919151, 2.5683742, 2.9379639, 4.3439803, 11.3078100, 49.8692680", \
+					  "2.5260416, 2.5388402, 2.6154434, 2.9843403, 4.3910067, 11.3547800, 49.9882870", \
+					  "2.5711235, 2.5845368, 2.6606206, 3.0297844, 4.4368003, 11.4005180, 49.9406210");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6890050, 0.6974832, 0.7412581, 0.9848139, 2.3375066, 11.2833120, 46.4378370", \
+					  "0.6886426, 0.6959653, 0.7426413, 0.9844352, 2.3379751, 11.2813410, 46.5100560", \
+					  "0.6885193, 0.6963276, 0.7429293, 0.9841770, 2.3379594, 11.2863510, 46.4187200", \
+					  "0.6884733, 0.6970324, 0.7420144, 0.9839507, 2.3379259, 11.2836310, 46.5105070", \
+					  "0.6899072, 0.6966900, 0.7397489, 0.9860438, 2.3370792, 11.2720060, 46.4253350", \
+					  "0.6913433, 0.7020117, 0.7396606, 0.9870249, 2.3387512, 11.2694450, 46.4751470", \
+					  "0.6912757, 0.6967471, 0.7423107, 0.9865553, 2.3340612, 11.2780860, 46.5266940");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2346077, 8.4274348, 9.6935659, 17.4224850, 65.1324900, 359.6547600, 2172.0903000", \
+					  "8.3283513, 8.5331111, 9.7769606, 17.5514390, 65.3114680, 359.3288800, 2172.2772000", \
+					  "8.3897893, 8.6059888, 9.8627995, 17.6205680, 65.3906160, 359.2958500, 2172.2706000", \
+					  "8.4568752, 8.6622221, 9.9185202, 17.6268080, 65.3027850, 359.6439900, 2172.3611000", \
+					  "8.4900229, 8.6900629, 9.9520108, 17.7054370, 65.5758970, 359.6641400, 2172.5048000", \
+					  "8.5547232, 8.7570076, 9.9977715, 17.7225700, 65.4269240, 359.5372400, 2176.1728000", \
+					  "8.5824574, 8.7833687, 10.0431150, 17.7724700, 65.4577970, 359.9903400, 2172.4704000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3438920, 13.7589450, 16.2327450, 31.6054280, 127.1360900, 715.4385500, 4341.7022000", \
+					  "13.3543770, 13.7580500, 16.2305510, 31.5717020, 127.1118200, 715.1086300, 4341.4993000", \
+					  "13.3625080, 13.7649830, 16.2471090, 31.5990790, 126.8560900, 715.2536300, 4341.5598000", \
+					  "13.3507490, 13.7377570, 16.2511620, 31.6158480, 127.0993800, 715.3681200, 4341.5366000", \
+					  "13.3418390, 13.7511510, 16.2365320, 31.6249070, 127.1139900, 715.3371400, 4341.4830000", \
+					  "13.3349080, 13.7573560, 16.2295550, 31.6158970, 126.7184000, 714.6497900, 4341.7995000", \
+					  "13.3421270, 13.7530910, 16.2315190, 31.5888040, 127.1195100, 715.6018600, 4339.7058000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4467837, 2.4597298, 2.5359315, 2.9006535, 4.2200652, 7.9159559, 19.6152690", \
+					  "2.5464266, 2.5613718, 2.6379636, 3.0033342, 4.3218500, 8.0174098, 19.7195560", \
+					  "2.6137574, 2.6280789, 2.7035689, 3.0677672, 4.3872097, 8.0842852, 19.7834420", \
+					  "2.6712739, 2.6846030, 2.7602058, 3.1253238, 4.4421005, 8.1385849, 19.8390920", \
+					  "2.7183309, 2.7313185, 2.8085978, 3.1740743, 4.4933146, 8.1905762, 19.8868710", \
+					  "2.7674989, 2.7805946, 2.8570057, 3.2183620, 4.5406008, 8.2370777, 19.9378970", \
+					  "2.8101741, 2.8260236, 2.9014116, 3.2679754, 4.5844666, 8.2767420, 19.9816840");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6912314, 0.6971049, 0.7363625, 0.9812581, 2.0237760, 4.7576716, 17.2260000", \
+					  "0.6915001, 0.6973854, 0.7363643, 0.9802517, 2.0290933, 4.7499049, 17.2367050", \
+					  "0.6915440, 0.6973269, 0.7362007, 0.9811083, 2.0241877, 4.7575179, 17.2420210", \
+					  "0.6918805, 0.6973707, 0.7423360, 0.9810466, 2.0222011, 4.7591362, 17.2407880", \
+					  "0.6911866, 0.6974262, 0.7353392, 0.9812767, 2.0236841, 4.7637290, 17.2239590", \
+					  "0.6916863, 0.6975311, 0.7358664, 0.9809812, 2.0243263, 4.7590061, 17.2366650", \
+					  "0.6867511, 0.6949375, 0.7389649, 0.9777831, 2.0227806, 4.7425566, 17.2452790");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.1907681, 8.3868485, 9.6445882, 17.3645130, 65.0019200, 359.1115400, 2172.3160000", \
+					  "8.2524121, 8.4632390, 9.7210044, 17.4301840, 65.1429130, 359.3559900, 2172.0807000", \
+					  "8.2749247, 8.4854515, 9.7207664, 17.4493580, 65.1499830, 359.1077600, 2172.7706000", \
+					  "8.2858325, 8.4978691, 9.7496482, 17.4750690, 65.1061640, 359.5645800, 2172.0784000", \
+					  "8.2808169, 8.4824165, 9.7627533, 17.4658760, 65.1408170, 359.6999500, 2173.6671000", \
+					  "8.2913010, 8.4822913, 9.7388080, 17.4574810, 65.1089210, 359.6770500, 2172.2437000", \
+					  "8.2871985, 8.4860279, 9.7568797, 17.4535230, 65.1072440, 359.7267600, 2175.6186000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3558850, 13.7588110, 16.2466980, 31.5776770, 127.0692800, 715.2647200, 4340.9959000", \
+					  "13.3545250, 13.7524310, 16.2216360, 31.5941410, 127.1294800, 715.0430300, 4341.1142000", \
+					  "13.3467230, 13.7632510, 16.2322990, 31.5609940, 127.1141600, 714.5249000, 4341.0619000", \
+					  "13.3601560, 13.7455780, 16.2446590, 31.6116870, 127.1340300, 715.4142200, 4341.3461000", \
+					  "13.3412050, 13.7522670, 16.2442570, 31.5583260, 127.1935200, 715.4942000, 4344.0737000", \
+					  "13.3582940, 13.7489120, 16.2441700, 31.6112650, 127.1876200, 715.3604400, 4338.3975000", \
+					  "13.3568130, 13.7585360, 16.2429110, 31.6089660, 126.9351100, 715.5495000, 4341.0359000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3139744, 8.5225489, 9.7747783, 17.5326010, 65.2895200, 360.5995900, 2177.1707000", \
+					  "8.4205478, 8.6161860, 9.8823572, 17.6229220, 65.4267610, 360.8467700, 2177.6223000", \
+					  "8.4882723, 8.6842080, 9.9508082, 17.6910810, 65.4955130, 360.9441700, 2179.7271000", \
+					  "8.5439495, 8.7399386, 10.0063550, 17.7468970, 65.5504950, 360.8811900, 2181.0881000", \
+					  "8.5927665, 8.7893728, 10.0541390, 17.7993660, 65.5890910, 360.7130700, 2178.0606000", \
+					  "8.6397236, 8.8357472, 10.1020470, 17.8423070, 65.6460840, 360.3275900, 2178.0759000", \
+					  "8.6755669, 8.8872767, 10.1374060, 17.9008860, 65.6711020, 360.1882700, 2180.1097000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1384790, 13.5602560, 16.0377350, 31.4952790, 127.3331100, 717.1913100, 4351.9062000", \
+					  "13.1536500, 13.5466630, 16.0596890, 31.4510050, 126.8962400, 717.1171900, 4351.2391000", \
+					  "13.1540280, 13.5457100, 16.0600550, 31.4492360, 126.8988000, 716.8287100, 4350.6466000", \
+					  "13.1540960, 13.5455190, 16.0600170, 31.4487350, 126.8952200, 716.5040200, 4351.2191000", \
+					  "13.1548730, 13.5434810, 16.0484510, 31.4335760, 127.3173500, 717.0924600, 4353.7539000", \
+					  "13.1541200, 13.5454700, 16.0599210, 31.4497580, 126.8947800, 716.2292400, 4353.4228000", \
+					  "13.1431160, 13.5600390, 16.0480090, 31.4907500, 126.8083000, 716.3658300, 4348.9831000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7754322, 1.7754323, 1.7754325, 1.7756456, 1.7756457, 1.7756458, 1.8849962", \
+					  "1.8402156, 1.8402157, 1.8402158, 1.8402159, 1.8402160, 1.8402162, 1.9303372", \
+					  "1.8569736, 1.8569738, 1.8569739, 1.8583706, 1.8583707, 1.8599157, 1.9516946", \
+					  "1.8699182, 1.8699183, 1.8699185, 1.8699186, 1.8699187, 1.8699188, 1.9629960", \
+					  "1.8655448, 1.8655590, 1.8669399, 1.8671655, 1.8705277, 1.8705279, 1.9709330", \
+					  "1.8673110, 1.8673111, 1.8673112, 1.8673113, 1.8673115, 1.8674415, 1.9634805", \
+					  "1.8661435, 1.8663344, 1.8663345, 1.8663347, 1.8663348, 1.8663424, 1.9656750");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0820688, 3.0868472, 3.0868475, 3.0868477, 3.0868480, 3.0868482, 3.0868484", \
+					  "3.1196179, 3.1310095, 3.1395662, 3.1395664, 3.1395666, 3.1395668, 3.1395671", \
+					  "3.1477123, 3.1759460, 3.1759462, 3.1759464, 3.1759466, 3.1759469, 3.1759471", \
+					  "3.1738894, 3.1898171, 3.1898174, 3.1898176, 3.1898178, 3.1898181, 3.1898183", \
+					  "3.1827552, 3.1885621, 3.1885623, 3.1885626, 3.1885628, 3.1885630, 3.1885633", \
+					  "3.1791336, 3.2057141, 3.2057142, 3.2057144, 3.2057146, 3.2057149, 3.2057151", \
+					  "3.1914507, 3.1997724, 3.1997725, 3.1997728, 3.1997730, 3.1997732, 3.1997735");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3103379, 2.3378381, 2.5058156, 3.5103953, 9.6504555, 23.7737070, 51.8989120", \
+					  "2.4122715, 2.4396736, 2.6082496, 3.6129426, 9.7487929, 23.8591970, 52.0007930", \
+					  "2.4779698, 2.5064835, 2.6732745, 3.6791821, 9.8200186, 23.9395690, 52.0734250", \
+					  "2.5346376, 2.5624578, 2.7292497, 3.7340033, 9.8771702, 23.9686530, 52.1090800", \
+					  "2.5824862, 2.6113316, 2.7783003, 3.7847991, 9.9137853, 24.0445480, 52.1893780", \
+					  "2.6313411, 2.6581615, 2.8266423, 3.8319290, 9.9729238, 24.0975220, 52.2193520", \
+					  "2.6755189, 2.7037868, 2.8707703, 3.8757126, 10.0079740, 24.1473990, 52.2883820");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7053244, 1.7561664, 2.0701874, 4.0253059, 12.0276950, 17.1155680, 40.2201370", \
+					  "1.7050160, 1.7557893, 2.0690420, 4.0275316, 12.0313510, 17.0975020, 40.1862150", \
+					  "1.7042336, 1.7557790, 2.0696069, 4.0297188, 12.0298940, 17.1089670, 40.1938140", \
+					  "1.7053027, 1.7562901, 2.0677835, 4.0177923, 12.0299660, 17.1165540, 40.2263180", \
+					  "1.7029625, 1.7556552, 2.0677418, 4.0268993, 12.0315020, 17.1064870, 40.2268970", \
+					  "1.7050606, 1.7557571, 2.0675734, 4.0179753, 12.0298350, 17.0855080, 40.1994490", \
+					  "1.7027622, 1.7534938, 2.0703641, 4.0259369, 12.0293820, 17.1304800, 40.2383440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.0530406, 2.0530407, 2.0530409, 2.0530412, 2.0530414, 2.0547000, 2.1486102", \
+					  "2.1180653, 2.1180655, 2.1180658, 2.1180660, 2.1180662, 2.1190998, 2.2034388", \
+					  "2.1362467, 2.1373221, 2.1373224, 2.1373226, 2.1373228, 2.1394992, 2.2183928", \
+					  "2.1516346, 2.1516349, 2.1516351, 2.1516353, 2.1516356, 2.1516358, 2.2340196", \
+					  "2.1505484, 2.1505486, 2.1505489, 2.1505491, 2.1508917, 2.1526061, 2.2358921", \
+					  "2.1468596, 2.1508633, 2.1514603, 2.1529805, 2.1529807, 2.1529810, 2.2304678", \
+					  "2.1470934, 2.1477147, 2.1477148, 2.1477150, 2.1477153, 2.1490270, 2.2277469");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.1908347, 8.3869125, 9.6447170, 17.3644510, 65.0022190, 359.0413900, 2172.3226000", \
+					  "8.2523188, 8.4632994, 9.7210258, 17.4351660, 65.2576090, 359.4250600, 2172.1271000", \
+					  "8.2744958, 8.4853440, 9.7205826, 17.4506360, 65.0940630, 359.0824600, 2172.8063000", \
+					  "8.2859168, 8.4979037, 9.7580086, 17.4753460, 65.1392040, 359.3102900, 2172.0625000", \
+					  "8.2807761, 8.4823855, 9.7418438, 17.4656790, 65.2234960, 359.6965100, 2172.6498000", \
+					  "8.2913338, 8.4822496, 9.7379553, 17.4571020, 65.1173980, 359.8016500, 2174.7426000", \
+					  "8.2872393, 8.4861576, 9.7562793, 17.4533210, 65.1064770, 359.7233700, 2171.8827000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3556230, 13.7589010, 16.2466400, 31.5780010, 127.0696300, 715.3681200, 4341.2297000", \
+					  "13.3544570, 13.7519440, 16.2212820, 31.5988160, 127.1890400, 715.1455700, 4341.0209000", \
+					  "13.3461660, 13.7632220, 16.2317110, 31.5666070, 127.0479700, 714.4575400, 4339.5330000", \
+					  "13.3601460, 13.7451720, 16.2243640, 31.6114600, 126.9326500, 715.0782700, 4341.3329000", \
+					  "13.3412610, 13.7522250, 16.2377050, 31.5572710, 127.1421200, 715.5354100, 4342.2060000", \
+					  "13.3583150, 13.7488310, 16.2409770, 31.6114890, 127.0586600, 715.5602500, 4342.3920000", \
+					  "13.3568560, 13.7587340, 16.2428280, 31.6091830, 126.9320800, 715.5528600, 4338.7240000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3142782, 8.5224743, 9.7751816, 17.5301680, 65.2977190, 360.3369400, 2177.3606000", \
+					  "8.4200877, 8.6167793, 9.8828812, 17.6228470, 65.4266330, 360.6614000, 2177.5759000", \
+					  "8.4884615, 8.6847809, 9.9506510, 17.6910890, 65.4956550, 360.9476700, 2179.2532000", \
+					  "8.5440188, 8.7405061, 10.0062850, 17.7467660, 65.5506650, 360.9773400, 2181.0645000", \
+					  "8.5921625, 8.7893248, 10.0547130, 17.7993140, 65.5892980, 360.7123300, 2178.3781000", \
+					  "8.6397520, 8.8362890, 10.1020430, 17.8421970, 65.6463680, 360.5585700, 2181.8153000", \
+					  "8.6757739, 8.8870040, 10.1370890, 17.9007640, 65.6726730, 360.1624000, 2177.9210000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1395770, 13.5606100, 16.0392090, 31.4977060, 127.3242600, 716.9718400, 4352.6620000", \
+					  "13.1539880, 13.5453330, 16.0594880, 31.4512580, 126.8950700, 717.1165600, 4348.0268000", \
+					  "13.1541250, 13.5443370, 16.0599360, 31.4492470, 126.8992700, 717.0682500, 4350.0340000", \
+					  "13.1540690, 13.5441410, 16.0599840, 31.4491790, 126.8958300, 716.8961800, 4351.1168000", \
+					  "13.1475870, 13.5436850, 16.0598380, 31.4338490, 127.3181300, 717.0916100, 4354.2054000", \
+					  "13.1541220, 13.5441580, 16.0598930, 31.4501380, 126.8960800, 715.8353100, 4350.5133000", \
+					  "13.1430200, 13.5600440, 16.0484280, 31.4904970, 126.8153700, 716.3406500, 4352.3605000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2558393, 2.2834246, 2.4513156, 3.4560665, 9.5847410, 23.7166180, 51.8515360", \
+					  "2.3219948, 2.3495088, 2.5174838, 3.5218377, 9.6612389, 23.7566480, 51.8987410", \
+					  "2.3457893, 2.3733460, 2.5410911, 3.5456198, 9.6853201, 23.7788150, 51.9223740", \
+					  "2.3568834, 2.3844475, 2.5523823, 3.5570344, 9.6955795, 23.8011260, 51.9533640", \
+					  "2.3610043, 2.3885023, 2.5563405, 3.5610113, 9.6927844, 23.8257040, 51.9512890", \
+					  "2.3613826, 2.3889919, 2.5567619, 3.5611825, 9.6907762, 23.8225300, 51.9450510", \
+					  "2.3592956, 2.3867943, 2.5550018, 3.5588639, 9.6930117, 23.8222830, 51.9448840");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7040269, 1.7563501, 2.0679229, 4.0263513, 12.0307110, 17.0935710, 40.2228900", \
+					  "1.7050783, 1.7560822, 2.0691953, 4.0295203, 12.0312250, 17.1527930, 40.2120110", \
+					  "1.7051632, 1.7541412, 2.0674684, 4.0290340, 12.0302560, 17.1131780, 40.2335520", \
+					  "1.7048762, 1.7541409, 2.0677067, 4.0249968, 12.0273940, 17.1085630, 40.2386990", \
+					  "1.7045477, 1.7560149, 2.0675857, 4.0268828, 12.0310890, 17.1646240, 40.2359710", \
+					  "1.7055343, 1.7550427, 2.0684749, 4.0233474, 12.0303360, 17.1468690, 40.2378220", \
+					  "1.7052887, 1.7540814, 2.0702988, 4.0296038, 12.0298100, 17.1288140, 40.2347240");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7705440, 1.7705442, 1.7705443, 1.7705444, 1.7708296, 1.7771707, 1.8828161", \
+					  "1.8317562, 1.8379051, 1.8417423, 1.8417424, 1.8417425, 1.8417426, 1.9288475", \
+					  "1.8624641, 1.8624642, 1.8624643, 1.8624644, 1.8624645, 1.8624647, 1.9442097", \
+					  "1.8725792, 1.8726487, 1.8729078, 1.8729079, 1.8729080, 1.8729081, 1.9530775", \
+					  "1.8747688, 1.8747690, 1.8747691, 1.8747692, 1.8747693, 1.8756392, 1.9557396", \
+					  "1.8688926, 1.8689910, 1.8692292, 1.8692293, 1.8692294, 1.8704351, 1.9536248", \
+					  "1.8701352, 1.8705013, 1.8705415, 1.8705416, 1.8705417, 1.8705418, 1.9499715");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2916700, 2.3135984, 2.4288052, 2.8364857, 4.1673731, 10.8017730, 35.7567340", \
+					  "2.3579536, 2.3796771, 2.4949971, 2.9028746, 4.2344959, 10.8727550, 35.8604200", \
+					  "2.3812865, 2.4030480, 2.5182334, 2.9262002, 4.2575361, 10.8931580, 35.8816980", \
+					  "2.3923235, 2.4142386, 2.5294713, 2.9373017, 4.2687271, 10.9031950, 35.9058700", \
+					  "2.3962652, 2.4186100, 2.5337954, 2.9415059, 4.2731774, 10.9120680, 35.8877760", \
+					  "2.3970665, 2.4187206, 2.5340146, 2.9416183, 4.2736445, 10.9109490, 35.9061190", \
+					  "2.3940139, 2.4168278, 2.5321687, 2.9399232, 4.2717163, 10.9055510, 35.9106980");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0557810, 1.0623743, 1.0943216, 1.1671870, 2.5800646, 10.5882870, 38.1770170", \
+					  "1.0560537, 1.0627703, 1.0944842, 1.1680724, 2.5843661, 10.5713860, 38.2387380", \
+					  "1.0548333, 1.0615487, 1.0933917, 1.1669397, 2.5837550, 10.5783440, 38.2399530", \
+					  "1.0562409, 1.0629835, 1.0943870, 1.1682461, 2.5837266, 10.5675790, 38.2051010", \
+					  "1.0562242, 1.0629659, 1.0940928, 1.1679960, 2.5868152, 10.5786300, 38.2489670", \
+					  "1.0559907, 1.0627925, 1.0941787, 1.1680863, 2.5891818, 10.5773300, 38.2218740", \
+					  "1.0551043, 1.0619693, 1.0937148, 1.1678031, 2.5866502, 10.5601470, 38.2236930");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2493625, 2.2629638, 2.3383011, 2.7055613, 4.0229300, 7.7061975, 19.4041920", \
+					  "2.3513069, 2.3649427, 2.4409454, 2.8080149, 4.1251447, 7.8082198, 19.5037230", \
+					  "2.4198319, 2.4327760, 2.5084324, 2.8756262, 4.1929011, 7.8760793, 19.5733920", \
+					  "2.4760323, 2.4884528, 2.5640556, 2.9313072, 4.2485171, 7.9333118, 19.6294390", \
+					  "2.5237916, 2.5368483, 2.6129214, 2.9799134, 4.2971555, 7.9796871, 19.6775310", \
+					  "2.5710298, 2.5842999, 2.6596838, 3.0272975, 4.3436890, 8.0261602, 19.7297680", \
+					  "2.6164182, 2.6291529, 2.7060139, 3.0724798, 4.3898836, 8.0693772, 19.7727220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6598104, 0.6642031, 0.7122621, 0.9545501, 2.0067663, 4.7596109, 17.2448860", \
+					  "0.6594307, 0.6648432, 0.7096869, 0.9535132, 2.0051352, 4.7463851, 17.2201010", \
+					  "0.6572314, 0.6643615, 0.7111653, 0.9540282, 2.0060582, 4.7529412, 17.2513560", \
+					  "0.6565529, 0.6643597, 0.7116512, 0.9540846, 2.0054343, 4.7503339, 17.2407760", \
+					  "0.6597323, 0.6654320, 0.7088999, 0.9536335, 2.0055426, 4.7414352, 17.2405250", \
+					  "0.6571473, 0.6639762, 0.7121734, 0.9545007, 2.0052520, 4.7458264, 17.2580530", \
+					  "0.6595387, 0.6684698, 0.7065635, 0.9539886, 2.0095396, 4.7528368, 17.2543240");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.8023854, 1.8023856, 1.8023857, 1.8023858, 1.8023859, 1.8023860, 1.9235906", \
+					  "1.8639792, 1.8687702, 1.8687704, 1.8687705, 1.8687706, 1.8687707, 1.9700632", \
+					  "1.8898387, 1.8898388, 1.8898389, 1.8898390, 1.8898392, 1.8898393, 1.9852786", \
+					  "1.8920550, 1.8931114, 1.8931115, 1.8931116, 1.8931117, 1.8985999, 1.9924098", \
+					  "1.8998048, 1.8998049, 1.8998051, 1.8998052, 1.8998053, 1.8998054, 1.9924744", \
+					  "1.9063675, 1.9063917, 1.9065194, 1.9065195, 1.9065196, 1.9065198, 1.9884705", \
+					  "1.8972177, 1.8972179, 1.8985589, 1.8985590, 1.8985591, 1.8985592, 1.9840012");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7745797, 1.7745798, 1.7745799, 1.7745800, 1.7745801, 1.7745802, 1.8864854", \
+					  "1.8350614, 1.8350615, 1.8350617, 1.8380276, 1.8380277, 1.8380278, 1.9431334", \
+					  "1.8613302, 1.8613303, 1.8613304, 1.8613305, 1.8613306, 1.8613307, 1.9614976", \
+					  "1.8624846, 1.8680821, 1.8697675, 1.8697677, 1.8697678, 1.8698192, 1.9691031", \
+					  "1.8648831, 1.8649418, 1.8694759, 1.8694760, 1.8694761, 1.8694762, 1.9700506", \
+					  "1.8671613, 1.8674047, 1.8674048, 1.8674049, 1.8674050, 1.8674052, 1.9678994", \
+					  "1.8648674, 1.8648676, 1.8648677, 1.8650492, 1.8650494, 1.8651771, 1.9637960");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0822533, 3.0831264, 3.0831265, 3.0831267, 3.0831270, 3.0831272, 3.0831274", \
+					  "3.1195737, 3.1455436, 3.1455437, 3.1455440, 3.1455442, 3.1455445, 3.1455447", \
+					  "3.1664071, 3.1664073, 3.1664075, 3.1664078, 3.1664080, 3.1664082, 3.1664085", \
+					  "3.1738587, 3.1764902, 3.1764905, 3.1764907, 3.1764910, 3.1764912, 3.1764914", \
+					  "3.1827543, 3.1842513, 3.1842515, 3.1842517, 3.1842520, 3.1842522, 3.1842524", \
+					  "3.1791370, 3.1805956, 3.1805958, 3.1805960, 3.1805963, 3.1805965, 3.1805968", \
+					  "3.1914487, 3.1943281, 3.1943282, 3.1943285, 3.1943287, 3.1943290, 3.1943292");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3206561, 2.3434257, 2.4622853, 2.8754576, 4.1983226, 10.8303220, 35.8405150", \
+					  "2.4210709, 2.4454947, 2.5641078, 2.9780030, 4.2997881, 10.9343560, 35.9188630", \
+					  "2.4882132, 2.5108489, 2.6308782, 3.0439731, 4.3655346, 10.9965130, 35.9770100", \
+					  "2.5453555, 2.5669145, 2.6869309, 3.0993588, 4.4223580, 11.0546430, 36.0529560", \
+					  "2.5952280, 2.6158544, 2.7356959, 3.1493885, 4.4700039, 11.1026730, 36.1187100", \
+					  "2.6389082, 2.6648701, 2.7833316, 3.1947007, 4.5186295, 11.1533640, 36.1486800", \
+					  "2.6867766, 2.7094722, 2.8266405, 3.2407248, 4.5617132, 11.1971760, 36.1832980");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0757495, 1.0834269, 1.1178838, 1.2027245, 2.5883089, 10.5864670, 38.2454990", \
+					  "1.0755227, 1.0821158, 1.1179893, 1.2024166, 2.5902973, 10.5864230, 38.2561040", \
+					  "1.0760109, 1.0829802, 1.1179926, 1.2030540, 2.5906308, 10.5772890, 38.2501180", \
+					  "1.0753018, 1.0825260, 1.1183383, 1.2018051, 2.5869631, 10.5881910, 38.2453680", \
+					  "1.0756552, 1.0828711, 1.1178272, 1.2032232, 2.5884392, 10.5839530, 38.2327090", \
+					  "1.0753982, 1.0825917, 1.1181257, 1.2026633, 2.5854257, 10.5898600, 38.2533470", \
+					  "1.0750277, 1.0821580, 1.1179075, 1.2016852, 2.5859488, 10.5806430, 38.2563990");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5188736, 8.7205105, 9.9814459, 17.7324680, 65.5323120, 360.3393800, 2178.7382000", \
+					  "8.6166514, 8.8241485, 10.0781160, 17.8166020, 65.6343600, 360.8703600, 2179.5379000", \
+					  "8.6852854, 8.8851930, 10.1456100, 17.8844000, 65.7061680, 361.1911000, 2179.2250000", \
+					  "8.7433483, 8.9473362, 10.2020180, 17.9569800, 65.7690220, 361.2532900, 2181.7018000", \
+					  "8.7912353, 8.9919459, 10.2524680, 17.9979920, 65.8034480, 360.6837100, 2178.9531000", \
+					  "8.8376524, 9.0367944, 10.2986740, 18.0578570, 65.8613360, 361.0998400, 2182.8816000", \
+					  "8.8845811, 9.0801713, 10.3467860, 18.0822130, 65.9011550, 360.7492000, 2179.7193000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1536100, 13.5378900, 16.0573630, 31.4735790, 127.3473900, 716.2909700, 4355.0349000", \
+					  "13.1278310, 13.5445850, 16.0270750, 31.4901310, 127.3512000, 716.0142000, 4352.4719000", \
+					  "13.1352020, 13.5436360, 16.0352960, 31.4825360, 127.3759100, 717.1349200, 4350.6966000", \
+					  "13.1537020, 13.5616000, 16.0355530, 31.4738140, 127.2159400, 717.1102500, 4353.8271000", \
+					  "13.1551600, 13.5383900, 16.0567450, 31.4995780, 127.3467200, 716.1654000, 4356.2845000", \
+					  "13.1510920, 13.5347700, 16.0419430, 31.4778000, 127.3656100, 717.1135500, 4349.8048000", \
+					  "13.1556600, 13.5546950, 16.0582210, 31.4623700, 126.8939700, 716.7545800, 4350.3219000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.4052825, 3.4052827, 3.4052829, 3.4052831, 3.4052834, 3.4052836, 3.4052839", \
+					  "3.4775229, 3.4803722, 3.4803724, 3.4803726, 3.4803728, 3.4803731, 3.4803733", \
+					  "3.4821006, 3.5042775, 3.5042776, 3.5042779, 3.5042781, 3.5042784, 3.5042786", \
+					  "3.5102277, 3.5102278, 3.5102281, 3.5102283, 3.5102286, 3.5102288, 3.5102290", \
+					  "3.5201240, 3.5201241, 3.5201244, 3.5201246, 3.5201248, 3.5201251, 3.5201253", \
+					  "3.5201175, 3.5201177, 3.5201179, 3.5201182, 3.5201184, 3.5201186, 3.5201189", \
+					  "3.5140233, 3.5140235, 3.5140237, 3.5140240, 3.5140242, 3.5140244, 3.5140247");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7004106, 1.7004107, 1.7004108, 1.7004110, 1.7004111, 1.7004112, 1.9041766", \
+					  "1.7582227, 1.7582780, 1.7582781, 1.7582782, 1.7582784, 1.7582785, 1.9145794", \
+					  "1.7730700, 1.7731848, 1.7743802, 1.7771081, 1.7771082, 1.7771083, 1.9280391", \
+					  "1.7825812, 1.7834506, 1.7841010, 1.7877770, 1.7882128, 1.7882129, 1.9291391", \
+					  "1.7868251, 1.7879263, 1.7879264, 1.7879265, 1.7879267, 1.7879268, 1.9277668", \
+					  "1.7822281, 1.7833405, 1.7855968, 1.7855970, 1.7855971, 1.7855972, 1.9233901", \
+					  "1.7867957, 1.7869142, 1.7869143, 1.7869145, 1.7869146, 1.7869147, 1.9141491");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.6647222, 2.6941636, 2.8697937, 3.9374628, 9.7222612, 34.8665810, 98.0209050", \
+					  "2.7680087, 2.7959675, 2.9720579, 4.0441721, 9.8256283, 34.9628590, 98.0598930", \
+					  "2.8317246, 2.8629052, 3.0371683, 4.1040171, 9.8909698, 35.0315260, 98.1944690", \
+					  "2.8881013, 2.9174934, 3.0935479, 4.1659303, 9.9457615, 35.0863590, 98.2578360", \
+					  "2.9383151, 2.9674430, 3.1421690, 4.2086232, 9.9943067, 35.1376740, 98.3028410", \
+					  "2.9858884, 3.0141014, 3.1906107, 4.2557073, 10.0450790, 35.1819910, 98.3480670", \
+					  "3.0314167, 3.0610521, 3.2310947, 4.3026076, 10.0884680, 35.2280270, 98.3937620");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7690606, 1.8230801, 2.1539694, 4.0675738, 11.4053040, 42.5368750, 59.4762320", \
+					  "1.7685823, 1.8208770, 2.1534539, 4.0681485, 11.4061760, 42.5774370, 59.4842010", \
+					  "1.7693318, 1.8230615, 2.1541491, 4.0685464, 11.4048190, 42.5591860, 59.4605110", \
+					  "1.7677687, 1.8220214, 2.1535160, 4.0674983, 11.4078110, 42.5415190, 59.5263960", \
+					  "1.7679124, 1.8220042, 2.1534317, 4.0670525, 11.4078350, 42.5820730, 59.5302900", \
+					  "1.7694153, 1.8220406, 2.1521545, 4.0694608, 11.4036600, 42.5574100, 59.3985270", \
+					  "1.7695354, 1.8212113, 2.1526163, 4.0697765, 11.4083090, 42.5434730, 59.3811150");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7726999, 1.7727001, 1.7727002, 1.7727003, 1.7727004, 1.7727005, 1.8867916", \
+					  "1.8327656, 1.8327657, 1.8327658, 1.8361082, 1.8361083, 1.8361084, 1.9416786", \
+					  "1.8585007, 1.8585008, 1.8585009, 1.8585011, 1.8585012, 1.8585013, 1.9537831", \
+					  "1.8613311, 1.8649959, 1.8671047, 1.8671048, 1.8671049, 1.8677515, 1.9660538", \
+					  "1.8644761, 1.8645419, 1.8690489, 1.8694104, 1.8694105, 1.8694106, 1.9626907", \
+					  "1.8656461, 1.8656462, 1.8656464, 1.8656465, 1.8656466, 1.8656467, 1.9668445", \
+					  "1.8657942, 1.8657971, 1.8657972, 1.8657973, 1.8657974, 1.8669857, 1.9625683");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7005650, 1.7005651, 1.7008919, 1.7017320, 1.7017321, 1.7017323, 1.9063042", \
+					  "1.7562953, 1.7586760, 1.7586762, 1.7586763, 1.7586764, 1.7586765, 1.9144668", \
+					  "1.7734125, 1.7735237, 1.7749405, 1.7776427, 1.7776428, 1.7776430, 1.9289610", \
+					  "1.7834735, 1.7840508, 1.7848817, 1.7886683, 1.7886684, 1.7886685, 1.9297761", \
+					  "1.7873671, 1.7884586, 1.7884587, 1.7884588, 1.7884589, 1.7884591, 1.9340001", \
+					  "1.7830811, 1.7840001, 1.7861694, 1.7861695, 1.7861696, 1.7861698, 1.9242026", \
+					  "1.7876901, 1.7879375, 1.7879376, 1.7879378, 1.7879379, 1.7879380, 1.9148154");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.3937413, 3.3940064, 3.3940067, 3.3940069, 3.3940071, 3.3940074, 3.3940076", \
+					  "3.4797416, 3.4797417, 3.4797420, 3.4797422, 3.4797425, 3.4797427, 3.4797429", \
+					  "3.4866305, 3.5043115, 3.5043117, 3.5043120, 3.5043122, 3.5043124, 3.5043127", \
+					  "3.5122976, 3.5122978, 3.5122980, 3.5122983, 3.5122985, 3.5122988, 3.5122990", \
+					  "3.5159591, 3.5159592, 3.5159594, 3.5159597, 3.5159599, 3.5159601, 3.5159604", \
+					  "3.5211321, 3.5211322, 3.5211324, 3.5211326, 3.5211329, 3.5211331, 3.5211334", \
+					  "3.5152043, 3.5152044, 3.5152046, 3.5152048, 3.5152051, 3.5152053, 3.5152056");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0888798, 3.0902498, 3.0902499, 3.0902502, 3.0902504, 3.0902507, 3.0902509", \
+					  "3.1413914, 3.1457137, 3.1457140, 3.1457142, 3.1457145, 3.1457147, 3.1457149", \
+					  "3.1643053, 3.1643054, 3.1643056, 3.1643059, 3.1643061, 3.1643063, 3.1643066", \
+					  "3.1732852, 3.1754381, 3.1754383, 3.1754386, 3.1754388, 3.1754391, 3.1754393", \
+					  "3.1835128, 3.1849312, 3.1849314, 3.1849317, 3.1849319, 3.1849322, 3.1849324", \
+					  "3.1790361, 3.1805012, 3.1805014, 3.1805016, 3.1805019, 3.1805021, 3.1805023", \
+					  "3.1908587, 3.1945990, 3.1945991, 3.1945993, 3.1945996, 3.1945998, 3.1946000");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2661863, 2.2890314, 2.4080031, 2.8211744, 4.1434070, 10.7769450, 35.7669090", \
+					  "2.3329143, 2.3553224, 2.4741809, 2.8872049, 4.2098849, 10.8451080, 35.8335160", \
+					  "2.3563715, 2.3788214, 2.4978069, 2.9111020, 4.2339252, 10.8679070, 35.8478330", \
+					  "2.3668212, 2.3897524, 2.5089746, 2.9221949, 4.2440504, 10.8786410, 35.8852860", \
+					  "2.3715017, 2.3941635, 2.5130789, 2.9262104, 4.2482622, 10.8771380, 35.8828840", \
+					  "2.3719118, 2.3943250, 2.5135469, 2.9267726, 4.2484305, 10.8777560, 35.8633480", \
+					  "2.3692053, 2.3925675, 2.5112332, 2.9246909, 4.2472474, 10.8769660, 35.8585450");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0754297, 1.0824284, 1.1176674, 1.2021766, 2.5885365, 10.5865270, 38.2477980", \
+					  "1.0756613, 1.0827039, 1.1176876, 1.2033246, 2.5875664, 10.5747920, 38.2583670", \
+					  "1.0757674, 1.0826703, 1.1177435, 1.2026218, 2.5909490, 10.5811780, 38.2427570", \
+					  "1.0756979, 1.0827391, 1.1178560, 1.2015077, 2.5860978, 10.5805120, 38.2255050", \
+					  "1.0758583, 1.0826589, 1.1178660, 1.2031393, 2.5856126, 10.5822800, 38.2048240", \
+					  "1.0756571, 1.0819575, 1.1168252, 1.2030384, 2.5854578, 10.5790580, 38.2425500", \
+					  "1.0751419, 1.0824099, 1.1175829, 1.2024532, 2.5868210, 10.5838440, 38.2491190");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.0766733, 2.0766734, 2.0766737, 2.0766739, 2.0766742, 2.0766744, 2.1756907", \
+					  "2.1330557, 2.1330559, 2.1333533, 2.1333534, 2.1368001, 2.1445821, 2.2371369", \
+					  "2.1568489, 2.1679345, 2.1679346, 2.1679348, 2.1687037, 2.1687040, 2.2644504", \
+					  "2.1667639, 2.1773074, 2.1773075, 2.1773078, 2.1773080, 2.1787276, 2.2652951", \
+					  "2.1821758, 2.1825899, 2.1825902, 2.1825904, 2.1825907, 2.1825909, 2.2795978", \
+					  "2.1748430, 2.1748432, 2.1748435, 2.1748437, 2.1748440, 2.1748442, 2.2688464", \
+					  "2.1753044, 2.1767224, 2.1767225, 2.1769020, 2.1769022, 2.1769025, 2.2690219");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3206199, 2.3434088, 2.4622659, 2.8753304, 4.1982950, 10.8301000, 35.8400420", \
+					  "2.4234519, 2.4457332, 2.5648910, 2.9771989, 4.2998467, 10.9337840, 35.9144390", \
+					  "2.4882010, 2.5122229, 2.6299352, 3.0445131, 4.3656736, 10.9995830, 35.9900840", \
+					  "2.5437141, 2.5662497, 2.6860076, 3.1006164, 4.4221862, 11.0556770, 36.0356650", \
+					  "2.5938041, 2.6175422, 2.7355793, 3.1488958, 4.4701061, 11.1007620, 36.1226850", \
+					  "2.6415345, 2.6650122, 2.7825959, 3.1957652, 4.5180228, 11.1516700, 36.1398700", \
+					  "2.6864202, 2.7087566, 2.8272718, 3.2406404, 4.5632751, 11.1972080, 36.1963290");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0758271, 1.0834604, 1.1179425, 1.2025244, 2.5882838, 10.5863740, 38.2458400", \
+					  "1.0754209, 1.0821767, 1.1182382, 1.2024325, 2.5901297, 10.5859580, 38.2487360", \
+					  "1.0760423, 1.0827560, 1.1188791, 1.2024255, 2.5861280, 10.5872960, 38.2493040", \
+					  "1.0754453, 1.0826599, 1.1185159, 1.2024760, 2.5864522, 10.5765500, 38.2487360", \
+					  "1.0756962, 1.0829400, 1.1178971, 1.2034186, 2.5885030, 10.5837410, 38.2389340", \
+					  "1.0752941, 1.0827184, 1.1187967, 1.2018515, 2.5859779, 10.5742560, 38.2409200", \
+					  "1.0750978, 1.0821758, 1.1179645, 1.2018962, 2.5864269, 10.5773080, 38.2361730");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4465669, 2.4594975, 2.5357396, 2.9004552, 4.2198498, 7.9152702, 19.6152140", \
+					  "2.5478948, 2.5608333, 2.6371980, 3.0017979, 4.3211803, 8.0184028, 19.7172550", \
+					  "2.6135856, 2.6266669, 2.7043003, 3.0691336, 4.3870441, 8.0831279, 19.7838650", \
+					  "2.6714488, 2.6843794, 2.7598277, 3.1232161, 4.4440380, 8.1408267, 19.8432310", \
+					  "2.7192739, 2.7310609, 2.8092144, 3.1736755, 4.4927972, 8.1898035, 19.8867800", \
+					  "2.7666436, 2.7802645, 2.8558802, 3.2200111, 4.5377742, 8.2368485, 19.9378540", \
+					  "2.8112630, 2.8237752, 2.9017035, 3.2683849, 4.5841557, 8.2794092, 19.9834440");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6913426, 0.6971149, 0.7361660, 0.9811943, 2.0234818, 4.7561817, 17.2204010", \
+					  "0.6916943, 0.6975809, 0.7360158, 0.9810234, 2.0245623, 4.7599187, 17.2406840", \
+					  "0.6913504, 0.6972839, 0.7361363, 0.9811916, 2.0241635, 4.7578412, 17.2204220", \
+					  "0.6920449, 0.6981271, 0.7362084, 0.9811602, 2.0252848, 4.7599102, 17.2231170", \
+					  "0.6917051, 0.6970968, 0.7361597, 0.9812123, 2.0244952, 4.7603748, 17.2206500", \
+					  "0.6918454, 0.6977130, 0.7359367, 0.9809595, 2.0246462, 4.7645980, 17.2617320", \
+					  "0.6867993, 0.6949452, 0.7391411, 0.9777980, 2.0286746, 4.7425481, 17.2296120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3102791, 2.3377866, 2.5057780, 3.5103878, 9.6504049, 23.7727010, 51.8993200", \
+					  "2.4126763, 2.4395750, 2.6079172, 3.6119636, 9.7507122, 23.8569340, 52.0009780", \
+					  "2.4779105, 2.5068461, 2.6731652, 3.6777610, 9.8154382, 23.9450420, 52.0554980", \
+					  "2.5346880, 2.5630422, 2.7288756, 3.7340167, 9.8636175, 23.9970830, 52.1367520", \
+					  "2.5834577, 2.6109024, 2.7796410, 3.7826613, 9.9243067, 24.0433180, 52.1879850", \
+					  "2.6303768, 2.6581928, 2.8259122, 3.8294417, 9.9704591, 24.0943280, 52.2213380", \
+					  "2.6758220, 2.7039242, 2.8705291, 3.8770120, 10.0073760, 24.1438460, 52.2574690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7057766, 1.7563113, 2.0703259, 4.0259662, 12.0276130, 17.1124360, 40.2370010", \
+					  "1.7048015, 1.7559324, 2.0703308, 4.0275250, 12.0306290, 17.1115100, 40.1859030", \
+					  "1.7042971, 1.7559304, 2.0696356, 4.0251225, 12.0312440, 17.1276450, 40.1921550", \
+					  "1.7052891, 1.7563231, 2.0691506, 4.0187338, 12.0314540, 17.0939240, 40.2482070", \
+					  "1.7047038, 1.7558128, 2.0676731, 4.0270294, 12.0301560, 17.1083360, 40.2274840", \
+					  "1.7053108, 1.7554421, 2.0676425, 4.0274490, 12.0302350, 17.1170860, 40.2037830", \
+					  "1.7037505, 1.7536713, 2.0704873, 4.0258974, 12.0293110, 17.1322510, 40.2372290");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.6795410, 2.7093150, 2.8850262, 3.9518273, 10.0162100, 21.9336390, 43.3686940", \
+					  "2.7817226, 2.8116074, 2.9866516, 4.0514592, 10.1132900, 22.0366040, 43.4638670", \
+					  "2.8466796, 2.8766789, 3.0523684, 4.1204995, 10.1851530, 22.1008420, 43.5362960", \
+					  "2.9045710, 2.9341098, 3.1093115, 4.1726134, 10.2364800, 22.1538090, 43.5868340", \
+					  "2.9525913, 2.9808376, 3.1563279, 4.2258090, 10.2857270, 22.2008010, 43.6396340", \
+					  "3.0026479, 3.0297187, 3.2050742, 4.2687072, 10.3315220, 22.2481610, 43.6815100", \
+					  "3.0475980, 3.0720126, 3.2478513, 4.3138924, 10.3768270, 22.2953100, 43.7304590");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7621545, 1.8162984, 2.1414226, 4.1971058, 11.6080700, 13.9567390, 26.9050100", \
+					  "1.7627665, 1.8161829, 2.1435043, 4.1936548, 11.6069230, 13.9582360, 26.8976180", \
+					  "1.7622663, 1.8163688, 2.1417308, 4.1971888, 11.6082090, 13.9618330, 26.9010020", \
+					  "1.7598490, 1.8141878, 2.1435322, 4.1984110, 11.6071250, 13.9634610, 26.9044340", \
+					  "1.7620810, 1.8162861, 2.1446522, 4.1972563, 11.6068540, 13.9643190, 26.9041860", \
+					  "1.7609292, 1.8140812, 2.1459090, 4.1974033, 11.6057470, 13.9550770, 26.8841700", \
+					  "1.7625296, 1.8132784, 2.1458058, 4.1947952, 11.6043690, 13.9644390, 26.8930260");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7686430, 1.7700135, 1.7700136, 1.7700137, 1.7700138, 1.7700139, 1.8825341", \
+					  "1.8342622, 1.8369656, 1.8369657, 1.8369658, 1.8369659, 1.8369660, 1.9249045", \
+					  "1.8559938, 1.8559939, 1.8559940, 1.8606015, 1.8606017, 1.8606018, 1.9517781", \
+					  "1.8683937, 1.8683938, 1.8683939, 1.8683940, 1.8683941, 1.8683942, 1.9568966", \
+					  "1.8634716, 1.8634916, 1.8663031, 1.8682809, 1.8682810, 1.8682811, 1.9604889", \
+					  "1.8638699, 1.8643607, 1.8643608, 1.8643609, 1.8647497, 1.8659805, 1.9645687", \
+					  "1.8666617, 1.8666618, 1.8666619, 1.8666620, 1.8666621, 1.8666623, 1.9634858");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7010687, 1.7018881, 1.7018882, 1.7018883, 1.7018884, 1.7018886, 1.9428326", \
+					  "1.7583011, 1.7583012, 1.7583014, 1.7583015, 1.7583016, 1.7583017, 1.9370967", \
+					  "1.7775134, 1.7780381, 1.7780383, 1.7780384, 1.7780385, 1.7780386, 1.9286071", \
+					  "1.7853879, 1.7853880, 1.7853881, 1.7853882, 1.7853883, 1.7853885, 1.9262099", \
+					  "1.7894024, 1.7894025, 1.7894026, 1.7894027, 1.7894028, 1.7894030, 1.9410371", \
+					  "1.7846336, 1.7846766, 1.7846767, 1.7846768, 1.7846769, 1.7846770, 1.9272888", \
+					  "1.7884126, 1.7884127, 1.7884128, 1.7884129, 1.7884130, 1.7884132, 1.9151747");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.5627410, 1.5628520, 1.5629181, 1.5629182, 1.5629183, 1.5629184, 1.8357963", \
+					  "1.6515050, 1.6515051, 1.6515052, 1.6515053, 1.6515055, 1.6515056, 1.8392855", \
+					  "1.7126498, 1.7126499, 1.7126501, 1.7126502, 1.7126503, 1.7126504, 1.8595240", \
+					  "1.7650283, 1.7651314, 1.7651315, 1.7651316, 1.7651317, 1.7651318, 1.8928221", \
+					  "1.8111099, 1.8115285, 1.8115286, 1.8115287, 1.8115288, 1.8115289, 1.9369781", \
+					  "1.8572792, 1.8572793, 1.8572794, 1.8572795, 1.8572796, 1.8572798, 1.9781519", \
+					  "1.9009305, 1.9009306, 1.9009308, 1.9009309, 1.9009310, 1.9009311, 2.0196971");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4284146, 2.4412463, 2.5172742, 2.8871134, 4.2929900, 11.2593940, 49.8974910", \
+					  "2.5289542, 2.5420410, 2.6186875, 2.9876064, 4.3945731, 11.3584260, 49.9005280", \
+					  "2.5972235, 2.6097349, 2.6858222, 3.0542786, 4.4602766, 11.4266140, 49.9743540", \
+					  "2.6526714, 2.6658746, 2.7411955, 3.1116780, 4.5175641, 11.4831210, 50.0889610", \
+					  "2.7013001, 2.7138285, 2.7907663, 3.1576484, 4.5660604, 11.5326450, 50.1241680", \
+					  "2.7473408, 2.7616265, 2.8377027, 3.2067624, 4.6126090, 11.5773880, 50.1204620", \
+					  "2.7921845, 2.8065179, 2.8823866, 3.2512375, 4.6591522, 11.6216330, 50.2556890");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6898208, 0.6980665, 0.7403143, 0.9856734, 2.3363191, 11.2823380, 46.4873010", \
+					  "0.6905936, 0.6967946, 0.7434653, 0.9908868, 2.3340385, 11.2857090, 46.5271700", \
+					  "0.6898899, 0.6982369, 0.7402771, 0.9859116, 2.3367581, 11.2820160, 46.4887120", \
+					  "0.6890652, 0.6969711, 0.7423233, 0.9840474, 2.3380033, 11.2831440, 46.4522000", \
+					  "0.6891846, 0.6966695, 0.7404803, 0.9909186, 2.3371074, 11.2836350, 46.4625600", \
+					  "0.6923691, 0.6968148, 0.7422731, 0.9916378, 2.3377211, 11.2830160, 46.5305800", \
+					  "0.6919206, 0.6970327, 0.7443844, 0.9893999, 2.3339428, 11.2797150, 46.5445460");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.5637237, 1.5637238, 1.5637239, 1.5637240, 1.5637242, 1.5637243, 1.8394148", \
+					  "1.6521238, 1.6521239, 1.6521240, 1.6521242, 1.6521243, 1.6521244, 1.8332709", \
+					  "1.7128595, 1.7130957, 1.7138133, 1.7138134, 1.7138135, 1.7138137, 1.8626636", \
+					  "1.7657102, 1.7657922, 1.7657924, 1.7657925, 1.7657926, 1.7657927, 1.8972999", \
+					  "1.8119383, 1.8119384, 1.8119385, 1.8119386, 1.8119388, 1.8119389, 1.9336190", \
+					  "1.8580678, 1.8580679, 1.8580680, 1.8580681, 1.8580682, 1.8580683, 1.9784439", \
+					  "1.9013768, 1.9013811, 1.9013812, 1.9013814, 1.9013815, 1.9013816, 2.0188475");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2345863, 8.4378575, 9.6764712, 17.4030000, 65.0735640, 359.5754700, 2172.5691000", \
+					  "8.3296836, 8.5332950, 9.7939026, 17.5515620, 65.3125620, 359.3359000, 2172.2722000", \
+					  "8.3881817, 8.5965954, 9.8440029, 17.6201740, 65.2283210, 359.5835900, 2172.3486000", \
+					  "8.4597840, 8.6537364, 9.9207265, 17.6280930, 65.3174870, 359.4243800, 2172.2567000", \
+					  "8.4887484, 8.6901979, 9.9516324, 17.7059820, 65.5705530, 359.7036800, 2172.4575000", \
+					  "8.5563876, 8.7598426, 9.9945874, 17.7231260, 65.3949290, 359.9104400, 2173.0089000", \
+					  "8.5986418, 8.7916572, 10.0587470, 17.7739800, 65.4907740, 360.0328300, 2174.1175000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3593510, 13.7508720, 16.2413120, 31.6228180, 127.0313400, 715.3699900, 4340.0250000", \
+					  "13.3538660, 13.7567200, 16.2510790, 31.5704690, 127.1156400, 715.0787800, 4341.5494000", \
+					  "13.3645670, 13.7656730, 16.2283800, 31.5782420, 127.0596400, 715.2687000, 4341.5521000", \
+					  "13.3288650, 13.7623910, 16.2195430, 31.5673530, 126.9832000, 714.3499900, 4341.1515000", \
+					  "13.3433990, 13.7493190, 16.2369890, 31.6244490, 127.0463900, 715.4068300, 4341.4616000", \
+					  "13.3301060, 13.7319300, 16.2329620, 31.5727920, 127.0316000, 715.1645600, 4340.3152000", \
+					  "13.3459370, 13.7583890, 16.2346230, 31.5852160, 127.1323400, 715.5214200, 4343.3693000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5179206, 8.7237648, 9.9806092, 17.7370280, 65.5515540, 360.9578400, 2178.3055000", \
+					  "8.6204910, 8.8172412, 10.0826720, 17.8241300, 65.6372380, 360.9507100, 2177.8135000", \
+					  "8.6865040, 8.8911207, 10.1491250, 17.8996120, 65.7227230, 361.2673500, 2179.0504000", \
+					  "8.7426155, 8.9438554, 10.2060710, 17.9467300, 65.7756300, 361.0805900, 2179.5577000", \
+					  "8.7914236, 8.9967402, 10.2536630, 18.0103110, 65.8232580, 361.2945800, 2179.2937000", \
+					  "8.8406020, 9.0386321, 10.3001380, 18.0424550, 65.8462440, 361.0037700, 2180.9965000", \
+					  "8.8760981, 9.0849470, 10.3459340, 18.1075370, 65.8408080, 360.6600100, 2181.7307000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1507300, 13.5622000, 16.0566420, 31.4758250, 127.2166100, 717.2705000, 4354.6120000", \
+					  "13.1551990, 13.5463160, 16.0600400, 31.4578050, 126.8982400, 716.2821000, 4352.7830000", \
+					  "13.1564940, 13.5622290, 16.0564340, 31.4429940, 127.0885500, 717.1386200, 4351.9326000", \
+					  "13.1539930, 13.5480520, 16.0637320, 31.4581110, 127.0155800, 716.3087000, 4350.5270000", \
+					  "13.1505380, 13.5623680, 16.0559670, 31.4848700, 127.2439200, 717.1855100, 4349.3742000", \
+					  "13.1566750, 13.5510740, 16.0560450, 31.4684170, 126.8636700, 715.8370700, 4348.3910000", \
+					  "13.1517860, 13.5601600, 16.0630760, 31.5017270, 127.1693900, 716.0404000, 4347.9513000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2672584, 2.2898683, 2.4068014, 2.8179954, 4.1435682, 10.7918260, 35.8140680", \
+					  "2.3334870, 2.3560034, 2.4729814, 2.8841314, 4.2107553, 10.8544120, 35.8565690", \
+					  "2.3572315, 2.3794386, 2.4964801, 2.9076046, 4.2331374, 10.8776740, 35.8786030", \
+					  "2.3683506, 2.3906350, 2.5075440, 2.9188520, 4.2444559, 10.8936530, 35.9221880", \
+					  "2.3726701, 2.3948998, 2.5119697, 2.9230651, 4.2485140, 10.8958060, 35.8937310", \
+					  "2.3724578, 2.3947369, 2.5117954, 2.9229767, 4.2487001, 10.8935390, 35.8904760", \
+					  "2.3703686, 2.3928344, 2.5096498, 2.9211489, 4.2470618, 10.8907660, 35.8901670");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0692150, 1.0766538, 1.1116087, 1.1965963, 2.5962932, 10.6052540, 38.2619450", \
+					  "1.0694322, 1.0764739, 1.1118451, 1.1968961, 2.5976810, 10.6027040, 38.2634550", \
+					  "1.0698282, 1.0763803, 1.1117038, 1.1974239, 2.5936808, 10.6027760, 38.2634440", \
+					  "1.0696551, 1.0766723, 1.1119405, 1.1960279, 2.5942177, 10.6067000, 38.2284280", \
+					  "1.0699125, 1.0763715, 1.1119147, 1.1974848, 2.5939615, 10.6089760, 38.2636710", \
+					  "1.0691554, 1.0761103, 1.1122085, 1.1975739, 2.5978255, 10.6031650, 38.2647120", \
+					  "1.0691145, 1.0766181, 1.1119363, 1.1965695, 2.5949392, 10.6030430, 38.2641790");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.6364569, 8.8556247, 10.1509450, 18.1021170, 66.2858850, 361.9033200, 2181.4506000", \
+					  "8.7488061, 8.9578061, 10.2543910, 18.2005420, 66.3284470, 361.6345100, 2178.7905000", \
+					  "8.8094101, 9.0270786, 10.3209930, 18.2719510, 66.4321650, 361.8157800, 2179.8958000", \
+					  "8.8623260, 9.0823944, 10.3765910, 18.3259680, 66.4903230, 361.9779800, 2179.3567000", \
+					  "8.9129939, 9.1280592, 10.4283100, 18.3795200, 66.5345890, 361.3496300, 2179.9872000", \
+					  "8.9674312, 9.1786676, 10.4770150, 18.4164790, 66.6090680, 361.7771800, 2183.3325000", \
+					  "9.0148822, 9.2183736, 10.5238540, 18.4672850, 66.6545430, 362.2474200, 2183.1966000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1367450, 13.5553050, 16.0534150, 31.4778180, 126.9949200, 716.5252800, 4349.3942000", \
+					  "13.1318580, 13.5592780, 16.0306030, 31.4801550, 127.2113500, 715.7738100, 4353.1841000", \
+					  "13.1392560, 13.5573420, 16.0535420, 31.4766350, 127.2692600, 717.1563000, 4353.6993000", \
+					  "13.1386030, 13.5570280, 16.0534520, 31.4991300, 127.3065400, 717.0756400, 4352.5506000", \
+					  "13.1423110, 13.5512560, 16.0579180, 31.4844900, 126.8208600, 716.1297600, 4350.9121000", \
+					  "13.1517950, 13.5537470, 16.0562050, 31.4613370, 127.0496000, 716.8874300, 4347.5379000", \
+					  "13.1527860, 13.5526170, 16.0597420, 31.4745240, 127.0591500, 716.6613600, 4350.6152000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3103328, 2.3378341, 2.5058042, 3.5104033, 9.6504831, 23.7771600, 51.9041770", \
+					  "2.4120852, 2.4395261, 2.6076952, 3.6121399, 9.7468300, 23.8597910, 52.0007110", \
+					  "2.4793283, 2.5068922, 2.6731750, 3.6778998, 9.8119779, 23.9319940, 52.0547130", \
+					  "2.5339750, 2.5630857, 2.7284215, 3.7348609, 9.8658131, 24.0015150, 52.1345560", \
+					  "2.5833076, 2.6123166, 2.7794953, 3.7838843, 9.9248458, 24.0441090, 52.1882950", \
+					  "2.6308120, 2.6593523, 2.8270051, 3.8316670, 9.9730340, 24.0952270, 52.2213100", \
+					  "2.6760139, 2.7035376, 2.8714946, 3.8735575, 10.0081240, 24.1485890, 52.2876460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7053594, 1.7561755, 2.0701922, 4.0257904, 12.0277360, 17.0753190, 40.2066010", \
+					  "1.7050267, 1.7558219, 2.0702062, 4.0274366, 12.0292050, 17.0981910, 40.1852450", \
+					  "1.7024477, 1.7557841, 2.0680415, 4.0297046, 12.0294890, 17.0945210, 40.2102000", \
+					  "1.7044197, 1.7557740, 2.0673891, 4.0259365, 12.0314030, 17.0779500, 40.2385790", \
+					  "1.7045340, 1.7556484, 2.0679889, 4.0242552, 12.0300930, 17.1068940, 40.2270960", \
+					  "1.7053142, 1.7557764, 2.0678057, 4.0180734, 12.0298780, 17.1170510, 40.2028420", \
+					  "1.7037326, 1.7534634, 2.0703661, 4.0263193, 12.0293170, 17.1268050, 40.2376410");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5188727, 8.7206029, 9.9814317, 17.7325940, 65.5322410, 360.4152100, 2178.0984000", \
+					  "8.6166478, 8.8223215, 10.0826450, 17.8348770, 65.6329180, 360.8828600, 2181.3839000", \
+					  "8.6839886, 8.8850367, 10.1455070, 17.8837580, 65.7072830, 361.1877200, 2179.5252000", \
+					  "8.7409235, 8.9466612, 10.2043070, 17.9549250, 65.7579800, 360.6634500, 2178.8496000", \
+					  "8.7920942, 8.9955856, 10.2554970, 18.0083720, 65.7935710, 361.1314700, 2178.9351000", \
+					  "8.8356487, 9.0335321, 10.2978840, 18.0384190, 65.8579960, 360.5821900, 2178.5934000", \
+					  "8.8847125, 9.0813641, 10.3456030, 18.0872150, 65.8888410, 360.8163900, 2180.9715000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1536070, 13.5384430, 16.0561910, 31.4738850, 127.3460400, 716.1853000, 4349.2810000", \
+					  "13.1263180, 13.5523610, 16.0630640, 31.4747410, 127.3835200, 716.0519400, 4348.5543000", \
+					  "13.1357900, 13.5430900, 16.0346540, 31.4812710, 127.3776000, 717.1398100, 4352.8235000", \
+					  "13.1298550, 13.5623690, 16.0563740, 31.4431180, 127.3826100, 716.1506200, 4353.2532000", \
+					  "13.1538840, 13.5530840, 16.0638150, 31.4839570, 127.3809100, 716.3031300, 4349.7603000", \
+					  "13.1420070, 13.5346790, 16.0277850, 31.4925720, 127.3799300, 716.2807400, 4348.9340000", \
+					  "13.1555950, 13.5465680, 16.0610510, 31.4543240, 126.8353000, 716.7984100, 4348.7339000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2346121, 8.4273646, 9.6937089, 17.3997950, 65.1340170, 359.6553300, 2174.0543000", \
+					  "8.3297467, 8.5331440, 9.7970156, 17.5513770, 65.3124200, 359.3282300, 2172.3160000", \
+					  "8.3932949, 8.6056895, 9.8613961, 17.6065670, 65.2434410, 359.5696100, 2172.3804000", \
+					  "8.4588612, 8.6613321, 9.9088568, 17.6346170, 65.3015630, 359.8696900, 2172.3647000", \
+					  "8.4905079, 8.6899998, 9.9520872, 17.7049450, 65.4078320, 359.6969100, 2172.4513000", \
+					  "8.5561691, 8.7605319, 9.9961390, 17.7228370, 65.4130690, 360.0064500, 2171.9941000", \
+					  "8.5817859, 8.8001686, 10.0437260, 17.8139440, 65.4880170, 359.9903700, 2174.2451000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3439300, 13.7588910, 16.2318500, 31.6163980, 127.1373000, 715.4428100, 4341.2683000", \
+					  "13.3547530, 13.7578420, 16.2495530, 31.5755320, 127.1148400, 715.1092500, 4341.4743000", \
+					  "13.3591200, 13.7498130, 16.2144080, 31.6276180, 127.0986400, 714.2346000, 4341.4771000", \
+					  "13.3462960, 13.7652830, 16.2481550, 31.6200540, 127.0987400, 715.5188100, 4341.4525000", \
+					  "13.3429660, 13.7510390, 16.2361180, 31.6250990, 127.0465500, 715.4135300, 4341.4989000", \
+					  "13.3493310, 13.7627040, 16.2451550, 31.6161110, 127.2029800, 715.5313100, 4340.3643000", \
+					  "13.3429860, 13.7641130, 16.2315050, 31.5996650, 127.1405900, 715.5928200, 4341.6353000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.6798406, 2.7096103, 2.8853042, 3.9521217, 10.0168650, 21.9328820, 43.3676740", \
+					  "2.7818892, 2.8120339, 2.9866696, 4.0552184, 10.1156580, 22.0336320, 43.4614440", \
+					  "2.8488667, 2.8769713, 3.0525600, 4.1204760, 10.1843770, 22.1008370, 43.5337310", \
+					  "2.9055787, 2.9339000, 3.1096901, 4.1715471, 10.2365080, 22.1535480, 43.5907490", \
+					  "2.9533576, 2.9825000, 3.1572727, 4.2212700, 10.2897970, 22.2031050, 43.6341740", \
+					  "3.0013727, 3.0313005, 3.2065204, 4.2686565, 10.3333480, 22.2483100, 43.6810980", \
+					  "3.0470266, 3.0765150, 3.2512641, 4.3138026, 10.3769850, 22.2942480, 43.7288110");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7619703, 1.8161221, 2.1414022, 4.1979131, 11.6078430, 13.9569100, 26.9063170", \
+					  "1.7625629, 1.8160964, 2.1426039, 4.1992920, 11.6070510, 13.9609230, 26.8868870", \
+					  "1.7621512, 1.8161822, 2.1414994, 4.1992911, 11.6077120, 13.9640430, 26.8927800", \
+					  "1.7609344, 1.8162176, 2.1450470, 4.1993817, 11.6070810, 13.9593070, 26.8920180", \
+					  "1.7626480, 1.8161260, 2.1433988, 4.1975743, 11.6077460, 13.9549930, 26.8692250", \
+					  "1.7620967, 1.8145441, 2.1460780, 4.1984514, 11.6026540, 13.9530540, 26.9198090", \
+					  "1.7622335, 1.8137449, 2.1456690, 4.1951087, 11.6044990, 13.9642200, 26.8825320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3206539, 2.3434283, 2.4622924, 2.8754634, 4.1982799, 10.8301450, 35.8417120", \
+					  "2.4208955, 2.4454985, 2.5651226, 2.9774005, 4.3001220, 10.9336230, 35.9388220", \
+					  "2.4882334, 2.5109075, 2.6299165, 3.0429612, 4.3651096, 10.9987770, 35.9778340", \
+					  "2.5434577, 2.5669662, 2.6865188, 3.0998677, 4.4226605, 11.0510530, 36.0388550", \
+					  "2.5940308, 2.6179676, 2.7348255, 3.1477025, 4.4700044, 11.1008770, 36.1191430", \
+					  "2.6402811, 2.6642617, 2.7834075, 3.1965521, 4.5190061, 11.1508420, 36.1545070", \
+					  "2.6856006, 2.7086942, 2.8267915, 3.2402527, 4.5627018, 11.1941660, 36.1927700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0757657, 1.0834270, 1.1178860, 1.2027271, 2.5875690, 10.5859790, 38.2163990", \
+					  "1.0756084, 1.0821171, 1.1182453, 1.2024095, 2.5861748, 10.5850690, 38.2410940", \
+					  "1.0759478, 1.0829845, 1.1180008, 1.2030646, 2.5862803, 10.5874810, 38.2498190", \
+					  "1.0754874, 1.0829656, 1.1178897, 1.2032757, 2.5889222, 10.5840930, 38.2544770", \
+					  "1.0756205, 1.0829104, 1.1178419, 1.2032855, 2.5883680, 10.5837070, 38.2320630", \
+					  "1.0754826, 1.0824892, 1.1180975, 1.2026874, 2.5860756, 10.5838050, 38.2394170", \
+					  "1.0750361, 1.0821457, 1.1178790, 1.2018654, 2.5863596, 10.5798400, 38.2470060");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2346263, 8.4378966, 9.6770094, 17.4029110, 65.0727690, 359.6673300, 2173.5489000", \
+					  "8.3298284, 8.5333260, 9.7991952, 17.5515620, 65.3115390, 359.3289900, 2172.2769000", \
+					  "8.3903826, 8.5971079, 9.8443502, 17.6207480, 65.2943730, 359.6543400, 2172.4504000", \
+					  "8.4589669, 8.6557490, 9.9028581, 17.6276100, 65.3215580, 359.4317400, 2172.5514000", \
+					  "8.4932328, 8.6920249, 9.9680051, 17.7081430, 65.4951510, 359.7003800, 2172.4861000", \
+					  "8.5521910, 8.7397476, 9.9952358, 17.7544180, 65.3946090, 359.9941700, 2173.3017000", \
+					  "8.6000744, 8.7853866, 10.0387840, 17.8193320, 65.4853380, 359.9856800, 2173.2044000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3593850, 13.7510800, 16.2307000, 31.6226940, 127.0334600, 715.5929300, 4338.8318000", \
+					  "13.3549280, 13.7565350, 16.2125030, 31.5696090, 127.1108200, 715.1083400, 4341.5043000", \
+					  "13.3574670, 13.7610890, 16.2287160, 31.5703660, 127.1178700, 715.4181400, 4341.6412000", \
+					  "13.3293650, 13.7624280, 16.2375800, 31.5661520, 126.9727900, 714.3579000, 4341.3979000", \
+					  "13.3634190, 13.7496200, 16.2260130, 31.6239660, 126.7860300, 715.4143900, 4341.4057000", \
+					  "13.3555660, 13.7572820, 16.2458370, 31.5773110, 127.0325100, 715.6537500, 4344.2415000", \
+					  "13.3457820, 13.7509750, 16.2293950, 31.5867510, 127.1280500, 715.4808900, 4339.6790000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5179597, 8.7237426, 9.9806856, 17.7369730, 65.5542610, 360.8505800, 2178.6047000", \
+					  "8.6203498, 8.8165049, 10.0828820, 17.8399550, 65.6344740, 360.9592300, 2181.2489000", \
+					  "8.6866718, 8.8875183, 10.1497650, 17.9053390, 65.7232210, 361.2619800, 2178.8926000", \
+					  "8.7440331, 8.9432781, 10.2065250, 17.9581420, 65.7709190, 361.2769600, 2179.0295000", \
+					  "8.7906935, 8.9920018, 10.2521970, 18.0083020, 65.7632380, 361.0797100, 2182.6718000", \
+					  "8.8367731, 9.0339389, 10.3013620, 18.0429270, 65.8720580, 361.2516100, 2180.7775000", \
+					  "8.8799466, 9.0884491, 10.3396020, 18.1074530, 65.8239330, 360.7363200, 2180.9064000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1508660, 13.5621370, 16.0568800, 31.4748410, 127.1950900, 717.2922100, 4354.9157000", \
+					  "13.1552170, 13.5478070, 16.0602980, 31.4887460, 126.8819100, 716.3122300, 4349.1664000", \
+					  "13.1508420, 13.5517050, 16.0638450, 31.4756010, 127.0698700, 717.1440800, 4353.8384000", \
+					  "13.1569220, 13.5460990, 16.0632110, 31.4900320, 127.2147500, 716.8586600, 4354.8798000", \
+					  "13.1514640, 13.5458420, 16.0563300, 31.4764390, 127.2207200, 717.2769800, 4349.1141000", \
+					  "13.1428860, 13.5561220, 16.0577840, 31.4693840, 127.0227000, 717.1628900, 4356.1286000", \
+					  "13.1556820, 13.5543530, 16.0611900, 31.5017100, 127.0310000, 715.9181300, 4347.4092000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2856674, 2.3136557, 2.4839091, 3.5006975, 9.6815009, 23.7617210, 51.8373220", \
+					  "2.3519620, 2.3798885, 2.5500797, 3.5670669, 9.7482271, 23.8282370, 51.9105150", \
+					  "2.3752478, 2.4033036, 2.5734908, 3.5905994, 9.7744302, 23.8452170, 51.9397540", \
+					  "2.3861770, 2.4141387, 2.5846383, 3.6018648, 9.7794741, 23.8411650, 51.9699520", \
+					  "2.3903270, 2.4181439, 2.5888791, 3.6064640, 9.7937409, 23.8692980, 51.9801230", \
+					  "2.3910371, 2.4190783, 2.5892024, 3.6063925, 9.7845616, 23.8672550, 51.9700690", \
+					  "2.3880804, 2.4159263, 2.5871989, 3.6046786, 9.7931636, 23.8649560, 51.9881100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7063108, 1.7576958, 2.0724129, 4.0386001, 11.9973670, 16.9317540, 40.1119810", \
+					  "1.7094495, 1.7568097, 2.0714224, 4.0327399, 11.9942860, 16.9708990, 40.1512460", \
+					  "1.7104059, 1.7560270, 2.0749993, 4.0313988, 11.9909260, 16.9532370, 40.1862400", \
+					  "1.7037147, 1.7542656, 2.0685369, 4.0292689, 11.9906670, 17.0661640, 40.1845060", \
+					  "1.7045720, 1.7557226, 2.0695607, 4.0247063, 11.9905760, 17.0399210, 40.2194830", \
+					  "1.7027266, 1.7527346, 2.0692907, 4.0291011, 11.9896240, 17.0927110, 40.2068740", \
+					  "1.7019290, 1.7553775, 2.0675526, 4.0297068, 11.9892070, 17.1127020, 40.2057270");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.5101496, 2.5405451, 2.7220337, 3.8137231, 9.9178630, 21.7428170, 43.1560070", \
+					  "2.6129308, 2.6412983, 2.8222791, 3.9129948, 10.0135930, 21.8399790, 43.2567260", \
+					  "2.6807094, 2.7091593, 2.8902247, 3.9812535, 10.0803520, 21.9074680, 43.3234890", \
+					  "2.7365610, 2.7650719, 2.9461318, 4.0371368, 10.1361940, 21.9654980, 43.3804030", \
+					  "2.7856761, 2.8145424, 2.9959617, 4.0864401, 10.1835170, 22.0157870, 43.4301590", \
+					  "2.8325751, 2.8614007, 3.0429583, 4.1335739, 10.2323980, 22.0648480, 43.4736260", \
+					  "2.8779661, 2.9077087, 3.0888532, 4.1786974, 10.2787000, 22.1078300, 43.5205220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7581929, 1.8118741, 2.1439259, 4.1986477, 11.5562250, 13.9142570, 26.8809720", \
+					  "1.7590660, 1.8139337, 2.1446405, 4.1970023, 11.5549190, 13.9598730, 26.8821850", \
+					  "1.7584503, 1.8137687, 2.1451374, 4.1935668, 11.5599020, 13.9572830, 26.9141760", \
+					  "1.7593094, 1.8134502, 2.1453217, 4.1931507, 11.5582670, 13.9099500, 26.8963250", \
+					  "1.7613664, 1.8124970, 2.1427786, 4.1977946, 11.5576680, 13.9118480, 26.8899870", \
+					  "1.7611879, 1.8122721, 2.1429498, 4.1984949, 11.5512620, 13.9179800, 26.8973430", \
+					  "1.7600436, 1.8140437, 2.1395583, 4.1974699, 11.5555240, 13.9190090, 26.8746060");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3868956, 8.5938936, 9.8634700, 17.7009780, 65.7843910, 360.1757300, 2173.2726000", \
+					  "8.4563370, 8.6637915, 9.9340447, 17.7750580, 65.8843580, 360.2139300, 2173.3433000", \
+					  "8.4824139, 8.6915861, 9.9604094, 17.8084110, 65.9167090, 360.2576200, 2173.3738000", \
+					  "8.5037248, 8.7086658, 9.9874846, 17.8316610, 66.0273970, 360.6966700, 2173.4211000", \
+					  "8.5009297, 8.7054550, 9.9903605, 17.8055530, 65.8601560, 360.8616900, 2174.2003000", \
+					  "8.5086640, 8.7158254, 9.9847464, 17.8352940, 65.8511820, 360.8561200, 2175.1923000", \
+					  "8.4987359, 8.7029566, 9.9698729, 17.8093010, 65.8436220, 360.8358800, 2174.7444000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.4065860, 13.8089310, 16.2997810, 31.7523820, 127.0126500, 714.9387200, 4341.1646000", \
+					  "13.3743360, 13.7830120, 16.2612750, 31.6612760, 126.8797700, 714.9752700, 4341.1546000", \
+					  "13.3681030, 13.7618640, 16.2527040, 31.6187680, 127.1282500, 714.9810100, 4341.1492000", \
+					  "13.3257880, 13.7564570, 16.2236430, 31.6174860, 127.1823700, 714.5080200, 4341.1196000", \
+					  "13.3574900, 13.7601700, 16.2450320, 31.6170060, 127.0874000, 715.5857100, 4344.3465000", \
+					  "13.3469820, 13.7491350, 16.2448920, 31.5933060, 127.0138100, 715.5623500, 4338.5758000", \
+					  "13.3575710, 13.7596600, 16.2276790, 31.6108210, 127.0821700, 715.4768600, 4340.8713000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4605524, 2.4893549, 2.6648155, 3.7356958, 9.7938013, 21.7067450, 43.1350990", \
+					  "2.5645137, 2.5928186, 2.7680616, 3.8315497, 9.8923563, 21.8027800, 43.2368130", \
+					  "2.6321343, 2.6604148, 2.8363782, 3.8991752, 9.9602619, 21.8711270, 43.3042400", \
+					  "2.6878189, 2.7160896, 2.8919820, 3.9548467, 10.0155470, 21.9267040, 43.3597580", \
+					  "2.7362022, 2.7652467, 2.9407954, 4.0044670, 10.0644580, 21.9770480, 43.4052440", \
+					  "2.7835132, 2.8118048, 2.9876350, 4.0501331, 10.1122650, 22.0242150, 43.4544280", \
+					  "2.8286833, 2.8564451, 3.0313301, 4.1010053, 10.1571670, 22.0700540, 43.5024920");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7594518, 1.8153711, 2.1459061, 4.1951971, 11.6043160, 13.9653210, 26.8607640", \
+					  "1.7616860, 1.8146551, 2.1472266, 4.1995252, 11.6049320, 13.9912160, 26.9054200", \
+					  "1.7614533, 1.8148461, 2.1472257, 4.1995087, 11.6043250, 13.9894270, 26.9036950", \
+					  "1.7614300, 1.8148627, 2.1472266, 4.1995349, 11.6040910, 13.9925430, 26.9027840", \
+					  "1.7631930, 1.8166247, 2.1474098, 4.1961035, 11.6029980, 13.9618240, 26.9190180", \
+					  "1.7615185, 1.8148101, 2.1472182, 4.1994368, 11.5987580, 14.0041720, 26.9251060", \
+					  "1.7617179, 1.8153111, 2.1452105, 4.2003596, 11.5986470, 13.9708920, 26.9255460");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.1737330, -9.2863894, -9.3990458, -9.3922269, -9.3856808, -9.3788619, -9.3720431");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.4726241, 9.5650059, 9.6573877, 9.6508731, 9.6446191, 9.6381044, 9.6315898");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141255;
+			capacitance : 0.140795;
+			fall_capacitance : 0.140336;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3671943, -0.3645276, -0.3618609, -0.3622296, -0.3625836, -0.3629523, -0.3633210");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3683677, 0.3652009, 0.3620342, 0.3617445, 0.3614663, 0.3611766, 0.3608869");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133914;
+			capacitance : 0.133693;
+			fall_capacitance : 0.133473;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3683791, -0.3638686, -0.3593581, -0.3591852, -0.3590192, -0.3588463, -0.3586734");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3676195, 0.3638811, 0.3601427, 0.3607328, 0.3612993, 0.3618895, 0.3624796");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib
new file mode 100644
index 0000000..0ecf894
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib
@@ -0,0 +1,8828 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.098300e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.1238000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.9740000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5238000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.4570000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.7512000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.1728000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7790000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2300000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.8790000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.8300000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.9600000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.3380000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7390000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2290000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.5810000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.6321000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5232000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.8750000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006731;
+			capacitance : 0.006574;
+			fall_capacitance : 0.006416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2797057, 0.2073874, 0.1686132, 0.1558140, 0.1484101, 0.1493457, 0.1594347", \
+					  "0.3380255, 0.2547905, 0.2330458, 0.2248363, 0.2098030, 0.2076869, 0.2101464", \
+					  "0.3453534, 0.2513539, 0.2341908, 0.2183314, 0.2139793, 0.1969892, 0.2004392", \
+					  "0.3272498, 0.2579696, 0.2222822, 0.1967786, 0.1929238, 0.1831488, 0.1918796", \
+					  "0.3077221, 0.2385118, 0.1966808, 0.1899552, 0.1802983, 0.1590729, 0.1661101", \
+					  "0.2951138, 0.1755906, 0.1736407, 0.1468293, 0.1423223, 0.1342576, 0.1412947", \
+					  "0.2603253, 0.1803924, 0.1500568, 0.1403721, 0.1229476, 0.1366462, 0.1376303");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820966, 0.0456109, -0.0503554, -0.1385299, -0.2114478, -0.2649321, -0.3108593", \
+					  "0.2434682, 0.1124715, 0.0027470, -0.0826316, -0.1605167, -0.2348029, -0.2992809", \
+					  "0.2401150, 0.1122483, 0.0135726, -0.0822834, -0.1573234, -0.2383244, -0.3003214", \
+					  "0.2403218, 0.1033998, 0.0031176, -0.0856102, -0.1702354, -0.2444225, -0.3033224", \
+					  "0.2207941, 0.0686133, -0.0221691, -0.0934872, -0.1836595, -0.2727508, -0.3116625", \
+					  "0.1944529, 0.0422720, -0.0277563, -0.1239040, -0.2058302, -0.2792556, -0.3576677", \
+					  "0.1596644, -0.0077754, -0.0594930, -0.1528474, -0.2376014, -0.2759750, -0.3756715");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139648, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0141210, 0.0017301", \
+					  "-0.1738101, -0.0970234, -0.0632206, -0.0529159, -0.0335661, -0.0373661, -0.0382997", \
+					  "-0.1567826, -0.1070228, -0.0771028, -0.0735835, -0.0704956, -0.0400165, -0.0485796", \
+					  "-0.1630344, -0.0951226, -0.0731150, -0.0448581, -0.0590268, -0.0357456, -0.0443183", \
+					  "-0.1404549, -0.0633878, -0.0249704, -0.0240826, -0.0208681, -0.0159349, -0.0251532", \
+					  "-0.1125877, -0.0370466, 0.0015370, 0.0003557, -0.0024108, -0.0007521, 0.0144875", \
+					  "-0.0793252, 0.0130007, 0.0286960, 0.0346973, -0.0297926, 0.0251892, 0.0419119");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1674165, -0.0339807, 0.0610238, 0.1518831, 0.2201501, 0.2732763, 0.3172938", \
+					  "-0.2303135, -0.1036538, 0.0028757, 0.0926297, 0.1705813, 0.2403439, 0.3092217", \
+					  "-0.2224412, -0.1020820, -0.0022008, 0.0923702, 0.1606989, 0.2455697, 0.3064858", \
+					  "-0.2271671, -0.0917076, 0.0009946, 0.0988995, 0.1772871, 0.2530209, 0.3101527", \
+					  "-0.2030617, -0.0584470, 0.0325438, 0.1103314, 0.1907113, 0.2828543, 0.3292229", \
+					  "-0.1782464, -0.0321060, 0.0394645, 0.1411577, 0.2164024, 0.2905625, 0.3677712", \
+					  "-0.1434579, 0.0194671, 0.0712012, 0.1659160, 0.2473170, 0.3470357, 0.3720421");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426662, 0.0420959, 0.0415257, 0.0416784, 0.0418249, 0.0419776, 0.0421303");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540832, 0.0533472, 0.0526111, 0.0530917, 0.0535531, 0.0540337, 0.0545143");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010592";
+				miller_cap_rise : "0.000882648";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90882e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87963e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88772e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89208e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.8978e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90966e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18654e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07574e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353497, 0.0656624, 0.1031316, 0.1459545, 0.2141032");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012708, 0.1316759, 0.1689743, 0.2117709, 0.2795798");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189855, 0.0300421, 0.0415633, 0.0543003, 0.0709668");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765025, 0.0933881, 0.1057908, 0.1184081, 0.1344163");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18862");
+						index_3("0.001");
+						index_4("2.0673775, 2.1760463, 2.3235424, 2.5258622, 2.6168643");
+						values("0.381847, 0.610956, 0.763694, 0.610956, 0.381847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41369");
+						index_3("0.001");
+						index_4("1.1907153, 1.2837009, 1.4357537, 1.5619640, 1.6354618");
+						values("0.459937, 0.7359, 0.919875, 0.7359, 0.459937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62684");
+						index_3("0.001");
+						index_4("0.8029656, 0.8800983, 1.0133912, 1.1133657, 1.1701055");
+						values("0.489733, 0.783573, 0.979466, 0.783573, 0.489733");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09431");
+						index_3("0.001");
+						index_4("1.0440512, 1.1001027, 1.1966417, 1.2717979, 1.3201272");
+						values("0.216828, 0.346924, 0.433655, 0.346924, 0.216828");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20685");
+						index_3("0.001");
+						index_4("0.6049622, 0.6495784, 0.7300148, 0.7884044, 0.8261674");
+						values("0.255112, 0.408179, 0.510224, 0.408179, 0.255112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.813419");
+						index_3("0.001");
+						index_4("0.4102094, 0.4483022, 0.5006235, 0.5629844, 0.5925875");
+						values("0.271495, 0.434392, 0.542989, 0.434392, 0.271495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.62054");
+						index_3("0.001");
+						index_4("1.7765214, 1.9197560, 2.1140383, 2.3286901, 2.4331822");
+						values("0.635971, 1.01755, 1.27194, 1.01755, 0.635971");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20481");
+						index_3("0.001");
+						index_4("0.6006478, 0.6554080, 0.7556867, 0.8985473, 0.9849122");
+						values("1.44554, 1.14286, 0.941073, 1.14286, 1.44554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682814");
+						index_3("0.001");
+						index_4("0.3438965, 0.3845658, 0.4607934, 0.5607878, 0.6260253");
+						values("1.41862, 1.09979, 0.88724, 1.09979, 1.41862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452963");
+						index_3("0.001");
+						index_4("0.2298859, 0.2603223, 0.3121291, 0.4003832, 0.4499734");
+						values("1.40751, 1.08202, 0.865021, 1.08202, 1.40751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602403");
+						index_3("0.001");
+						index_4("0.3064390, 0.3356662, 0.3917423, 0.4582382, 0.5151660");
+						values("1.69277, 1.53843, 1.43554, 1.53843, 1.69277");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341407");
+						index_3("0.001");
+						index_4("0.1767181, 0.1975850, 0.2290985, 0.2888024, 0.3327108");
+						values("1.6819, 1.52104, 1.41381, 1.52104, 1.6819");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226481");
+						index_3("0.001");
+						index_4("0.1194429, 0.1359590, 0.1655903, 0.2079155, 0.2423954");
+						values("1.67848, 1.51556, 1.40695, 1.51556, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02422");
+						index_3("0.001");
+						index_4("0.5104897, 0.5715607, 0.6771830, 0.8217028, 0.9166059");
+						values("1.17314, 0.707021, 0.396276, 0.707021, 1.17314");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004656;
+			capacitance : 0.004802;
+			rise_capacitance : 0.004949;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0956636, -0.0126184, 0.0122167, 0.0253194, 0.0205315, 0.0544025, 0.0515230", \
+					  "-0.1524571, -0.0753460, -0.0398489, -0.0137196, -0.0061192, 0.0038327, 0.0013731", \
+					  "-0.1400072, -0.0905160, -0.0605432, -0.0194525, -0.0100892, -0.0079730, -0.0081985", \
+					  "-0.1432073, -0.0740381, -0.0599826, -0.0096794, -0.0350945, 0.0156763, -0.0001965", \
+					  "-0.1267314, -0.0453551, -0.0152587, 0.0119999, 0.0036369, 0.0153844, 0.0435387", \
+					  "-0.0942866, -0.0159621, 0.0183216, -0.0009296, -0.0139744, 0.0343195, 0.0143937", \
+					  "-0.0640758, 0.0325593, 0.0575196, 0.0694052, 0.0136946, 0.0238613, 0.0460526");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1536380, -0.0141442, 0.0861915, 0.1768001, 0.2559033, 0.3243688, 0.3828599", \
+					  "-0.2119573, -0.0768806, 0.0279457, 0.1268926, 0.2077274, 0.2867743, 0.3523253", \
+					  "-0.2056110, -0.0891695, 0.0301418, 0.1210414, 0.1983386, 0.2820722, 0.3526732", \
+					  "-0.2118628, -0.0726917, 0.0260368, 0.1208131, 0.2180872, 0.2967398, 0.3644465", \
+					  "-0.1862316, -0.0424828, 0.0601222, 0.1375832, 0.2242806, 0.3087943, 0.3856804", \
+					  "-0.1568385, -0.0207192, 0.0650105, 0.1647209, 0.2459376, 0.3365406, 0.4165993", \
+					  "-0.1220501, 0.0293281, 0.0967741, 0.1905210, 0.2570549, 0.3561910, 0.4115179");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2751423, 0.1953368, 0.1609839, 0.1375035, 0.1270479, 0.1264576, 0.1334948", \
+					  "0.3349880, 0.2478500, 0.2193863, 0.1971935, 0.1884407, 0.1847987, 0.1781030", \
+					  "0.3423167, 0.2440996, 0.2281245, 0.2014991, 0.1909331, 0.1843974, 0.1766754", \
+					  "0.3226865, 0.2461108, 0.2101923, 0.2014083, 0.1746133, 0.1672585, 0.1658014", \
+					  "0.3031588, 0.2294492, 0.1951994, 0.1655412, 0.1489821, 0.1377106, 0.1310149", \
+					  "0.2890245, 0.1697726, 0.1617092, 0.1407258, 0.1151796, 0.1098435, 0.1016219", \
+					  "0.2557620, 0.1700002, 0.1350172, 0.1212889, 0.1061629, 0.1084850, 0.1124305");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1698619, 0.0333999, -0.0753031, -0.1643081, -0.2433509, -0.3140641, -0.3775050", \
+					  "0.2266528, 0.0916856, -0.0217639, -0.1174522, -0.1976066, -0.2758425, -0.3406959", \
+					  "0.2399436, 0.1010475, -0.0171276, -0.1107081, -0.1909095, -0.2754465, -0.3401224", \
+					  "0.2235065, 0.0891472, -0.0251127, -0.1056249, -0.2051461, -0.2817522, -0.3418707", \
+					  "0.2070306, 0.0589384, -0.0436791, -0.1249288, -0.2065477, -0.3017425, -0.3563048", \
+					  "0.1684823, 0.0295453, -0.0555679, -0.1459988, -0.2344120, -0.3189285, -0.4003923", \
+					  "0.1459009, -0.0159242, -0.0865553, -0.1959151, -0.2441096, -0.3582945, -0.4153443");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0512305, 0.0505265, 0.0498225, 0.0503222, 0.0508019, 0.0513015, 0.0518012");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0632302, 0.0625772, 0.0619241, 0.0629310, 0.0638976, 0.0649044, 0.0659113");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00104678";
+				miller_cap_rise : "0.000875765";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.3978, 0.626133, 0.573974, 0.551564, 0.524534, 0.509262, 0.492816, 0.475198, 0.456409, 0.436454, 0.415336, 0.393059, 0.369627, 0.345044, 0.319316, 0.292445, 0.264437, 0.235297, 0.205029, 0.173638, 0.141128, 0.107504, 0.0727721, 0.0369357, -4.77919e-09, -0.0733954, -0.144065, -0.368653, -2.24515", \
+					  "3.30797, 0.443653, 0.401603, 0.394245, 0.385388, 0.380135, 0.374109, 0.367073, 0.358759, 0.348945, 0.3375, 0.324366, 0.309524, 0.292969, 0.274705, 0.254737, 0.233076, 0.20973, 0.184709, 0.158023, 0.129683, 0.0997003, 0.0680848, 0.0348477, -5.56766e-09, -0.0703454, -0.139055, -0.362129, -2.24513", \
+					  "3.25246, 0.331791, 0.264789, 0.260834, 0.256432, 0.25401, 0.251401, 0.248559, 0.245423, 0.241904, 0.237869, 0.233107, 0.227285, 0.219955, 0.210721, 0.199382, 0.185871, 0.170173, 0.152293, 0.132243, 0.110038, 0.0856934, 0.0592271, 0.0306567, -1.83793e-09, -0.0635463, -0.127357, -0.34337, -2.24251", \
+					  "3.24035, 0.321826, 0.217099, 0.213912, 0.210431, 0.208548, 0.206549, 0.204407, 0.202091, 0.199557, 0.19674, 0.193546, 0.189822, 0.18531, 0.179569, 0.172038, 0.162324, 0.150275, 0.135852, 0.119055, 0.0998957, 0.0783906, 0.0545594, 0.0284222, -1.84549e-09, -0.0598828, -0.121049, -0.333153, -2.24108", \
+					  "3.23036, 0.330307, 0.169795, 0.16727, 0.164561, 0.163118, 0.161603, 0.160004, 0.158303, 0.156478, 0.154498, 0.152321, 0.149883, 0.147083, 0.143745, 0.139534, 0.133841, 0.126008, 0.115699, 0.102821, 0.0873598, 0.0693266, 0.0487405, 0.0256237, -1.85528e-09, -0.0552877, -0.113149, -0.320409, -2.2393", \
+					  "3.22615, 0.339521, 0.146716, 0.144447, 0.142081, 0.140829, 0.139523, 0.138153, 0.136705, 0.135166, 0.133513, 0.131719, 0.12974, 0.127515, 0.124941, 0.121829, 0.117783, 0.112085, 0.104053, 0.0934017, 0.080063, 0.0640346, 0.0453327, 0.0239795, -1.86939e-09, -0.0525881, -0.108516, -0.312984, -2.23827", \
+					  "3.2225, 0.351817, 0.124803, 0.122212, 0.120166, 0.119092, 0.117976, 0.116812, 0.115591, 0.114303, 0.112933, 0.111462, 0.109862, 0.108095, 0.1061, 0.103773, 0.100908, 0.097031, 0.0912977, 0.0830337, 0.0720086, 0.05818, 0.0415544, 0.0221527, -2.1436e-09, -0.0495898, -0.103378, -0.304806, -2.23712", \
+					  "3.21947, 0.367231, 0.107289, 0.100804, 0.0990509, 0.0981402, 0.097199, 0.0962227, 0.0952055, 0.0941399, 0.0930164, 0.0918222, 0.0905401, 0.0891456, 0.0876027, 0.0858533, 0.0837941, 0.0811979, 0.0774832, 0.0716774, 0.0631474, 0.0517207, 0.037376, 0.0201276, -1.05633e-08, -0.0462684, -0.0976951, -0.295841, -2.23585", \
+					  "3.21715, 0.385817, 0.0998631, 0.0806098, 0.0790005, 0.078242, 0.0774621, 0.0766574, 0.0758241, 0.0749574, 0.0740508, 0.0730964, 0.0720832, 0.0709965, 0.0698148, 0.0685059, 0.0670164, 0.0652446, 0.0629355, 0.0593653, 0.0534458, 0.0446194, 0.0327685, 0.0178885, -2.7078e-07, -0.0425993, -0.0914272, -0.28607, -2.23446", \
+					  "3.21564, 0.407618, 0.102695, 0.0630714, 0.0603188, 0.0597011, 0.0590701, 0.0584226, 0.0577561, 0.0570674, 0.0563528, 0.0556071, 0.0548238, 0.0539942, 0.053106, 0.0521415, 0.0510732, 0.049854, 0.0483858, 0.0463763, 0.0429191, 0.0368453, 0.0277004, 0.0154134, -7.70174e-06, -0.038565, -0.0845415, -0.275492, -2.23294", \
+					  "3.21506, 0.432672, 0.112765, 0.0530833, 0.0433424, 0.0428228, 0.0423234, 0.0418147, 0.0412946, 0.0407609, 0.0402114, 0.0396432, 0.0390525, 0.0384345, 0.0377824, 0.037087, 0.0363345, 0.0355031, 0.0345535, 0.033394, 0.031683, 0.0282916, 0.0220285, 0.0125562, -0.000160028, -0.0342881, -0.0771542, -0.264294, -2.23143", \
+					  "3.21558, 0.461029, 0.128059, 0.0540936, 0.0284139, 0.0275523, 0.0271093, 0.026676, 0.0262401, 0.0257983, 0.0253488, 0.0248896, 0.0244187, 0.0239334, 0.0234303, 0.0229051, 0.0223511, 0.0217589, 0.0211128, 0.0203831, 0.0194881, 0.0180244, 0.0146138, 0.00811885, -0.00168346, -0.0310378, -0.0705868, -0.253971, -2.23113", \
+					  "3.21739, 0.49205, 0.147534, 0.0627619, 0.0160002, 0.0117698, 0.0109425, 0.0103577, 0.00981949, 0.00929814, 0.00878356, 0.00827089, 0.0077571, 0.00723984, 0.00671693, 0.00618599, 0.0056441, 0.00508734, 0.00450993, 0.00390231, 0.00324509, 0.00247274, 0.00111566, -0.00244505, -0.00921078, -0.0335298, -0.0696527, -0.249343, -2.23579", \
+					  "3.22079, 0.527385, 0.17072, 0.0754957, 0.00697762, -0.00721243, -0.0110135, -0.0123998, -0.0133849, -0.0142348, -0.0150199, -0.015768, -0.0164929, -0.0172026, -0.0179021, -0.0185951, -0.0192843, -0.0199724, -0.0206621, -0.0213566, -0.0220609, -0.0227852, -0.0235742, -0.0249577, -0.0287092, -0.0475692, -0.0799983, -0.255147, -2.24868", \
+					  "3.22614, 0.566665, 0.197386, 0.0908521, 0.00203756, -0.0247745, -0.0368637, -0.0407533, -0.0427472, -0.0442116, -0.0454488, -0.046563, -0.0476013, -0.0485885, -0.0495392, -0.050463, -0.0513661, -0.0522531, -0.0531277, -0.0539928, -0.0548512, -0.055706, -0.0565625, -0.0574522, -0.0590376, -0.0719442, -0.100155, -0.269267, -2.26786", \
+					  "3.23332, 0.609061, 0.22627, 0.107645, 0.000402299, -0.0383733, -0.0616205, -0.0711096, -0.0750591, -0.0775195, -0.0794142, -0.0810253, -0.0824691, -0.0838038, -0.0850622, -0.0862644, -0.0874239, -0.0885498, -0.0896489, -0.0907263, -0.0917864, -0.0928328, -0.0938693, -0.0949009, -0.0960046, -0.103258, -0.12652, -0.288144, -2.2905", \
+					  "3.24145, 0.652255, 0.254836, 0.123934, 6.6148e-05, -0.0494431, -0.0838513, -0.101999, -0.109393, -0.113365, -0.116156, -0.118398, -0.120334, -0.122075, -0.123685, -0.125198, -0.12664, -0.128026, -0.129367, -0.130672, -0.131948, -0.1332, -0.134434, -0.135656, -0.136875, -0.140654, -0.157624, -0.310058, -2.31517", \
+					  "3.24997, 0.694706, 0.281525, 0.138801, 8.2889e-06, -0.0590173, -0.103657, -0.13196, -0.144824, -0.151021, -0.154994, -0.15801, -0.160517, -0.162715, -0.164705, -0.166549, -0.168284, -0.169935, -0.17152, -0.173052, -0.17454, -0.175993, -0.177418, -0.178822, -0.180212, -0.183175, -0.193271, -0.334245, -2.34112", \
+					  "3.25875, 0.735828, 0.305809, 0.152141, 5.61046e-07, -0.0674282, -0.121237, -0.159658, -0.180098, -0.189536, -0.195069, -0.199031, -0.202201, -0.204906, -0.207309, -0.209502, -0.211541, -0.213463, -0.215292, -0.217047, -0.218743, -0.22039, -0.221998, -0.223575, -0.225131, -0.228237, -0.233623, -0.360298, -2.3679", \
+					  "3.26775, 0.775432, 0.327609, 0.164045, 2.3701e-08, -0.0748346, -0.136804, -0.184528, -0.21401, -0.228052, -0.235665, -0.240796, -0.244741, -0.248018, -0.250872, -0.253437, -0.255794, -0.257993, -0.260071, -0.262051, -0.263953, -0.26579, -0.267576, -0.26932, -0.271034, -0.274415, -0.278206, -0.388, -2.39522", \
+					  "3.27698, 0.813521, 0.347048, 0.174637, 2.37072e-09, -0.081353, -0.150561, -0.206586, -0.245538, -0.2658, -0.276209, -0.282802, -0.287666, -0.291592, -0.294944, -0.297911, -0.300605, -0.303095, -0.305428, -0.307637, -0.309746, -0.311774, -0.313735, -0.315643, -0.317511, -0.321174, -0.324883, -0.417262, -2.42289", \
+					  "3.28646, 0.850166, 0.364326, 0.184037, 1.6586e-09, -0.0870838, -0.162701, -0.226067, -0.274035, -0.302034, -0.316205, -0.324656, -0.330621, -0.335296, -0.339204, -0.342609, -0.345664, -0.348461, -0.35106, -0.353505, -0.355826, -0.358046, -0.360184, -0.362255, -0.364275, -0.368215, -0.372128, -0.448105, -2.45079", \
+					  "3.2962, 0.885425, 0.379654, 0.192361, 1.63169e-09, -0.0921161, -0.1734, -0.243248, -0.299318, -0.336022, -0.355184, -0.366025, -0.373332, -0.378879, -0.383413, -0.387302, -0.390747, -0.39387, -0.39675, -0.399441, -0.401981, -0.404398, -0.406715, -0.408951, -0.411122, -0.415337, -0.41949, -0.48066, -2.47881", \
+					  "3.30618, 0.919293, 0.393226, 0.199713, 1.63576e-09, -0.0965287, -0.182815, -0.258386, -0.321551, -0.367122, -0.392654, -0.406603, -0.415564, -0.42214, -0.427391, -0.431817, -0.435688, -0.439162, -0.44234, -0.445289, -0.448056, -0.450677, -0.453178, -0.455582, -0.457907, -0.462396, -0.46679, -0.515182, -2.50692", \
+					  "3.31642, 0.951687, 0.40522, 0.206188, 1.65276e-09, -0.100391, -0.191088, -0.271713, -0.341048, -0.394929, -0.428081, -0.446073, -0.457108, -0.464914, -0.47099, -0.47602, -0.48036, -0.484215, -0.487711, -0.490933, -0.493939, -0.496772, -0.499463, -0.502039, -0.504521, -0.509287, -0.513921, -0.552072, -2.53508", \
+					  "3.33756, 1.0113, 0.425082, 0.216844, 1.73744e-09, -0.106705, -0.204696, -0.293717, -0.373115, -0.440685, -0.490624, -0.520236, -0.537258, -0.548388, -0.556567, -0.563069, -0.568513, -0.57324, -0.577452, -0.581278, -0.584804, -0.588093, -0.59119, -0.59413, -0.596942, -0.602281, -0.607397, -0.633948, -2.59155", \
+					  "3.35572, 1.06199, 0.440299, 0.224929, 1.91802e-09, -0.111467, -0.215062, -0.310605, -0.397717, -0.475296, -0.539856, -0.585072, -0.611764, -0.628047, -0.639243, -0.647721, -0.654578, -0.660379, -0.665445, -0.669975, -0.674096, -0.677899, -0.681446, -0.684785, -0.687954, -0.693905, -0.699525, -0.7222, -2.64815", \
+					  "3.38312, 1.14644, 0.465822, 0.238174, 3.45601e-09, -0.1193, -0.232639, -0.339937, -0.441086, -0.535894, -0.623916, -0.703943, -0.772659, -0.824345, -0.857985, -0.879654, -0.894776, -0.906215, -0.915406, -0.923115, -0.929788, -0.935702, -0.941037, -0.945919, -0.950438, -0.958641, -0.966046, -0.987467, -2.81319", \
+					  "3.38276, 1.16682, 0.470113, 0.239816, 1.20713e-08, -0.120757, -0.237483, -0.350149, -0.458728, -0.563189, -0.66349, -0.759569, -0.851311, -0.938482, -1.02054, -1.09616, -1.1624, -1.21507, -1.253, -1.27971, -1.2993, -1.31448, -1.3268, -1.33716, -1.34613, -1.36118, -1.37364, -1.40299, -3.06735");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0403070, 0.0805763, 0.1272700, 0.1821111, 0.2682958");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1062961, 0.1462279, 0.1937819, 0.2475670, 0.3342914");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0193946, 0.0334359, 0.0481780, 0.0641486, 0.0843591");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0784238, 0.0975092, 0.1124350, 0.1284155, 0.1489769");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("5.2841");
+						index_3("0.001");
+						index_4("2.6085269, 2.7468652, 2.9483010, 3.1728306, 3.2966178");
+						values("0.375056, 0.600089, 0.750111, 0.600089, 0.375056");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("3.03828");
+						index_3("0.001");
+						index_4("1.4980082, 1.6161057, 1.8030993, 1.9681103, 2.0542694");
+						values("0.450281, 0.72045, 0.900562, 0.72045, 0.450281");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("2.04474");
+						index_3("0.001");
+						index_4("1.0095740, 1.1066290, 1.2518612, 1.3995836, 1.4683595");
+						values("0.483268, 0.77323, 0.966537, 0.77323, 0.483268");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("2.64205");
+						index_3("0.001");
+						index_4("1.3129154, 1.3841305, 1.4859360, 1.6010300, 1.6681935");
+						values("0.21159, 0.338544, 0.42318, 0.338544, 0.21159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("1.51914");
+						index_3("0.001");
+						index_4("0.7579387, 0.8165128, 0.9178404, 0.9923511, 1.0383401");
+						values("0.250921, 0.401473, 0.501841, 0.401473, 0.250921");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("1.02237");
+						index_3("0.001");
+						index_4("0.5117486, 0.5585003, 0.6508118, 0.7045657, 0.7426731");
+						values("0.263963, 0.42234, 0.527926, 0.42234, 0.263963");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("4.55742");
+						index_3("0.001");
+						index_4("2.2383442, 2.4167013, 2.7084353, 2.9274027, 3.0580776");
+						values("0.627788, 1.00446, 1.25558, 1.00446, 0.627788");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("1.43919");
+						index_3("0.001");
+						index_4("0.7168557, 0.7845056, 0.9057971, 1.0701914, 1.1782966");
+						values("1.46884, 1.18014, 0.98767, 1.18014, 1.46884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.815165");
+						index_3("0.001");
+						index_4("0.4084027, 0.4555233, 0.5485801, 0.6699119, 0.7462743");
+						values("1.44026, 1.13441, 0.930514, 1.13441, 1.44026");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.540546");
+						index_3("0.001");
+						index_4("0.2725486, 0.3099407, 0.3819180, 0.4770077, 0.5393148");
+						values("1.42692, 1.11308, 0.903845, 1.11308, 1.42692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("0.719593");
+						index_3("0.001");
+						index_4("0.3630654, 0.3983444, 0.4769490, 0.5494688, 0.6183565");
+						values("1.70089, 1.55142, 1.45177, 1.55142, 1.70089");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.407583");
+						index_3("0.001");
+						index_4("0.2089114, 0.2332358, 0.2731154, 0.3444691, 0.3986198");
+						values("1.69263, 1.53821, 1.43526, 1.53821, 1.69263");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.270273");
+						index_3("0.001");
+						index_4("0.1403846, 0.1594977, 0.2016276, 0.2479765, 0.2909301");
+						values("1.68964, 1.53342, 1.42928, 1.53342, 1.68964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("1.22275");
+						index_3("0.001");
+						index_4("0.6075333, 0.6802850, 0.8121845, 0.9862958, 1.0990733");
+						values("1.21154, 0.768457, 0.473072, 0.768457, 1.21154");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006308;
+			capacitance : 0.006466;
+			rise_capacitance : 0.006623;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2903870, 0.2167194, 0.1808203, 0.1725987, 0.1621431, 0.1630787, 0.1701159", \
+					  "0.3517586, 0.2625852, 0.2467908, 0.2339915, 0.2186547, 0.2198939, 0.2208276", \
+					  "0.3575614, 0.2625753, 0.2418118, 0.2259608, 0.2226899, 0.2174785, 0.2234780", \
+					  "0.3379311, 0.2691688, 0.2504172, 0.2155865, 0.2097085, 0.2162974, 0.2009380", \
+					  "0.3199293, 0.2460805, 0.2011993, 0.1991105, 0.1832588, 0.1728058, 0.1813689", \
+					  "0.3057951, 0.1849902, 0.1816046, 0.1762141, 0.1707501, 0.1534140, 0.1504500", \
+					  "0.2710067, 0.1881843, 0.1584799, 0.1505920, 0.1290511, 0.1425583, 0.1463680");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1881971, 0.0486578, -0.0519591, -0.1405817, -0.2074576, -0.2689656, -0.3167061", \
+					  "0.2465157, 0.1158852, 0.0041492, -0.0826167, -0.1627522, -0.2341162, -0.3025321", \
+					  "0.2349616, 0.1155727, 0.0154575, -0.0803943, -0.1538625, -0.2275072, -0.2976703", \
+					  "0.2403175, 0.1021466, -0.0008618, -0.0766517, -0.1672905, -0.2394902, -0.3087470", \
+					  "0.2238416, 0.0749895, -0.0233499, -0.1004942, -0.1757952, -0.2513885, -0.3287768", \
+					  "0.1868192, 0.0455965, -0.0274578, -0.1293632, -0.2061640, -0.2444446, -0.3530900", \
+					  "0.1688154, -0.0013992, -0.0576972, -0.1522858, -0.2306626, -0.3064147, -0.3588868");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1124389, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0125950, 0.0032560", \
+					  "-0.1753359, -0.1037286, -0.0692222, -0.0529159, -0.0380022, -0.0405197, -0.0428774", \
+					  "-0.1567825, -0.1085488, -0.0785950, -0.0811010, -0.0751202, -0.0415424, -0.0531572", \
+					  "-0.1615085, -0.0935969, -0.0731147, -0.0463695, -0.0590268, -0.0357456, -0.0459212", \
+					  "-0.1435067, -0.0618622, -0.0280285, -0.0270542, -0.0237812, -0.0159349, -0.0266130", \
+					  "-0.1156395, -0.0355209, -0.0030407, 0.0003558, -0.0024108, -0.0007527, 0.0144875", \
+					  "-0.0823769, 0.0145264, 0.0271701, 0.0346976, -0.0313320, 0.0251892, 0.0389393");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1689424, -0.0324548, 0.0623747, 0.1503575, 0.2201747, 0.2702665, 0.3189309", \
+					  "-0.2272617, -0.1036536, 0.0044016, 0.0900175, 0.1720989, 0.2433956, 0.3107109", \
+					  "-0.2209154, -0.1020824, -0.0022010, 0.0838623, 0.1653869, 0.2471653, 0.3150773", \
+					  "-0.2241153, -0.0917080, 0.0009943, 0.0988993, 0.1757612, 0.2530206, 0.3194287", \
+					  "-0.2030618, -0.0614991, 0.0356672, 0.1103316, 0.1907113, 0.2828543, 0.3250959", \
+					  "-0.1751947, -0.0336320, 0.0394645, 0.1411576, 0.2193612, 0.2954627, 0.3586159", \
+					  "-0.1388804, 0.0179414, 0.0712011, 0.1733526, 0.2444388, 0.2848722, 0.3857750");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426802, 0.0421167, 0.0415531, 0.0417052, 0.0418512, 0.0420033, 0.0421554");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539543, 0.0532218, 0.0524894, 0.0529691, 0.0534296, 0.0539093, 0.0543890");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105934";
+				miller_cap_rise : "0.000882978";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90897e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87978e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88788e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89223e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89796e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90983e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18671e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07576e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0352969, 0.0655231, 0.1028879, 0.1456022, 0.2135841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012179, 0.1315366, 0.1687247, 0.2114251, 0.2790374");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189672, 0.0299946, 0.0414811, 0.0541775, 0.0708563");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764691, 0.0933270, 0.1057129, 0.1182985, 0.1342493");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.1779");
+						index_3("0.001");
+						index_4("2.0620479, 2.1703718, 2.3170598, 2.5193980, 2.6102197");
+						values("0.381848, 0.610956, 0.763695, 0.610956, 0.381848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.40751");
+						index_3("0.001");
+						index_4("1.1876642, 1.2803916, 1.4317039, 1.5580779, 1.6312577");
+						values("0.460039, 0.736062, 0.920078, 0.736062, 0.460039");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62267");
+						index_3("0.001");
+						index_4("0.8009090, 0.8778644, 1.0105402, 1.1105243, 1.1670995");
+						values("0.489879, 0.783806, 0.979758, 0.783806, 0.489879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08895");
+						index_3("0.001");
+						index_4("1.0414090, 1.0973015, 1.1934204, 1.2685499, 1.3167395");
+						values("0.216934, 0.347095, 0.433869, 0.347095, 0.216934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20376");
+						index_3("0.001");
+						index_4("0.6034180, 0.6478973, 0.7283285, 0.7863906, 0.8240470");
+						values("0.255174, 0.408279, 0.510349, 0.408279, 0.255174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811337");
+						index_3("0.001");
+						index_4("0.4091721, 0.4471613, 0.4992892, 0.5615693, 0.5910826");
+						values("0.271598, 0.434557, 0.543196, 0.434557, 0.271598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.61127");
+						index_3("0.001");
+						index_4("1.7719474, 1.9148132, 2.1083912, 2.3227595, 2.4269738");
+						values("0.636041, 1.01766, 1.27208, 1.01766, 0.636041");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20192");
+						index_3("0.001");
+						index_4("0.5992199, 0.6538445, 0.7539387, 0.8963955, 0.9825528");
+						values("1.44543, 1.14269, 0.940865, 1.14269, 1.44543");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681179");
+						index_3("0.001");
+						index_4("0.3430819, 0.3836299, 0.4594002, 0.5594650, 0.6244336");
+						values("1.41855, 1.09968, 0.887097, 1.09968, 1.41855");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451878");
+						index_3("0.001");
+						index_4("0.2293441, 0.2596996, 0.3113658, 0.3994348, 0.4488984");
+						values("1.40745, 1.08191, 0.864893, 1.08191, 1.40745");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.60096");
+						index_3("0.001");
+						index_4("0.3057223, 0.3348752, 0.3906650, 0.4571452, 0.5139293");
+						values("1.69273, 1.53837, 1.43547, 1.53837, 1.69273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340589");
+						index_3("0.001");
+						index_4("0.1763153, 0.1971332, 0.2286381, 0.2881041, 0.3319198");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225939");
+						index_3("0.001");
+						index_4("0.1191702, 0.1356396, 0.1651558, 0.2074370, 0.2418253");
+						values("1.67848, 1.51557, 1.40697, 1.51557, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02177");
+						index_3("0.001");
+						index_4("0.5092793, 0.5702216, 0.6753410, 0.8197380, 0.9143627");
+						values("1.17297, 0.706758, 0.395947, 0.706758, 1.17297");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028484;
+			capacitance : 0.028428;
+			fall_capacitance : 0.028373;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0546577, 0.0614792, 0.1776162, 0.3267601, 0.4699383, 0.6190822, 0.7682261");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3198161, 0.4472738, 0.5747315, 0.7260719, 0.8713586, 1.0226989, 1.1740393");
+				}
+			}
+			/* Copied from enable_vswitch_h of gpio_ovtv3. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032530;
+			capacitance : 0.032824;
+			rise_capacitance : 0.033119;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1512753, 0.1519221, 0.1525690, 0.1554335, 0.1581834, 0.1610478, 0.1639123");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1459064, 0.1479861, 0.1500657, 0.1550634, 0.1598612, 0.1648588, 0.1698565");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000855551";
+				miller_cap_rise : "0.000651733";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("1.90843, 0.611514, 0.562003, 0.537685, 0.509056, 0.493134, 0.476152, 0.458117, 0.43904, 0.41893, 0.397797, 0.375652, 0.352504, 0.328362, 0.303238, 0.277139, 0.250077, 0.222061, 0.193099, 0.163202, 0.132379, 0.100638, 0.0679882, 0.0344394, -1.09139e-08, -0.0684885, -0.134721, -0.338268, -1.56863", \
+					  "1.83259, 0.418022, 0.398824, 0.391015, 0.381464, 0.375715, 0.369056, 0.36124, 0.352051, 0.341353, 0.329092, 0.315256, 0.299852, 0.282897, 0.26441, 0.244413, 0.222927, 0.199973, 0.175574, 0.149751, 0.122524, 0.0939156, 0.0639453, 0.0326336, -1.09139e-08, -0.0657957, -0.130223, -0.33246, -1.56852", \
+					  "1.7826, 0.288011, 0.263787, 0.259744, 0.255223, 0.252724, 0.25002, 0.247059, 0.243771, 0.240052, 0.235742, 0.230593, 0.224229, 0.216231, 0.206326, 0.194414, 0.180484, 0.164558, 0.146665, 0.126841, 0.105122, 0.0815427, 0.0561395, 0.0289472, -7.27596e-09, -0.059761, -0.119735, -0.315686, -1.56643", \
+					  "1.76983, 0.272589, 0.216434, 0.213201, 0.209658, 0.207737, 0.205691, 0.203492, 0.201106, 0.198483, 0.195549, 0.192196, 0.188244, 0.183387, 0.177145, 0.169026, 0.158773, 0.146321, 0.13168, 0.114883, 0.0959695, 0.074982, 0.0519633, 0.0269555, -7.27596e-09, -0.0564815, -0.114045, -0.306407, -1.56529", \
+					  "1.75873, 0.280679, 0.169379, 0.166835, 0.164097, 0.162636, 0.1611, 0.159476, 0.157745, 0.155882, 0.153855, 0.151617, 0.149096, 0.146178, 0.14266, 0.138148, 0.13201, 0.123706, 0.113028, 0.0999515, 0.0845068, 0.0667392, 0.0466986, 0.0244355, -7.27596e-09, -0.0523399, -0.106885, -0.294666, -1.56388", \
+					  "1.75377, 0.290033, 0.146366, 0.144117, 0.141733, 0.14047, 0.139151, 0.137764, 0.136298, 0.134735, 0.133054, 0.131222, 0.129195, 0.126904, 0.124232, 0.120962, 0.116638, 0.110534, 0.102105, 0.0911816, 0.0777585, 0.0618759, 0.0435854, 0.0229418, -7.27596e-09, -0.049892, -0.102667, -0.287731, -1.56306", \
+					  "1.74926, 0.301994, 0.124204, 0.12197, 0.119914, 0.118832, 0.117708, 0.116534, 0.115302, 0.114, 0.112613, 0.11112, 0.109493, 0.10769, 0.105643, 0.103238, 0.100239, 0.0961059, 0.0900146, 0.0814368, 0.0702443, 0.0564515, 0.0401076, 0.0212706, -7.27596e-09, -0.0471605, -0.0979731, -0.280006, -1.56215", \
+					  "1.74525, 0.316732, 0.105175, 0.100629, 0.0988739, 0.0979592, 0.0970134, 0.0960317, 0.095008, 0.0939346, 0.0928016, 0.0915956, 0.0902986, 0.0888848, 0.0873156, 0.0855287, 0.0834098, 0.0806999, 0.076755, 0.0706499, 0.0618975, 0.0504134, 0.0362294, 0.0194037, -1.45519e-08, -0.0441188, -0.0927621, -0.271428, -1.56114", \
+					  "1.74183, 0.333833, 0.095093, 0.0804299, 0.0788828, 0.0781223, 0.0773399, 0.0765325, 0.0756959, 0.0748251, 0.0739137, 0.0729532, 0.0719326, 0.0708363, 0.0696419, 0.0683156, 0.0668004, 0.0649851, 0.0625821, 0.0588114, 0.0526612, 0.0437091, 0.0319135, 0.0173218, -2.54659e-07, -0.0407395, -0.0869908, -0.261939, -1.56005", \
+					  "1.73909, 0.353048, 0.0953258, 0.0625188, 0.0602451, 0.0596271, 0.0589951, 0.0583463, 0.0576783, 0.0569879, 0.0562711, 0.0555227, 0.054736, 0.0539022, 0.0530084, 0.0520365, 0.0509577, 0.0497225, 0.0482246, 0.0461398, 0.0425182, 0.0362898, 0.0271179, 0.0149987, -7.2032e-06, -0.0370003, -0.0806221, -0.251498, -1.55885", \
+					  "1.73714, 0.374115, 0.10268, 0.0513497, 0.043291, 0.042782, 0.0422827, 0.0417738, 0.0412533, 0.0407192, 0.0401691, 0.0396001, 0.0390083, 0.0383888, 0.0377349, 0.0370369, 0.0362808, 0.0354442, 0.034486, 0.0333084, 0.0315401, 0.0280283, 0.0216909, 0.0122884, -0.000151096, -0.0330088, -0.073755, -0.240234, -1.55764", \
+					  "1.73612, 0.396826, 0.114905, 0.0507709, 0.0283083, 0.0275416, 0.0271023, 0.0266707, 0.0262362, 0.0257957, 0.0253474, 0.0248893, 0.0244193, 0.0239349, 0.0234326, 0.0229078, 0.0223542, 0.0217619, 0.021115, 0.0203829, 0.0194803, 0.0179808, 0.0145071, 0.0080247, -0.00160952, -0.0299599, -0.0676199, -0.229517, -1.55721", \
+					  "1.73623, 0.421175, 0.130846, 0.0574215, 0.0157346, 0.0118222, 0.0110214, 0.0104443, 0.00991151, 0.00939475, 0.00888439, 0.00837573, 0.00786581, 0.00735233, 0.00683313, 0.00630585, 0.00576759, 0.00521443, 0.00464059, 0.00403652, 0.00338278, 0.00261352, 0.00125864, -0.00227103, -0.00890847, -0.0323831, -0.0667881, -0.223955, -1.56007", \
+					  "1.7377, 0.447491, 0.149892, 0.0674831, 0.00670523, -0.00687299, -0.0107257, -0.0121166, -0.0130974, -0.0139418, -0.0147212, -0.0154637, -0.016183, -0.0168872, -0.0175813, -0.0182688, -0.0189526, -0.0196353, -0.0203195, -0.0210084, -0.0217068, -0.0224245, -0.0232018, -0.024513, -0.0280793, -0.0460294, -0.0767767, -0.228261, -1.56854", \
+					  "1.74087, 0.476325, 0.171592, 0.0795282, 0.00191361, -0.023308, -0.0358754, -0.0400925, -0.0421588, -0.0436449, -0.0448891, -0.0460046, -0.0470415, -0.0480258, -0.0489728, -0.0498923, -0.0507908, -0.0516729, -0.0525424, -0.0534022, -0.0542551, -0.0551041, -0.0559538, -0.056827, -0.0582344, -0.0699742, -0.0963599, -0.240584, -1.58141", \
+					  "1.74565, 0.507353, 0.194521, 0.0925447, 0.000370566, -0.0351102, -0.058593, -0.0694993, -0.073927, -0.0765384, -0.0784966, -0.080139, -0.0815997, -0.0829435, -0.0842065, -0.0854106, -0.0865701, -0.0876947, -0.0887915, -0.0898659, -0.0909222, -0.0919643, -0.0929957, -0.0940208, -0.0950752, -0.101138, -0.122186, -0.257594, -1.59674", \
+					  "1.75129, 0.538991, 0.216304, 0.104869, 5.99583e-05, -0.0440615, -0.0775021, -0.0980912, -0.107177, -0.111699, -0.114711, -0.117063, -0.119061, -0.120841, -0.122475, -0.124005, -0.125457, -0.12685, -0.128195, -0.129503, -0.130779, -0.13203, -0.133262, -0.134479, -0.135688, -0.138862, -0.153012, -0.27774, -1.61352", \
+					  "1.75732, 0.570273, 0.235789, 0.115785, 7.41355e-06, -0.0513793, -0.0933079, -0.123837, -0.140462, -0.148199, -0.152757, -0.156051, -0.158713, -0.161005, -0.163058, -0.164945, -0.166711, -0.168385, -0.169986, -0.171529, -0.173026, -0.174484, -0.175911, -0.177315, -0.178701, -0.181533, -0.188961, -0.30041, -1.63122", \
+					  "1.76366, 0.600905, 0.252895, 0.125298, 4.96232e-07, -0.0575168, -0.10662, -0.145863, -0.171825, -0.18476, -0.191639, -0.196204, -0.199696, -0.202595, -0.205125, -0.207406, -0.209508, -0.211476, -0.213341, -0.215124, -0.21684, -0.218503, -0.220121, -0.221704, -0.223261, -0.226337, -0.230348, -0.325346, -1.64953", \
+					  "1.77029, 0.630741, 0.267851, 0.133561, 2.07681e-08, -0.0627125, -0.117892, -0.164465, -0.199646, -0.220029, -0.230432, -0.236759, -0.241314, -0.244947, -0.248029, -0.250751, -0.25322, -0.255503, -0.257645, -0.259675, -0.261615, -0.263483, -0.265291, -0.267051, -0.268774, -0.272148, -0.275607, -0.352469, -1.66825", \
+					  "1.77725, 0.659629, 0.280926, 0.140734, 1.32693e-09, -0.0671326, -0.127476, -0.18021, -0.223374, -0.252617, -0.268243, -0.277072, -0.283024, -0.287562, -0.291296, -0.294521, -0.297398, -0.300024, -0.30246, -0.30475, -0.306923, -0.309001, -0.311002, -0.312939, -0.314827, -0.318499, -0.322128, -0.381832, -1.68725", \
+					  "1.78457, 0.687368, 0.292361, 0.146962, 1.43245e-09, -0.0709056, -0.135653, -0.193598, -0.243382, -0.281381, -0.304118, -0.316543, -0.324371, -0.330053, -0.334571, -0.338379, -0.341716, -0.34472, -0.347477, -0.350044, -0.352461, -0.354759, -0.356958, -0.359077, -0.361131, -0.365102, -0.368982, -0.413597, -1.70643", \
+					  "1.79231, 0.713693, 0.302361, 0.152368, 1.40133e-09, -0.0741347, -0.142651, -0.205028, -0.260299, -0.305902, -0.337026, -0.35453, -0.364937, -0.372093, -0.377572, -0.382069, -0.385933, -0.389359, -0.392467, -0.395334, -0.398013, -0.400542, -0.402949, -0.405256, -0.407482, -0.411755, -0.415894, -0.448058, -1.72575", \
+					  "1.80052, 0.738277, 0.3111, 0.15706, 1.39817e-09, -0.0769034, -0.148652, -0.214818, -0.274684, -0.326524, -0.366066, -0.390299, -0.404281, -0.41338, -0.42006, -0.425382, -0.429858, -0.433763, -0.437262, -0.440457, -0.443418, -0.446194, -0.448821, -0.451325, -0.453729, -0.458312, -0.462714, -0.485663, -1.74517", \
+					  "1.80925, 0.760751, 0.31873, 0.161127, 1.40702e-09, -0.0792799, -0.153807, -0.223222, -0.286977, -0.343893, -0.390844, -0.423015, -0.441895, -0.453602, -0.461812, -0.468139, -0.473335, -0.477791, -0.481728, -0.485286, -0.488555, -0.491597, -0.494458, -0.49717, -0.499762, -0.504668, -0.509338, -0.526862, -1.76468", \
+					  "1.82849, 0.798147, 0.331159, 0.16769, 1.46981e-09, -0.0830712, -0.162046, -0.236665, -0.306576, -0.37117, -0.429029, -0.476595, -0.509372, -0.529334, -0.542152, -0.551302, -0.558406, -0.564251, -0.56926, -0.573678, -0.57766, -0.581309, -0.584694, -0.587869, -0.59087, -0.596475, -0.601713, -0.617284, -1.80397", \
+					  "1.85021, 0.82521, 0.340494, 0.172558, 1.61523e-09, -0.085854, -0.168123, -0.24661, -0.32107, -0.391144, -0.456147, -0.514431, -0.562173, -0.595356, -0.61624, -0.630046, -0.640058, -0.647885, -0.654341, -0.659875, -0.664751, -0.669139, -0.673151, -0.676865, -0.68034, -0.686736, -0.692605, -0.70923, -1.84356", \
+					  "1.88348, 0.865817, 0.355699, 0.180309, 2.89038e-09, -0.0902715, -0.177964, -0.262979, -0.345206, -0.42452, -0.50076, -0.573689, -0.64289, -0.707472, -0.765385, -0.812872, -0.847228, -0.870616, -0.887083, -0.899449, -0.909283, -0.917455, -0.924471, -0.930647, -0.936188, -0.945887, -0.954287, -0.975466, -1.95953", \
+					  "1.87887, 0.874781, 0.357913, 0.181172, 1.00903e-08, -0.090971, -0.180217, -0.267695, -0.353362, -0.437174, -0.519081, -0.599032, -0.676966, -0.752814, -0.826483, -0.897842, -0.966667, -1.03255, -1.09463, -1.15121, -1.1996, -1.23767, -1.26609, -1.28737, -1.30387, -1.32831, -1.34624, -1.38293, -2.14046");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0386380, 0.0774858, 0.1242609, 0.1784726, 0.2637188");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1047665, 0.1431079, 0.1903832, 0.2434017, 0.3302853");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0186925, 0.0325560, 0.0472792, 0.0635025, 0.0854210");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0775415, 0.0968992, 0.1117395, 0.1281227, 0.1499168");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("5.21972");
+						index_3("0.001");
+						index_4("2.5723522, 2.7140427, 2.9437388, 3.1454498, 3.2568107");
+						values("0.380758, 0.609213, 0.761516, 0.609213, 0.380758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("2.98718");
+						index_3("0.001");
+						index_4("1.4699309, 1.5867952, 1.7892037, 1.9368050, 2.0224461");
+						values("0.450741, 0.721186, 0.901482, 0.721186, 0.450741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("2.00397");
+						index_3("0.001");
+						index_4("0.9858201, 1.0812306, 1.2639550, 1.3707861, 1.4428887");
+						values("0.479594, 0.76735, 0.959188, 0.76735, 0.479594");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("2.60986");
+						index_3("0.001");
+						index_4("1.2976038, 1.3670617, 1.4672662, 1.5826670, 1.6527881");
+						values("0.217104, 0.347367, 0.434208, 0.347367, 0.217104");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("1.49359");
+						index_3("0.001");
+						index_4("0.7459378, 0.8034018, 0.8896262, 0.9747554, 1.0220642");
+						values("0.255384, 0.408614, 0.510768, 0.408614, 0.255384");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("1.00198");
+						index_3("0.001");
+						index_4("0.5010090, 0.5473467, 0.6296929, 0.6910191, 0.7309120");
+						values("0.272208, 0.435533, 0.544416, 0.435533, 0.272208");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("4.48077");
+						index_3("0.001");
+						index_4("2.1974381, 2.3754435, 2.6377868, 2.8791292, 3.0096060");
+						values("0.628482, 1.00557, 1.25696, 1.00557, 0.628482");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.41555");
+						index_3("0.001");
+						index_4("0.7047213, 0.7701521, 0.8790189, 1.0540883, 1.1569896");
+						values("1.47838, 1.19541, 1.00676, 1.19541, 1.47838");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.800416");
+						index_3("0.001");
+						index_4("0.4002901, 0.4473567, 0.5335182, 0.6568220, 0.7340727");
+						values("1.44599, 1.14359, 0.941982, 1.14359, 1.44599");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.530178");
+						index_3("0.001");
+						index_4("0.2660415, 0.3026688, 0.3731364, 0.4677235, 0.5291988");
+						values("1.42914, 1.11662, 0.908273, 1.11662, 1.42914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.707774");
+						index_3("0.001");
+						index_4("0.3565863, 0.3909566, 0.4542554, 0.5384325, 0.6079411");
+						values("1.70091, 1.55146, 1.45182, 1.55146, 1.70091");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.400208");
+						index_3("0.001");
+						index_4("0.2049228, 0.2288798, 0.2835496, 0.3376822, 0.3911442");
+						values("1.69996, 1.54993, 1.44992, 1.54993, 1.69996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.265089");
+						index_3("0.001");
+						index_4("0.1364989, 0.1559512, 0.1913174, 0.2433943, 0.2856520");
+						values("1.68719, 1.52951, 1.42438, 1.52951, 1.68719");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("1.20062");
+						index_3("0.001");
+						index_4("0.5956411, 0.6667822, 0.8056963, 0.9688685, 1.0767308");
+						values("1.227, 0.793204, 0.504005, 0.793204, 1.227");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051707;
+			capacitance : 0.052126;
+			rise_capacitance : 0.052544;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0196528, -0.0197741, -0.0198954, -0.0198871, -0.0198792, -0.0198709, -0.0198626");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0202093, 0.0201009, 0.0199926, 0.0199895, 0.0199866, 0.0199836, 0.0199806");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00145923";
+				miller_cap_rise : "0.00051885";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.42798, 0.610543, 0.428185, 0.41954, 0.40904, 0.4028, 0.395651, 0.387314, 0.37743, 0.365649, 0.351872, 0.336318, 0.319232, 0.300725, 0.280821, 0.259511, 0.236775, 0.212585, 0.186913, 0.159728, 0.130999, 0.100694, 0.0687815, 0.035228, -9.24485e-09, -0.072621, -0.146786, -0.415699, -2.91428", \
+					  "3.29358, 0.466754, 0.252642, 0.248593, 0.243957, 0.241351, 0.238502, 0.235355, 0.231835, 0.227837, 0.223206, 0.217717, 0.211042, 0.202807, 0.192816, 0.181126, 0.167812, 0.152879, 0.136301, 0.118039, 0.0980543, 0.0763024, 0.0527395, 0.0273207, -6.76313e-09, -0.0577831, -0.118395, -0.348497, -2.90792", \
+					  "3.21905, 0.387586, 0.153276, 0.151001, 0.14847, 0.147084, 0.1456, 0.144, 0.142261, 0.140353, 0.138234, 0.135846, 0.133102, 0.129871, 0.125944, 0.121003, 0.114665, 0.106693, 0.0970078, 0.0855596, 0.072296, 0.0571632, 0.0401068, 0.0210713, -6.61407e-09, -0.0461677, -0.09641, -0.294969, -2.90204", \
+					  "3.19614, 0.363578, 0.122465, 0.120666, 0.118684, 0.117607, 0.116462, 0.115237, 0.113917, 0.112483, 0.110909, 0.10916, 0.107186, 0.104913, 0.102225, 0.0989336, 0.0947295, 0.0892099, 0.0820711, 0.0731764, 0.0624503, 0.0498299, 0.0352549, 0.0186652, -2.79349e-09, -0.0417222, -0.0880501, -0.274687, -2.89988", \
+					  "3.17469, 0.341572, 0.0934303, 0.092054, 0.0905538, 0.0897463, 0.0888931, 0.0879871, 0.087019, 0.0859775, 0.0848473, 0.0836084, 0.0822329, 0.0806808, 0.0788922, 0.0767716, 0.0741571, 0.0707623, 0.066151, 0.0599267, 0.0518923, 0.041952, 0.0300341, 0.0160722, -3.40932e-09, -0.0369473, -0.0791042, -0.253014, -2.89761", \
+					  "3.16463, 0.331477, 0.0797452, 0.0785569, 0.077274, 0.0765867, 0.075863, 0.0750974, 0.0742829, 0.0734108, 0.0724698, 0.071445, 0.070316, 0.0690541, 0.0676174, 0.0659401, 0.0639134, 0.0613401, 0.0578579, 0.0529705, 0.0463327, 0.037796, 0.0272756, 0.0147, -3.53603e-09, -0.0344274, -0.0743969, -0.241616, -2.89642", \
+					  "3.15507, 0.322095, 0.0667416, 0.0657048, 0.0646236, 0.0640472, 0.0634424, 0.0628052, 0.0621302, 0.0614111, 0.0606396, 0.0598047, 0.058892, 0.0578813, 0.0567435, 0.0554347, 0.0538836, 0.0519639, 0.0494274, 0.0458066, 0.0405825, 0.0334893, 0.0244131, 0.0132744, -2.72718e-09, -0.0318142, -0.0695253, -0.229817, -2.89519", \
+					  "3.14609, 0.313529, 0.0546414, 0.0535912, 0.0526957, 0.052221, 0.0517249, 0.0512044, 0.0506555, 0.0500737, 0.0494531, 0.0487859, 0.0480621, 0.0472678, 0.0463834, 0.0453802, 0.0442128, 0.0428038, 0.0410035, 0.0384806, 0.0346458, 0.029029, 0.0214431, 0.0117929, -1.13024e-08, -0.0291039, -0.0644835, -0.217595, -2.89393", \
+					  "3.13777, 0.305888, 0.0441249, 0.0423245, 0.041595, 0.0412132, 0.0408157, 0.0404004, 0.0399647, 0.0395054, 0.0390182, 0.038498, 0.037938, 0.037329, 0.0366582, 0.0359075, 0.0350488, 0.0340363, 0.0327861, 0.0311111, 0.0285448, 0.024415, 0.0183623, 0.0102531, -5.92545e-08, -0.0262927, -0.0592657, -0.204934, -2.89263", \
+					  "3.1302, 0.299272, 0.0359787, 0.0320462, 0.0314381, 0.03114, 0.0308312, 0.0305101, 0.030175, 0.0298236, 0.0294534, 0.0290608, 0.0286415, 0.0281898, 0.0276977, 0.027154, 0.0265423, 0.0258367, 0.0249921, 0.023916, 0.0223543, 0.0196547, 0.0151678, 0.00865145, -3.94392e-07, -0.0233771, -0.0538667, -0.19184, -2.89131", \
+					  "3.12346, 0.293747, 0.0300911, 0.0230656, 0.0223505, 0.0221265, 0.0218964, 0.0216585, 0.0214116, 0.0211544, 0.0208853, 0.020602, 0.0203021, 0.0199821, 0.0196375, 0.0192618, 0.0188459, 0.0183761, 0.0178295, 0.0171634, 0.0162726, 0.0147798, 0.0118559, 0.00698187, -2.71893e-06, -0.0203553, -0.0482839, -0.178373, -2.89", \
+					  "3.11768, 0.289348, 0.0261175, 0.0162068, 0.0144685, 0.0143035, 0.0141414, 0.0139752, 0.013804, 0.013627, 0.0134432, 0.0132516, 0.0130507, 0.0128386, 0.0126132, 0.0123715, 0.0121086, 0.0118176, 0.0114881, 0.0111019, 0.0106208, 0.0099178, 0.00842035, 0.00522509, -1.88618e-05, -0.0172353, -0.0425273, -0.164666, -2.8887", \
+					  "3.11299, 0.286073, 0.0238992, 0.0119615, 0.00797415, 0.00780905, 0.00769616, 0.007585, 0.00747255, 0.00735792, 0.00724048, 0.00711962, 0.00699472, 0.00686542, 0.00673296, 0.00659514, 0.0064482, 0.00628921, 0.00611408, 0.00591623, 0.00568349, 0.00538535, 0.00485903, 0.00329565, -0.000132552, -0.014096, -0.0366843, -0.150956, -2.88748", \
+					  "3.10969, 0.284263, 0.0235449, 0.0100493, 0.00323246, 0.00268649, 0.00253442, 0.00242724, 0.00233106, 0.00223959, 0.00215059, 0.0020632, 0.00197804, 0.00190027, 0.00183436, 0.00177072, 0.00170502, 0.001636, 0.00156244, 0.00148257, 0.0013935, 0.0012894, 0.00115052, 0.000763923, -0.000867302, -0.0114534, -0.0312945, -0.137907, -2.88668", \
+					  "3.10821, 0.284263, 0.0253663, 0.0103948, 0.00075921, -0.00116307, -0.00182405, -0.00210854, -0.00229851, -0.00244976, -0.00257834, -0.00268886, -0.00277818, -0.00283697, -0.00286982, -0.00289421, -0.00291658, -0.00293848, -0.00296051, -0.00298311, -0.00300677, -0.00303225, -0.00306129, -0.00310583, -0.00348496, -0.0105777, -0.0276758, -0.12688, -2.88707", \
+					  "3.10834, 0.285758, 0.0287741, 0.0122998, 9.90841e-05, -0.00360854, -0.00562641, -0.00655271, -0.00704948, -0.00738165, -0.00762852, -0.00781499, -0.00794462, -0.00801726, -0.00805153, -0.00807075, -0.00808443, -0.00809552, -0.00810518, -0.00811395, -0.00812217, -0.00813005, -0.00813784, -0.0081462, -0.00819073, -0.0118834, -0.0262072, -0.118176, -2.8888", \
+					  "3.10908, 0.287732, 0.0324215, 0.0144648, 9.06642e-06, -0.00525854, -0.00888902, -0.0110636, -0.0122593, -0.0129678, -0.0134349, -0.0137535, -0.0139574, -0.0140698, -0.0141273, -0.014161, -0.0141841, -0.0142019, -0.0142164, -0.0142289, -0.0142399, -0.0142499, -0.014259, -0.0142676, -0.0142786, -0.0154695, -0.0264744, -0.111178, -2.89144", \
+					  "3.10992, 0.289724, 0.035772, 0.0164109, 6.75767e-07, -0.00653487, -0.0115518, -0.0151252, -0.017442, -0.0188553, -0.0197269, -0.020275, -0.0206055, -0.0207879, -0.0208884, -0.0209509, -0.020995, -0.021029, -0.0210568, -0.0210805, -0.0211012, -0.0211197, -0.0211366, -0.0211521, -0.0211668, -0.0213805, -0.0282322, -0.105366, -2.89463", \
+					  "3.11073, 0.29164, 0.0387647, 0.0181018, 5.27976e-08, -0.00756754, -0.0136978, -0.0184548, -0.0219377, -0.0243183, -0.0258519, -0.0267984, -0.0273519, -0.0276594, -0.0278371, -0.0279523, -0.0280353, -0.0280998, -0.0281526, -0.0281975, -0.0282367, -0.0282717, -0.0283033, -0.0283324, -0.0283593, -0.0284274, -0.0314666, -0.100294, -2.8981", \
+					  "3.11148, 0.293455, 0.041427, 0.0195705, 1.06868e-08, -0.00841942, -0.015445, -0.0211374, -0.0255743, -0.0288631, -0.0311547, -0.032639, -0.033526, -0.0340339, -0.0343408, -0.0345467, -0.034698, -0.0348169, -0.0349147, -0.034998, -0.0350707, -0.0351355, -0.035194, -0.0352476, -0.0352971, -0.0353882, -0.0362414, -0.0954727, -2.90152", \
+					  "3.11218, 0.295148, 0.0437971, 0.0208521, 7.91304e-09, -0.00913298, -0.0168894, -0.0233232, -0.0284984, -0.0324949, -0.0354147, -0.0373919, -0.0386191, -0.0393534, -0.0398183, -0.0401411, -0.0403839, -0.0405774, -0.0407382, -0.0408761, -0.0409971, -0.0411052, -0.0412031, -0.0412929, -0.041376, -0.0415263, -0.041774, -0.0903877, -2.90453", \
+					  "3.11282, 0.296711, 0.0459112, 0.0219766, 7.72743e-09, -0.00973877, -0.0181015, -0.0251333, -0.0308852, -0.0354168, -0.0388009, -0.0411408, -0.0426257, -0.0435427, -0.0441435, -0.044572, -0.0449005, -0.0451657, -0.0453884, -0.0455807, -0.0457504, -0.0459028, -0.0460413, -0.0461688, -0.046287, -0.0465017, -0.0467071, -0.0848533, -2.90689", \
+					  "3.1134, 0.298143, 0.0478023, 0.0229686, 7.71178e-09, -0.0102592, -0.0191325, -0.0266558, -0.0328676, -0.0378114, -0.0415387, -0.0441356, -0.0458004, -0.0468487, -0.0475506, -0.0480602, -0.0484555, -0.0487778, -0.0490501, -0.0492865, -0.049496, -0.0496846, -0.0498567, -0.0500153, -0.0501628, -0.0504312, -0.0506734, -0.0793118, -2.90861", \
+					  "3.11392, 0.299451, 0.049499, 0.0238486, 7.70794e-09, -0.0107108, -0.0200198, -0.0279537, -0.0345404, -0.0398098, -0.0437977, -0.0465813, -0.0483741, -0.0495175, -0.0502944, -0.050865, -0.0513115, -0.0516776, -0.0519883, -0.0522589, -0.0524994, -0.0527163, -0.0529146, -0.0530976, -0.053268, -0.0535785, -0.0538577, -0.0745893, -2.90986", \
+					  "3.11439, 0.300642, 0.0510263, 0.0246331, 7.70533e-09, -0.0111064, -0.0207912, -0.0290733, -0.0359711, -0.0415037, -0.0456952, -0.0486189, -0.0505064, -0.0517211, -0.0525552, -0.0531731, -0.0536595, -0.05406, -0.054401, -0.0546987, -0.0549636, -0.055203, -0.055422, -0.0556244, -0.0558129, -0.0561567, -0.056466, -0.0714632, -2.91079", \
+					  "3.11518, 0.302722, 0.053655, 0.0259686, 7.70095e-09, -0.0117661, -0.0220663, -0.0309063, -0.0382907, -0.0442222, -0.0487096, -0.0518273, -0.0538444, -0.0551588, -0.0560747, -0.0567609, -0.0573055, -0.0577565, -0.0581421, -0.0584798, -0.0587809, -0.0590536, -0.0593033, -0.0595343, -0.0597497, -0.0601429, -0.0604969, -0.070431, -2.91218", \
+					  "3.11583, 0.304416, 0.0558257, 0.0270592, 7.69708e-09, -0.0122936, -0.023076, -0.0323435, -0.0400905, -0.0463097, -0.0510004, -0.0542448, -0.0563458, -0.0577264, -0.058698, -0.0594314, -0.0600166, -0.0605031, -0.0609201, -0.061286, -0.0616128, -0.061909, -0.0621806, -0.0624319, -0.0626663, -0.0630945, -0.0634801, -0.0726014, -2.91351", \
+					  "3.11717, 0.308149, 0.0604801, 0.0293699, 7.68769e-09, -0.0133847, -0.0251386, -0.0352421, -0.0436753, -0.0504157, -0.0554521, -0.0588981, -0.0611331, -0.0626243, -0.063691, -0.0645067, -0.0651635, -0.0657129, -0.066186, -0.0666024, -0.0669753, -0.0673138, -0.0676245, -0.0679123, -0.068181, -0.0686721, -0.0691146, -0.0782347, -2.917", \
+					  "3.11831, 0.311589, 0.0648492, 0.0315196, 7.67853e-09, -0.0143764, -0.0269851, -0.037799, -0.0467924, -0.0539357, -0.0592175, -0.0627949, -0.0651197, -0.0666892, -0.0678259, -0.0687033, -0.0694144, -0.070012, -0.0705283, -0.0709839, -0.0713924, -0.0717638, -0.0721051, -0.0724214, -0.0727168, -0.0732569, -0.0737437, -0.0829469, -2.92");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1031292, 0.2409167, 0.3809640, 0.5044836, 0.6644850");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1719577, 0.3094758, 0.4506616, 0.5739375, 0.7356512");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1411594, 0.2749152, 0.4367432, 0.6628533, 1.0126625");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2069896, 0.3410303, 0.5029552, 0.7286762, 1.0819313");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("16.7404");
+						index_3("0.001");
+						index_4("8.3480637, 9.0083334, 10.1955746, 11.3514845, 12.0518054");
+						values("0.473886, 0.758218, 0.947773, 0.758218, 0.473886");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("9.57571");
+						index_3("0.001");
+						index_4("4.7896056, 5.2584266, 6.2427872, 6.9711356, 7.4667711");
+						values("0.495021, 0.792034, 0.990043, 0.792034, 0.495021");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("6.44687");
+						index_3("0.001");
+						index_4("3.2350192, 3.5991025, 4.3409521, 4.9468935, 5.3382550");
+						values("0.505609, 0.808975, 1.01122, 0.808975, 0.505609");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("8.3702");
+						index_3("0.001");
+						index_4("4.1965762, 4.5289027, 5.1215588, 5.7497713, 6.1490821");
+						values("0.242969, 0.388751, 0.485938, 0.388751, 0.242969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("4.78785");
+						index_3("0.001");
+						index_4("2.4108079, 2.6390589, 3.0165440, 3.5395166, 3.8362592");
+						values("0.251345, 0.402153, 0.502691, 0.402153, 0.251345");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("3.22343");
+						index_3("0.001");
+						index_4("1.6333137, 1.8112053, 2.1686827, 2.5142592, 2.7595438");
+						values("0.253959, 0.406335, 0.507919, 0.406335, 0.253959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("14.3636");
+						index_3("0.001");
+						index_4("7.2676797, 7.9873818, 9.1047273, 10.2436148, 10.9765952");
+						values("0.809235, 1.29478, 1.61847, 1.29478, 0.809235");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("20.3831");
+						index_3("0.001");
+						index_4("10.1511910, 10.7778458, 12.0946096, 13.4294696, 14.1000314");
+						values("1.46178, 1.16885, 0.973566, 1.16885, 1.46178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("11.5656");
+						index_3("0.001");
+						index_4("5.7685645, 6.2170579, 7.0813322, 8.2227724, 8.6765921");
+						values("1.43821, 1.13113, 0.926411, 1.13113, 1.43821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("7.63352");
+						index_3("0.001");
+						index_4("3.8188112, 4.1630734, 4.8423122, 5.7402400, 6.0866868");
+						values("1.42913, 1.11661, 0.908262, 1.11661, 1.42913");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("10.1916");
+						index_3("0.001");
+						index_4("5.1602671, 5.5091905, 6.1643429, 6.7150155, 7.0903857");
+						values("1.6978, 1.54647, 1.44559, 1.54647, 1.6978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("5.78279");
+						index_3("0.001");
+						index_4("2.9588333, 3.2096058, 3.6312256, 4.0932167, 4.3654779");
+						values("1.68292, 1.52266, 1.41583, 1.52266, 1.68292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("3.81676");
+						index_3("0.001");
+						index_4("1.9713263, 2.1628114, 2.4658847, 2.8586101, 3.0673071");
+						values("1.679, 1.51639, 1.40799, 1.51639, 1.679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("17.3484");
+						index_3("0.001");
+						index_4("8.5123556, 9.2244472, 10.8849518, 12.2932854, 13.0147223");
+						values("1.26102, 0.847631, 0.572039, 0.847631, 1.26102");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016757;
+			capacitance : 0.016704;
+			fall_capacitance : 0.016651;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254142, 0.0264072, 0.0274003, 0.0297905, 0.0320852, 0.0344754, 0.0368656");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297630, 0.0309483, 0.0321337, 0.0346784, 0.0371214, 0.0396662, 0.0422110");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000704878";
+				miller_cap_rise : "0.000447112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.14074, 0.809172, 0.739793, 0.709237, 0.672842, 0.65247, 0.630684, 0.607493, 0.5829, 0.556908, 0.529517, 0.500727, 0.47054, 0.438957, 0.405979, 0.371608, 0.335845, 0.298694, 0.260157, 0.220236, 0.178935, 0.136258, 0.0922064, 0.0467859, -8.21555e-09, -0.0918079, -0.178371, -0.452011, -2.32319", \
+					  "3.04295, 0.626174, 0.581043, 0.565785, 0.548802, 0.539399, 0.529164, 0.517847, 0.505102, 0.490505, 0.47369, 0.454529, 0.433058, 0.409323, 0.383339, 0.355108, 0.32463, 0.291904, 0.25693, 0.219709, 0.180243, 0.138534, 0.0945874, 0.0484073, -1.76872e-09, -0.095934, -0.18663, -0.46869, -2.32801", \
+					  "2.9639, 0.473106, 0.42629, 0.415749, 0.404547, 0.398619, 0.392416, 0.385873, 0.378906, 0.371395, 0.363167, 0.353961, 0.343378, 0.330847, 0.315747, 0.297732, 0.27673, 0.25273, 0.225721, 0.195691, 0.16263, 0.126532, 0.0873941, 0.045216, -1.77892e-09, -0.0915175, -0.17968, -0.457779, -2.32635", \
+					  "2.94091, 0.438881, 0.36643, 0.357188, 0.347478, 0.342397, 0.337127, 0.33163, 0.325853, 0.319727, 0.313152, 0.305985, 0.298006, 0.288869, 0.278032, 0.26478, 0.248537, 0.229083, 0.206354, 0.18032, 0.150958, 0.118254, 0.0821962, 0.0427792, -1.78731e-09, -0.0877771, -0.173501, -0.447503, -2.32481", \
+					  "2.91769, 0.432449, 0.303672, 0.295654, 0.287321, 0.283003, 0.278561, 0.273971, 0.269202, 0.264213, 0.25895, 0.253334, 0.247251, 0.240527, 0.232879, 0.223836, 0.212665, 0.198563, 0.18107, 0.160025, 0.135365, 0.107054, 0.0750659, 0.0393852, -1.8005e-09, -0.0824581, -0.164655, -0.432608, -2.32261", \
+					  "2.90573, 0.433074, 0.271629, 0.264198, 0.256521, 0.252562, 0.248505, 0.244331, 0.240016, 0.235532, 0.230835, 0.22587, 0.220555, 0.214769, 0.20832, 0.200885, 0.19191, 0.180545, 0.16598, 0.147814, 0.12591, 0.100208, 0.0706711, 0.0372745, -1.84193e-09, -0.0791159, -0.159083, -0.423145, -2.32122", \
+					  "2.89369, 0.434072, 0.239556, 0.23259, 0.225553, 0.221942, 0.218254, 0.214477, 0.210592, 0.206577, 0.202402, 0.198026, 0.193393, 0.188418, 0.182972, 0.176845, 0.169668, 0.160777, 0.149199, 0.134138, 0.115264, 0.0924604, 0.0656716, 0.0348604, -2.67222e-09, -0.0752717, -0.152666, -0.412186, -2.31963", \
+					  "2.88171, 0.435419, 0.208928, 0.201122, 0.194714, 0.19144, 0.18811, 0.184714, 0.181237, 0.177665, 0.173974, 0.170138, 0.166116, 0.161851, 0.157259, 0.152205, 0.146459, 0.139593, 0.1308, 0.118975, 0.103385, 0.0837708, 0.0600354, 0.0321245, -2.25813e-08, -0.0708934, -0.14535, -0.39962, -2.3178", \
+					  "2.86995, 0.437468, 0.184687, 0.170173, 0.164356, 0.161414, 0.158432, 0.155403, 0.152318, 0.149165, 0.145929, 0.142591, 0.139124, 0.135491, 0.131636, 0.127476, 0.122873, 0.117576, 0.11108, 0.102378, 0.0902618, 0.0741141, 0.0537389, 0.029052, -5.0121e-07, -0.0659542, -0.13709, -0.385352, -2.31574", \
+					  "2.85861, 0.440495, 0.17168, 0.140573, 0.134899, 0.132282, 0.129641, 0.126969, 0.124261, 0.121508, 0.118701, 0.115827, 0.112869, 0.109802, 0.106593, 0.103191, 0.0995158, 0.0954286, 0.0906588, 0.0845849, 0.0759341, 0.0634837, 0.0467634, 0.0256241, -1.16347e-05, -0.0604449, -0.127861, -0.369326, -2.31343", \
+					  "2.8479, 0.444759, 0.167582, 0.115401, 0.106817, 0.104511, 0.102196, 0.0998649, 0.0975135, 0.0951371, 0.0927293, 0.0902822, 0.0877851, 0.0852237, 0.0825778, 0.0798184, 0.076901, 0.0737523, 0.0702387, 0.0660651, 0.0604265, 0.0517522, 0.0389364, 0.0216475, -0.00023487, -0.0545749, -0.117879, -0.351823, -2.31112", \
+					  "2.83807, 0.450944, 0.168834, 0.100558, 0.0805793, 0.0783825, 0.0762753, 0.0741647, 0.0720464, 0.0699171, 0.0677734, 0.0656109, 0.0634237, 0.061204, 0.0589409, 0.056619, 0.0542156, 0.0516947, 0.0489947, 0.0459928, 0.0423625, 0.0370188, 0.0281555, 0.0148911, -0.0030043, -0.0508679, -0.109859, -0.336207, -2.31147", \
+					  "2.8294, 0.459434, 0.173965, 0.0960077, 0.0565348, 0.0528129, 0.0503265, 0.0478992, 0.045483, 0.0430687, 0.0406526, 0.0382319, 0.0358037, 0.0333642, 0.0309086, 0.0284299, 0.0259182, 0.0233579, 0.020724, 0.0179708, 0.0149954, 0.0114353, 0.00585368, -0.00363471, -0.017666, -0.0593003, -0.114426, -0.335047, -2.32435", \
+					  "2.82217, 0.470764, 0.182619, 0.0973608, 0.0355436, 0.0242722, 0.0197948, 0.016219, 0.0128176, 0.009484, 0.00618631, 0.00291126, -0.000348071, -0.00359612, -0.00683639, -0.0100724, -0.0133083, -0.0165498, -0.0198055, -0.0230901, -0.0264312, -0.0299027, -0.0338903, -0.0401716, -0.0505484, -0.0860555, -0.13763, -0.354139, -2.35334", \
+					  "2.8167, 0.485508, 0.19489, 0.102304, 0.019095, -0.00515269, -0.0155885, -0.0211775, -0.0258182, -0.0301446, -0.0343191, -0.0384028, -0.0424246, -0.0464001, -0.0503387, -0.0542463, -0.0581275, -0.061986, -0.0658257, -0.0696515, -0.0734705, -0.0772968, -0.0811736, -0.0854972, -0.0923168, -0.12074, -0.167887, -0.378951, -2.3857", \
+					  "2.81332, 0.504291, 0.211095, 0.110307, 0.00793378, -0.0293639, -0.0497167, -0.0588393, -0.0649635, -0.070214, -0.0750838, -0.0797436, -0.0842693, -0.0887007, -0.0930609, -0.0973647, -0.101622, -0.105839, -0.110024, -0.114179, -0.118311, -0.122424, -0.126529, -0.130651, -0.135306, -0.155985, -0.197569, -0.401275, -2.41493", \
+					  "2.81238, 0.527762, 0.231525, 0.121468, 0.00216458, -0.046594, -0.0780839, -0.0929368, -0.101114, -0.107418, -0.112981, -0.118166, -0.123126, -0.127938, -0.132647, -0.137278, -0.141852, -0.146379, -0.15087, -0.155333, -0.159774, -0.164199, -0.168615, -0.173031, -0.177496, -0.191553, -0.22643, -0.421186, -2.44136", \
+					  "2.8141, 0.555878, 0.255252, 0.13484, 0.000392897, -0.0583074, -0.100477, -0.123225, -0.134455, -0.1422, -0.148671, -0.154529, -0.160038, -0.165327, -0.170466, -0.175498, -0.18045, -0.185342, -0.190186, -0.194994, -0.199773, -0.204532, -0.209277, -0.214018, -0.218767, -0.229497, -0.255995, -0.439948, -2.46604", \
+					  "2.81781, 0.586536, 0.279508, 0.14808, 6.74048e-05, -0.0672806, -0.119108, -0.151088, -0.166614, -0.176247, -0.183823, -0.190461, -0.196581, -0.202383, -0.20797, -0.213406, -0.21873, -0.223969, -0.229142, -0.234263, -0.239343, -0.244392, -0.24942, -0.254436, -0.259452, -0.269701, -0.287831, -0.458483, -2.48966", \
+					  "2.82223, 0.617517, 0.302418, 0.16003, 1.22604e-05, -0.0748258, -0.135167, -0.17669, -0.197903, -0.209932, -0.218799, -0.226291, -0.23305, -0.239367, -0.245391, -0.251211, -0.256881, -0.262436, -0.267903, -0.2733, -0.278642, -0.28394, -0.289208, -0.294455, -0.299695, -0.31023, -0.323192, -0.477409, -2.51259", \
+					  "2.82678, 0.648103, 0.323377, 0.170595, 2.19446e-06, -0.0813202, -0.149109, -0.199708, -0.227974, -0.243074, -0.253472, -0.261913, -0.269351, -0.276196, -0.282656, -0.288848, -0.294847, -0.300699, -0.306437, -0.312085, -0.317662, -0.323182, -0.32866, -0.334109, -0.339541, -0.350424, -0.361838, -0.497166, -2.53507", \
+					  "2.83136, 0.678129, 0.342193, 0.179893, 3.30039e-07, -0.0869414, -0.161235, -0.220019, -0.256375, -0.27542, -0.28767, -0.297194, -0.305369, -0.312769, -0.319674, -0.32624, -0.332562, -0.3387, -0.344697, -0.350581, -0.356377, -0.362101, -0.367771, -0.373401, -0.379006, -0.39021, -0.401594, -0.518101, -2.55725", \
+					  "2.83593, 0.707543, 0.358863, 0.188066, 3.22624e-08, -0.0918166, -0.171791, -0.237757, -0.282655, -0.306701, -0.321235, -0.332016, -0.341011, -0.349007, -0.356378, -0.363327, -0.369973, -0.376395, -0.382645, -0.388758, -0.394762, -0.40068, -0.40653, -0.412328, -0.418093, -0.429592, -0.441199, -0.540511, -2.57921", \
+					  "2.84049, 0.736322, 0.37351, 0.195243, 4.73118e-09, -0.0960488, -0.180988, -0.253188, -0.306447, -0.336627, -0.354008, -0.366277, -0.376199, -0.384846, -0.392713, -0.400061, -0.407041, -0.41375, -0.420252, -0.426591, -0.4328, -0.438906, -0.444928, -0.450888, -0.456802, -0.468577, -0.480426, -0.564663, -2.60103", \
+					  "2.84505, 0.764452, 0.386328, 0.201536, 4.101e-09, -0.0997241, -0.189005, -0.266607, -0.327556, -0.364877, -0.385824, -0.399878, -0.410864, -0.420234, -0.428637, -0.436407, -0.443735, -0.450739, -0.457497, -0.464063, -0.470476, -0.476767, -0.482959, -0.489075, -0.495135, -0.507172, -0.519253, -0.590809, -2.62277", \
+					  "2.85415, 0.818673, 0.407302, 0.211866, 6.61501e-09, -0.105684, -0.202081, -0.288454, -0.361999, -0.414996, -0.445795, -0.464682, -0.478363, -0.489467, -0.499105, -0.507819, -0.515904, -0.523538, -0.530834, -0.53787, -0.544701, -0.551365, -0.557897, -0.564322, -0.570666, -0.583206, -0.595723, -0.649911, -2.66615", \
+					  "2.86321, 0.869897, 0.42326, 0.219721, 1.07822e-08, -0.110157, -0.211992, -0.305033, -0.387764, -0.454972, -0.499237, -0.525437, -0.542942, -0.556337, -0.567518, -0.57736, -0.586321, -0.594664, -0.602553, -0.610097, -0.61737, -0.624427, -0.631309, -0.638051, -0.644682, -0.657722, -0.670655, -0.717642, -2.70954", \
+					  "2.89005, 0.997989, 0.450847, 0.233014, 3.70632e-08, -0.117627, -0.22902, -0.334007, -0.432269, -0.522965, -0.603478, -0.667373, -0.710134, -0.737712, -0.757302, -0.772664, -0.785562, -0.796893, -0.807154, -0.816647, -0.825564, -0.834036, -0.842155, -0.84999, -0.857593, -0.872279, -0.886524, -0.931086, -2.83763", \
+					  "2.92932, 1.07618, 0.460187, 0.23655, 1.46296e-07, -0.119708, -0.235171, -0.346332, -0.453121, -0.555446, -0.653148, -0.74588, -0.83277, -0.911515, -0.977316, -1.02612, -1.06041, -1.08555, -1.10529, -1.12169, -1.1359, -1.1486, -1.16018, -1.17094, -1.18104, -1.19978, -1.21713, -1.26559, -3.03414");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187681, 0.0318305, 0.0461040, 0.0628126, 0.0872592");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0791456, 0.0976510, 0.1125844, 0.1294277, 0.1543311");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0139886, 0.0207261, 0.0284040, 0.0371979, 0.0485362");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0616281, 0.0767803, 0.0882831, 0.0986147, 0.1099561");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("1.38517");
+						index_3("0.001");
+						index_4("0.6764619, 0.7117517, 0.7550555, 0.8221173, 0.8563486");
+						values("0.277389, 0.443823, 0.554779, 0.443823, 0.277389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.809978");
+						index_3("0.001");
+						index_4("0.3964683, 0.4266815, 0.4676335, 0.5235193, 0.5522842");
+						values("0.386316, 0.618106, 0.772632, 0.618106, 0.386316");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.548326");
+						index_3("0.001");
+						index_4("0.2696824, 0.2965163, 0.3389490, 0.3782717, 0.4045766");
+						values("0.441538, 0.706461, 0.883076, 0.706461, 0.441538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("0.692584");
+						index_3("0.001");
+						index_4("0.3392619, 0.3605676, 0.3978346, 0.4228610, 0.4428935");
+						values("0.165152, 0.264243, 0.330304, 0.264243, 0.165152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.404989");
+						index_3("0.001");
+						index_4("0.2018897, 0.2178392, 0.2469241, 0.2696164, 0.2862348");
+						values("0.220178, 0.352284, 0.440355, 0.352284, 0.220178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.274163");
+						index_3("0.001");
+						index_4("0.1383363, 0.1523308, 0.1728960, 0.1949547, 0.2102382");
+						values("0.246014, 0.393623, 0.492028, 0.393623, 0.246014");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("1.21497");
+						index_3("0.001");
+						index_4("0.5895727, 0.6341014, 0.6974591, 0.7693360, 0.8130766");
+						values("0.519945, 0.831911, 1.03989, 0.831911, 0.519945");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.810568");
+						index_3("0.001");
+						index_4("0.3965359, 0.4223816, 0.4643560, 0.5229260, 0.5606813");
+						values("1.5833, 1.36329, 1.21661, 1.36329, 1.5833");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.435424");
+						index_3("0.001");
+						index_4("0.2138976, 0.2335343, 0.2670167, 0.3142477, 0.3444667");
+						values("1.50941, 1.24506, 1.06882, 1.24506, 1.50941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.27597");
+						index_3("0.001");
+						index_4("0.1362743, 0.1522178, 0.1811945, 0.2181815, 0.2424233");
+						values("1.46891, 1.18026, 0.987829, 1.18026, 1.46891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.405284");
+						index_3("0.001");
+						index_4("0.2019171, 0.2159516, 0.2397500, 0.2705378, 0.2969039");
+						values("1.7359, 1.60745, 1.52181, 1.60745, 1.7359");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.217712");
+						index_3("0.001");
+						index_4("0.1092805, 0.1195171, 0.1322871, 0.1658118, 0.1854123");
+						values("1.70064, 1.55103, 1.45129, 1.55103, 1.70064");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.137985");
+						index_3("0.001");
+						index_4("0.0708324, 0.0794735, 0.0930939, 0.1141431, 0.1314051");
+						values("1.67754, 1.51407, 1.40509, 1.51407, 1.67754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.653136");
+						index_3("0.001");
+						index_4("0.3158493, 0.3447028, 0.4028474, 0.4598864, 0.5026477");
+						values("1.36998, 1.02196, 0.78995, 1.02196, 1.36998");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.036384;
+			capacitance : 0.036900;
+			rise_capacitance : 0.037416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4176429, -0.3575159, -0.3187278, -0.3105062, -0.3061541, -0.3070897, -0.3110751", \
+					  "-0.4790140, -0.4061732, -0.3831168, -0.3713695, -0.3629739, -0.3608524, -0.3678896", \
+					  "-0.4802971, -0.4091637, -0.3784376, -0.3762193, -0.3686707, -0.3741840, -0.3667904", \
+					  "-0.4621348, -0.4078074, -0.3543885, -0.3537521, -0.3582964, -0.3654038, -0.3540621", \
+					  "-0.4441330, -0.3854591, -0.3286425, -0.3446467, -0.3512454, -0.3550826, -0.3375862", \
+					  "-0.4223693, -0.3530143, -0.3210217, -0.3122019, -0.3216115, -0.3042077, -0.3158225", \
+					  "-0.3799515, -0.3228035, -0.2645746, -0.2653855, -0.2990651, -0.3091684, -0.3031069");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4420612, -0.3120531, -0.2180196, -0.1335040, -0.0635391, 0.0041898, 0.0630236", \
+					  "-0.5034325, -0.3648154, -0.2763601, -0.1918446, -0.1190680, -0.0541507, 0.0059508", \
+					  "-0.5062159, -0.3576506, -0.2806868, -0.1929691, -0.1260560, -0.0492321, 0.0048262", \
+					  "-0.4865532, -0.3626174, -0.2614320, -0.1882687, -0.1019487, -0.0220127, 0.0167265", \
+					  "-0.4670256, -0.3387105, -0.2441120, -0.1615412, -0.0869987, -0.0442926, 0.0408318", \
+					  "-0.4483137, -0.3092520, -0.2092910, -0.1306223, -0.0606574, 0.0024939, 0.0734931", \
+					  "-0.4028441, -0.2777784, -0.1774586, -0.1215839, -0.0511454, 0.0045484, 0.0870071");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498151, 0.4836818, 0.4540796, 0.4426732, 0.4368013, 0.4362110, 0.4401964", \
+					  "0.6111867, 0.5358417, 0.5153455, 0.5053925, 0.5042977, 0.4972970, 0.5017279", \
+					  "0.6154633, 0.5354061, 0.5179959, 0.5082484, 0.5069481, 0.5063578, 0.5103432", \
+					  "0.5958334, 0.5267224, 0.4999922, 0.4917706, 0.4904702, 0.4868282, 0.5060724", \
+					  "0.5763057, 0.5104070, 0.4774370, 0.4585099, 0.4648390, 0.4749299, 0.4651824", \
+					  "0.5652234, 0.5100001, 0.4336618, 0.4275910, 0.4369718, 0.4394333, 0.4373152", \
+					  "0.5273830, 0.4658108, 0.4136530, 0.3943285, 0.4067611, 0.4092225, 0.4254150");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4491129, 0.3193438, 0.2235425, 0.1390269, 0.0705879, 0.0028589, -0.0593609", \
+					  "0.5120075, 0.3712344, 0.2849378, 0.1966741, 0.1319832, 0.0585409, -0.0004249", \
+					  "0.4974323, 0.3634133, 0.2863785, 0.2000209, 0.1315819, 0.0544420, 0.0064144", \
+					  "0.5042836, 0.3703434, 0.2670965, 0.1934263, 0.1077649, 0.0457361, -0.0103932", \
+					  "0.4740747, 0.3458069, 0.2508769, 0.1670671, 0.0894728, 0.0254525, -0.0235992", \
+					  "0.4675033, 0.3157590, 0.2085744, 0.1391999, 0.0746242, 0.0039423, -0.0706417", \
+					  "0.4358332, 0.2851261, 0.1875725, 0.1301610, 0.0543804, 0.0001065, -0.0812554");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2112057, 0.3019650, 0.3927244, 0.4124578, 0.4314019, 0.4511353, 0.4708687");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5604494, 0.5991437, 0.6378380, 0.6417467, 0.6454991, 0.6494078, 0.6533165");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00213872";
+				miller_cap_rise : "0.00152684";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01163, 1.68228, 1.5424, 1.47452, 1.39546, 1.35175, 1.30524, 1.25594, 1.20386, 1.14901, 1.0914, 1.03102, 0.967902, 0.902043, 0.833456, 0.762152, 0.688141, 0.611434, 0.532044, 0.44998, 0.365256, 0.277884, 0.187875, 0.095243, -7.83903e-09, -0.189305, -0.372812, -0.950697, -3.91623", \
+					  "4.70936, 1.16004, 1.10736, 1.08606, 1.06041, 1.04515, 1.02757, 1.00695, 0.982563, 0.953944, 0.92092, 0.88345, 0.841526, 0.795159, 0.744369, 0.689181, 0.629625, 0.565732, 0.497536, 0.425071, 0.348374, 0.267481, 0.182429, 0.0932555, -7.85134e-09, -0.187801, -0.371585, -0.984563, -3.92705", \
+					  "4.50492, 0.793437, 0.734481, 0.722799, 0.709923, 0.702891, 0.695348, 0.68717, 0.678183, 0.668132, 0.656639, 0.643111, 0.626638, 0.606022, 0.58019, 0.54859, 0.511046, 0.467534, 0.418083, 0.362743, 0.301576, 0.234649, 0.162029, 0.0837885, -7.93708e-09, -0.17294, -0.346346, -0.961854, -3.927", \
+					  "4.44444, 0.73645, 0.602113, 0.592546, 0.582206, 0.576661, 0.570804, 0.564566, 0.55786, 0.550561, 0.542492, 0.533387, 0.522826, 0.510119, 0.494147, 0.473428, 0.446766, 0.413643, 0.373934, 0.327649, 0.274844, 0.215593, 0.149977, 0.0780835, -7.98978e-09, -0.163765, -0.330656, -0.939342, -3.92503", \
+					  "4.38716, 0.72502, 0.469718, 0.461999, 0.4538, 0.449472, 0.444956, 0.440218, 0.435211, 0.429876, 0.424128, 0.417849, 0.410865, 0.402901, 0.393496, 0.38183, 0.366453, 0.345493, 0.317705, 0.282692, 0.240405, 0.1909, 0.134263, 0.0705947, -8.0597e-09, -0.151683, -0.310024, -0.909308, -3.92174", \
+					  "4.36, 0.729886, 0.404711, 0.397784, 0.390552, 0.38676, 0.382827, 0.378726, 0.374426, 0.369883, 0.365042, 0.359824, 0.354115, 0.347745, 0.340439, 0.331718, 0.320672, 0.305609, 0.284427, 0.255934, 0.219821, 0.176082, 0.124795, 0.0660632, -8.10383e-09, -0.144372, -0.297566, -0.890979, -3.91953", \
+					  "4.33414, 0.740659, 0.342143, 0.335066, 0.328746, 0.325456, 0.32206, 0.318541, 0.314876, 0.311037, 0.306985, 0.302668, 0.298014, 0.292916, 0.287212, 0.280629, 0.272671, 0.262317, 0.247543, 0.226041, 0.196735, 0.159415, 0.114116, 0.060938, -8.23828e-09, -0.136112, -0.283516, -0.870129, -3.91689", \
+					  "4.30989, 0.757181, 0.288443, 0.274577, 0.269116, 0.266297, 0.263402, 0.26042, 0.257334, 0.254125, 0.250769, 0.247231, 0.243465, 0.239408, 0.234961, 0.229972, 0.22418, 0.217071, 0.207469, 0.192923, 0.170981, 0.140752, 0.102122, 0.0551651, -2.84601e-08, -0.126822, -0.267747, -0.846481, -3.91377", \
+					  "4.28765, 0.779444, 0.255866, 0.217402, 0.212509, 0.210137, 0.207714, 0.20523, 0.202677, 0.20004, 0.197305, 0.194449, 0.191446, 0.188255, 0.184822, 0.18106, 0.176834, 0.171896, 0.16572, 0.156909, 0.142479, 0.119968, 0.0887143, 0.0486899, -7.6822e-07, -0.116423, -0.250135, -0.819725, -3.91002", \
+					  "4.26789, 0.807545, 0.245098, 0.166913, 0.159902, 0.157954, 0.155975, 0.153959, 0.151897, 0.149784, 0.147608, 0.145358, 0.143016, 0.14056, 0.137959, 0.135168, 0.132117, 0.128687, 0.124657, 0.119489, 0.111461, 0.0969924, 0.0737956, 0.0414438, -2.14922e-05, -0.104857, -0.230581, -0.789542, -3.90485", \
+					  "4.25117, 0.841657, 0.249928, 0.133804, 0.112372, 0.110755, 0.109183, 0.107591, 0.105975, 0.104329, 0.102648, 0.100924, 0.0991496, 0.0973115, 0.0953937, 0.0933732, 0.0912161, 0.0888687, 0.0862386, 0.0831366, 0.0790146, 0.071686, 0.0570021, 0.033038, -0.000423495, -0.0924375, -0.209377, -0.756016, -3.89695", \
+					  "4.23817, 0.882018, 0.266602, 0.124638, 0.0710149, 0.0686853, 0.0673396, 0.0660236, 0.0647059, 0.0633786, 0.062037, 0.0606765, 0.0592924, 0.0578786, 0.0564275, 0.0549286, 0.0533669, 0.0517201, 0.0499525, 0.0479995, 0.0457153, 0.0425473, 0.0356865, 0.0205924, -0.00415606, -0.0821771, -0.189628, -0.722243, -3.89141", \
+					  "4.22973, 0.929033, 0.293548, 0.132232, 0.0374517, 0.0273155, 0.0251109, 0.0235511, 0.0221167, 0.0207301, 0.0193656, 0.0180113, 0.0166598, 0.0153058, 0.0139443, 0.0125702, 0.0111774, 0.00975759, 0.00829865, 0.00678108, 0.00516828, 0.00336601, 0.0008384, -0.00593594, -0.0215236, -0.0844125, -0.181757, -0.698436, -3.89609", \
+					  "4.22706, 0.983644, 0.330483, 0.150079, 0.0145684, -0.0167108, -0.0260582, -0.0293875, -0.0316984, -0.0336747, -0.0354911, -0.0372157, -0.0388815, -0.0405074, -0.042105, -0.0436826, -0.0452462, -0.0468014, -0.0483532, -0.049908, -0.0514744, -0.0530684, -0.0547369, -0.0569935, -0.0639983, -0.110158, -0.196293, -0.693432, -3.91658", \
+					  "4.23149, 1.0469, 0.377004, 0.175751, 0.00366073, -0.0522398, -0.0799985, -0.0893135, -0.0938493, -0.0970902, -0.0997904, -0.102202, -0.104438, -0.106554, -0.108586, -0.110555, -0.112476, -0.114358, -0.116209, -0.118037, -0.119846, -0.121642, -0.123434, -0.125248, -0.127863, -0.156278, -0.229587, -0.702021, -3.94814", \
+					  "4.24182, 1.11613, 0.428379, 0.205101, 0.000660693, -0.0773873, -0.127945, -0.150682, -0.159908, -0.165397, -0.169522, -0.172982, -0.176056, -0.178882, -0.181535, -0.184062, -0.186494, -0.18885, -0.191146, -0.193394, -0.195603, -0.197781, -0.199936, -0.202076, -0.204272, -0.217864, -0.275715, -0.717177, -3.98528", \
+					  "4.2547, 1.1863, 0.478014, 0.233085, 0.000101713, -0.0970567, -0.168975, -0.211211, -0.229178, -0.238305, -0.244477, -0.249333, -0.253471, -0.257163, -0.260555, -0.263732, -0.266748, -0.26964, -0.272433, -0.275147, -0.277796, -0.280393, -0.282949, -0.285475, -0.287986, -0.294765, -0.333059, -0.7367, -4.02519", \
+					  "4.26851, 1.25535, 0.523071, 0.258084, 1.10232e-05, -0.113534, -0.20413, -0.267286, -0.299237, -0.314109, -0.323138, -0.329776, -0.335191, -0.339878, -0.34409, -0.347969, -0.351603, -0.355049, -0.358348, -0.361529, -0.364614, -0.367622, -0.370567, -0.373463, -0.376326, -0.382227, -0.402067, -0.76134, -4.06688", \
+					  "4.28288, 1.3229, 0.563067, 0.280085, 6.06591e-07, -0.1276, -0.234319, -0.316645, -0.366821, -0.390579, -0.403641, -0.412575, -0.419531, -0.425364, -0.430487, -0.435124, -0.43941, -0.44343, -0.447244, -0.450894, -0.454411, -0.457819, -0.46114, -0.464391, -0.467592, -0.473934, -0.483653, -0.791986, -4.11039", \
+					  "4.29779, 1.38883, 0.598306, 0.299373, 2.25535e-08, -0.139678, -0.260296, -0.35922, -0.428916, -0.465558, -0.484396, -0.496345, -0.505191, -0.512364, -0.518514, -0.523982, -0.528965, -0.533589, -0.537936, -0.542064, -0.546015, -0.549823, -0.553514, -0.557111, -0.560636, -0.567559, -0.574938, -0.828997, -4.15567", \
+					  "4.31328, 1.45302, 0.629292, 0.316264, 2.35631e-09, -0.150076, -0.282686, -0.395827, -0.483683, -0.536887, -0.563999, -0.579984, -0.591194, -0.599958, -0.607284, -0.613676, -0.619418, -0.624686, -0.629593, -0.634216, -0.638613, -0.642826, -0.646889, -0.65083, -0.654676, -0.662182, -0.669672, -0.872475, -4.20255", \
+					  "4.32939, 1.51524, 0.656528, 0.331042, 1.8233e-09, -0.159043, -0.302016, -0.427354, -0.5309, -0.602458, -0.641065, -0.66255, -0.676772, -0.68746, -0.69615, -0.703584, -0.710163, -0.716128, -0.721631, -0.726776, -0.731636, -0.736266, -0.740708, -0.744997, -0.749164, -0.757248, -0.765198, -0.922494, -4.25082", \
+					  "4.34618, 1.57517, 0.680458, 0.34396, 1.94199e-09, -0.166784, -0.318724, -0.454566, -0.571362, -0.66067, -0.714102, -0.743135, -0.76127, -0.774321, -0.784621, -0.793245, -0.800757, -0.807483, -0.813628, -0.819327, -0.824675, -0.82974, -0.834573, -0.839219, -0.843712, -0.852376, -0.860827, -0.979246, -4.3003", \
+					  "4.36371, 1.63237, 0.701464, 0.355236, 2.15328e-09, -0.173471, -0.333179, -0.478097, -0.606089, -0.710992, -0.781511, -0.82076, -0.844064, -0.860071, -0.872296, -0.882297, -0.890861, -0.89843, -0.905274, -0.911568, -0.917434, -0.922955, -0.928198, -0.933213, -0.938042, -0.947295, -0.95625, -1.04314, -4.35088", \
+					  "4.38203, 1.68627, 0.719878, 0.365064, 2.46034e-09, -0.179248, -0.345689, -0.498468, -0.635989, -0.75396, -0.841843, -0.894296, -0.924495, -0.944265, -0.958829, -0.970444, -0.980208, -0.988719, -0.99633, -1.00327, -1.00969, -1.0157, -1.02137, -1.02677, -1.03195, -1.04181, -1.05127, -1.1148, -4.40244", \
+					  "4.4212, 1.78142, 0.750043, 0.381025, 3.44944e-09, -0.188536, -0.365873, -0.53139, -0.684123, -0.821954, -0.939028, -1.02392, -1.07508, -1.10608, -1.12715, -1.143, -1.15576, -1.16654, -1.17596, -1.18438, -1.19204, -1.19913, -1.20574, -1.21198, -1.21791, -1.22905, -1.23957, -1.28125, -4.50826", \
+					  "4.45937, 1.85565, 0.772849, 0.392948, 5.09775e-09, -0.19541, -0.380911, -0.556035, -0.720139, -0.87207, -1.00904, -1.1237, -1.20508, -1.25506, -1.28669, -1.30887, -1.32583, -1.33963, -1.35133, -1.36158, -1.37074, -1.37909, -1.38679, -1.39398, -1.40075, -1.41331, -1.42498, -1.46296, -4.61726", \
+					  "4.52055, 1.9678, 0.810324, 0.412074, 1.59255e-08, -0.206421, -0.405587, -0.597263, -0.781183, -0.957014, -1.12427, -1.28205, -1.42833, -1.55821, -1.66241, -1.73521, -1.78335, -1.81677, -1.84174, -1.86157, -1.87805, -1.8922, -1.90466, -1.91585, -1.92604, -1.9442, -1.96022, -2.00261, -4.94161", \
+					  "4.52107, 1.99322, 0.815887, 0.414124, 6.30387e-08, -0.208166, -0.411457, -0.609775, -0.803022, -0.991091, -1.17387, -1.35122, -1.52299, -1.68895, -1.84876, -2.00176, -2.1466, -2.28038, -2.39747, -2.49127, -2.56061, -2.61101, -2.64889, -2.67869, -2.70311, -2.74175, -2.772, -2.83827, -5.44372");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0317222, 0.0530699, 0.0792968, 0.1091437, 0.1576791");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983167, 0.1204535, 0.1466261, 0.1759831, 0.2263209");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0183664, 0.0278790, 0.0386995, 0.0511559, 0.0688609");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0742943, 0.0901396, 0.1021498, 0.1144247, 0.1318964");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.29652");
+						index_3("0.001");
+						index_4("1.6270724, 1.7176141, 1.8642152, 2.0026402, 2.0956819");
+						values("0.425722, 0.681155, 0.851444, 0.681155, 0.425722");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.89268");
+						index_3("0.001");
+						index_4("0.9354078, 1.0086004, 1.1388459, 1.2416920, 1.3034251");
+						values("0.490672, 0.785075, 0.981343, 0.785075, 0.490672");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.27038");
+						index_3("0.001");
+						index_4("0.6293090, 0.6885694, 0.7788140, 0.8794330, 0.9282573");
+						values("0.51647, 0.826352, 1.03294, 0.826352, 0.51647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.64826");
+						index_3("0.001");
+						index_4("0.8249075, 0.8702452, 0.9501908, 1.0163148, 1.0590527");
+						values("0.242683, 0.388293, 0.485366, 0.388293, 0.242683");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.946338");
+						index_3("0.001");
+						index_4("0.4772639, 0.5121085, 0.5827139, 0.6278674, 0.6610066");
+						values("0.274147, 0.438636, 0.548295, 0.438636, 0.274147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.635191");
+						index_3("0.001");
+						index_4("0.3231901, 0.3513432, 0.3931032, 0.4459413, 0.4718652");
+						values("0.288937, 0.4623, 0.577875, 0.4623, 0.288937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.83901");
+						index_3("0.001");
+						index_4("1.3964453, 1.5087643, 1.6887996, 1.8420056, 1.9353557");
+						values("0.681365, 1.09018, 1.36273, 1.09018, 0.681365");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.09409");
+						index_3("0.001");
+						index_4("0.5457140, 0.5952255, 0.6885760, 0.8043111, 0.8732240");
+						values("1.45467, 1.15748, 0.959349, 1.15748, 1.45467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.620372");
+						index_3("0.001");
+						index_4("0.3119109, 0.3472945, 0.4258049, 0.5016221, 0.5511514");
+						values("1.42852, 1.11563, 0.907036, 1.11563, 1.42852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.411478");
+						index_3("0.001");
+						index_4("0.2083655, 0.2360794, 0.2921845, 0.3573563, 0.3966019");
+						values("1.41795, 1.09873, 0.885906, 1.09873, 1.41795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.547044");
+						index_3("0.001");
+						index_4("0.2786364, 0.3048571, 0.3542074, 0.4114711, 0.4559708");
+						values("1.69675, 1.5448, 1.4435, 1.5448, 1.69675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.310186");
+						index_3("0.001");
+						index_4("0.1605392, 0.1788324, 0.2122522, 0.2585439, 0.2927492");
+						values("1.6897, 1.53352, 1.4294, 1.53352, 1.6897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.205739");
+						index_3("0.001");
+						index_4("0.1083616, 0.1227336, 0.1508469, 0.1849896, 0.2132559");
+						values("1.68795, 1.53072, 1.4259, 1.53072, 1.68795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.930558");
+						index_3("0.001");
+						index_4("0.4622690, 0.5181711, 0.6213466, 0.7369678, 0.8110930");
+						values("1.19058, 0.734927, 0.431158, 0.734927, 1.19058");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.012664;
+			capacitance : 0.012997;
+			rise_capacitance : 0.013330;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.0413111, 16.2959050, 23.5504980, 25.2256580, 26.8338110, 28.5089700, 30.1841300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.3676846, 15.1718310, 22.9759770, 23.4187000, 23.8437150, 24.2864380, 24.7291610");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00164854";
+				miller_cap_rise : "0.00148964";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("18.2291, 8.24311, 2.7424, 2.66667, 2.58832, 2.5383, 2.47682, 2.40101, 2.31321, 2.21851, 2.11908, 2.0153, 1.90712, 1.79436, 1.67671, 1.55378, 1.42487, 1.28878, 1.14295, 0.983635, 0.811221, 0.626831, 0.430349, 0.2215, -1.66175e-08, -0.460214, -0.961228, -5.46471, -15.2005", \
+					  "17.8577, 7.77147, 2.13477, 1.99879, 1.96333, 1.94364, 1.92194, 1.89758, 1.86968, 1.83687, 1.79695, 1.74613, 1.67954, 1.59792, 1.5071, 1.40905, 1.30348, 1.18922, 1.06371, 0.922823, 0.766576, 0.596318, 0.411977, 0.213293, -4.58534e-09, -0.44568, -0.938374, -5.46244, -15.1989", \
+					  "17.69, 7.51084, 1.81979, 1.59625, 1.57085, 1.55756, 1.54339, 1.52802, 1.51113, 1.49229, 1.4709, 1.44606, 1.41631, 1.37903, 1.32943, 1.26209, 1.17993, 1.08677, 0.981059, 0.858474, 0.718552, 0.562871, 0.391396, 0.203861, 1.39767e-09, -0.429035, -0.912175, -5.45998, -15.197", \
+					  "17.6362, 7.42871, 1.72132, 1.46418, 1.44102, 1.42921, 1.41675, 1.40336, 1.38878, 1.37269, 1.35467, 1.33412, 1.31011, 1.28107, 1.24414, 1.19396, 1.12527, 1.04163, 0.944465, 0.829815, 0.69702, 0.547761, 0.38202, 0.199524, -6.24015e-09, -0.421452, -0.900309, -5.4589, -15.1962", \
+					  "17.5818, 7.35138, 1.62994, 1.33356, 1.31218, 1.30163, 1.29062, 1.27891, 1.26625, 1.25241, 1.23708, 1.21985, 1.20008, 1.17679, 1.1483, 1.11138, 1.05992, 0.989122, 0.901949, 0.796433, 0.671857, 0.530037, 0.370977, 0.194392, -6.56314e-09, -0.412538, -0.886441, -5.45765, -15.1953", \
+					  "17.5531, 7.31412, 1.58828, 1.26926, 1.24822, 1.23822, 1.22787, 1.21689, 1.20509, 1.19223, 1.17806, 1.16221, 1.14418, 1.12316, 1.09782, 1.06572, 1.02198, 0.959451, 0.878112, 0.777693, 0.657698, 0.520038, 0.364728, 0.191479, -2.94546e-08, -0.407505, -0.878651, -5.45697, -15.1948", \
+					  "17.5229, 7.27738, 1.54806, 1.21373, 1.18463, 1.17514, 1.16539, 1.1551, 1.14408, 1.13212, 1.119, 1.10441, 1.08791, 1.06886, 1.04618, 1.01801, 0.980618, 0.926886, 0.852308, 0.757411, 0.642351, 0.509177, 0.357926, 0.188298, -4.72325e-06, -0.402046, -0.870235, -5.45624, -15.1943", \
+					  "17.4908, 7.2409, 1.50859, 1.16626, 1.12129, 1.11222, 1.10299, 1.09332, 1.08299, 1.07184, 1.05965, 1.04616, 1.03101, 1.01365, 0.993225, 0.968257, 0.935931, 0.890362, 0.8237, 0.734814, 0.62504, 0.496665, 0.349752, 0.183987, -0.000944097, -0.397333, -0.862775, -5.4581, -15.2017", \
+					  "17.4563, 7.20436, 1.46901, 1.1168, 1.05487, 1.0438, 1.03456, 1.02516, 1.01525, 1.00465, 0.993153, 0.980522, 0.966441, 0.950451, 0.931847, 0.909451, 0.881094, 0.84226, 0.784298, 0.702031, 0.597805, 0.474372, 0.331811, 0.169761, -0.0121474, -0.404534, -0.87033, -5.4823, -15.2684", \
+					  "17.4188, 7.16736, 1.42847, 1.06364, 0.989241, 0.970726, 0.960296, 0.95091, 0.941338, 0.931241, 0.920398, 0.908582, 0.89551, 0.880789, 0.863828, 0.843669, 0.818607, 0.785204, 0.735899, 0.661393, 0.56335, 0.445421, 0.307739, 0.149884, -0.0285773, -0.416463, -0.882648, -5.51217, -15.3469", \
+					  "17.3777, 7.12961, 1.38707, 1.00854, 0.925991, 0.900022, 0.885604, 0.875717, 0.866353, 0.856713, 0.846489, 0.835445, 0.823318, 0.809763, 0.794278, 0.77607, 0.753769, 0.724706, 0.682899, 0.617254, 0.526133, 0.414356, 0.282222, 0.129263, -0.0450229, -0.426913, -0.891904, -5.53757, -15.4134", \
+					  "17.3336, 7.09122, 1.34533, 0.952647, 0.864465, 0.833385, 0.813416, 0.80166, 0.792247, 0.782989, 0.773342, 0.763025, 0.751781, 0.739299, 0.725146, 0.708652, 0.688693, 0.663137, 0.627312, 0.570797, 0.487479, 0.382514, 0.256611, 0.10927, -0.0600686, -0.434317, -0.894655, -5.55637, -15.463", \
+					  "17.2861, 7.05233, 1.30373, 0.896666, 0.804413, 0.769967, 0.745725, 0.730485, 0.720442, 0.711465, 0.702367, 0.692753, 0.682354, 0.67088, 0.657948, 0.642987, 0.625051, 0.60239, 0.571257, 0.522906, 0.448354, 0.350893, 0.231915, 0.0909299, -0.0726714, -0.437572, -0.88948, -5.56723, -15.492", \
+					  "17.2351, 7.01305, 1.26257, 0.841112, 0.745847, 0.709119, 0.682255, 0.664055, 0.652579, 0.64378, 0.635269, 0.626395, 0.616851, 0.606366, 0.594596, 0.581044, 0.564899, 0.544687, 0.517296, 0.475472, 0.410101, 0.320895, 0.209551, 0.075669, -0.0813917, -0.435204, -0.876463, -5.56842, -15.4962", \
+					  "17.1802, 6.9735, 1.22211, 0.786464, 0.688965, 0.650635, 0.622222, 0.602748, 0.590309, 0.581567, 0.573675, 0.565559, 0.556859, 0.547315, 0.53662, 0.524339, 0.509764, 0.49162, 0.467235, 0.430393, 0.373305, 0.293083, 0.190031, 0.0639323, -0.0858744, -0.427157, -0.855933, -5.56132, -15.4799", \
+					  "17.1217, 6.93387, 1.18268, 0.733265, 0.634151, 0.594669, 0.56534, 0.545636, 0.533379, 0.524601, 0.517092, 0.509603, 0.501627, 0.492897, 0.483134, 0.471946, 0.458704, 0.442279, 0.420309, 0.387267, 0.336562, 0.265556, 0.171435, 0.053752, -0.0881726, -0.415911, -0.831061, -5.55114, -15.4551", \
+					  "17.0598, 6.89452, 1.14474, 0.682218, 0.582018, 0.541705, 0.511841, 0.49235, 0.480659, 0.47209, 0.464682, 0.457622, 0.45025, 0.442224, 0.43327, 0.423027, 0.410925, 0.39594, 0.375932, 0.34584, 0.299702, 0.236756, 0.152189, 0.0436202, -0.0897427, -0.403011, -0.803654, -5.54066, -15.428", \
+					  "16.9954, 6.85605, 1.10895, 0.634322, 0.533514, 0.492619, 0.462463, 0.44335, 0.43225, 0.424052, 0.416806, 0.409946, 0.403038, 0.395616, 0.387365, 0.37794, 0.36681, 0.35303, 0.334618, 0.306838, 0.263965, 0.20668, 0.131597, 0.0329153, -0.0910939, -0.388866, -0.774094, -5.53083, -15.401", \
+					  "16.9303, 6.81956, 1.07634, 0.591061, 0.490087, 0.44882, 0.418546, 0.399869, 0.389317, 0.38152, 0.374569, 0.367885, 0.361243, 0.3543, 0.346646, 0.337914, 0.327599, 0.314807, 0.297669, 0.271678, 0.231151, 0.177365, 0.109519, 0.0213498, -0.0923835, -0.373457, -0.742131, -5.52193, -15.3753", \
+					  "16.8682, 6.78688, 1.04853, 0.554664, 0.453911, 0.412457, 0.382203, 0.363989, 0.353943, 0.346536, 0.339914, 0.333494, 0.327035, 0.320382, 0.31319, 0.30502, 0.295357, 0.283339, 0.267172, 0.242503, 0.203609, 0.151924, 0.0878182, 0.00878847, -0.0936567, -0.356623, -0.707385, -5.5141, -15.3514", \
+					  "16.8157, 6.76113, 1.02797, 0.528388, 0.428107, 0.386619, 0.356502, 0.338774, 0.329198, 0.322157, 0.315856, 0.30972, 0.303486, 0.296995, 0.290073, 0.282306, 0.273122, 0.261658, 0.246156, 0.222356, 0.184479, 0.133953, 0.071457, -0.00370835, -0.0949335, -0.338261, -0.670983, -5.50746, -15.3299", \
+					  "16.7938, 6.75163, 1.02093, 0.519978, 0.419697, 0.378107, 0.348078, 0.330741, 0.321495, 0.314687, 0.308577, 0.302602, 0.296492, 0.290061, 0.28314, 0.275467, 0.266465, 0.255218, 0.239964, 0.216457, 0.178899, 0.1287, 0.0665797, -0.00807213, -0.0960012, -0.31923, -0.635515, -5.50202, -15.3108", \
+					  "16.7939, 6.75162, 1.02105, 0.520452, 0.419517, 0.377627, 0.347506, 0.330352, 0.32122, 0.314448, 0.308343, 0.302355, 0.296211, 0.28971, 0.282657, 0.274806, 0.265716, 0.254445, 0.239186, 0.215682, 0.17813, 0.127938, 0.0658247, -0.00881784, -0.0967201, -0.302886, -0.602562, -5.4977, -15.2943", \
+					  "16.7945, 6.75179, 1.02126, 0.520992, 0.419467, 0.377313, 0.347118, 0.33012, 0.321078, 0.314332, 0.308231, 0.302233, 0.296065, 0.289523, 0.282395, 0.274409, 0.265154, 0.253807, 0.238524, 0.215013, 0.17746, 0.127269, 0.0651574, -0.00948236, -0.0973801, -0.295491, -0.572951, -5.49434, -15.2802", \
+					  "16.7951, 6.7516, 1.02157, 0.521467, 0.419423, 0.377042, 0.346791, 0.32993, 0.320964, 0.31424, 0.308143, 0.30214, 0.295959, 0.289392, 0.282221, 0.27416, 0.264771, 0.253276, 0.237929, 0.214399, 0.17684, 0.126647, 0.064536, -0.0101023, -0.0979975, -0.295782, -0.551682, -5.49178, -15.2685", \
+					  "16.796, 6.75185, 1.02187, 0.522255, 0.41935, 0.376603, 0.346271, 0.32964, 0.32079, 0.314104, 0.308016, 0.30201, 0.295815, 0.289222, 0.282009, 0.273879, 0.26437, 0.252662, 0.237041, 0.213328, 0.175701, 0.125482, 0.0633611, -0.0112809, -0.0991764, -0.296961, -0.545764, -5.48965, -15.252", \
+					  "16.7968, 6.75207, 1.02198, 0.522872, 0.419294, 0.376266, 0.345885, 0.329431, 0.320668, 0.314008, 0.30793, 0.301924, 0.295723, 0.28912, 0.281889, 0.273731, 0.264177, 0.252393, 0.23663, 0.212657, 0.17471, 0.12428, 0.0620698, -0.0126126, -0.10053, -0.298346, -0.547141, -5.49026, -15.2437", \
+					  "16.7985, 6.75256, 1.02241, 0.524026, 0.419192, 0.375645, 0.345191, 0.32907, 0.320458, 0.313849, 0.307789, 0.301788, 0.295585, 0.288972, 0.281726, 0.273544, 0.263955, 0.25211, 0.236237, 0.212044, 0.173642, 0.122317, 0.0586728, -0.017974, -0.108305, -0.31079, -0.561235, -5.5006, -15.247", \
+					  "16.7997, 6.7529, 1.02267, 0.524677, 0.419149, 0.375285, 0.344781, 0.328861, 0.320337, 0.313756, 0.307707, 0.30171, 0.295508, 0.288893, 0.281643, 0.273455, 0.263856, 0.251995, 0.23609, 0.211832, 0.173299, 0.121718, 0.0575869, -0.0199585, -0.111914, -0.322431, -0.59084, -5.56118, -15.3229");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0162977, 0.0246761, 0.0336284, 0.0445239, 0.0629605");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189566, 0.0537599, 0.0709619, 0.0856617, 0.1070520");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0809506");
+						index_3("0.001");
+						index_4("0.0746002, 0.1372174, 0.4996453, 0.8620732, 0.9246904");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0779017");
+						index_3("0.001");
+						index_4("0.0705935, 0.1327359, 0.4548361, 0.7769363, 0.8390787");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0731957");
+						index_3("0.001");
+						index_4("0.0643869, 0.1249217, 1.0682589, 2.0115961, 2.0721309");
+						values("2.59521, 4.15233, 5.19041, 4.15233, 2.59521");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0404753");
+						index_3("0.001");
+						index_4("0.0791874, 0.1447697, 0.4694070, 0.7940444, 0.8596266");
+						values("2.59522, 4.15234, 5.19043, 4.15234, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0389508");
+						index_3("0.001");
+						index_4("0.0766899, 0.1420407, 1.0570862, 1.9721316, 2.0374825");
+						values("2.59522, 4.15236, 5.19045, 4.15236, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0365979");
+						index_3("0.001");
+						index_4("0.0729939, 0.1383994, 0.5614711, 0.9845427, 1.0499482");
+						values("2.59524, 4.15238, 5.19048, 4.15238, 2.59524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.116853");
+						index_3("0.001");
+						index_4("0.0734769, 0.1223527, 0.4936337, 0.8649148, 0.9137906");
+						values("2.59521, 4.15234, 5.19043, 4.15234, 2.59521");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006701;
+			capacitance : 0.006861;
+			rise_capacitance : 0.007022;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3300597, 0.2623177, 0.2189672, 0.2076939, 0.1972383, 0.1951221, 0.1991075", \
+					  "0.3944831, 0.3077261, 0.2882137, 0.2779404, 0.2565743, 0.2592497, 0.2620432", \
+					  "0.3972333, 0.3019502, 0.2864255, 0.2677257, 0.2684244, 0.2637431, 0.2481796", \
+					  "0.3776039, 0.3071991, 0.2730055, 0.2445781, 0.2417519, 0.2548946, 0.2527765", \
+					  "0.3580762, 0.2860115, 0.2378269, 0.2514490, 0.2210177, 0.2572403, 0.2225676", \
+					  "0.3439419, 0.2568277, 0.2192034, 0.1926449, 0.1882536, 0.2064446, 0.2267439", \
+					  "0.3106794, 0.2332003, 0.1714332, 0.1671369, 0.1855978, 0.1772889, 0.1812744");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820954, 0.0471345, -0.0544258, -0.1414033, -0.2125286, -0.2650952, -0.3158471", \
+					  "0.2404158, 0.1095182, 0.0008101, -0.0841194, -0.1664645, -0.2392754, -0.3037676", \
+					  "0.2522215, 0.1092516, 0.0122035, -0.0819142, -0.1579206, -0.2334262, -0.3009874", \
+					  "0.2372694, 0.1004031, 0.0017230, -0.0870044, -0.1717612, -0.2453660, -0.3031956", \
+					  "0.2116382, 0.0701943, -0.0268050, -0.1005911, -0.1851854, -0.2727508, -0.3324274", \
+					  "0.1929264, 0.0423271, -0.0307410, -0.1311440, -0.2088624, -0.2868850, -0.3515642", \
+					  "0.1581379, -0.0077203, -0.0624776, -0.1587088, -0.2390836, -0.2777405, -0.3665162");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1093872, -0.0339807, -0.0089744, -0.0068563, -0.0025042, -0.0080174, 0.0063077", \
+					  "-0.1707583, -0.0954671, -0.0611808, -0.0514637, -0.0305727, -0.0373661, -0.0367738", \
+					  "-0.1537308, -0.1085480, -0.0771029, -0.0721677, -0.0387304, -0.0384906, -0.0440019", \
+					  "-0.1599826, -0.0951219, -0.0698102, -0.0418385, 0.3455607, -0.0250645, -0.0443184", \
+					  "-0.1389290, -0.0633872, -0.0219171, -0.0225950, -0.0208678, -0.0159349, -0.0221483", \
+					  "-0.1095360, -0.0370459, 0.0030628, 0.0033914, 0.0109241, 0.0077000, 0.0279851", \
+					  "-0.0777993, 0.0130013, 0.0317478, 0.0380231, 0.0339744, 0.0534314, 0.0419139");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1643647, -0.0294029, 0.0664352, 0.1564663, 0.2262156, 0.2792643, 0.3218196", \
+					  "-0.2242099, -0.0905112, 0.0105051, 0.0971228, 0.1766806, 0.2479733, 0.3136853", \
+					  "-0.2178636, -0.0959782, 0.0075516, 0.0938961, 0.1820920, 0.2550837, 0.3164430", \
+					  "-0.2195376, -0.0886556, 0.0099252, 0.1033922, 0.1803389, 0.2530218, 0.3249540", \
+					  "-0.1984841, -0.0569209, 0.0356674, 0.1174003, 0.1968148, 0.2874320, 0.3410309", \
+					  "-0.1690910, -0.0290537, 0.0440426, 0.1483864, 0.2193611, 0.2939368, 0.3677712", \
+					  "-0.1327768, 0.0194679, 0.0757793, 0.1778018, 0.2501966, 0.2865811, 0.3827232");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427426, 0.0421909, 0.0416391, 0.0417909, 0.0419366, 0.0420884, 0.0422401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541270, 0.0533502, 0.0525735, 0.0530537, 0.0535148, 0.0539950, 0.0544752");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105891";
+				miller_cap_rise : "0.000882002";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90883e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87966e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88777e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89211e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89782e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90972e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18657e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07575e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353344, 0.0656160, 0.1030595, 0.1458410, 0.2139358");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012553, 0.1316349, 0.1689006, 0.2116700, 0.2794220");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189804, 0.0300283, 0.0415407, 0.0542692, 0.0709178");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764922, 0.0933711, 0.1057679, 0.1183760, 0.1343663");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18545");
+						index_3("0.001");
+						index_4("2.0658022, 2.1743704, 2.3216477, 2.5239498, 2.6148987");
+						values("0.381852, 0.610964, 0.763705, 0.610964, 0.381852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41186");
+						index_3("0.001");
+						index_4("1.1898114, 1.2827219, 1.4345620, 1.5608119, 1.6342176");
+						values("0.459968, 0.735949, 0.919936, 0.735949, 0.459968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62561");
+						index_3("0.001");
+						index_4("0.8023583, 0.8794378, 1.0125702, 1.1125277, 1.1692210");
+						values("0.489774, 0.783638, 0.979547, 0.783638, 0.489774");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09272");
+						index_3("0.001");
+						index_4("1.0432648, 1.0992678, 1.1957395, 1.2708285, 1.3191279");
+						values("0.216853, 0.346965, 0.433706, 0.346965, 0.216853");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20593");
+						index_3("0.001");
+						index_4("0.6044990, 0.6490701, 0.7295724, 0.7878050, 0.8255335");
+						values("0.255114, 0.408182, 0.510228, 0.408182, 0.255114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.812803");
+						index_3("0.001");
+						index_4("0.4099026, 0.4479644, 0.5002286, 0.5625673, 0.5921428");
+						values("0.271527, 0.434443, 0.543054, 0.434443, 0.271527");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.6178");
+						index_3("0.001");
+						index_4("1.7751677, 1.9182923, 2.1123600, 2.3269389, 2.4313488");
+						values("0.635991, 1.01759, 1.27198, 1.01759, 0.635991");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20401");
+						index_3("0.001");
+						index_4("0.6002549, 0.6549751, 0.7551825, 0.8979506, 0.9842547");
+						values("1.44551, 1.14281, 0.941012, 1.14281, 1.44551");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682363");
+						index_3("0.001");
+						index_4("0.3436712, 0.3843044, 0.4603746, 0.5604229, 0.6255722");
+						values("1.41858, 1.09972, 0.887154, 1.09972, 1.41858");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452664");
+						index_3("0.001");
+						index_4("0.2297364, 0.2601492, 0.3119187, 0.4001209, 0.4496755");
+						values("1.40747, 1.08195, 0.864935, 1.08195, 1.40747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602005");
+						index_3("0.001");
+						index_4("0.3062411, 0.3354463, 0.3914434, 0.4579357, 0.5148226");
+						values("1.69274, 1.53839, 1.43549, 1.53839, 1.69274");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341182");
+						index_3("0.001");
+						index_4("0.1766096, 0.1974612, 0.2289989, 0.2886036, 0.3324891");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226332");
+						index_3("0.001");
+						index_4("0.1193699, 0.1358753, 0.1655061, 0.2077771, 0.2422361");
+						values("1.67847, 1.51555, 1.40693, 1.51555, 1.67847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02354");
+						index_3("0.001");
+						index_4("0.5101547, 0.5711875, 0.6767979, 0.8211416, 0.9160089");
+						values("1.17305, 0.706874, 0.396092, 0.706874, 1.17305");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017565;
+			capacitance : 0.017619;
+			rise_capacitance : 0.017673;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1334713, 0.2267727, 0.3200742, 0.3385628, 0.3563119, 0.3748005, 0.3932890");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4547570, 0.4933850, 0.5320130, 0.5346143, 0.5371116, 0.5397129, 0.5423142");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000690271";
+				miller_cap_rise : "0.000443326";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.17667, 0.806351, 0.736681, 0.705661, 0.668864, 0.648339, 0.626428, 0.603142, 0.578485, 0.55246, 0.52507, 0.496317, 0.466202, 0.434731, 0.401904, 0.367727, 0.332201, 0.295332, 0.257124, 0.21758, 0.176705, 0.134505, 0.0909836, 0.0461468, -8.48741e-09, -0.0905978, -0.176134, -0.444347, -2.17689", \
+					  "3.09151, 0.62361, 0.579768, 0.564422, 0.547305, 0.537807, 0.527451, 0.515976, 0.503026, 0.488185, 0.471122, 0.45175, 0.430119, 0.406273, 0.380229, 0.351992, 0.321568, 0.288958, 0.254169, 0.217204, 0.178069, 0.136773, 0.0933236, 0.0477291, -1.75804e-09, -0.0946115, -0.184177, -0.46064, -2.18146", \
+					  "3.0232, 0.469605, 0.425632, 0.415073, 0.403841, 0.397894, 0.391665, 0.385091, 0.378083, 0.370519, 0.362219, 0.352913, 0.342191, 0.329476, 0.314174, 0.295986, 0.274862, 0.250801, 0.223798, 0.193849, 0.160955, 0.125116, 0.0863389, 0.0446301, -1.76872e-09, -0.0903012, -0.177366, -0.450171, -2.17986", \
+					  "3.00446, 0.435081, 0.365932, 0.356681, 0.346956, 0.341865, 0.336582, 0.331069, 0.325271, 0.319118, 0.312507, 0.305291, 0.297244, 0.288008, 0.277032, 0.263608, 0.247205, 0.227634, 0.204848, 0.178828, 0.149561, 0.117043, 0.0812742, 0.0422574, -1.77742e-09, -0.0866493, -0.171316, -0.440229, -2.17839", \
+					  "2.98563, 0.429736, 0.303313, 0.295292, 0.286953, 0.282631, 0.278183, 0.273586, 0.268808, 0.263807, 0.258528, 0.25289, 0.246778, 0.240011, 0.2323, 0.223163, 0.211862, 0.197622, 0.180024, 0.158931, 0.134294, 0.106091, 0.07431, 0.038946, -1.79125e-09, -0.081451, -0.16265, -0.425772, -2.17628", \
+					  "2.9759, 0.431061, 0.27133, 0.263899, 0.256219, 0.252258, 0.248198, 0.24402, 0.2397, 0.235207, 0.230501, 0.225522, 0.220189, 0.214377, 0.207889, 0.200396, 0.19133, 0.179846, 0.165165, 0.146926, 0.125014, 0.0993818, 0.0700088, 0.0368829, -1.83283e-09, -0.0781812, -0.157187, -0.416562, -2.17495", \
+					  "2.96609, 0.432713, 0.239298, 0.232348, 0.225311, 0.221699, 0.21801, 0.21423, 0.210342, 0.206323, 0.202143, 0.197759, 0.193115, 0.188124, 0.182656, 0.176495, 0.169265, 0.160289, 0.148605, 0.133456, 0.114545, 0.0917757, 0.0651078, 0.0345198, -2.65972e-09, -0.074417, -0.150893, -0.405871, -2.17342", \
+					  "2.95633, 0.434657, 0.208617, 0.200931, 0.194524, 0.191251, 0.187921, 0.184523, 0.181046, 0.177471, 0.173778, 0.169938, 0.16591, 0.161637, 0.157033, 0.15196, 0.146185, 0.139271, 0.1304, 0.118487, 0.10284, 0.083228, 0.0595728, 0.0318373, -2.24796e-08, -0.0701257, -0.143714, -0.393581, -2.17168", \
+					  "2.94677, 0.437247, 0.184165, 0.170024, 0.164212, 0.161271, 0.158289, 0.155261, 0.152175, 0.149022, 0.145785, 0.142446, 0.138976, 0.135339, 0.131478, 0.127309, 0.122692, 0.117372, 0.110833, 0.102062, 0.0898803, 0.0737093, 0.0533773, 0.0288193, -4.99124e-07, -0.0652791, -0.135602, -0.379583, -2.1697", \
+					  "2.93757, 0.440764, 0.17106, 0.140435, 0.134793, 0.132178, 0.129537, 0.126866, 0.124159, 0.121407, 0.1186, 0.115726, 0.112767, 0.109699, 0.106488, 0.103082, 0.0994006, 0.0953034, 0.0905152, 0.084404, 0.0756962, 0.0632068, 0.0464988, 0.0254453, -1.15911e-05, -0.0598664, -0.12653, -0.363806, -2.1675", \
+					  "2.92893, 0.445471, 0.167107, 0.11519, 0.106743, 0.104439, 0.102125, 0.0997949, 0.0974445, 0.0950689, 0.0926619, 0.0902154, 0.0877187, 0.0851573, 0.0825111, 0.0797507, 0.0768314, 0.0736793, 0.0701591, 0.0659717, 0.0603013, 0.0515864, 0.0387607, 0.0215203, -0.000234099, -0.0540938, -0.116712, -0.346511, -2.1653", \
+					  "2.92106, 0.452056, 0.16862, 0.100281, 0.0805252, 0.0783345, 0.0762285, 0.074119, 0.0720017, 0.0698735, 0.0677308, 0.0655692, 0.0633828, 0.0611637, 0.0589011, 0.0565796, 0.0541762, 0.0516548, 0.0489534, 0.045948, 0.0423083, 0.0369406, 0.0280584, 0.014815, -0.00299607, -0.0504734, -0.108847, -0.331034, -2.16576", \
+					  "2.9142, 0.460903, 0.17405, 0.0958259, 0.0564675, 0.0527784, 0.0502943, 0.0478684, 0.0454533, 0.0430402, 0.0406251, 0.0382055, 0.0357782, 0.0333397, 0.030885, 0.0284072, 0.0258963, 0.0233367, 0.0207034, 0.0179504, 0.0149746, 0.0114108, 0.00582124, -0.00365557, -0.0176297, -0.0589501, -0.113518, -0.329949, -2.17869", \
+					  "2.90861, 0.472553, 0.183011, 0.0973695, 0.0354394, 0.0242305, 0.0197681, 0.0161951, 0.0127951, 0.0094625, 0.0061657, 0.00289147, -0.000367098, -0.00361442, -0.00685401, -0.0100893, -0.0133246, -0.0165654, -0.0198205, -0.0231044, -0.0264447, -0.0299149, -0.0338981, -0.0401593, -0.0504858, -0.0857146, -0.136771, -0.349126, -2.20765", \
+					  "2.90456, 0.487584, 0.195584, 0.102552, 0.0189926, -0.00523989, -0.0156267, -0.0212048, -0.0258427, -0.030168, -0.034342, -0.0384255, -0.0424474, -0.046423, -0.0503618, -0.0542697, -0.0581512, -0.0620101, -0.0658502, -0.0696764, -0.0734958, -0.0773222, -0.0811983, -0.0855086, -0.092277, -0.12043, -0.167088, -0.374053, -2.24", \
+					  "2.90235, 0.506627, 0.21208, 0.110821, 0.00787194, -0.029515, -0.0498051, -0.0588896, -0.0650045, -0.0702524, -0.0751216, -0.0797815, -0.0843079, -0.0887402, -0.0931016, -0.0974066, -0.101665, -0.105884, -0.11007, -0.114227, -0.11836, -0.122475, -0.126581, -0.130704, -0.135332, -0.155747, -0.196859, -0.396496, -2.26926", \
+					  "2.90228, 0.530337, 0.232787, 0.122241, 0.00214285, -0.0468274, -0.0782837, -0.0930419, -0.101191, -0.107486, -0.113046, -0.118231, -0.123191, -0.128004, -0.132714, -0.137347, -0.141922, -0.146451, -0.150944, -0.155409, -0.159852, -0.164279, -0.168697, -0.173115, -0.177578, -0.191436, -0.225833, -0.416517, -2.29573", \
+					  "2.90454, 0.558677, 0.256779, 0.135843, 0.000388142, -0.0586469, -0.100847, -0.12343, -0.134588, -0.14231, -0.148772, -0.154626, -0.160134, -0.165423, -0.170563, -0.175596, -0.180549, -0.185443, -0.190289, -0.195098, -0.19988, -0.20464, -0.209389, -0.214132, -0.218883, -0.229538, -0.255542, -0.435383, -2.32047", \
+					  "2.9085, 0.589555, 0.281313, 0.149293, 6.6461e-05, -0.0677375, -0.119683, -0.151452, -0.166829, -0.176411, -0.183968, -0.190596, -0.196712, -0.202512, -0.208099, -0.213535, -0.21886, -0.2241, -0.229274, -0.234397, -0.239479, -0.24453, -0.249561, -0.25458, -0.259598, -0.269839, -0.287573, -0.454025, -2.34415", \
+					  "2.91305, 0.62078, 0.304531, 0.161441, 1.20676e-05, -0.0754008, -0.135962, -0.177283, -0.198234, -0.210167, -0.218996, -0.22647, -0.23322, -0.239532, -0.245555, -0.251373, -0.257043, -0.262599, -0.268067, -0.273465, -0.278808, -0.284109, -0.289379, -0.294629, -0.299871, -0.310412, -0.323183, -0.473071, -2.36714", \
+					  "2.91771, 0.651637, 0.325828, 0.172192, 2.15657e-06, -0.0820091, -0.150124, -0.200588, -0.228472, -0.243401, -0.253733, -0.262144, -0.269566, -0.276401, -0.282856, -0.289046, -0.295043, -0.300895, -0.306633, -0.312282, -0.31786, -0.323382, -0.328862, -0.334313, -0.339749, -0.350639, -0.362016, -0.492972, -2.38969", \
+					  "2.92239, 0.681952, 0.344997, 0.181665, 3.23885e-07, -0.0877377, -0.162464, -0.221219, -0.257102, -0.275868, -0.28801, -0.297484, -0.305634, -0.31302, -0.319916, -0.326476, -0.332795, -0.338931, -0.344927, -0.350811, -0.356607, -0.362333, -0.368004, -0.373636, -0.379245, -0.390457, -0.401845, -0.514087, -2.41192", \
+					  "2.92705, 0.711663, 0.362016, 0.19, 3.16211e-08, -0.0927127, -0.173223, -0.239282, -0.283685, -0.307309, -0.321672, -0.332377, -0.341333, -0.349307, -0.356664, -0.363604, -0.370245, -0.376663, -0.38291, -0.389022, -0.395027, -0.400945, -0.406796, -0.412596, -0.418363, -0.429871, -0.441488, -0.536724, -2.43393", \
+					  "2.93172, 0.740739, 0.376994, 0.197326, 4.63192e-09, -0.0970366, -0.182609, -0.255025, -0.307847, -0.337447, -0.354567, -0.366722, -0.376586, -0.385201, -0.393048, -0.400382, -0.407354, -0.414057, -0.420555, -0.426892, -0.4331, -0.439205, -0.445228, -0.451189, -0.457106, -0.468888, -0.480748, -0.561163, -2.4558", \
+					  "2.93637, 0.769158, 0.390113, 0.203755, 4.01097e-09, -0.100795, -0.1908, -0.268735, -0.32937, -0.365974, -0.386536, -0.400423, -0.411326, -0.42065, -0.429024, -0.436776, -0.444091, -0.451087, -0.457839, -0.464401, -0.470812, -0.477101, -0.483293, -0.48941, -0.495471, -0.507515, -0.519608, -0.587666, -2.47757", \
+					  "2.94565, 0.823944, 0.411596, 0.21432, 6.45923e-09, -0.106898, -0.204181, -0.291091, -0.364641, -0.416871, -0.446947, -0.465494, -0.479012, -0.490029, -0.499616, -0.508296, -0.516358, -0.523976, -0.531261, -0.538289, -0.545113, -0.551774, -0.558303, -0.564727, -0.571071, -0.583616, -0.596145, -0.647706, -2.52099", \
+					  "2.95489, 0.875741, 0.427951, 0.222363, 1.05151e-08, -0.111486, -0.214341, -0.308086, -0.391106, -0.45784, -0.501087, -0.52665, -0.543852, -0.55709, -0.568181, -0.577966, -0.586888, -0.595204, -0.603074, -0.610604, -0.617867, -0.624916, -0.631793, -0.638531, -0.64516, -0.658201, -0.671145, -0.716458, -2.56437", \
+					  "2.98222, 1.00613, 0.456252, 0.235998, 3.60693e-08, -0.119161, -0.231839, -0.337866, -0.436912, -0.528072, -0.608474, -0.67132, -0.712786, -0.739573, -0.758744, -0.773867, -0.786618, -0.797852, -0.808045, -0.817489, -0.826369, -0.834812, -0.842909, -0.850726, -0.858317, -0.872986, -0.887228, -0.931411, -2.69219", \
+					  "3.02218, 1.08833, 0.465889, 0.239644, 1.4232e-07, -0.121311, -0.238205, -0.350625, -0.458506, -0.561756, -0.660208, -0.753487, -0.840616, -0.919014, -0.983566, -1.03073, -1.06381, -1.0882, -1.10748, -1.12359, -1.1376, -1.15015, -1.16163, -1.1723, -1.18234, -1.20099, -1.21828, -1.26668, -2.88817");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0228926, 0.0436072, 0.0672519, 0.0940876, 0.1346420");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0856721, 0.1097166, 0.1334942, 0.1604934, 0.2009303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0161621, 0.0273083, 0.0396062, 0.0541574, 0.0730294");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0688558, 0.0870830, 0.1014405, 0.1156623, 0.1334311");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("2.14922");
+						index_3("0.001");
+						index_4("1.0481231, 1.1046750, 1.1834837, 1.2757261, 1.3296216");
+						values("0.270624, 0.432999, 0.541249, 0.432999, 0.270624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.25604");
+						index_3("0.001");
+						index_4("0.6140751, 0.6614192, 0.7344527, 0.8109714, 0.8563699");
+						values("0.375419, 0.60067, 0.750838, 0.60067, 0.375419");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.849788");
+						index_3("0.001");
+						index_4("0.4160569, 0.4573707, 0.5156382, 0.5868092, 0.6250592");
+						values("0.426098, 0.681757, 0.852196, 0.681757, 0.426098");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("1.07461");
+						index_3("0.001");
+						index_4("0.5272347, 0.5580942, 0.6083196, 0.6523436, 0.6847180");
+						values("0.162721, 0.260354, 0.325442, 0.260354, 0.162721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("0.628018");
+						index_3("0.001");
+						index_4("0.3109012, 0.3366089, 0.3771298, 0.4171515, 0.4422684");
+						values("0.213747, 0.341995, 0.427493, 0.341995, 0.213747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.424894");
+						index_3("0.001");
+						index_4("0.2120493, 0.2340893, 0.2657118, 0.3012436, 0.3226189");
+						values("0.236323, 0.378116, 0.472645, 0.378116, 0.236323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.88405");
+						index_3("0.001");
+						index_4("0.9125662, 0.9819434, 1.1026785, 1.1946731, 1.2599962");
+						values("0.501606, 0.80257, 1.00321, 0.80257, 0.501606");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("1.23247");
+						index_3("0.001");
+						index_4("0.6012331, 0.6417130, 0.7195259, 0.7972144, 0.8555834");
+						values("1.59766, 1.38626, 1.24532, 1.38626, 1.59766");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.662314");
+						index_3("0.001");
+						index_4("0.3240325, 0.3545086, 0.4202195, 0.4780190, 0.5248205");
+						values("1.52773, 1.27437, 1.10546, 1.27437, 1.52773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.419748");
+						index_3("0.001");
+						index_4("0.2066224, 0.2311568, 0.2695752, 0.3314323, 0.3687501");
+						values("1.49511, 1.22217, 1.04021, 1.22217, 1.49511");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("0.616235");
+						index_3("0.001");
+						index_4("0.3048623, 0.3267665, 0.3730316, 0.4132045, 0.4516120");
+						values("1.74427, 1.62083, 1.53853, 1.62083, 1.74427");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.331157");
+						index_3("0.001");
+						index_4("0.1663651, 0.1825138, 0.2080356, 0.2479897, 0.2796140");
+						values("1.71306, 1.5709, 1.47612, 1.5709, 1.71306");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.209874");
+						index_3("0.001");
+						index_4("0.1072298, 0.1207174, 0.1407527, 0.1726917, 0.1987990");
+						values("1.69912, 1.5486, 1.44825, 1.5486, 1.69912");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.993471");
+						index_3("0.001");
+						index_4("0.4796566, 0.5237412, 0.6158307, 0.7009702, 0.7656274");
+						values("1.39278, 1.05845, 0.835563, 1.05845, 1.39278");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005610;
+			capacitance : 0.005465;
+			fall_capacitance : 0.005320;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139954, -0.0309289, -0.0028709, 0.0053507, 0.0138398, 0.0057155, 0.0228615", \
+					  "-0.1738406, -0.0937966, -0.0562932, -0.0306012, -0.0231941, -0.0148575, -0.0184633", \
+					  "-0.1522355, -0.0990845, -0.0650384, -0.0577528, -0.0302107, -0.0232318, -0.0210801", \
+					  "-0.1615390, -0.0887102, -0.0764602, -0.0506685, -0.0411523, -0.0115293, -0.0015841", \
+					  "-0.1389596, -0.0569754, -0.0358361, -0.0359728, -0.0055338, -0.0031240, -0.0066351", \
+					  "-0.1110925, -0.0291083, -0.0015148, 0.0230415, -0.0127013, 0.0181844, 0.0236717", \
+					  "-0.0778300, 0.0209392, 0.0286960, 0.0507288, -0.0032750, 0.0400010, 0.0460708");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1307486, 0.0056922, 0.1114818, 0.1969341, 0.2670591, 0.3209423, 0.3734768", \
+					  "-0.1890680, -0.0570340, 0.0471262, 0.1430570, 0.2214431, 0.2983273, 0.3675841", \
+					  "-0.1766179, -0.0675504, 0.0380752, 0.1396712, 0.2211503, 0.3054250, 0.3642760", \
+					  "-0.1798182, -0.0556502, 0.0597638, 0.1439433, 0.2444258, 0.3145321, 0.3732930", \
+					  "-0.1602905, -0.0254413, 0.0737564, 0.1622195, 0.2486947, 0.3347342, 0.3849479", \
+					  "-0.1278457, 0.0024258, 0.1032397, 0.1890698, 0.2610602, 0.3595496, 0.4257546", \
+					  "-0.0976349, 0.0509472, 0.1183072, 0.2277540, 0.2871952, 0.3989156, 0.4376548");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3056778, 0.2333136, 0.1960790, 0.1695468, 0.1590912, 0.1569751, 0.1624864", \
+					  "0.3715939, 0.2802476, 0.2574720, 0.2345677, 0.2233961, 0.2229457, 0.2208276", \
+					  "0.3774290, 0.2791041, 0.2631741, 0.2274867, 0.2226920, 0.2065046, 0.2156806", \
+					  "0.3547478, 0.2842688, 0.2579103, 0.2125347, 0.2081826, 0.1969112, 0.2131036", \
+					  "0.3352201, 0.2616408, 0.2224356, 0.1960588, 0.2011869, 0.1773835, 0.1798430", \
+					  "0.3226118, 0.2320690, 0.2044460, 0.1852560, 0.1347522, 0.1519571, 0.1489241", \
+					  "0.2878233, 0.2063855, 0.1600055, 0.1581125, 0.1351546, 0.1411014, 0.1376422");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1500057, 0.0135644, -0.0950405, -0.1846791, -0.2574487, -0.3216326, -0.3670861", \
+					  "0.2052693, 0.0717532, -0.0345619, -0.1258801, -0.2113395, -0.2879919, -0.3544288", \
+					  "0.2140232, 0.0838886, -0.0304745, -0.1201060, -0.2086993, -0.2859856, -0.3539795", \
+					  "0.1990713, 0.0689366, -0.0468665, -0.1338398, -0.2271759, -0.2946528, -0.3661689", \
+					  "0.1795436, 0.0417795, -0.0665462, -0.1455702, -0.2370653, -0.3215789, -0.3766312", \
+					  "0.1455729, 0.0108606, -0.0690693, -0.1764253, -0.2517951, -0.3402908, -0.4141252", \
+					  "0.1184139, -0.0346090, -0.1019418, -0.2119875, -0.2603963, -0.3537169, -0.4199219");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0509861, 0.0504034, 0.0498208, 0.0501465, 0.0504593, 0.0507850, 0.0511108");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0612016, 0.0602466, 0.0592917, 0.0598489, 0.0603839, 0.0609411, 0.0614984");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105361";
+				miller_cap_rise : "0.000927197";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("4.45141, 0.663676, 0.580556, 0.559376, 0.533446, 0.518643, 0.502601, 0.485317, 0.466786, 0.447006, 0.425976, 0.403695, 0.380162, 0.355376, 0.329336, 0.302043, 0.273497, 0.243697, 0.212643, 0.180335, 0.146774, 0.111959, 0.0758918, 0.0385719, -3.94795e-09, -0.0766062, -0.150153, -0.391706, -3.01796", \
+					  "4.32148, 0.487919, 0.403175, 0.396055, 0.387559, 0.382563, 0.376868, 0.370253, 0.362447, 0.353181, 0.342271, 0.329619, 0.315181, 0.298937, 0.280877, 0.260997, 0.239293, 0.215765, 0.190411, 0.163233, 0.13423, 0.103404, 0.0707561, 0.0362875, -1.88058e-09, -0.0733136, -0.144805, -0.386361, -3.01808", \
+					  "4.24239, 0.375445, 0.265369, 0.261462, 0.257126, 0.254748, 0.252191, 0.249413, 0.246359, 0.242947, 0.239057, 0.234498, 0.228968, 0.222024, 0.213209, 0.202252, 0.189038, 0.173527, 0.155703, 0.135558, 0.11309, 0.0882995, 0.0611863, 0.0317523, -1.88853e-09, -0.0659976, -0.1323, -0.367502, -3.01479", \
+					  "4.22369, 0.363232, 0.217487, 0.214325, 0.210878, 0.209017, 0.207044, 0.204934, 0.202657, 0.200171, 0.197418, 0.19431, 0.190708, 0.186377, 0.180911, 0.173732, 0.164365, 0.152593, 0.138341, 0.121582, 0.102306, 0.0805098, 0.0561925, 0.0293552, -1.89282e-09, -0.0620765, -0.12558, -0.35736, -3.013", \
+					  "4.20752, 0.36777, 0.170038, 0.167524, 0.164831, 0.163398, 0.161895, 0.16031, 0.158626, 0.156822, 0.154869, 0.152726, 0.150333, 0.147597, 0.144356, 0.140305, 0.134865, 0.127323, 0.11726, 0.10453, 0.0890867, 0.0709157, 0.0500113, 0.0263724, -1.89843e-09, -0.0571793, -0.11719, -0.34483, -3.01077", \
+					  "4.20042, 0.37484, 0.146929, 0.144639, 0.142284, 0.141039, 0.13974, 0.138379, 0.136942, 0.135416, 0.13378, 0.132006, 0.130054, 0.127866, 0.125345, 0.122317, 0.118422, 0.112959, 0.105177, 0.0947103, 0.0814462, 0.065351, 0.0464134, 0.0246299, -1.90995e-09, -0.054313, -0.112283, -0.337588, -3.00947", \
+					  "4.19406, 0.385036, 0.125169, 0.122354, 0.120314, 0.119244, 0.118133, 0.116974, 0.11576, 0.114479, 0.113119, 0.11166, 0.110076, 0.10833, 0.106363, 0.104079, 0.101286, 0.0975486, 0.092027, 0.0839636, 0.0730575, 0.0592256, 0.0424432, 0.0227021, -2.17721e-09, -0.0511388, -0.106852, -0.329656, -3.00802", \
+					  "4.18851, 0.398448, 0.108344, 0.100907, 0.0991545, 0.098246, 0.0973075, 0.0963344, 0.0953209, 0.0942597, 0.0931416, 0.0919541, 0.0906805, 0.0892971, 0.0877689, 0.0860406, 0.0840143, 0.0814796, 0.0778926, 0.0722674, 0.0638822, 0.0525046, 0.038075, 0.0205755, -1.04491e-08, -0.047634, -0.100859, -0.321013, -3.00643", \
+					  "4.18392, 0.415214, 0.101803, 0.0807107, 0.0790695, 0.0783122, 0.0775336, 0.0767305, 0.0758992, 0.0750347, 0.074131, 0.07318, 0.0721711, 0.0710899, 0.0699154, 0.0686164, 0.0671415, 0.0653938, 0.0631357, 0.0596791, 0.0539011, 0.0451596, 0.0332854, 0.0182365, -2.65781e-07, -0.0437761, -0.0942653, -0.311645, -3.00468", \
+					  "4.18043, 0.43548, 0.105298, 0.0633164, 0.0603621, 0.0597446, 0.0591142, 0.0584674, 0.0578018, 0.0571142, 0.0564008, 0.0556567, 0.0548754, 0.0540483, 0.0531633, 0.0522031, 0.0511408, 0.0499307, 0.0484789, 0.0465108, 0.0431488, 0.0371715, 0.0280496, 0.0156664, -7.55301e-06, -0.0395502, -0.0870415, -0.301558, -3.00276", \
+					  "4.1782, 0.459399, 0.11598, 0.0536802, 0.0433726, 0.042849, 0.0423499, 0.0418416, 0.0413219, 0.0407888, 0.0402399, 0.0396724, 0.0390826, 0.0384657, 0.037815, 0.0371213, 0.0363712, 0.0355431, 0.0345986, 0.0334495, 0.0317707, 0.0284508, 0.0222357, 0.0127255, -0.000157356, -0.0350808, -0.0793061, -0.290934, -3.00085", \
+					  "4.1775, 0.487142, 0.13198, 0.0550461, 0.0284701, 0.0275809, 0.0271388, 0.0267067, 0.0262721, 0.0258316, 0.0253833, 0.0249254, 0.0244558, 0.0239718, 0.0234702, 0.0229465, 0.0223942, 0.021804, 0.0211602, 0.0204337, 0.019545, 0.018104, 0.0147342, 0.00823687, -0.00166279, -0.0316362, -0.0723861, -0.281264, -3.00038", \
+					  "4.1786, 0.518931, 0.152326, 0.0641716, 0.016129, 0.0118632, 0.0110412, 0.0104609, 0.00992663, 0.00940883, 0.00889762, 0.00838819, 0.00787757, 0.00736341, 0.00684356, 0.00631565, 0.00577677, 0.00522302, 0.00464861, 0.00404402, 0.00338992, 0.00262124, 0.00127085, -0.0022959, -0.00912542, -0.0339398, -0.0711306, -0.277438, -3.00589", \
+					  "4.18192, 0.553983, 0.176614, 0.0776021, 0.00711093, -0.00708086, -0.0108005, -0.0121651, -0.0131394, -0.0139817, -0.0147606, -0.0155032, -0.0162231, -0.0169281, -0.0176232, -0.0183119, -0.0189971, -0.0196812, -0.020367, -0.0210577, -0.0217585, -0.0224796, -0.0232677, -0.0246779, -0.0285103, -0.0478538, -0.081283, -0.284373, -3.02129", \
+					  "4.18798, 0.594886, 0.204699, 0.0939334, 0.00210085, -0.0249059, -0.0366919, -0.0404465, -0.0423997, -0.0438444, -0.0450688, -0.0461736, -0.0472041, -0.0481846, -0.0491294, -0.0500477, -0.0509458, -0.051828, -0.0526981, -0.0535588, -0.0544131, -0.055264, -0.0561171, -0.057009, -0.0586759, -0.0721515, -0.101376, -0.299987, -3.04419", \
+					  "4.19664, 0.64, 0.235372, 0.111948, 0.00041927, -0.0390115, -0.061888, -0.0708994, -0.074699, -0.0771025, -0.0789672, -0.0805591, -0.0819891, -0.083313, -0.0845625, -0.0857571, -0.0869099, -0.0880297, -0.0891233, -0.0901956, -0.0912508, -0.0922928, -0.0933253, -0.0943534, -0.0954823, -0.103319, -0.12771, -0.320611, -3.07121", \
+					  "4.20664, 0.687076, 0.266064, 0.129595, 6.9603e-05, -0.0507256, -0.0849881, -0.102215, -0.109165, -0.112984, -0.115705, -0.117908, -0.119817, -0.12154, -0.123135, -0.124637, -0.126069, -0.127446, -0.12878, -0.130078, -0.131348, -0.132595, -0.133825, -0.135042, -0.136263, -0.14039, -0.158721, -0.344421, -3.10062", \
+					  "4.21715, 0.734579, 0.295146, 0.145863, 8.79657e-06, -0.061029, -0.105956, -0.133108, -0.144958, -0.150795, -0.154617, -0.157554, -0.160013, -0.162177, -0.164143, -0.165969, -0.167689, -0.169327, -0.170902, -0.172424, -0.173904, -0.17535, -0.176769, -0.178168, -0.179555, -0.182603, -0.194089, -0.370564, -3.13153", \
+					  "4.22797, 0.781841, 0.321982, 0.1606, 5.99931e-07, -0.0702135, -0.12487, -0.162273, -0.180958, -0.189628, -0.194861, -0.198675, -0.201759, -0.204408, -0.206772, -0.208935, -0.210951, -0.212854, -0.214668, -0.21641, -0.218095, -0.219732, -0.221332, -0.222903, -0.224454, -0.227569, -0.233863, -0.398551, -3.16341", \
+					  "4.23905, 0.828418, 0.346356, 0.173867, 2.55141e-08, -0.0784062, -0.141856, -0.188986, -0.216109, -0.228702, -0.23575, -0.240619, -0.244419, -0.247605, -0.250396, -0.252916, -0.255238, -0.25741, -0.259466, -0.261427, -0.263314, -0.265138, -0.266912, -0.268648, -0.270355, -0.273731, -0.277815, -0.42808, -3.19594", \
+					  "4.2504, 0.87392, 0.368283, 0.185765, 2.56723e-09, -0.0857, -0.157059, -0.21307, -0.249484, -0.267345, -0.276749, -0.282898, -0.287528, -0.291312, -0.294569, -0.297468, -0.300112, -0.302562, -0.304864, -0.307048, -0.309135, -0.311145, -0.313091, -0.314987, -0.316846, -0.320499, -0.324265, -0.458972, -3.22886", \
+					  "4.26204, 0.917998, 0.387897, 0.196404, 1.8055e-09, -0.0921786, -0.170629, -0.234629, -0.280365, -0.304945, -0.317423, -0.325147, -0.330744, -0.335204, -0.338971, -0.342279, -0.345262, -0.348004, -0.35056, -0.35297, -0.355262, -0.357458, -0.359575, -0.361631, -0.363637, -0.367564, -0.371488, -0.491142, -3.26204", \
+					  "4.27397, 0.960366, 0.405383, 0.205888, 1.78442e-09, -0.0979196, -0.182711, -0.253859, -0.308348, -0.340898, -0.357385, -0.367066, -0.373808, -0.379037, -0.38337, -0.387122, -0.390467, -0.393515, -0.396336, -0.39898, -0.401481, -0.403866, -0.406156, -0.408371, -0.410526, -0.41472, -0.418875, -0.524584, -3.29538", \
+					  "4.28619, 1.00082, 0.420931, 0.214314, 1.79611e-09, -0.102995, -0.193441, -0.270975, -0.333359, -0.374616, -0.396252, -0.408398, -0.416511, -0.422624, -0.427591, -0.431827, -0.435563, -0.438936, -0.442035, -0.444922, -0.447639, -0.450218, -0.452684, -0.45506, -0.457363, -0.461825, -0.466216, -0.559378, -3.3288", \
+					  "4.2987, 1.03923, 0.434722, 0.221775, 1.82122e-09, -0.10747, -0.202949, -0.286178, -0.355553, -0.405585, -0.43362, -0.448896, -0.45867, -0.465813, -0.471494, -0.476266, -0.480425, -0.484146, -0.48754, -0.490681, -0.493622, -0.496402, -0.499049, -0.501589, -0.504043, -0.508772, -0.513398, -0.595707, -3.36227", \
+					  "4.32448, 1.10945, 0.457673, 0.234142, 1.92566e-09, -0.114852, -0.218754, -0.311575, -0.392515, -0.458222, -0.502055, -0.526326, -0.540681, -0.550483, -0.557916, -0.563952, -0.569083, -0.573586, -0.577629, -0.581325, -0.584748, -0.587953, -0.590981, -0.593864, -0.59663, -0.601906, -0.606999, -0.673652, -3.42934", \
+					  "4.34378, 1.16961, 0.475364, 0.243599, 2.13506e-09, -0.120478, -0.230945, -0.331339, -0.421258, -0.498999, -0.559213, -0.596854, -0.618379, -0.632061, -0.641875, -0.649537, -0.655865, -0.6613, -0.666099, -0.670426, -0.674389, -0.678064, -0.681508, -0.684761, -0.68786, -0.693712, -0.699284, -0.756385, -3.49658", \
+					  "4.37739, 1.27358, 0.505328, 0.25926, 3.874e-09, -0.129867, -0.251994, -0.366387, -0.473002, -0.571636, -0.661585, -0.74057, -0.803048, -0.844731, -0.87066, -0.887946, -0.900586, -0.91051, -0.918703, -0.925715, -0.931877, -0.937401, -0.94243, -0.947067, -0.951385, -0.959287, -0.966499, -1.00612, -3.69295", \
+					  "4.37852, 1.30004, 0.510631, 0.261293, 1.35382e-08, -0.131725, -0.258187, -0.379401, -0.495383, -0.606142, -0.711668, -0.811908, -0.906699, -0.995599, -1.07742, -1.14924, -1.20641, -1.24712, -1.27525, -1.29557, -1.31114, -1.32369, -1.3342, -1.34327, -1.35126, -1.36496, -1.37654, -1.40956, -3.99419");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0390268, 0.0744387, 0.1158425, 0.1638199, 0.2406579");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1057621, 0.1409824, 0.1827031, 0.2309465, 0.3076041");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0192064, 0.0317233, 0.0447680, 0.0589268, 0.0774501");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0760389, 0.0943573, 0.1082363, 0.1224014, 0.1402771");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("4.74746");
+						index_3("0.001");
+						index_4("2.3451883, 2.4703095, 2.6937046, 2.8596870, 2.9651851");
+						values("0.381941, 0.611105, 0.763881, 0.611105, 0.381941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("2.73577");
+						index_3("0.001");
+						index_4("1.3511926, 1.4575654, 1.6172485, 1.7740496, 1.8553276");
+						values("0.458705, 0.733928, 0.917409, 0.733928, 0.458705");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("1.84396");
+						index_3("0.001");
+						index_4("0.9103644, 0.9970951, 1.1578431, 1.2618087, 1.3263384");
+						values("0.486621, 0.778593, 0.973241, 0.778593, 0.486621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("2.37373");
+						index_3("0.001");
+						index_4("1.1827553, 1.2466217, 1.3438088, 1.4404469, 1.4953811");
+						values("0.214985, 0.343976, 0.42997, 0.343976, 0.214985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("1.36789");
+						index_3("0.001");
+						index_4("0.6854897, 0.7366534, 0.8165338, 0.8931785, 0.9384387");
+						values("0.253692, 0.405908, 0.507385, 0.405908, 0.253692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("0.92198");
+						index_3("0.001");
+						index_4("0.4646343, 0.5077459, 0.5655864, 0.6313863, 0.6702139");
+						values("0.26751, 0.428016, 0.535019, 0.428016, 0.26751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("4.10366");
+						index_3("0.001");
+						index_4("2.0151981, 2.1771308, 2.4000158, 2.6394372, 2.7580227");
+						values("0.636213, 1.01794, 1.27243, 1.01794, 0.636213");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("1.31855");
+						index_3("0.001");
+						index_4("0.6572295, 0.7177996, 0.8426499, 0.9838303, 1.0791376");
+						values("1.46024, 1.16638, 0.970472, 1.16638, 1.46024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.747186");
+						index_3("0.001");
+						index_4("0.3752106, 0.4187244, 0.5113102, 0.6150679, 0.6842712");
+						values("1.43276, 1.12242, 0.915523, 1.12242, 1.43276");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.495704");
+						index_3("0.001");
+						index_4("0.2509885, 0.2852453, 0.3432237, 0.4385687, 0.4938894");
+						values("1.42252, 1.10603, 0.895042, 1.10603, 1.42252");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("0.659274");
+						index_3("0.001");
+						index_4("0.3339764, 0.3649225, 0.4141748, 0.5027577, 0.5659715");
+						values("1.69867, 1.54788, 1.44735, 1.54788, 1.69867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.373593");
+						index_3("0.001");
+						index_4("0.1928007, 0.2153678, 0.2532432, 0.3161886, 0.3653091");
+						values("1.68965, 1.53344, 1.4293, 1.53344, 1.68965");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.247852");
+						index_3("0.001");
+						index_4("0.1298786, 0.1476266, 0.1831662, 0.2279326, 0.2663150");
+						values("1.6868, 1.52888, 1.4236, 1.52888, 1.6868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("1.12078");
+						index_3("0.001");
+						index_4("0.5571199, 0.6256442, 0.7484080, 0.9027555, 1.0054695");
+						values("1.1842, 0.724726, 0.418407, 0.724726, 1.1842");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005769;
+			capacitance : 0.005540;
+			fall_capacitance : 0.005310;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("1");
+				} 
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1071929, 0.1071764, 0.1071600, 0.1070779, 0.1069991, 0.1069171, 0.1068350");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1016934, -0.1011249, -0.1005564, -0.1005259, -0.1004967, -0.1004662, -0.1004358");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2797057, 0.3380255, 0.3453534, 0.3272498, 0.3077221, 0.2951138, 0.2603253", \
+					  "0.2073874, 0.2547905, 0.2513539, 0.2579696, 0.2385118, 0.1755906, 0.1803924", \
+					  "0.1686132, 0.2330458, 0.2341908, 0.2222822, 0.1966808, 0.1736407, 0.1500568", \
+					  "0.1558140, 0.2248363, 0.2183314, 0.1967786, 0.1899552, 0.1468293, 0.1403721", \
+					  "0.1484101, 0.2098030, 0.2139793, 0.1929238, 0.1802983, 0.1423223, 0.1229476", \
+					  "0.1493457, 0.2076869, 0.1969892, 0.1831488, 0.1590729, 0.1342576, 0.1366462", \
+					  "0.1594347, 0.2101464, 0.2004392, 0.1918796, 0.1661101, 0.1412947, 0.1376303");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139648, -0.1738101, -0.1567826, -0.1630344, -0.1404549, -0.1125877, -0.0793252", \
+					  "-0.0370324, -0.0970234, -0.1070228, -0.0951226, -0.0633878, -0.0370466, 0.0130007", \
+					  "-0.0135520, -0.0632206, -0.0771028, -0.0731150, -0.0249704, 0.0015370, 0.0286960", \
+					  "-0.0114339, -0.0529159, -0.0735835, -0.0448581, -0.0240826, 0.0003557, 0.0346973", \
+					  "-0.0070818, -0.0335661, -0.0704956, -0.0590268, -0.0208681, -0.0024108, -0.0297926", \
+					  "-0.0141210, -0.0373661, -0.0400165, -0.0357456, -0.0159349, -0.0007521, 0.0251892", \
+					  "0.0017301, -0.0382997, -0.0485796, -0.0443183, -0.0251532, 0.0144875, 0.0419119");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4176429, -0.4790140, -0.4802971, -0.4621348, -0.4441330, -0.4223693, -0.3799515", \
+					  "-0.3575159, -0.4061732, -0.4091637, -0.4078074, -0.3854591, -0.3530143, -0.3228035", \
+					  "-0.3187278, -0.3831168, -0.3784376, -0.3543885, -0.3286425, -0.3210217, -0.2645746", \
+					  "-0.3105062, -0.3713695, -0.3762193, -0.3537521, -0.3446467, -0.3122019, -0.2653855", \
+					  "-0.3061541, -0.3629739, -0.3686707, -0.3582964, -0.3512454, -0.3216115, -0.2990651", \
+					  "-0.3070897, -0.3608524, -0.3741840, -0.3654038, -0.3550826, -0.3042077, -0.3091684", \
+					  "-0.3110751, -0.3678896, -0.3667904, -0.3540621, -0.3375862, -0.3158225, -0.3031069");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5498151, 0.6111867, 0.6154633, 0.5958334, 0.5763057, 0.5652234, 0.5273830", \
+					  "0.4836818, 0.5358417, 0.5354061, 0.5267224, 0.5104070, 0.5100001, 0.4658108", \
+					  "0.4540796, 0.5153455, 0.5179959, 0.4999922, 0.4774370, 0.4336618, 0.4136530", \
+					  "0.4426732, 0.5053925, 0.5082484, 0.4917706, 0.4585099, 0.4275910, 0.3943285", \
+					  "0.4368013, 0.5042977, 0.5069481, 0.4904702, 0.4648390, 0.4369718, 0.4067611", \
+					  "0.4362110, 0.4972970, 0.5063578, 0.4868282, 0.4749299, 0.4394333, 0.4092225", \
+					  "0.4401964, 0.5017279, 0.5103432, 0.5060724, 0.4651824, 0.4373152, 0.4254150");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3300597, 0.3944831, 0.3972333, 0.3776039, 0.3580762, 0.3439419, 0.3106794", \
+					  "0.2623177, 0.3077261, 0.3019502, 0.3071991, 0.2860115, 0.2568277, 0.2332003", \
+					  "0.2189672, 0.2882137, 0.2864255, 0.2730055, 0.2378269, 0.2192034, 0.1714332", \
+					  "0.2076939, 0.2779404, 0.2677257, 0.2445781, 0.2514490, 0.1926449, 0.1671369", \
+					  "0.1972383, 0.2565743, 0.2684244, 0.2417519, 0.2210177, 0.1882536, 0.1855978", \
+					  "0.1951221, 0.2592497, 0.2637431, 0.2548946, 0.2572403, 0.2064446, 0.1772889", \
+					  "0.1991075, 0.2620432, 0.2481796, 0.2527765, 0.2225676, 0.2267439, 0.1812744");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1093872, -0.1707583, -0.1537308, -0.1599826, -0.1389290, -0.1095360, -0.0777993", \
+					  "-0.0339807, -0.0954671, -0.1085480, -0.0951219, -0.0633872, -0.0370459, 0.0130013", \
+					  "-0.0089744, -0.0611808, -0.0771029, -0.0698102, -0.0219171, 0.0030628, 0.0317478", \
+					  "-0.0068563, -0.0514637, -0.0721677, -0.0418385, -0.0225950, 0.0033914, 0.0380231", \
+					  "-0.0025042, -0.0305727, -0.0387304, 0.3455607, -0.0208678, 0.0109241, 0.0339744", \
+					  "-0.0080174, -0.0373661, -0.0384906, -0.0250645, -0.0159349, 0.0077000, 0.0534314", \
+					  "0.0063077, -0.0367738, -0.0440019, -0.0443184, -0.0221483, 0.0279851, 0.0419139");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139954, -0.1738406, -0.1522355, -0.1615390, -0.1389596, -0.1110925, -0.0778300", \
+					  "-0.0309289, -0.0937966, -0.0990845, -0.0887102, -0.0569754, -0.0291083, 0.0209392", \
+					  "-0.0028709, -0.0562932, -0.0650384, -0.0764602, -0.0358361, -0.0015148, 0.0286960", \
+					  "0.0053507, -0.0306012, -0.0577528, -0.0506685, -0.0359728, 0.0230415, 0.0507288", \
+					  "0.0138398, -0.0231941, -0.0302107, -0.0411523, -0.0055338, -0.0127013, -0.0032750", \
+					  "0.0057155, -0.0148575, -0.0232318, -0.0115293, -0.0031240, 0.0181844, 0.0400010", \
+					  "0.0228615, -0.0184633, -0.0210801, -0.0015841, -0.0066351, 0.0236717, 0.0460708");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3056778, 0.3715939, 0.3774290, 0.3547478, 0.3352201, 0.3226118, 0.2878233", \
+					  "0.2333136, 0.2802476, 0.2791041, 0.2842688, 0.2616408, 0.2320690, 0.2063855", \
+					  "0.1960790, 0.2574720, 0.2631741, 0.2579103, 0.2224356, 0.2044460, 0.1600055", \
+					  "0.1695468, 0.2345677, 0.2274867, 0.2125347, 0.1960588, 0.1852560, 0.1581125", \
+					  "0.1590912, 0.2233961, 0.2226920, 0.2081826, 0.2011869, 0.1347522, 0.1351546", \
+					  "0.1569751, 0.2229457, 0.2065046, 0.1969112, 0.1773835, 0.1519571, 0.1411014", \
+					  "0.1624864, 0.2208276, 0.2156806, 0.2131036, 0.1798430, 0.1489241, 0.1376422");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0956636, -0.1524571, -0.1400072, -0.1432073, -0.1267314, -0.0942866, -0.0640758", \
+					  "-0.0126184, -0.0753460, -0.0905160, -0.0740381, -0.0453551, -0.0159621, 0.0325593", \
+					  "0.0122167, -0.0398489, -0.0605432, -0.0599826, -0.0152587, 0.0183216, 0.0575196", \
+					  "0.0253194, -0.0137196, -0.0194525, -0.0096794, 0.0119999, -0.0009296, 0.0694052", \
+					  "0.0205315, -0.0061192, -0.0100892, -0.0350945, 0.0036369, -0.0139744, 0.0136946", \
+					  "0.0544025, 0.0038327, -0.0079730, 0.0156763, 0.0153844, 0.0343195, 0.0238613", \
+					  "0.0515230, 0.0013731, -0.0081985, -0.0001965, 0.0435387, 0.0143937, 0.0460526");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2751423, 0.3349880, 0.3423167, 0.3226865, 0.3031588, 0.2890245, 0.2557620", \
+					  "0.1953368, 0.2478500, 0.2440996, 0.2461108, 0.2294492, 0.1697726, 0.1700002", \
+					  "0.1609839, 0.2193863, 0.2281245, 0.2101923, 0.1951994, 0.1617092, 0.1350172", \
+					  "0.1375035, 0.1971935, 0.2014991, 0.2014083, 0.1655412, 0.1407258, 0.1212889", \
+					  "0.1270479, 0.1884407, 0.1909331, 0.1746133, 0.1489821, 0.1151796, 0.1061629", \
+					  "0.1264576, 0.1847987, 0.1843974, 0.1672585, 0.1377106, 0.1098435, 0.1084850", \
+					  "0.1334948, 0.1781030, 0.1766754, 0.1658014, 0.1310149, 0.1016219, 0.1124305");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4161244, -0.4774955, -0.4787786, -0.4606163, -0.4426145, -0.4208509, -0.3784330", \
+					  "-0.3492507, -0.4048890, -0.4046609, -0.4047781, -0.3824802, -0.3500354, -0.3198246", \
+					  "-0.3236217, -0.3845993, -0.3843217, -0.3591457, -0.3255649, -0.3054625, -0.2538937", \
+					  "-0.3074544, -0.3714145, -0.3776005, -0.3445693, -0.3461725, -0.3137918, -0.2578423", \
+					  "-0.3076800, -0.3658987, -0.3564637, -0.3445635, -0.3527419, -0.3185309, -0.2530384", \
+					  "-0.3101414, -0.3641070, -0.3741840, -0.3715031, -0.3168688, -0.1653528, -0.3061021", \
+					  "-0.3126010, -0.3680305, -0.3684383, -0.3525362, -0.3345344, -0.3112449, -0.2800285");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5635576, 0.6249293, 0.6261535, 0.6080501, 0.5885224, 0.5789658, 0.5395997", \
+					  "0.4960949, 0.5536486, 0.5508123, 0.5406739, 0.5195187, 0.5191887, 0.4768252", \
+					  "0.4664253, 0.5306043, 0.5317288, 0.5154251, 0.4961810, 0.4411878, 0.4274994", \
+					  "0.4548862, 0.5193309, 0.5250331, 0.5055688, 0.4768205, 0.4459016, 0.4034837", \
+					  "0.4520600, 0.5119271, 0.5191551, 0.5057290, 0.4816237, 0.4507048, 0.4189681", \
+					  "0.4514697, 0.5048005, 0.5167989, 0.5036129, 0.4886645, 0.4516404, 0.4214296", \
+					  "0.4539292, 0.5148031, 0.5193702, 0.5243829, 0.4957000, 0.4571517, 0.4345703");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1164387, 0.1778102, 0.1607259, 0.1670345, 0.1444551, 0.1165899, 0.0848532", \
+					  "0.0410317, 0.1026062, 0.1157435, 0.1038432, 0.0721085, 0.0457672, -0.0042800", \
+					  "0.0160254, 0.0732326, 0.0826165, 0.0755057, 0.0273456, 0.0024630, -0.0307995", \
+					  "0.0108556, 0.0569872, 0.0776058, 0.0474996, 0.0281251, 0.0036938, -0.0288965", \
+					  "0.0065035, 0.0475991, 0.0450484, 0.0452016, 0.0264411, 0.0079532, 0.0337104", \
+					  "0.0181203, 0.0428919, 0.0455424, 0.0305904, 0.0207044, -0.0222168, 0.0353822", \
+					  "0.0022692, 0.0438256, 0.0541054, 0.0514687, 0.0291581, 0.0090205, -0.0348582");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0971803, -0.1570256, -0.1399981, -0.1477758, -0.1251963, -0.0973291, -0.0655925", \
+					  "-0.0263513, -0.0888814, -0.0994931, -0.0845411, -0.0543322, -0.0264651, 0.0220563", \
+					  "0.0001809, -0.0519800, -0.0740951, -0.0632246, -0.0129105, 0.0137440, 0.0424289", \
+					  "0.0022990, -0.0306047, -0.0663983, -0.0359123, -0.0151181, 0.0064576, 0.0445203", \
+					  "0.0066511, -0.0231941, -0.0375448, -0.0367043, -0.0148830, -0.0038581, -0.0205533", \
+					  "-0.0034398, -0.0206353, -0.0278095, -0.0190734, -0.0159275, 0.0181836, -0.0293402", \
+					  "0.0153077, -0.0276186, -0.0348466, -0.0279684, -0.0066407, -0.0030174, 0.0470298");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2903870, 0.3517586, 0.3575614, 0.3379311, 0.3199293, 0.3057951, 0.2710067", \
+					  "0.2167194, 0.2625852, 0.2625753, 0.2691688, 0.2460805, 0.1849902, 0.1881843", \
+					  "0.1808203, 0.2467908, 0.2418118, 0.2504172, 0.2011993, 0.1816046, 0.1584799", \
+					  "0.1725987, 0.2339915, 0.2259608, 0.2155865, 0.1991105, 0.1762141, 0.1505920", \
+					  "0.1621431, 0.2186547, 0.2226899, 0.2097085, 0.1832588, 0.1707501, 0.1290511", \
+					  "0.1630787, 0.2198939, 0.2174785, 0.2162974, 0.1728058, 0.1534140, 0.1425583", \
+					  "0.1701159, 0.2208276, 0.2234780, 0.2009380, 0.1813689, 0.1504500, 0.1463680");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1124389, -0.1753359, -0.1567825, -0.1615085, -0.1435067, -0.1156395, -0.0823769", \
+					  "-0.0370324, -0.1037286, -0.1085488, -0.0935969, -0.0618622, -0.0355209, 0.0145264", \
+					  "-0.0135520, -0.0692222, -0.0785950, -0.0731147, -0.0280285, -0.0030407, 0.0271701", \
+					  "-0.0114339, -0.0529159, -0.0811010, -0.0463695, -0.0270542, 0.0003558, 0.0346976", \
+					  "-0.0070818, -0.0380022, -0.0751202, -0.0590268, -0.0237812, -0.0024108, -0.0313320", \
+					  "-0.0125950, -0.0405197, -0.0415424, -0.0357456, -0.0159349, -0.0007527, 0.0251892", \
+					  "0.0032560, -0.0428774, -0.0531572, -0.0459212, -0.0266130, 0.0144875, 0.0389393");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0401464, 0.0902616, 0.0801597, 0.0720220, 0.0589593, 0.0311387, -0.0005980", \
+					  "-0.0319955, 0.0328425, 0.0379332, 0.0214553, -0.0072277, -0.0366207, -0.0805644", \
+					  "-0.0508464, 0.0011243, 0.0195824, 0.0049240, -0.0468701, -0.0723050, -0.1116711", \
+					  "-0.0630536, -0.0086232, -0.0170660, -0.0343604, -0.0629655, -0.0910794, -0.1207755", \
+					  "-0.0726757, -0.0155827, -0.0225338, -0.0435874, -0.0555606, -0.0922486, -0.1242293", \
+					  "-0.0566480, -0.0178888, -0.0184135, -0.0273610, -0.0682830, -0.0792919, -0.1247429", \
+					  "-0.0727984, -0.0356707, -0.0160850, -0.0249335, -0.0749788, -0.0982683, -0.1029943");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1911957, 0.1328897, 0.1317651, 0.1482449, 0.1769279, 0.2154762, 0.2578940", \
+					  "0.2622777, 0.2039572, 0.1965283, 0.2130062, 0.2355856, 0.2649787, 0.2982412", \
+					  "0.2986574, 0.2339628, 0.2393988, 0.2608314, 0.2757939, 0.3051869, 0.3384494", \
+					  "0.3006326, 0.2484051, 0.2564661, 0.2830027, 0.3089867, 0.3383798, 0.3701165", \
+					  "0.2934695, 0.2575190, 0.2670089, 0.3455944, 0.3133627, 0.3229729, 0.3562079", \
+					  "0.3002102, 0.2756194, 0.2624624, 0.2648525, 0.3052328, 0.3236182, 0.3553617", \
+					  "0.2925064, 0.2625650, 0.2464527, 0.2654447, 0.2849724, 0.3311501, 0.3561143");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1109123, -0.1738093, -0.1552560, -0.1599819, -0.1389283, -0.1095353, -0.0777986", \
+					  "-0.0385583, -0.0969710, -0.1070890, -0.0936629, -0.0619282, -0.0355869, 0.0144603", \
+					  "-0.0120261, -0.0652548, -0.0785798, -0.0730436, -0.0265090, -0.0015148, 0.0317478", \
+					  "-0.0083822, -0.0514637, -0.0735814, -0.0449284, -0.0240668, 0.0003823, 0.0364499", \
+					  "-0.0040301, -0.0335661, -0.0705168, 0.3455767, -0.0208976, 0.0109363, 0.0325273", \
+					  "-0.0095433, -0.0388919, -0.0400165, -0.0265904, -0.0159316, -0.0007468, 0.0579320", \
+					  "0.0063077, -0.0382997, -0.0485796, -0.0443127, -0.0236529, 0.0279863, 0.0404254");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3529474, 0.4064645, 0.4159108, 0.4004915, 0.3824897, 0.3683555, 0.3335670", \
+					  "0.2778699, 0.3278714, 0.3234929, 0.3274976, 0.3063761, 0.2782602, 0.2475418", \
+					  "0.2525366, 0.3093518, 0.3105473, 0.2819817, 0.2752695, 0.2286226, 0.2156406", \
+					  "0.2321080, 0.2881486, 0.3022548, 0.2842510, 0.2514600, 0.2536408, 0.2188524", \
+					  "0.2247041, 0.2901778, 0.2856180, 0.2831144, 0.2512159, 0.2111418, 0.2017354", \
+					  "0.2225880, 0.2840833, 0.2827541, 0.2854121, 0.2857209, 0.2142007, 0.2108583", \
+					  "0.2265734, 0.2879662, 0.2749328, 0.2726129, 0.2363005, 0.2450545, 0.2048541");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00162598";
+				miller_cap_rise : "0.00152105";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("21.4307, 9.35042, 2.72779, 2.6579, 2.57692, 2.52473, 2.4604, 2.38197, 2.29302, 2.1981, 2.09871, 1.99512, 1.88723, 1.77485, 1.6577, 1.53535, 1.40711, 1.27174, 1.12658, 0.968272, 0.797654, 0.615664, 0.422204, 0.217057, -1.95654e-08, -0.450179, -0.938422, -5.00708, -13.9069", \
+					  "21.0786, 8.9595, 2.11254, 1.99557, 1.95979, 1.93981, 1.91772, 1.89285, 1.86427, 1.83052, 1.78921, 1.73633, 1.66744, 1.58472, 1.49361, 1.39549, 1.28997, 1.17582, 1.05039, 0.909874, 0.75482, 0.586412, 0.4046, 0.209193, -8.12665e-09, -0.436214, -0.916671, -5.0049, -13.9053", \
+					  "20.9096, 8.74013, 1.81432, 1.59432, 1.56891, 1.55553, 1.54122, 1.52568, 1.50856, 1.48943, 1.46767, 1.44232, 1.41182, 1.37339, 1.322, 1.25294, 1.17011, 1.07659, 0.970519, 0.847798, 0.708554, 0.55423, 0.384818, 0.200134, -3.04021e-09, -0.420234, -0.891668, -5.00253, -13.9036", \
+					  "20.8593, 8.67089, 1.7243, 1.46252, 1.43943, 1.42756, 1.41501, 1.40149, 1.38675, 1.37046, 1.35218, 1.3313, 1.30682, 1.27708, 1.23906, 1.18714, 1.117, 1.03273, 0.935047, 0.820074, 0.687758, 0.539659, 0.375789, 0.195962, -1.05663e-08, -0.412944, -0.880322, -5.00149, -13.9029", \
+					  "20.8113, 8.60696, 1.64288, 1.33212, 1.31088, 1.3003, 1.28923, 1.27742, 1.26465, 1.25067, 1.23517, 1.2177, 1.19763, 1.17391, 1.14477, 1.10679, 1.05368, 0.981653, 0.893757, 0.787723, 0.663415, 0.522543, 0.365141, 0.191021, -1.08401e-08, -0.404367, -0.867045, -5.00029, -13.902", \
+					  "20.7871, 8.57646, 1.60679, 1.26784, 1.24704, 1.23703, 1.22663, 1.21558, 1.20367, 1.19069, 1.17637, 1.16034, 1.14206, 1.1207, 1.09485, 1.06195, 1.01684, 0.952799, 0.870576, 0.769538, 0.649701, 0.512874, 0.359109, 0.188213, -4.10679e-08, -0.39952, -0.85958, -4.99963, -13.9016", \
+					  "20.7624, 8.54653, 1.57231, 1.21189, 1.18356, 1.17407, 1.16428, 1.15393, 1.14283, 1.13077, 1.11752, 1.10278, 1.08608, 1.06675, 1.04368, 1.01489, 0.976453, 0.921161, 0.84546, 0.749835, 0.63482, 0.502364, 0.352539, 0.185145, -4.69983e-06, -0.394261, -0.851508, -4.99894, -13.9011", \
+					  "20.7368, 8.51692, 1.53861, 1.16441, 1.12032, 1.11126, 1.102, 1.09228, 1.08189, 1.07065, 1.05836, 1.04474, 1.02942, 1.01184, 0.991097, 0.965656, 0.932547, 0.885631, 0.817585, 0.727844, 0.618, 0.490225, 0.344613, 0.180958, -0.000940402, -0.389754, -0.8444, -5.00087, -13.9087", \
+					  "20.71, 8.48732, 1.50478, 1.11506, 1.05382, 1.04292, 1.03367, 1.02423, 1.01428, 1.00361, 0.992022, 0.979283, 0.965065, 0.948895, 0.930041, 0.907277, 0.878332, 0.838455, 0.778998, 0.695712, 0.591301, 0.468349, 0.326963, 0.166895, -0.0121032, -0.397124, -0.852218, -5.02557, -13.9775", \
+					  "20.6811, 8.45726, 1.46986, 1.06202, 0.987988, 0.969845, 0.959492, 0.950089, 0.940477, 0.930325, 0.919412, 0.907508, 0.894325, 0.879458, 0.862297, 0.841851, 0.816342, 0.782162, 0.731494, 0.655767, 0.557418, 0.439849, 0.303211, 0.147204, -0.0284809, -0.409233, -0.864809, -5.05612, -14.0588", \
+					  "20.6509, 8.42644, 1.43397, 1.00706, 0.924654, 0.899011, 0.884837, 0.874981, 0.865593, 0.855911, 0.845632, 0.834517, 0.822299, 0.808626, 0.792982, 0.774548, 0.751903, 0.722259, 0.679366, 0.612357, 0.520795, 0.409255, 0.278031, 0.126775, -0.04488, -0.419895, -0.874301, -5.08217, -14.1281", \
+					  "20.6189, 8.395, 1.39768, 0.951306, 0.863118, 0.832275, 0.812597, 0.800982, 0.791574, 0.782289, 0.772599, 0.762225, 0.750908, 0.738331, 0.72405, 0.707377, 0.68715, 0.661154, 0.624507, 0.56667, 0.482752, 0.377902, 0.252769, 0.106979, -0.0598852, -0.427543, -0.876987, -5.1015, -14.1801", \
+					  "20.5848, 8.36314, 1.36151, 0.89547, 0.8031, 0.768824, 0.744823, 0.729816, 0.71984, 0.710854, 0.701726, 0.692068, 0.681609, 0.670059, 0.657025, 0.641921, 0.623773, 0.600771, 0.569013, 0.519549, 0.44425, 0.34678, 0.228432, 0.0888408, -0.0724536, -0.431067, -0.872562, -5.11269, -14.2108", \
+					  "20.5486, 8.33103, 1.32572, 0.840064, 0.744592, 0.707986, 0.681327, 0.663355, 0.652027, 0.64325, 0.63472, 0.625811, 0.616221, 0.605673, 0.593821, 0.580154, 0.563841, 0.54336, 0.515483, 0.472778, 0.406631, 0.317289, 0.206434, 0.0737833, -0.0811471, -0.428994, -0.860355, -5.11396, -14.2154", \
+					  "20.5102, 8.29885, 1.29059, 0.785567, 0.687784, 0.649539, 0.621311, 0.602065, 0.589793, 0.581108, 0.573209, 0.565067, 0.556329, 0.546734, 0.535972, 0.523597, 0.508886, 0.490526, 0.465754, 0.428209, 0.37046, 0.289983, 0.187282, 0.062248, -0.0856139, -0.421267, -0.840689, -5.10674, -14.1986", \
+					  "20.4697, 8.26685, 1.25642, 0.732519, 0.633051, 0.593623, 0.56447, 0.545011, 0.532911, 0.524196, 0.516696, 0.509188, 0.501182, 0.492411, 0.482592, 0.471327, 0.457975, 0.441375, 0.41909, 0.385471, 0.334259, 0.262959, 0.169055, 0.0522687, -0.087905, -0.410367, -0.816727, -5.09638, -14.1728", \
+					  "20.4273, 8.23536, 1.2236, 0.681624, 0.581004, 0.540717, 0.511022, 0.491789, 0.480246, 0.471727, 0.464338, 0.45727, 0.449875, 0.441816, 0.432817, 0.422511, 0.410317, 0.395187, 0.37492, 0.344345, 0.297809, 0.234646, 0.15018, 0.0423396, -0.0894741, -0.397837, -0.790275, -5.08572, -14.1447", \
+					  "20.3838, 8.20488, 1.19268, 0.633876, 0.532587, 0.491691, 0.461697, 0.44285, 0.431888, 0.423733, 0.416501, 0.409644, 0.402721, 0.395273, 0.386985, 0.377507, 0.366302, 0.3524, 0.333771, 0.305579, 0.262377, 0.204977, 0.129955, 0.0318391, -0.0908294, -0.384085, -0.761713, -5.07576, -14.1167", \
+					  "20.3402, 8.1767, 1.16457, 0.590755, 0.489242, 0.447948, 0.417829, 0.39942, 0.388999, 0.381241, 0.374301, 0.367619, 0.360971, 0.354009, 0.346324, 0.337548, 0.327169, 0.314273, 0.29695, 0.270603, 0.229793, 0.175948, 0.108217, 0.0204774, -0.0921279, -0.369089, -0.730827, -5.06678, -14.0901", \
+					  "20.2991, 8.15138, 1.14064, 0.554486, 0.453139, 0.411636, 0.381531, 0.363584, 0.35366, 0.346289, 0.339678, 0.333258, 0.326793, 0.32013, 0.312913, 0.304705, 0.294986, 0.282878, 0.266547, 0.241563, 0.202418, 0.150703, 0.0867544, 0.00811328, -0.0934148, -0.352689, -0.697229, -5.05891, -14.0654", \
+					  "20.2649, 8.13171, 1.123, 0.528313, 0.427394, 0.385838, 0.355864, 0.338402, 0.328942, 0.321935, 0.315643, 0.309506, 0.303266, 0.296764, 0.289825, 0.282025, 0.272789, 0.261243, 0.245592, 0.221502, 0.183393, 0.132853, 0.0705261, -0.00423509, -0.0947106, -0.334771, -0.661896, -5.05226, -14.0432", \
+					  "20.2513, 8.12451, 1.11702, 0.51995, 0.419004, 0.377338, 0.347454, 0.330386, 0.321252, 0.314476, 0.308373, 0.302397, 0.29628, 0.289836, 0.282898, 0.275196, 0.266145, 0.254818, 0.239418, 0.215628, 0.177842, 0.127631, 0.0656821, -0.00856538, -0.095791, -0.316165, -0.627382, -5.04683, -14.0235", \
+					  "20.252, 8.12472, 1.11718, 0.520432, 0.41882, 0.376852, 0.346883, 0.330001, 0.320979, 0.314237, 0.30814, 0.30215, 0.295997, 0.289483, 0.282409, 0.274531, 0.265394, 0.254044, 0.23864, 0.214854, 0.177074, 0.12687, 0.0649286, -0.00930939, -0.0965084, -0.300222, -0.595223, -5.04254, -14.0064", \
+					  "20.2529, 8.12505, 1.1174, 0.520978, 0.418765, 0.376533, 0.346496, 0.329773, 0.320838, 0.314122, 0.308028, 0.302027, 0.295851, 0.289294, 0.282145, 0.274129, 0.264829, 0.253405, 0.237978, 0.214185, 0.176405, 0.126202, 0.0642624, -0.00997262, -0.0971668, -0.293249, -0.566318, -5.03922, -13.9919", \
+					  "20.2537, 8.12535, 1.1176, 0.521458, 0.418717, 0.376257, 0.346169, 0.329587, 0.320724, 0.31403, 0.30794, 0.301934, 0.295744, 0.289162, 0.281969, 0.273877, 0.264441, 0.252871, 0.237383, 0.213572, 0.175785, 0.125581, 0.063642, -0.0105914, -0.0977829, -0.293582, -0.545887, -5.03671, -13.9798", \
+					  "20.2551, 8.12588, 1.11793, 0.522255, 0.418638, 0.37581, 0.345651, 0.329301, 0.320553, 0.313894, 0.307813, 0.301803, 0.295599, 0.288991, 0.281756, 0.273593, 0.264036, 0.25225, 0.236488, 0.212498, 0.174646, 0.124418, 0.0624688, -0.0117678, -0.0989593, -0.294758, -0.540562, -5.03466, -13.9626", \
+					  "20.2563, 8.12632, 1.11818, 0.522879, 0.418577, 0.375467, 0.345266, 0.329096, 0.320431, 0.313799, 0.307727, 0.301717, 0.295507, 0.288889, 0.281635, 0.273445, 0.263842, 0.251978, 0.236071, 0.211819, 0.173649, 0.123214, 0.0611782, -0.0130978, -0.100311, -0.296141, -0.541939, -5.03527, -13.9538", \
+					  "20.2589, 8.12723, 1.11865, 0.524046, 0.418465, 0.374835, 0.344576, 0.328742, 0.320224, 0.31364, 0.307586, 0.301581, 0.295368, 0.288741, 0.281472, 0.273257, 0.263618, 0.251693, 0.235674, 0.211197, 0.172564, 0.121223, 0.057744, -0.0185018, -0.108126, -0.308585, -0.556002, -5.04572, -13.9567", \
+					  "20.2607, 8.12693, 1.11892, 0.524704, 0.418417, 0.374469, 0.344168, 0.328536, 0.320103, 0.313547, 0.307504, 0.301504, 0.295291, 0.288662, 0.281389, 0.273168, 0.263519, 0.251577, 0.235526, 0.210983, 0.172217, 0.120615, 0.056643, -0.0205125, -0.111779, -0.320342, -0.585754, -5.10764, -14.0334");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0159726, 0.0242777, 0.0331560, 0.0441382, 0.0629835");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189514, 0.0532626, 0.0702823, 0.0851913, 0.1070699");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0796957");
+						index_3("0.001");
+						index_4("0.0735107, 0.1359225, 2628.6068500, 5257.0777900, 5257.1402000");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0766943");
+						index_3("0.001");
+						index_4("0.0697621, 0.1318378, 0.4570813, 0.7823249, 0.8444005");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0720435");
+						index_3("0.001");
+						index_4("0.0636548, 0.1237456, 1.0516934, 1.9796413, 2.0397320");
+						values("2.59428, 4.15085, 5.18856, 4.15085, 2.59428");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0398479");
+						index_3("0.001");
+						index_4("0.0782737, 0.1432864, 0.5312179, 0.9191494, 0.9841621");
+						values("2.59429, 4.15087, 5.18858, 4.15087, 2.59429");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0383472");
+						index_3("0.001");
+						index_4("0.0757886, 0.1408463, 4.5231952, 8.9055440, 8.9706017");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0360218");
+						index_3("0.001");
+						index_4("0.0721336, 0.1368017, 0.4727479, 0.8086942, 0.8733622");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.115042");
+						index_3("0.001");
+						index_4("0.0725006, 0.1208456, 4385.1090200, 8770.0971900, 8770.1455400");
+						values("2.59424, 4.15079, 5.18849, 4.15079, 2.59424");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024267;
+			capacitance : 0.025004;
+			rise_capacitance : 0.025261;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4161244, -0.3492507, -0.3236217, -0.3074544, -0.3076800, -0.3101414, -0.3126010", \
+					  "-0.4774955, -0.4048890, -0.3845993, -0.3714145, -0.3658987, -0.3641070, -0.3680305", \
+					  "-0.4787786, -0.4046609, -0.3843217, -0.3776005, -0.3564637, -0.3741840, -0.3684383", \
+					  "-0.4606163, -0.4047781, -0.3591457, -0.3445693, -0.3445635, -0.3715031, -0.3525362", \
+					  "-0.4426145, -0.3824802, -0.3255649, -0.3461725, -0.3527419, -0.3168688, -0.3345344", \
+					  "-0.4208509, -0.3500354, -0.3054625, -0.3137918, -0.3185309, -0.1653528, -0.3112449", \
+					  "-0.3784330, -0.3198246, -0.2538937, -0.2578423, -0.2530384, -0.3061021, -0.2800285");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4435548, -0.3120807, -0.2210713, -0.1350299, -0.0635391, 0.0041898, 0.0663694", \
+					  "-0.5049260, -0.3648154, -0.2810867, -0.1918446, -0.1188506, -0.0572025, 0.0059508", \
+					  "-0.5024537, -0.3591414, -0.2793200, -0.1990188, -0.1245301, -0.0490517, 0.0048791", \
+					  "-0.4895727, -0.3644734, -0.2629015, -0.1883245, -0.1034746, -0.0418492, 0.0353285", \
+					  "-0.4853038, -0.3387834, -0.2441524, -0.1630671, -0.0869987, -0.0159404, 0.0435358", \
+					  "-0.4498073, -0.3115982, -0.2106399, -0.1321481, -0.0621833, 0.0005660, 0.0279724", \
+					  "-0.4058636, -0.2768418, -0.1791466, -0.1289941, -0.0512866, 0.0017338, 0.0757380");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5635576, 0.4960949, 0.4664253, 0.4548862, 0.4520600, 0.4514697, 0.4539292", \
+					  "0.6249293, 0.5536486, 0.5306043, 0.5193309, 0.5119271, 0.5048005, 0.5148031", \
+					  "0.6261535, 0.5508123, 0.5317288, 0.5250331, 0.5191551, 0.5167989, 0.5193702", \
+					  "0.6080501, 0.5406739, 0.5154251, 0.5055688, 0.5057290, 0.5036129, 0.5243829", \
+					  "0.5885224, 0.5195187, 0.4961810, 0.4768205, 0.4816237, 0.4886645, 0.4957000", \
+					  "0.5789658, 0.5191887, 0.4411878, 0.4459016, 0.4507048, 0.4516404, 0.4571517", \
+					  "0.5395997, 0.4768252, 0.4274994, 0.4034837, 0.4189681, 0.4214296, 0.4345703");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4506049, 0.3194301, 0.2265997, 0.1420811, 0.0705903, 0.0028614, -0.0594564", \
+					  "0.5119765, 0.3748038, 0.2866930, 0.1971272, 0.1335091, 0.0627284, 0.0011010", \
+					  "0.5147269, 0.3697950, 0.2894102, 0.2060977, 0.1331077, 0.0767728, 0.0021889", \
+					  "0.4950972, 0.3737189, 0.2699703, 0.1938095, 0.1120522, 0.0439950, -0.0285854", \
+					  "0.4786213, 0.3474069, 0.2508794, 0.1685929, 0.0940504, 0.0229758, -0.0356227", \
+					  "0.4583836, 0.3185299, 0.2161686, 0.1391999, 0.0677092, 0.0076096, -0.0662840", \
+					  "0.4296987, 0.2854618, 0.1863572, 0.1359568, 0.0566963, 0.0038462, -0.0596381");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0022228";
+				miller_cap_rise : "0.00154151";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01711, 1.68236, 1.54252, 1.47466, 1.39562, 1.3519, 1.3054, 1.2561, 1.20403, 1.14918, 1.09156, 1.03119, 0.968063, 0.902199, 0.833606, 0.762295, 0.688275, 0.611557, 0.532154, 0.450077, 0.365337, 0.277947, 0.187919, 0.095266, -7.17188e-09, -0.18935, -0.372899, -0.950937, -3.91994", \
+					  "4.71451, 1.1601, 1.10739, 1.08609, 1.06045, 1.04519, 1.02762, 1.00701, 0.98263, 0.954019, 0.921004, 0.88354, 0.841622, 0.795259, 0.744471, 0.689283, 0.629725, 0.565828, 0.497626, 0.425153, 0.348445, 0.267538, 0.18247, 0.0932775, -6.6988e-09, -0.187846, -0.371672, -0.984834, -3.93077", \
+					  "4.50985, 0.793562, 0.734492, 0.72281, 0.709935, 0.702904, 0.695362, 0.687186, 0.678199, 0.668151, 0.65666, 0.643135, 0.626668, 0.606058, 0.580232, 0.548639, 0.511099, 0.46759, 0.418139, 0.362798, 0.301626, 0.234691, 0.16206, 0.0838061, -6.51141e-09, -0.172979, -0.346424, -0.962222, -3.93072", \
+					  "4.4493, 0.736613, 0.60212, 0.592553, 0.582214, 0.57667, 0.570812, 0.564576, 0.55787, 0.550572, 0.542504, 0.5334, 0.522841, 0.510138, 0.49417, 0.473456, 0.446801, 0.413682, 0.373976, 0.327691, 0.274884, 0.215627, 0.150004, 0.0780986, -7.86408e-09, -0.1638, -0.330728, -0.9397, -3.92874", \
+					  "4.39195, 0.725187, 0.469722, 0.462004, 0.453805, 0.449477, 0.444962, 0.440224, 0.435217, 0.429882, 0.424134, 0.417856, 0.410873, 0.402909, 0.393506, 0.381843, 0.366469, 0.345514, 0.317731, 0.28272, 0.240434, 0.190926, 0.134284, 0.0706069, -9.29303e-09, -0.151713, -0.310089, -0.909651, -3.92546", \
+					  "4.36476, 0.730054, 0.404715, 0.397788, 0.390555, 0.386764, 0.382831, 0.37873, 0.37443, 0.369888, 0.365047, 0.359829, 0.354121, 0.347752, 0.340446, 0.331726, 0.320683, 0.305623, 0.284445, 0.255955, 0.219843, 0.176104, 0.124813, 0.0660738, -9.21533e-09, -0.1444, -0.297626, -0.891312, -3.92324", \
+					  "4.33887, 0.74083, 0.342149, 0.335069, 0.328749, 0.325459, 0.322063, 0.318544, 0.314879, 0.31104, 0.306988, 0.302671, 0.298017, 0.29292, 0.287216, 0.280634, 0.272678, 0.262325, 0.247555, 0.226056, 0.196752, 0.159432, 0.114131, 0.060947, -8.53641e-09, -0.136137, -0.283572, -0.870449, -3.9206", \
+					  "4.31459, 0.757357, 0.288459, 0.274579, 0.269118, 0.266299, 0.263404, 0.260422, 0.257336, 0.254128, 0.250771, 0.247233, 0.243468, 0.23941, 0.234963, 0.229975, 0.224183, 0.217076, 0.207475, 0.192932, 0.170992, 0.140764, 0.102133, 0.0551724, -2.65099e-08, -0.126844, -0.267798, -0.846787, -3.91748", \
+					  "4.29233, 0.77963, 0.255898, 0.217404, 0.21251, 0.210139, 0.207715, 0.205232, 0.202678, 0.200041, 0.197306, 0.194451, 0.191448, 0.188257, 0.184823, 0.181062, 0.176836, 0.171898, 0.165723, 0.156913, 0.142485, 0.119976, 0.0887225, 0.0486955, -7.65301e-07, -0.116442, -0.250181, -0.820015, -3.91373", \
+					  "4.27254, 0.807743, 0.24514, 0.166917, 0.159903, 0.157955, 0.155976, 0.153959, 0.151898, 0.149785, 0.147609, 0.145358, 0.143017, 0.140561, 0.13796, 0.135169, 0.132118, 0.128688, 0.124658, 0.119491, 0.111464, 0.0969971, 0.0738009, 0.0414477, -2.14968e-05, -0.104873, -0.230621, -0.789814, -3.90856", \
+					  "4.2558, 0.841872, 0.249977, 0.133815, 0.112373, 0.110756, 0.109183, 0.107592, 0.105975, 0.104329, 0.102648, 0.100925, 0.09915, 0.0973119, 0.0953942, 0.0933737, 0.0912166, 0.0888692, 0.0862392, 0.0831373, 0.0790156, 0.071688, 0.0570049, 0.0330404, -0.000423536, -0.0924501, -0.209411, -0.756269, -3.90066", \
+					  "4.24278, 0.882257, 0.26666, 0.124655, 0.0710158, 0.0686855, 0.0673398, 0.0660238, 0.0647061, 0.0633789, 0.0620372, 0.0606767, 0.0592926, 0.0578788, 0.0564277, 0.0549288, 0.0533671, 0.0517203, 0.0499527, 0.0479997, 0.0457156, 0.0425478, 0.0356875, 0.0205935, -0.00415638, -0.0821871, -0.189658, -0.722478, -3.8951", \
+					  "4.23432, 0.929303, 0.293615, 0.132255, 0.0374536, 0.0273158, 0.025111, 0.0235512, 0.0221168, 0.0207302, 0.0193657, 0.0180114, 0.0166599, 0.0153058, 0.0139443, 0.0125703, 0.0111775, 0.00975762, 0.00829868, 0.00678111, 0.0051683, 0.00336602, 0.000838408, -0.0059361, -0.0215248, -0.0844215, -0.181783, -0.698658, -3.8998", \
+					  "4.23165, 0.983951, 0.330564, 0.150111, 0.0145701, -0.0167115, -0.0260586, -0.0293877, -0.0316986, -0.0336748, -0.0354913, -0.0372159, -0.0388817, -0.0405076, -0.0421052, -0.0436828, -0.0452464, -0.0468015, -0.0483534, -0.0499082, -0.0514747, -0.0530686, -0.0547372, -0.056994, -0.0640002, -0.110168, -0.196319, -0.693648, -3.92031", \
+					  "4.23607, 1.04725, 0.377102, 0.175795, 0.00366139, -0.0522454, -0.0800016, -0.0893148, -0.0938502, -0.0970909, -0.0997911, -0.102203, -0.104438, -0.106555, -0.108587, -0.110556, -0.112476, -0.114358, -0.11621, -0.118037, -0.119846, -0.121643, -0.123435, -0.125248, -0.127865, -0.156289, -0.229614, -0.702237, -3.95191", \
+					  "4.24641, 1.11652, 0.428501, 0.20516, 0.000660848, -0.0774002, -0.127956, -0.150687, -0.159911, -0.165399, -0.169523, -0.172983, -0.176057, -0.178883, -0.181536, -0.184063, -0.186495, -0.188851, -0.191147, -0.193395, -0.195604, -0.197782, -0.199937, -0.202077, -0.204273, -0.217873, -0.275744, -0.717395, -3.98908", \
+					  "4.2593, 1.18672, 0.478161, 0.233159, 0.000101742, -0.097078, -0.169, -0.211226, -0.229185, -0.23831, -0.244481, -0.249336, -0.253473, -0.257165, -0.260557, -0.263734, -0.26675, -0.269642, -0.272435, -0.275149, -0.277798, -0.280395, -0.282951, -0.285477, -0.287988, -0.29477, -0.333087, -0.736921, -4.02903", \
+					  "4.27311, 1.25581, 0.523245, 0.258173, 1.10268e-05, -0.113564, -0.204171, -0.267319, -0.299253, -0.314117, -0.323144, -0.329781, -0.335195, -0.339882, -0.344094, -0.347972, -0.351606, -0.355052, -0.358351, -0.361532, -0.364617, -0.367625, -0.370569, -0.373466, -0.376329, -0.38223, -0.402089, -0.761566, -4.07077", \
+					  "4.28749, 1.32338, 0.563269, 0.280187, 6.06806e-07, -0.127638, -0.234377, -0.3167, -0.366853, -0.390595, -0.403652, -0.412584, -0.419537, -0.42537, -0.430493, -0.435129, -0.439415, -0.443435, -0.447249, -0.450898, -0.454415, -0.457823, -0.461144, -0.464395, -0.467595, -0.473938, -0.483666, -0.792218, -4.11432", \
+					  "4.3024, 1.38934, 0.598533, 0.299489, 2.25621e-08, -0.139725, -0.26037, -0.3593, -0.428974, -0.465588, -0.484414, -0.496357, -0.505201, -0.512372, -0.518522, -0.523989, -0.528972, -0.533595, -0.537942, -0.542069, -0.546021, -0.549828, -0.553519, -0.557116, -0.560642, -0.567564, -0.574945, -0.829236, -4.15964", \
+					  "4.3179, 1.45356, 0.629542, 0.316392, 2.35727e-09, -0.150131, -0.282776, -0.395931, -0.483772, -0.536938, -0.564028, -0.580004, -0.591208, -0.59997, -0.607294, -0.613685, -0.619427, -0.624694, -0.6296, -0.634224, -0.638621, -0.642833, -0.646896, -0.650837, -0.654683, -0.662188, -0.669679, -0.872719, -4.20657", \
+					  "4.33401, 1.51581, 0.6568, 0.331182, 1.82409e-09, -0.159105, -0.302121, -0.427481, -0.531023, -0.60254, -0.64111, -0.662579, -0.676793, -0.687477, -0.696165, -0.703597, -0.710175, -0.716138, -0.72164, -0.726785, -0.731645, -0.736275, -0.740716, -0.745005, -0.749172, -0.757255, -0.765206, -0.922739, -4.25488", \
+					  "4.35081, 1.57577, 0.680749, 0.344111, 1.94286e-09, -0.166852, -0.318843, -0.454715, -0.571517, -0.660791, -0.714173, -0.743177, -0.7613, -0.774344, -0.784639, -0.793261, -0.800771, -0.807496, -0.813641, -0.819339, -0.824686, -0.82975, -0.834584, -0.839229, -0.843722, -0.852385, -0.860837, -0.979483, -4.30442", \
+					  "4.36834, 1.63299, 0.701773, 0.355397, 2.15428e-09, -0.173545, -0.33331, -0.478266, -0.606273, -0.711156, -0.781617, -0.820821, -0.844105, -0.860102, -0.87232, -0.882318, -0.890879, -0.898446, -0.905289, -0.911583, -0.917447, -0.922968, -0.92821, -0.933224, -0.938053, -0.947306, -0.956261, -1.04336, -4.35504", \
+					  "4.38666, 1.68693, 0.720203, 0.365233, 2.46151e-09, -0.179328, -0.345832, -0.498655, -0.636201, -0.754164, -0.841993, -0.894385, -0.924552, -0.944306, -0.958861, -0.970471, -0.980231, -0.988739, -0.996349, -1.00329, -1.0097, -1.01571, -1.02138, -1.02678, -1.03196, -1.04182, -1.05128, -1.115, -4.40665", \
+					  "4.42582, 1.78217, 0.750395, 0.381209, 3.45117e-09, -0.188625, -0.366035, -0.531609, -0.68438, -0.822228, -0.939277, -1.02409, -1.07519, -1.10615, -1.1272, -1.14304, -1.1558, -1.16657, -1.17598, -1.1844, -1.19207, -1.19915, -1.20576, -1.212, -1.21792, -1.22907, -1.23959, -1.28137, -4.51257", \
+					  "4.46397, 1.85649, 0.773222, 0.393144, 5.10038e-09, -0.195506, -0.381088, -0.556278, -0.720433, -0.872396, -1.00937, -1.12399, -1.20527, -1.25519, -1.28677, -1.30893, -1.32588, -1.33967, -1.35137, -1.36161, -1.37077, -1.37912, -1.38682, -1.39401, -1.40077, -1.41333, -1.425, -1.46304, -4.62166", \
+					  "4.52509, 1.9688, 0.810734, 0.412289, 1.59341e-08, -0.206529, -0.405791, -0.597552, -0.781545, -0.957438, -1.12474, -1.28255, -1.42885, -1.55868, -1.66279, -1.73547, -1.78353, -1.8169, -1.84185, -1.86166, -1.87812, -1.89226, -1.90472, -1.9159, -1.92609, -1.94424, -1.96026, -2.00266, -4.94631", \
+					  "4.52562, 1.99426, 0.816305, 0.414342, 6.3073e-08, -0.208276, -0.41167, -0.610083, -0.803417, -0.991565, -1.17441, -1.35183, -1.52365, -1.68966, -1.84951, -2.00253, -2.14738, -2.28112, -2.39813, -2.49179, -2.561, -2.6113, -2.64912, -2.67887, -2.70327, -2.74187, -2.77209, -2.83834, -5.44888");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0304603, 0.0518305, 0.0776891, 0.1074331, 0.1570794");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983785, 0.1202205, 0.1462639, 0.1754744, 0.2246294");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0172819, 0.0267097, 0.0373712, 0.0499793, 0.0671783");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0739815, 0.0893091, 0.1014146, 0.1135868, 0.1307363");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.22209");
+						index_3("0.001");
+						index_4("1.5909915, 1.6787157, 1.8154563, 1.9619742, 2.0449702");
+						values("0.417406, 0.66785, 0.834813, 0.66785, 0.417406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.84997");
+						index_3("0.001");
+						index_4("0.9135602, 0.9841852, 1.1217075, 1.2145285, 1.2730751");
+						values("0.482147, 0.771436, 0.964295, 0.771436, 0.482147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.24172");
+						index_3("0.001");
+						index_4("0.6152101, 0.6733525, 0.7757975, 0.8585185, 0.9069337");
+						values("0.510985, 0.817575, 1.02197, 0.817575, 0.510985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.61105");
+						index_3("0.001");
+						index_4("0.8049242, 0.8484635, 0.9381564, 0.9935651, 1.0371441");
+						values("0.236648, 0.378637, 0.473297, 0.378637, 0.236648");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.924983");
+						index_3("0.001");
+						index_4("0.4659618, 0.5004545, 0.5507125, 0.6105068, 0.6493366");
+						values("0.272136, 0.435418, 0.544272, 0.435418, 0.272136");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.620862");
+						index_3("0.001");
+						index_4("0.3154982, 0.3432858, 0.3910316, 0.4352390, 0.4612088");
+						values("0.285407, 0.456652, 0.570815, 0.456652, 0.285407");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.77495");
+						index_3("0.001");
+						index_4("1.3643705, 1.4724073, 1.6674503, 1.8020846, 1.8916683");
+						values("0.668986, 1.07038, 1.33797, 1.07038, 0.668986");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.04796");
+						index_3("0.001");
+						index_4("0.5222598, 0.5700165, 0.6724899, 0.7698112, 0.8368874");
+						values("1.47168, 1.18468, 0.993353, 1.18468, 1.47168");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.594221");
+						index_3("0.001");
+						index_4("0.2981735, 0.3322131, 0.4045287, 0.4801872, 0.5278848");
+						values("1.44468, 1.14148, 0.939351, 1.14148, 1.44468");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.394134");
+						index_3("0.001");
+						index_4("0.1989923, 0.2254991, 0.2827964, 0.3422248, 0.3807349");
+						values("1.43706, 1.1293, 0.924124, 1.1293, 1.43706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.523981");
+						index_3("0.001");
+						index_4("0.2659828, 0.2910641, 0.3428308, 0.3940390, 0.4376436");
+						values("1.70592, 1.55948, 1.46185, 1.55948, 1.70592");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.297111");
+						index_3("0.001");
+						index_4("0.1531702, 0.1705757, 0.2036629, 0.2460766, 0.2810545");
+						values("1.70073, 1.55118, 1.45147, 1.55118, 1.70073");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.197067");
+						index_3("0.001");
+						index_4("0.1031254, 0.1167346, 0.1462145, 0.1772025, 0.2050037");
+						values("1.69987, 1.54979, 1.44974, 1.54979, 1.69987");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.891332");
+						index_3("0.001");
+						index_4("0.4423186, 0.4950347, 0.5921485, 0.7066810, 0.7780227");
+						values("1.21772, 0.778357, 0.485447, 0.778357, 1.21772");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006928;
+			capacitance : 0.006769;
+			fall_capacitance : 0.006611;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1164387, 0.0410317, 0.0160254, 0.0108556, 0.0065035, 0.0181203, 0.0022692", \
+					  "0.1778102, 0.1026062, 0.0732326, 0.0569872, 0.0475991, 0.0428919, 0.0438256", \
+					  "0.1607259, 0.1157435, 0.0826165, 0.0776058, 0.0450484, 0.0455424, 0.0541054", \
+					  "0.1670345, 0.1038432, 0.0755057, 0.0474996, 0.0452016, 0.0305904, 0.0514687", \
+					  "0.1444551, 0.0721085, 0.0273456, 0.0281251, 0.0264411, 0.0207044, 0.0291581", \
+					  "0.1165899, 0.0457672, 0.0024630, 0.0036938, 0.0079532, -0.0222168, 0.0090205", \
+					  "0.0848532, -0.0042800, -0.0307995, -0.0288965, 0.0337104, 0.0353822, -0.0348582");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3758918, 0.2411418, 0.1350425, 0.0490010, -0.0257201, -0.1039516, -0.1661476", \
+					  "0.4339299, 0.2876494, 0.1949109, 0.1056294, 0.0389046, -0.0423262, -0.1131646", \
+					  "0.4414352, 0.2846328, 0.2006131, 0.1191491, 0.0356815, -0.0353155, -0.1089885", \
+					  "0.4234315, 0.2902863, 0.1886561, 0.0950760, 0.0408979, -0.0529106, -0.1262434", \
+					  "0.4069555, 0.2676531, 0.1732816, 0.0970512, 0.0081903, -0.0692420, -0.1466951", \
+					  "0.3790884, 0.2380278, 0.1273606, 0.0555149, -0.0323422, -0.0646139, -0.1673843", \
+					  "0.3595588, 0.2095374, 0.1079355, 0.0220140, -0.0594725, -0.0991985, -0.1720917");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0971803, -0.0263513, 0.0001809, 0.0022990, 0.0066511, -0.0034398, 0.0153077", \
+					  "-0.1570256, -0.0888814, -0.0519800, -0.0306047, -0.0231941, -0.0206353, -0.0276186", \
+					  "-0.1399981, -0.0994931, -0.0740951, -0.0663983, -0.0375448, -0.0278095, -0.0348466", \
+					  "-0.1477758, -0.0845411, -0.0632246, -0.0359123, -0.0367043, -0.0190734, -0.0279684", \
+					  "-0.1251963, -0.0543322, -0.0129105, -0.0151181, -0.0148830, -0.0159275, -0.0066407", \
+					  "-0.0973291, -0.0264651, 0.0137440, 0.0064576, -0.0038581, 0.0181836, -0.0030174", \
+					  "-0.0655925, 0.0220563, 0.0424289, 0.0445203, -0.0205533, -0.0293402, 0.0470298");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1735238, -0.0370327, 0.0615400, 0.1486640, 0.2214202, 0.2750083, 0.5260000", \
+					  "-0.2333689, -0.1069126, 0.0028757, 0.0884446, 0.1690766, 0.2403439, 0.3092820", \
+					  "-0.2316359, -0.1051954, -0.0036645, 0.0923702, 0.1653869, 0.2471702, 0.3074841", \
+					  "-0.2332744, -0.0948210, 0.0024598, 0.0974411, 0.1772871, 0.2569858, 0.3101857", \
+					  "-0.2106949, -0.0630865, 0.0325461, 0.1089824, 0.1937631, 0.2798026, 0.3410342", \
+					  "-0.1858795, -0.0367450, 0.0363698, 0.1382168, 0.2163876, 0.2939368, 0.3570900", \
+					  "-0.1495652, 0.0133022, 0.0681065, 0.1643706, 0.2460803, 0.2865261, 0.3811973");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425391, 0.0419784, 0.0414177, 0.0416125, 0.0417995, 0.0419943, 0.0421891");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0542222, 0.0534303, 0.0526384, 0.0532766, 0.0538892, 0.0545274, 0.0551655");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.00106108";
+				miller_cap_rise : "0.000886848";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91031e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87956e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88767e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89202e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89777e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90965e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18651e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350737, 0.0654616, 0.1027410, 0.1450915, 0.2127594");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1010410, 0.1314012, 0.1686430, 0.2113895, 0.2791158");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187521, 0.0298075, 0.0414291, 0.0542067, 0.0707932");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765266, 0.0931006, 0.1055895, 0.1181801, 0.1342002");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.17149");
+						index_3("0.001");
+						index_4("2.0590590, 2.1673015, 2.3797730, 2.5153611, 2.6060170");
+						values("0.380783, 0.609252, 0.761565, 0.609252, 0.380783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40381");
+						index_3("0.001");
+						index_4("1.1858234, 1.2782055, 1.4297597, 1.5552256, 1.6286839");
+						values("0.45867, 0.733873, 0.917341, 0.733873, 0.45867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62017");
+						index_3("0.001");
+						index_4("0.7996104, 0.8765000, 1.0065325, 1.1086776, 1.1649543");
+						values("0.48896, 0.782335, 0.977919, 0.782335, 0.48896");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08575");
+						index_3("0.001");
+						index_4("1.0398455, 1.0957502, 1.1857852, 1.2667720, 1.3140762");
+						values("0.216714, 0.346743, 0.433428, 0.346743, 0.216714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20191");
+						index_3("0.001");
+						index_4("0.6026064, 0.6473462, 0.7205378, 0.7859579, 0.8225236");
+						values("0.255554, 0.408887, 0.511108, 0.408887, 0.255554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.810086");
+						index_3("0.001");
+						index_4("0.4083568, 0.4461370, 0.5194575, 0.5607781, 0.5900958");
+						values("0.270321, 0.432513, 0.540642, 0.432513, 0.270321");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.60572");
+						index_3("0.001");
+						index_4("1.7694516, 1.9126245, 2.1239663, 2.3177255, 2.4221926");
+						values("0.636225, 1.01796, 1.27245, 1.01796, 0.636225");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.2001");
+						index_3("0.001");
+						index_4("0.5989375, 0.6552179, 0.7635679, 0.8940352, 0.9822799");
+						values("1.4467, 1.14471, 0.943393, 1.14471, 1.4467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.680148");
+						index_3("0.001");
+						index_4("0.3424121, 0.3830317, 0.4609468, 0.5584630, 0.6243192");
+						values("1.41986, 1.10178, 0.88972, 1.10178, 1.41986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451194");
+						index_3("0.001");
+						index_4("0.2288319, 0.2604873, 0.3271507, 0.3985948, 0.4485543");
+						values("1.40787, 1.0826, 0.865745, 1.0826, 1.40787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.600051");
+						index_3("0.001");
+						index_4("0.3050185, 0.3339131, 0.3827462, 0.4570060, 0.5130212");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340074");
+						index_3("0.001");
+						index_4("0.1757125, 0.1971397, 0.2403617, 0.2874011, 0.3311760");
+						values("1.67968, 1.51749, 1.40936, 1.51749, 1.67968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225597");
+						index_3("0.001");
+						index_4("0.1188404, 0.1355336, 0.1668261, 0.2068097, 0.2414106");
+						values("1.67873, 1.51596, 1.40746, 1.51596, 1.67873");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02022");
+						index_3("0.001");
+						index_4("0.5084140, 0.5701794, 0.6653996, 0.8184802, 0.9132013");
+						values("1.17399, 0.708378, 0.397972, 0.708378, 1.17399");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.018312;
+			capacitance : 0.019257;
+			rise_capacitance : 0.020202;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6754388, 0.8967032, 1.1179677, 1.3825258, 1.6365017, 1.9010598, 2.1656179");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.1619740, 29.7756410, 45.3893070, 45.7833310, 46.1615940, 46.5556180, 46.9496420");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00154325";
+				miller_cap_rise : "0.000815969";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("12.1771, 5.6796, 2.30777, 2.25715, 2.19128, 2.1491, 2.09729, 2.03215, 1.95278, 1.86424, 1.77048, 1.67254, 1.57058, 1.46457, 1.35443, 1.24004, 1.1213, 0.998094, 0.870297, 0.737788, 0.600438, 0.458118, 0.310696, 0.158036, -1.80068e-08, -0.32192, -0.649267, -5.54981, -15.3887", \
+					  "11.8187, 5.2342, 1.61124, 1.57708, 1.5502, 1.53479, 1.51763, 1.49826, 1.47598, 1.44975, 1.41784, 1.3773, 1.32329, 1.25281, 1.17123, 1.08286, 0.988476, 0.888056, 0.781441, 0.668438, 0.548836, 0.422418, 0.288959, 0.148232, -7.6572e-09, -0.30461, -0.620521, -5.54636, -15.3855", \
+					  "11.6551, 4.98952, 1.26485, 1.17046, 1.15324, 1.14382, 1.13362, 1.12246, 1.11011, 1.09626, 1.08046, 1.06203, 1.03987, 1.01204, 0.974919, 0.922515, 0.853954, 0.775571, 0.689412, 0.595515, 0.493633, 0.383466, 0.264693, 0.136984, -5.56146e-09, -0.284412, -0.587821, -5.5426, -15.382", \
+					  "11.6001, 4.91219, 1.16587, 1.03731, 1.02224, 1.01422, 1.00563, 0.996303, 0.986082, 0.974742, 0.961981, 0.947353, 0.930174, 0.909308, 0.882702, 0.846321, 0.793863, 0.726101, 0.648805, 0.563136, 0.468944, 0.365903, 0.253652, 0.131814, -5.2942e-09, -0.275217, -0.573072, -5.54095, -15.3805", \
+					  "11.5431, 4.83835, 1.07631, 0.905725, 0.892355, 0.88552, 0.878293, 0.870529, 0.862092, 0.852822, 0.84251, 0.83086, 0.817434, 0.801542, 0.782008, 0.756616, 0.720827, 0.668318, 0.601692, 0.525483, 0.440128, 0.345321, 0.240655, 0.125697, -5.23002e-09, -0.264411, -0.555876, -5.53905, -15.3787", \
+					  "11.5128, 4.80289, 1.03608, 0.840836, 0.82791, 0.821598, 0.81498, 0.807911, 0.800264, 0.7919, 0.782645, 0.772256, 0.760381, 0.746476, 0.729641, 0.708237, 0.678926, 0.635318, 0.575283, 0.504371, 0.423931, 0.333717, 0.233303, 0.122225, -2.33737e-08, -0.258311, -0.546237, -5.53799, -15.3778", \
+					  "11.4808, 4.76807, 0.997564, 0.784097, 0.763851, 0.758016, 0.751964, 0.745541, 0.738626, 0.731097, 0.722807, 0.713558, 0.703064, 0.690897, 0.676364, 0.658243, 0.634123, 0.598853, 0.546654, 0.48154, 0.406385, 0.32112, 0.225303, 0.118434, -4.68577e-06, -0.251694, -0.535836, -5.53687, -15.3767", \
+					  "11.4468, 4.73301, 0.960231, 0.737892, 0.70007, 0.694621, 0.689056, 0.683201, 0.676933, 0.670145, 0.66271, 0.654464, 0.645179, 0.634515, 0.621935, 0.606525, 0.586545, 0.558242, 0.514815, 0.45616, 0.386663, 0.306684, 0.215776, 0.113401, -0.00100809, -0.24581, -0.526383, -5.537, -15.3783", \
+					  "11.4103, 4.69787, 0.923807, 0.69524, 0.632196, 0.623981, 0.618085, 0.612269, 0.606226, 0.599802, 0.592868, 0.585279, 0.576842, 0.567285, 0.556191, 0.542871, 0.526063, 0.503136, 0.468289, 0.416904, 0.353245, 0.278569, 0.192424, 0.0941829, -0.0168232, -0.257359, -0.539206, -5.55299, -15.4096", \
+					  "11.3711, 4.6631, 0.888123, 0.653034, 0.5639, 0.544554, 0.536325, 0.529962, 0.523869, 0.517653, 0.511116, 0.5041, 0.49643, 0.487879, 0.478119, 0.466625, 0.452479, 0.433849, 0.406551, 0.363733, 0.306626, 0.237804, 0.156896, 0.063163, -0.0441988, -0.280776, -0.565395, -5.57736, -15.4543", \
+					  "11.3289, 4.62823, 0.853067, 0.61024, 0.498361, 0.465133, 0.450877, 0.443189, 0.436817, 0.430715, 0.424523, 0.418038, 0.411082, 0.403459, 0.394903, 0.385016, 0.373122, 0.357942, 0.336652, 0.302928, 0.253347, 0.191079, 0.116112, 0.0275957, -0.0754176, -0.306853, -0.593407, -5.60266, -15.4995", \
+					  "11.2834, 4.59335, 0.818562, 0.566796, 0.435355, 0.389196, 0.365334, 0.354908, 0.347909, 0.341789, 0.335871, 0.329856, 0.323548, 0.316763, 0.309284, 0.3008, 0.290815, 0.27843, 0.261766, 0.23627, 0.195362, 0.14039, 0.0721018, -0.0104282, -0.108298, -0.333113, -0.620019, -5.62714, -15.5424", \
+					  "11.2344, 4.55806, 0.784554, 0.522942, 0.374664, 0.317135, 0.282226, 0.266795, 0.258594, 0.252266, 0.246522, 0.240905, 0.235172, 0.229137, 0.222612, 0.215354, 0.206996, 0.196903, 0.183822, 0.164818, 0.133281, 0.086433, 0.0255966, -0.0501344, -0.142012, -0.358598, -0.640545, -5.65023, -15.5822", \
+					  "11.1816, 4.52248, 0.751017, 0.479014, 0.316205, 0.248753, 0.203182, 0.180193, 0.16984, 0.163019, 0.157321, 0.152016, 0.146779, 0.141406, 0.135723, 0.129536, 0.122569, 0.114375, 0.104114, 0.0899483, 0.0671374, 0.0293746, -0.0231963, -0.0912701, -0.176253, -0.382909, -0.656966, -5.67157, -15.6186", \
+					  "11.1249, 4.48664, 0.717978, 0.435415, 0.260047, 0.183932, 0.128783, 0.096507, 0.0825188, 0.074774, 0.0689497, 0.0638537, 0.0590276, 0.054229, 0.0492847, 0.0440285, 0.0382539, 0.0316444, 0.0236369, 0.0130794, -0.00288095, -0.0308713, -0.0743101, -0.133815, -0.210937, -0.405866, -0.670198, -5.69064, -15.6514", \
+					  "11.0643, 4.45052, 0.685566, 0.392657, 0.206433, 0.122751, 0.059147, 0.0172084, -0.00237576, -0.0116988, -0.0178856, -0.0228973, -0.0274049, -0.0317178, -0.0360241, -0.0404744, -0.0452299, -0.0505145, -0.0567051, -0.0645275, -0.0756178, -0.0944981, -0.127902, -0.177866, -0.246088, -0.427377, -0.680068, -5.70812, -15.6805", \
+					  "11.0003, 4.41471, 0.654095, 0.351425, 0.155838, 0.0655513, -0.00550361, -0.0564462, -0.0835525, -0.0954382, -0.102317, -0.1074, -0.111694, -0.115614, -0.11938, -0.123143, -0.127034, -0.131216, -0.135938, -0.141662, -0.149333, -0.161352, -0.184196, -0.22359, -0.281785, -0.447383, -0.686413, -5.72407, -15.7067", \
+					  "10.9336, 4.37996, 0.624172, 0.312692, 0.109062, 0.0130257, -0.0645926, -0.123491, -0.159297, -0.175129, -0.183167, -0.188522, -0.192724, -0.19635, -0.199677, -0.202867, -0.20604, -0.209315, -0.212863, -0.216977, -0.222219, -0.229812, -0.243374, -0.271201, -0.318144, -0.465833, -0.68903, -5.73852, -15.7299", \
+					  "10.8662, 4.34669, 0.596832, 0.277897, 0.0673846, -0.033627, -0.117014, -0.182837, -0.227448, -0.248894, -0.258758, -0.264646, -0.268902, -0.272348, -0.275342, -0.278076, -0.28067, -0.283225, -0.285857, -0.288758, -0.29228, -0.297101, -0.304743, -0.320921, -0.355312, -0.482675, -0.687593, -5.75148, -15.7504", \
+					  "10.802, 4.31691, 0.573735, 0.249194, 0.0327855, -0.0724994, -0.160935, -0.232779, -0.285466, -0.314056, -0.326672, -0.333443, -0.337933, -0.341325, -0.344103, -0.346505, -0.348667, -0.350682, -0.352638, -0.354671, -0.35702, -0.36012, -0.364747, -0.372756, -0.393458, -0.49786, -0.681901, -5.76316, -15.7685", \
+					  "10.7478, 4.29362, 0.557426, 0.229725, 0.00814532, -0.100786, -0.193615, -0.2707, -0.330392, -0.366993, -0.383545, -0.391659, -0.396602, -0.400088, -0.402779, -0.404981, -0.406859, -0.408514, -0.410027, -0.411502, -0.413125, -0.415229, -0.418376, -0.423432, -0.432802, -0.511441, -0.673758, -5.77396, -15.7846", \
+					  "10.7259, 4.2856, 0.554439, 0.227016, 0.000118859, -0.112071, -0.208838, -0.290585, -0.356318, -0.400968, -0.422829, -0.432973, -0.438728, -0.442569, -0.445408, -0.447647, -0.449495, -0.451071, -0.452464, -0.453768, -0.455146, -0.456898, -0.459533, -0.463838, -0.470909, -0.524472, -0.666285, -5.78418, -15.799", \
+					  "10.7271, 4.2869, 0.558537, 0.232469, 9.34718e-08, -0.115289, -0.215761, -0.30185, -0.373003, -0.425296, -0.453855, -0.466968, -0.474007, -0.478517, -0.48176, -0.484271, -0.486313, -0.488037, -0.489538, -0.490914, -0.49231, -0.493997, -0.496451, -0.500428, -0.50701, -0.540994, -0.661284, -5.79402, -15.8119", \
+					  "10.7287, 4.28826, 0.562531, 0.237539, 1.36237e-08, -0.118079, -0.221914, -0.311946, -0.38792, -0.447046, -0.483199, -0.500289, -0.509015, -0.514365, -0.5181, -0.520934, -0.523206, -0.525101, -0.526733, -0.5282, -0.529636, -0.531281, -0.533575, -0.537231, -0.543306, -0.568817, -0.659643, -5.80357, -15.8236", \
+					  "10.7302, 4.2895, 0.566306, 0.24214, 1.86068e-08, -0.12059, -0.227474, -0.321094, -0.401387, -0.466478, -0.510502, -0.532758, -0.543715, -0.550126, -0.554457, -0.55767, -0.560207, -0.562298, -0.56408, -0.565656, -0.567149, -0.568774, -0.570928, -0.574278, -0.579839, -0.603515, -0.666512, -5.81291, -15.8341", \
+					  "10.7327, 4.29169, 0.573233, 0.250108, 4.83137e-08, -0.124889, -0.237064, -0.336941, -0.424669, -0.499496, -0.557829, -0.593716, -0.611538, -0.621063, -0.627032, -0.631237, -0.63444, -0.637011, -0.639156, -0.641005, -0.642674, -0.644331, -0.646288, -0.649102, -0.653673, -0.67374, -0.722105, -5.8329, -15.8525", \
+					  "10.7349, 4.29355, 0.579383, 0.256675, 1.38187e-07, -0.128383, -0.244941, -0.350052, -0.443949, -0.526408, -0.595719, -0.646643, -0.675627, -0.690459, -0.699012, -0.70467, -0.708795, -0.712006, -0.714623, -0.716831, -0.718759, -0.720549, -0.722438, -0.724861, -0.728576, -0.745023, -0.786828, -5.8545, -15.8697", \
+					  "10.7396, 4.29761, 0.593671, 0.270122, 1.63417e-06, -0.135379, -0.261099, -0.377433, -0.484625, -0.582846, -0.672066, -0.7517, -0.819567, -0.870515, -0.901539, -0.918827, -0.929301, -0.936393, -0.941621, -0.945713, -0.949056, -0.951879, -0.954349, -0.956653, -0.959112, -0.967289, -0.98947, -5.91713, -15.9036", \
+					  "10.7438, 4.30139, 0.606475, 0.279587, 1.8203e-05, -0.140058, -0.272957, -0.39884, -0.517865, -0.630184, -0.735934, -0.835226, -0.928111, -1.0145, -1.09397, -1.16523, -1.22516, -1.26936, -1.29777, -1.31566, -1.32767, -1.33639, -1.3431, -1.34851, -1.35303, -1.36038, -1.36766, -6.03886, -15.9457");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0316994, 0.0646630, 0.1001519, 0.1420163, 0.2108869");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0859116, 0.1220550, 0.1574995, 0.1992861, 0.2684138");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0486724, 0.0986454, 0.1506015, 0.2044972, 0.2789506");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011118, 0.1511087, 0.2030708, 0.2568744, 0.3311447");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.57708");
+						index_3("0.001");
+						index_4("0.6797956, 0.7725763, 0.8610425, 0.9660979, 1.0603680");
+						values("0.308509, 0.493614, 0.617018, 0.493614, 0.308509");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.13557");
+						index_3("0.001");
+						index_4("0.5010688, 0.5711706, 0.6439829, 0.7400819, 0.8129757");
+						values("0.436357, 0.698172, 0.872714, 0.698172, 0.436357");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.792844");
+						index_3("0.001");
+						index_4("0.3658036, 0.4173047, 0.4872219, 0.5697140, 0.6273965");
+						values("0.664496, 1.06319, 1.32899, 1.06319, 0.664496");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.788539");
+						index_3("0.001");
+						index_4("0.3510572, 0.3978250, 0.4567759, 0.5197734, 0.5780236");
+						values("0.251247, 0.401996, 0.502494, 0.401996, 0.251247");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("0.567785");
+						index_3("0.001");
+						index_4("0.2580685, 0.2934418, 0.3450243, 0.4015334, 0.4481567");
+						values("0.321447, 0.514315, 0.642894, 0.514315, 0.321447");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.396422");
+						index_3("0.001");
+						index_4("0.1861744, 0.2131907, 0.2525284, 0.3058397, 0.3461879");
+						values("0.413114, 0.660983, 0.826229, 0.660983, 0.413114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.70335");
+						index_3("0.001");
+						index_4("0.7563919, 0.8539765, 0.9614985, 1.0717013, 1.1641208");
+						values("0.517783, 0.828452, 1.03557, 0.828452, 0.517783");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.58352");
+						index_3("0.001");
+						index_4("0.6555302, 0.7708117, 0.8818958, 0.9885288, 1.1036263");
+						values("5.24621, 5.09394, 4.99243, 5.09394, 5.24621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.2522");
+						index_3("0.001");
+						index_4("0.5299328, 0.6218892, 0.6988492, 0.8093631, 0.9035159");
+						values("5.17301, 4.97681, 4.84601, 4.97681, 5.17301");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("1.02568");
+						index_3("0.001");
+						index_4("0.4473883, 0.5187549, 0.5954613, 0.6884405, 0.7677928");
+						values("5.08676, 4.83882, 4.67352, 4.83882, 5.08676");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.791759");
+						index_3("0.001");
+						index_4("0.3467616, 0.4020875, 0.4554105, 0.5396252, 0.6062598");
+						values("5.28614, 5.15782, 5.07227, 5.15782, 5.28614");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.626101");
+						index_3("0.001");
+						index_4("0.2818602, 0.3238834, 0.3668111, 0.4481485, 0.5053058");
+						values("5.24389, 5.09023, 4.98778, 5.09023, 5.24389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.512841");
+						index_3("0.001");
+						index_4("0.2367369, 0.2720566, 0.3236647, 0.3832080, 0.4332102");
+						values("5.19721, 5.01554, 4.89443, 5.01554, 5.19721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.8783");
+						index_3("0.001");
+						index_4("0.7865449, 0.9159386, 1.0142665, 1.1597079, 1.2892799");
+						values("5.1363, 4.91808, 4.77261, 4.91808, 5.1363");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033266;
+			capacitance : 0.033204;
+			fall_capacitance : 0.033142;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1505141, 1.3466635, 1.5428128, 1.8090585, 2.0646545, 2.3309002, 2.5971460");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0595048, 0.1144765, 0.2884578, 0.5451894, 0.7916516, 1.0483831, 1.3051147");
+				}
+			}
+			/* Copied from enable_switch_h. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007164;
+			capacitance : 0.007016;
+			fall_capacitance : 0.006867;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0401464, -0.0319955, -0.0508464, -0.0630536, -0.0726757, -0.0566480, -0.0727984", \
+					  "0.0902616, 0.0328425, 0.0011243, -0.0086232, -0.0155827, -0.0178888, -0.0356707", \
+					  "0.0801597, 0.0379332, 0.0195824, -0.0170660, -0.0225338, -0.0184135, -0.0160850", \
+					  "0.0720220, 0.0214553, 0.0049240, -0.0343604, -0.0435874, -0.0273610, -0.0249335", \
+					  "0.0589593, -0.0072277, -0.0468701, -0.0629655, -0.0555606, -0.0682830, -0.0749788", \
+					  "0.0311387, -0.0366207, -0.0723050, -0.0910794, -0.0922486, -0.0792919, -0.0982683", \
+					  "-0.0005980, -0.0805644, -0.1116711, -0.1207755, -0.1242293, -0.1247429, -0.1029943");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1185473, -0.2478959, -0.3474880, -0.4373644, -0.5174178, -0.5754468, -0.5665770", \
+					  "-0.0601774, -0.1945865, -0.3022970, -0.3856196, -0.4757778, -0.5348121, -0.6055960", \
+					  "-0.0621046, -0.1943780, -0.2870327, -0.3691607, -0.4613042, -0.5473068, -0.5973486", \
+					  "-0.0785825, -0.2093298, -0.2931116, -0.3867180, -0.4844452, -0.5335889, -0.6046530", \
+					  "-0.1133690, -0.2334351, -0.3156910, -0.3829299, -0.4858240, -0.5886463, -0.6911289", \
+					  "-0.1549690, -0.2628284, -0.3535224, -0.4310965, -0.4864510, -0.5444175, -0.6601135", \
+					  "-0.1973869, -0.2945649, -0.3844501, -0.4471113, -0.5440846, -0.6351483, -0.6808472");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1911957, 0.2622777, 0.2986574, 0.3006326, 0.2934695, 0.3002102, 0.2925064", \
+					  "0.1328897, 0.2039572, 0.2339628, 0.2484051, 0.2575190, 0.2756194, 0.2625650", \
+					  "0.1317651, 0.1965283, 0.2393988, 0.2564661, 0.2670089, 0.2624624, 0.2464527", \
+					  "0.1482449, 0.2130062, 0.2608314, 0.2830027, 0.3455944, 0.2648525, 0.2654447", \
+					  "0.1769279, 0.2355856, 0.2757939, 0.3089867, 0.3133627, 0.3052328, 0.2849724", \
+					  "0.2154762, 0.2649787, 0.3051869, 0.3383798, 0.3229729, 0.3236182, 0.3311501", \
+					  "0.2578940, 0.2982412, 0.3384494, 0.3701165, 0.3562079, 0.3553617, 0.3561143");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1317050, 0.2595736, 0.3600694, 0.4445283, 0.5280430, 0.6053859, 0.5778068", \
+					  "0.0733328, 0.2041783, 0.3079092, 0.3986706, 0.4851782, 0.5444344, 0.6077775", \
+					  "0.0752600, 0.2075912, 0.3028605, 0.3840441, 0.4675598, 0.5418857, 0.6090743", \
+					  "0.0932637, 0.2225432, 0.3093873, 0.3924787, 0.4884267, 0.5319768, 0.6122867", \
+					  "0.1265243, 0.2451226, 0.3273890, 0.3917202, 0.4925593, 0.5843781, 0.6232602", \
+					  "0.1681244, 0.2745159, 0.3654228, 0.4398442, 0.4952292, 0.5534251, 0.6662523", \
+					  "0.2105422, 0.3062523, 0.3885187, 0.4559016, 0.5483282, 0.6164135, 0.6865923");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538743, 0.0532279, 0.0525816, 0.0531560, 0.0537075, 0.0542819, 0.0548563");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425163, 0.0419438, 0.0413713, 0.0415476, 0.0417168, 0.0418931, 0.0420694");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010608";
+				miller_cap_rise : "0.000886029";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91021e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87946e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88757e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89193e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89766e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90955e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18642e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350821, 0.0657941, 0.1022187, 0.1447492, 0.2126969");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1009548, 0.1311494, 0.1681711, 0.2107468, 0.2780543");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187224, 0.0297188, 0.0412698, 0.0539439, 0.0706486");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764808, 0.0929814, 0.1054426, 0.1179760, 0.1338955");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.14851");
+						index_3("0.001");
+						index_4("2.0478413, 2.1556098, 2.3652763, 2.5012057, 2.5916527");
+						values("0.381059, 0.609695, 0.762119, 0.609695, 0.381059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.39057");
+						index_3("0.001");
+						index_4("1.1792953, 1.2711502, 1.4212456, 1.5468995, 1.6197027");
+						values("0.458689, 0.733903, 0.917379, 0.733903, 0.458689");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.61125");
+						index_3("0.001");
+						index_4("0.7952109, 0.8716752, 1.0009855, 1.1026178, 1.1585993");
+						values("0.488951, 0.782322, 0.977902, 0.782322, 0.488951");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.07426");
+						index_3("0.001");
+						index_4("1.0341715, 1.0897499, 1.1794266, 1.2598811, 1.3068872");
+						values("0.216802, 0.346883, 0.433604, 0.346883, 0.216802");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.19528");
+						index_3("0.001");
+						index_4("0.5993252, 0.6437974, 0.7167144, 0.7817090, 0.8180361");
+						values("0.255627, 0.409004, 0.511255, 0.409004, 0.255627");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.805624");
+						index_3("0.001");
+						index_4("0.4061695, 0.4437759, 0.5163736, 0.5576870, 0.5868630");
+						values("0.270549, 0.432878, 0.541098, 0.432878, 0.270549");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.58585");
+						index_3("0.001");
+						index_4("1.7593805, 1.9016832, 2.0978316, 2.3058563, 2.4095018");
+						values("0.635312, 1.0165, 1.27062, 1.0165, 0.635312");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.19451");
+						index_3("0.001");
+						index_4("0.5961584, 0.6521914, 0.7615254, 0.8900306, 0.9780552");
+						values("1.44662, 1.14459, 0.943243, 1.14459, 1.44662");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.676981");
+						index_3("0.001");
+						index_4("0.3408502, 0.3812831, 0.4587501, 0.5558889, 0.6213966");
+						values("1.41974, 1.10158, 0.889471, 1.10158, 1.41974");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.449093");
+						index_3("0.001");
+						index_4("0.2277744, 0.2592268, 0.3267760, 0.3969427, 0.4462580");
+						values("1.40811, 1.08297, 0.866211, 1.08297, 1.40811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.597257");
+						index_3("0.001");
+						index_4("0.3036300, 0.3323696, 0.3807082, 0.4549682, 0.5107107");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.338491");
+						index_3("0.001");
+						index_4("0.1749450, 0.1962463, 0.2393608, 0.2860893, 0.3296606");
+						values("1.67983, 1.51773, 1.40967, 1.51773, 1.67983");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.224546");
+						index_3("0.001");
+						index_4("0.1183265, 0.1349369, 0.1660168, 0.2058748, 0.2403051");
+						values("1.67884, 1.51614, 1.40767, 1.51614, 1.67884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.01547");
+						index_3("0.001");
+						index_4("0.5060721, 0.5675516, 0.6621571, 0.8146583, 0.9089627");
+						values("1.17369, 0.707897, 0.397372, 0.707897, 1.17369");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014331;
+			capacitance : 0.014243;
+			fall_capacitance : 0.014156;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1109123, -0.0385583, -0.0120261, -0.0083822, -0.0040301, -0.0095433, 0.0063077", \
+					  "-0.1738093, -0.0969710, -0.0652548, -0.0514637, -0.0335661, -0.0388919, -0.0382997", \
+					  "-0.1552560, -0.1070890, -0.0785798, -0.0735814, -0.0705168, -0.0400165, -0.0485796", \
+					  "-0.1599819, -0.0936629, -0.0730436, -0.0449284, 0.3455767, -0.0265904, -0.0443127", \
+					  "-0.1389283, -0.0619282, -0.0265090, -0.0240668, -0.0208976, -0.0159316, -0.0236529", \
+					  "-0.1095353, -0.0355869, -0.0015148, 0.0003823, 0.0109363, -0.0007468, 0.0279863", \
+					  "-0.0777986, 0.0144603, 0.0317478, 0.0364499, 0.0325273, 0.0579320, 0.0404254");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1704713, -0.0324548, 0.0627294, 0.1531035, 0.2216715, 0.2749536, 0.3178540", \
+					  "-0.2272648, -0.0969138, 0.0044016, 0.0915676, 0.1720986, 0.2418698, 0.3107602", \
+					  "-0.2209182, -0.1006093, -0.0022186, 0.0838787, 0.1653869, 0.2455697, 0.3073723", \
+					  "-0.2256443, -0.0917610, 0.0024487, 0.1003631, 0.1803389, 0.2529242, 0.3101527", \
+					  "-0.2030648, -0.0600263, 0.0340908, 0.1131977, 0.1937631, 0.2828543, 0.3250987", \
+					  "-0.1767236, -0.0336850, 0.0409448, 0.1440534, 0.2150355, 0.2906870, 0.3647194", \
+					  "-0.1404093, 0.0163624, 0.0726815, 0.1718139, 0.2474592, 0.2848626, 0.3811973");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529474, 0.2778699, 0.2525366, 0.2321080, 0.2247041, 0.2225880, 0.2265734", \
+					  "0.4064645, 0.3278714, 0.3093518, 0.2881486, 0.2901778, 0.2840833, 0.2879662", \
+					  "0.4159108, 0.3234929, 0.3105473, 0.3022548, 0.2856180, 0.2827541, 0.2749328", \
+					  "0.4004915, 0.3274976, 0.2819817, 0.2842510, 0.2831144, 0.2854121, 0.2726129", \
+					  "0.3824897, 0.3063761, 0.2752695, 0.2514600, 0.2512159, 0.2857209, 0.2363005", \
+					  "0.3683555, 0.2782602, 0.2286226, 0.2536408, 0.2111418, 0.2142007, 0.2450545", \
+					  "0.3335670, 0.2475418, 0.2156406, 0.2188524, 0.2017354, 0.2108583, 0.2048541");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1866800, 0.0501848, -0.0521293, -0.1392266, -0.2109619, -0.2702690, -0.3149049", \
+					  "0.2419447, 0.1142799, 0.0040888, -0.0796567, -0.1615592, -0.2343908, -0.3008948", \
+					  "0.2506975, 0.1124819, 0.0138669, -0.0798087, -0.1550435, -0.2293191, -0.2973581", \
+					  "0.2418501, 0.1036336, -0.0010066, -0.0736175, -0.1748130, -0.2471694, -0.3015513", \
+					  "0.2177448, 0.0718986, -0.0203287, -0.0962982, -0.1788541, -0.2498626, -0.3291990", \
+					  "0.1975070, 0.0425058, -0.0290426, -0.1265337, -0.2017687, -0.2838333, -0.3358114", \
+					  "0.1672962, -0.0044899, -0.0607793, -0.1494329, -0.2335787, -0.2747253, -0.3573609");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540300, 0.0534522, 0.0528744, 0.0534399, 0.0539828, 0.0545483, 0.0551138");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425349, 0.0420523, 0.0415697, 0.0417382, 0.0419001, 0.0420686, 0.0422372");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105772";
+				miller_cap_rise : "0.000879112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91034e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87958e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88771e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89205e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.8978e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90967e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18655e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.07571e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0351589, 0.0657407, 0.1029582, 0.1461699, 0.2139529");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011281, 0.1315191, 0.1688047, 0.2115821, 0.2793841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0188319, 0.0298939, 0.0415029, 0.0541900, 0.0707188");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765226, 0.0930895, 0.1056684, 0.1182703, 0.1343198");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18105");
+						index_3("0.001");
+						index_4("2.0636615, 2.1720643, 2.3861157, 2.5212828, 2.6120700");
+						values("0.381132, 0.60981, 0.762263, 0.60981, 0.381132");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40932");
+						index_3("0.001");
+						index_4("1.1884893, 1.2812180, 1.4337142, 1.5587600, 1.6325015");
+						values("0.459293, 0.734869, 0.918586, 0.734869, 0.459293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62388");
+						index_3("0.001");
+						index_4("0.8014507, 0.8784834, 1.0099447, 1.1112400, 1.1677317");
+						values("0.489342, 0.782947, 0.978684, 0.782947, 0.489342");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09052");
+						index_3("0.001");
+						index_4("1.0422029, 1.0982436, 1.1908686, 1.2700943, 1.3173047");
+						values("0.216851, 0.346961, 0.433701, 0.346961, 0.216851");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20466");
+						index_3("0.001");
+						index_4("0.6039753, 0.6487676, 0.7240098, 0.7874055, 0.8245302");
+						values("0.255642, 0.409027, 0.511283, 0.409027, 0.255642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811942");
+						index_3("0.001");
+						index_4("0.4092828, 0.4470649, 0.5210579, 0.5621788, 0.5915178");
+						values("0.270545, 0.432873, 0.541091, 0.432873, 0.270545");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.61398");
+						index_3("0.001");
+						index_4("1.7752440, 1.9168060, 2.1318016, 2.3229860, 2.4277155");
+						values("0.636978, 1.01917, 1.27396, 1.01917, 0.636978");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20269");
+						index_3("0.001");
+						index_4("0.5997272, 0.6567946, 0.7721224, 0.8970489, 0.9863844");
+						values("1.44491, 1.14185, 0.939814, 1.14185, 1.44491");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681615");
+						index_3("0.001");
+						index_4("0.3431984, 0.3839359, 0.4621048, 0.5596959, 0.6257108");
+						values("1.41934, 1.10094, 0.888679, 1.10094, 1.41934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452167");
+						index_3("0.001");
+						index_4("0.2293100, 0.2607167, 0.3310155, 0.4000566, 0.4492468");
+						values("1.40857, 1.08371, 0.867131, 1.08371, 1.40857");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.601345");
+						index_3("0.001");
+						index_4("0.3057683, 0.3349303, 0.3874826, 0.4574514, 0.5140895");
+						values("1.69303, 1.53884, 1.43606, 1.53884, 1.69303");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340807");
+						index_3("0.001");
+						index_4("0.1761879, 0.1974909, 0.2417938, 0.2880984, 0.3319271");
+						values("1.6804, 1.51863, 1.41079, 1.51863, 1.6804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226083");
+						index_3("0.001");
+						index_4("0.1191871, 0.1359437, 0.1682226, 0.2072662, 0.2419556");
+						values("1.67878, 1.51604, 1.40756, 1.51604, 1.67878");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02242");
+						index_3("0.001");
+						index_4("0.5099870, 0.5725161, 0.6803337, 0.8212983, 0.9148504");
+						values("1.16912, 0.700595, 0.388244, 0.700595, 1.16912");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.994940;
+			max_transition : 1.506563;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("2.2686592, 2.4279444, 2.9471070, 7.7861743, 8.5020622, 8.4999120, 8.4945708", \
+					  "6.2044194, 6.2053704, 6.2032293, 6.2228655, 6.2070835, 6.0595812, 6.0216970", \
+					  "6.4219901, 6.4229876, 6.4237821, 6.4250619, 6.4277620, 6.4287612, 6.2929423", \
+					  "6.6011415, 6.5751567, 6.5925390, 6.5736240, 6.6055343, 6.6076281, 6.6142187", \
+					  "6.7680883, 6.8284848, 6.7757145, 6.8018282, 6.8283235, 6.8217918, 6.6872081", \
+					  "6.9737749, 6.9720241, 6.9603021, 6.8482481, 6.9660801, 6.9643644, 6.9049150", \
+					  "7.1362551, 7.0734555, 7.1476982, 7.1088044, 7.1800274, 7.1571295, 7.1402736");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("4.5554573, 5.0475307, 5.8010183, 6.2674507, 6.4275180, 6.4339703, 6.4352774", \
+					  "9.1136040, 9.1136751, 9.1136864, 9.1178957, 9.1210468, 9.1219549, 9.2918850", \
+					  "9.1453321, 9.1459418, 9.1474502, 9.1515736, 9.1563241, 9.1440076, 9.1360461", \
+					  "9.1175860, 9.1160387, 9.1137748, 9.1860703, 9.1901946, 9.1901495, 9.1382821", \
+					  "9.1199626, 9.1174143, 9.1173909, 9.1176268, 9.2012196, 9.0865379, 9.1460346", \
+					  "9.1308367, 9.1313996, 9.0751616, 9.0736888, 9.0752709, 9.2462401, 9.2152761", \
+					  "9.1813319, 9.1822270, 9.1828836, 9.2741361, 9.2787904, 9.0652477, 9.2695773");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("11.6081038, 11.7494979, 11.8764994, 11.9313214, 11.9069960, 11.8873028, 11.8790889", \
+					  "47.3653030, 47.3779590, 47.3766410, 47.3754260, 47.3603620, 47.3767030, 46.6068820", \
+					  "85.9997350, 85.9946210, 86.0275320, 85.9889870, 85.9899930, 85.9634590, 85.9235250", \
+					  "123.6849900, 123.6575000, 123.6603200, 123.6672000, 123.6829500, 123.6086500, 123.5640900", \
+					  "160.9476900, 160.9192100, 160.9166800, 160.9194000, 160.9961900, 160.8950300, 160.8438000", \
+					  "197.7349300, 197.8450700, 197.7526300, 197.7536300, 197.6632100, 197.9724000, 197.6427400", \
+					  "234.4708600, 234.4815700, 234.4837900, 234.4776000, 234.1808400, 234.2500600, 234.3417100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.3009335, 10.3307185, 10.3713755, 10.4180865, 10.4588695, 10.4789495, 10.4933145", \
+					  "36.8535860, 36.8529170, 36.8531280, 36.8603970, 36.8487320, 36.8478030, 37.3836240", \
+					  "56.0528850, 56.0527010, 56.0491970, 56.0603370, 56.0632550, 56.0453840, 56.0352670", \
+					  "75.4726560, 75.4743070, 75.4966020, 75.5043460, 75.5855790, 75.4789700, 75.4565300", \
+					  "95.0098610, 94.9943870, 94.9853320, 95.0021800, 95.0605430, 95.0138580, 94.9518800", \
+					  "114.7188200, 114.6714900, 114.7317900, 114.7111900, 114.8400100, 114.7140600, 114.6655500", \
+					  "134.3410700, 134.3361100, 134.3115300, 134.4255000, 134.4540600, 134.4139800, 134.1844100");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("9.7685786, 9.9140054, 10.0454712, 10.0963477, 10.0672211, 10.0426385, 10.0046967", \
+					  "13.7340450, 13.7503940, 13.7489200, 13.7505990, 13.7414270, 13.7343700, 13.0962660", \
+					  "25.7103700, 25.6884050, 25.6840920, 25.6868550, 25.6942540, 25.6636760, 25.6276820", \
+					  "37.0157000, 37.0497520, 37.0485730, 37.0407520, 36.9477640, 37.0310280, 36.9517750", \
+					  "48.2104110, 48.1819620, 48.2676330, 48.2265170, 48.1070840, 48.2503450, 48.0925160", \
+					  "59.1720010, 59.2192680, 59.2090540, 59.1626540, 59.1100220, 59.1594760, 59.1388950", \
+					  "69.9964250, 69.9145320, 70.0299260, 69.9224080, 69.9992630, 69.9711400, 69.9626880");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.4265505, 10.4645445, 10.5213375, 10.5946585, 10.6513075, 10.6882675, 10.7076845", \
+					  "29.6422780, 29.6431070, 29.6466500, 29.6538870, 29.6505460, 29.6468650, 30.2187440", \
+					  "40.8281980, 40.8297770, 40.8347490, 40.8367370, 40.8377570, 40.8274980, 40.8304470", \
+					  "52.0440500, 52.0620460, 52.0760410, 52.0656920, 52.0649150, 52.0470020, 52.0155030", \
+					  "63.3582980, 63.3754230, 63.3578400, 63.3753740, 63.3453910, 63.3564100, 63.3082480", \
+					  "74.7790510, 74.7438850, 74.7872670, 74.7500260, 74.7586440, 74.7461880, 74.7143110", \
+					  "86.0841470, 86.0526030, 86.1934200, 86.0598880, 86.0833490, 86.0653150, 86.0914740");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("17.1656609, 17.5268669, 18.1875019, 18.8584129, 19.3453079, 19.5909429, 19.5915229", \
+					  "17.1704126, 17.5314856, 18.1898106, 18.8601726, 19.3411836, 19.5865476, 19.6081276", \
+					  "17.1660453, 17.5296783, 18.1882123, 18.8569803, 19.3484353, 19.5797603, 19.5987243", \
+					  "17.1628575, 17.5606285, 18.1871415, 18.8607965, 19.3417195, 19.5894565, 19.5919895", \
+					  "17.1713065, 17.5302155, 18.1794995, 18.8673595, 19.3436745, 19.5782355, 19.5900155", \
+					  "17.1645697, 17.5318997, 18.1979897, 18.8601927, 19.3453997, 19.5898507, 19.5945147", \
+					  "17.1522099, 17.5274179, 18.2044759, 18.8544469, 19.3549079, 19.5938029, 19.6082449");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("23.3171838, 23.3684758, 23.4860798, 23.5890628, 23.7059298, 23.7698508, 23.7514038", \
+					  "23.3308157, 23.3545367, 23.4822297, 23.6037417, 23.7115287, 23.7546087, 23.8005037", \
+					  "23.3205326, 23.4049356, 23.4818916, 23.6183586, 23.6858246, 23.7165206, 23.7952316", \
+					  "23.3432064, 23.4178494, 23.5153794, 23.6449424, 23.7495354, 23.7905464, 23.7785104", \
+					  "23.3564798, 23.4253868, 23.4981118, 23.6152138, 23.7414408, 23.8237228, 23.7974538", \
+					  "23.3657526, 23.4328336, 23.5312676, 23.6968436, 23.7324296, 23.8110606, 23.8544516", \
+					  "23.4028185, 23.4513295, 23.5498485, 23.6498885, 23.8121265, 23.8696445, 23.8570575");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.9490249, 0.9567178, 0.9752326, 1.0188744, 1.1207016, 1.3655769, 1.9573831", \
+					  "2.5447549, 2.5527489, 2.5713325, 2.6144114, 2.7165905, 2.9613769, 3.5528152", \
+					  "3.8200381, 3.8280308, 3.8466150, 3.8896908, 3.9918659, 4.2366453, 4.8276192", \
+					  "4.1059018, 4.1140149, 4.1324215, 4.1754893, 4.2776538, 4.5223856, 5.1138653", \
+					  "5.7576162, 5.7638738, 5.7823488, 5.8254299, 5.9276348, 6.1723728, 6.7638517", \
+					  "7.1847892, 7.1847895, 7.1930191, 7.2361277, 7.3383819, 7.5830684, 8.1745064", \
+					  "8.2747258, 8.3286675, 8.3448883, 8.3879641, 8.4889580, 8.7348058, 9.3256523", \
+					  "9.9599733, 9.9599739, 10.0065590, 10.0496070, 10.1517560, 10.3964880, 10.9872800", \
+					  "31.3219810, 31.6686160, 31.6831790, 31.7266570, 31.8284740, 32.0732600, 32.6649570", \
+					  "45.0252490, 45.0252514, 45.0701590, 45.1275850, 45.2153410, 45.4604250, 46.0579240", \
+					  "102.0216400, 102.5135200, 102.5135232, 102.7125200, 102.7125206, 103.4684000, 104.3585400", \
+					  "308.2670300, 309.2837300, 309.4430100, 309.4430390, 309.4572800, 309.4572907, 310.2166800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0520710, 0.0611997, 0.0855264, 0.1444683, 0.2899262, 0.6433701, 1.5047014", \
+					  "0.0515268, 0.0615479, 0.0856202, 0.1444293, 0.2898377, 0.6438478, 1.5111776", \
+					  "0.0515255, 0.0615709, 0.0856008, 0.1445784, 0.2898364, 0.6438434, 1.5061026", \
+					  "0.0523043, 0.0619980, 0.0855051, 0.1449469, 0.2899686, 0.6434423, 1.4999858", \
+					  "0.0517178, 0.0612366, 0.0855827, 0.1447089, 0.2899302, 0.6436458, 1.4975222", \
+					  "0.0516452, 0.0615365, 0.0855088, 0.1447231, 0.2898302, 0.6436382, 1.4980712", \
+					  "0.0517628, 0.0613490, 0.0853895, 0.1449612, 0.2899826, 0.6440114, 1.5025001", \
+					  "0.0515933, 0.0621264, 0.0854010, 0.1449653, 0.2899797, 0.6438465, 1.5003359", \
+					  "0.0516616, 0.0613577, 0.0856135, 0.1445139, 0.2898411, 0.6438877, 1.5030438", \
+					  "0.0517577, 0.0620567, 0.0855633, 0.1446917, 0.2899853, 0.6438334, 1.4997865", \
+					  "0.0515878, 0.0619780, 0.0856073, 0.1445658, 0.2899814, 0.6437190, 1.4966981", \
+					  "0.0516777, 0.0617567, 0.0856067, 0.1449781, 0.2898304, 0.6439291, 1.4994828");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.8280914, 0.8385104, 0.8610818, 0.9076653, 0.9968219, 1.1775594, 1.5856115", \
+					  "2.1778885, 2.1882673, 2.2111337, 2.2574869, 2.3464737, 2.5272484, 2.9354832", \
+					  "3.0166170, 3.0270171, 3.0499234, 3.0962382, 3.1852672, 3.3670391, 3.7738523", \
+					  "3.1914653, 3.2018326, 3.2247622, 3.2710803, 3.3601006, 3.5407914, 3.9486525", \
+					  "4.1727359, 4.1824451, 4.2120707, 4.2610475, 4.3410089, 4.5215156, 4.9295910", \
+					  "4.9481095, 4.9583546, 4.9583548, 4.9803890, 5.1166062, 5.2966258, 5.7053873", \
+					  "5.4869629, 5.4974407, 5.5177818, 5.5657301, 5.6556657, 5.8365091, 6.2443668", \
+					  "6.1606484, 6.2295546, 6.2513252, 6.2978445, 6.3878538, 6.5687615, 6.9766064", \
+					  "14.5626620, 14.5626627, 14.5894400, 14.6332900, 14.7157560, 14.8954210, 15.3026660", \
+					  "19.2938630, 19.2938643, 19.3272400, 19.3749660, 19.3804490, 19.5615130, 19.9716490", \
+					  "38.3845130, 38.3945990, 38.4076100, 38.4539480, 38.5048790, 38.7347930, 39.1144360", \
+					  "104.8334100, 104.8641900, 104.8798000, 104.9254300, 105.0187700, 105.1928800, 105.6062600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0621352, 0.0706408, 0.0904403, 0.1304879, 0.2156733, 0.4205142, 0.9414423", \
+					  "0.0625978, 0.0708332, 0.0904994, 0.1303880, 0.2157789, 0.4239963, 0.9493921", \
+					  "0.0625306, 0.0709595, 0.0904571, 0.1303793, 0.2173423, 0.4226323, 0.9454847", \
+					  "0.0625512, 0.0707153, 0.0904536, 0.1303758, 0.2173017, 0.4229443, 0.9449732", \
+					  "0.0622613, 0.0712072, 0.0906169, 0.1303807, 0.2173295, 0.4238255, 0.9449839", \
+					  "0.0621411, 0.0710230, 0.0906064, 0.1304434, 0.2174325, 0.4211132, 0.9439816", \
+					  "0.0621553, 0.0710976, 0.0906222, 0.1304813, 0.2174393, 0.4209281, 0.9453315", \
+					  "0.0626316, 0.0712186, 0.0904863, 0.1304013, 0.2170362, 0.4228205, 0.9460912", \
+					  "0.0622192, 0.0713731, 0.0907454, 0.1305182, 0.2173966, 0.4237702, 0.9461772", \
+					  "0.0626048, 0.0715170, 0.0904020, 0.1305430, 0.2171328, 0.4237265, 0.9465297", \
+					  "0.0624263, 0.0715253, 0.0906343, 0.1304798, 0.2172297, 0.4211598, 0.9466554", \
+					  "0.0623573, 0.0712521, 0.0903530, 0.1305874, 0.2162517, 0.4228014, 0.9464560");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6477564, 0.6557559, 0.6740473, 0.7169307, 0.8182712, 1.0619248, 1.6511325", \
+					  "1.0618364, 1.0698872, 1.0884582, 1.1310556, 1.2325276, 1.4760186, 2.0673778", \
+					  "1.2268213, 1.2349498, 1.2533599, 1.2959420, 1.3972531, 1.6407297, 2.2321924", \
+					  "1.2625143, 1.2706149, 1.2891721, 1.3317687, 1.4332249, 1.6767213, 2.2679525", \
+					  "1.4377860, 1.4493484, 1.4643808, 1.5069923, 1.6084710, 1.8519026, 2.4431324", \
+					  "1.5639318, 1.5697480, 1.5927494, 1.6315446, 1.7331657, 1.9805120, 2.5715179", \
+					  "1.6547952, 1.6580504, 1.6762290, 1.7245905, 1.8259160, 2.0689552, 2.6601172", \
+					  "1.7685120, 1.7778663, 1.7956296, 1.8386934, 1.9393045, 2.1821589, 2.7738742", \
+					  "3.0024674, 3.0159404, 3.0285601, 3.0980232, 3.1785601, 3.4164434, 4.0080721", \
+					  "3.7101431, 3.7210244, 3.7451460, 3.7820591, 3.8754977, 4.1248649, 4.7156079", \
+					  "6.4659456, 6.4681158, 6.4975718, 6.5401786, 6.6294943, 6.8826012, 7.4706632", \
+					  "15.8869060, 15.8869062, 15.8922880, 15.9393720, 16.0422400, 16.3018700, 16.8623000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0520758, 0.0610670, 0.0850124, 0.1435677, 0.2889829, 0.6401568, 1.4970705", \
+					  "0.0513720, 0.0609858, 0.0850223, 0.1445809, 0.2898066, 0.6416026, 1.5041718", \
+					  "0.0513694, 0.0609840, 0.0850053, 0.1445855, 0.2898382, 0.6415563, 1.5004060", \
+					  "0.0513494, 0.0609857, 0.0849921, 0.1446259, 0.2897919, 0.6415521, 1.4989567", \
+					  "0.0511702, 0.0610102, 0.0849403, 0.1444283, 0.2898601, 0.6413082, 1.5014663", \
+					  "0.0513761, 0.0610032, 0.0849516, 0.1446027, 0.2897876, 0.6413326, 1.4949401", \
+					  "0.0513713, 0.0609837, 0.0850178, 0.1446230, 0.2898208, 0.6415172, 1.4979995", \
+					  "0.0513674, 0.0609872, 0.0849663, 0.1445987, 0.2898041, 0.6415992, 1.4995087", \
+					  "0.0513226, 0.0610264, 0.0849764, 0.1444817, 0.2898501, 0.6415975, 1.5000574", \
+					  "0.0513389, 0.0609836, 0.0850585, 0.1446013, 0.2895000, 0.6413589, 1.4977501", \
+					  "0.0513827, 0.0610216, 0.0849963, 0.1446194, 0.2898423, 0.6415105, 1.4993834", \
+					  "0.0513516, 0.0609838, 0.0847408, 0.1445766, 0.2898087, 0.6416049, 1.4993835");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.7807773, 0.7909079, 0.8119206, 0.8555160, 0.9417512, 1.1189568, 1.5236206", \
+					  "2.1544480, 2.1641438, 2.1858002, 2.2294184, 2.3153273, 2.4926523, 2.8975671", \
+					  "3.4106056, 3.4146901, 3.4419569, 3.4855763, 3.5714856, 3.7488848, 4.1531094", \
+					  "3.6977694, 3.7112320, 3.7290704, 3.7726120, 3.8585051, 4.0361194, 4.4427875", \
+					  "5.4918079, 5.4998099, 5.5231038, 5.5666534, 5.6443117, 5.8301612, 6.2659522", \
+					  "7.0141866, 7.0254558, 7.0440711, 7.0783459, 7.1696111, 7.3524715, 7.7584454", \
+					  "8.1953502, 8.1953512, 8.2182021, 8.2605505, 8.3549931, 8.5337506, 8.9261709", \
+					  "9.8769029, 9.8917496, 9.9177173, 9.9515551, 10.0427990, 10.1970540, 10.6190580", \
+					  "34.5272610, 34.5369990, 34.5601020, 34.5925950, 34.6415410, 34.8655330, 35.2332210", \
+					  "50.9783290, 51.0018840, 51.0235910, 51.0529330, 51.1540460, 51.3006600, 51.7261110", \
+					  "124.7203300, 124.7324700, 124.7512800, 124.7953200, 124.8816500, 125.0577000, 125.4732600", \
+					  "410.3078200, 410.3623900, 410.3669700, 410.4275000, 410.4817000, 410.6892700, 411.0498300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0591228, 0.0676566, 0.0866051, 0.1260151, 0.2093909, 0.4146919, 0.9326083", \
+					  "0.0607321, 0.0681103, 0.0861243, 0.1262318, 0.2125846, 0.4197164, 0.9453995", \
+					  "0.0607210, 0.0681099, 0.0861035, 0.1262306, 0.2129626, 0.4202680, 0.9514592", \
+					  "0.0600108, 0.0679618, 0.0864518, 0.1264930, 0.2132028, 0.4199731, 0.9516460", \
+					  "0.0599907, 0.0680398, 0.0863825, 0.1265276, 0.2132747, 0.4198463, 0.9501842", \
+					  "0.0606839, 0.0681217, 0.0860993, 0.1261047, 0.2145575, 0.4202870, 0.9427779", \
+					  "0.0599661, 0.0679521, 0.0863786, 0.1272354, 0.2134506, 0.4196242, 0.9494044", \
+					  "0.0600276, 0.0680600, 0.0861144, 0.1262309, 0.2129657, 0.4202753, 0.9428116", \
+					  "0.0605842, 0.0681034, 0.0863325, 0.1265312, 0.2129582, 0.4202869, 0.9514381", \
+					  "0.0607279, 0.0680109, 0.0871125, 0.1272157, 0.2132204, 0.4195095, 0.9491570", \
+					  "0.0596993, 0.0681098, 0.0871326, 0.1265786, 0.2129150, 0.4186592, 0.9430311", \
+					  "0.0604592, 0.0680942, 0.0870562, 0.1265343, 0.2133215, 0.4203564, 0.9441194");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6372382, 0.6451702, 0.6638251, 0.7063002, 0.8074494, 1.0509841, 1.6385761", \
+					  "0.0687560, 0.0768368, 0.0953874, 0.1379821, 0.2393759, 0.4829113, 1.0741852", \
+					  "-0.7908659, -0.7830127, -0.7642180, -0.7216089, -0.6201929, -0.3778303, 0.2146247", \
+					  "-1.0074022, -0.9992928, -0.9807627, -0.9374212, -0.8367045, -0.5932190, -0.0019071", \
+					  "-2.4044551, -2.3966007, -2.3778650, -2.3354918, -2.2337319, -1.9897330, -1.3992376", \
+					  "-3.6560277, -3.6547743, -3.6294425, -3.5891925, -3.4866421, -3.2419210, -2.6510345", \
+					  "-4.6498112, -4.6423905, -4.6229034, -4.5817257, -4.4776001, -4.2344706, -3.6432330", \
+					  "-6.0968075, -6.0886132, -6.0702878, -6.0282348, -5.9264664, -5.6839906, -5.0899422", \
+					  "-28.4134000, -28.4133996, -28.3995640, -28.3517710, -28.2401390, -27.9974040, -27.4369110", \
+					  "-43.7400270, -43.7400264, -43.7117650, -43.6691000, -43.5643770, -43.3370530, -42.7297060", \
+					  "-113.3149000, -113.3148994, -113.2940900, -113.2473900, -113.1501400, -112.9013100, -112.3119300", \
+					  "-386.0890300, -386.0654700, -386.0654449, -386.0216400, -385.9095200, -385.6589500, -385.0890100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0509649, 0.0611363, 0.0849657, 0.1441772, 0.2889995, 0.6408362, 1.4974048", \
+					  "0.0513697, 0.0609960, 0.0849704, 0.1446067, 0.2898026, 0.6416210, 1.4965390", \
+					  "0.0513580, 0.0609967, 0.0849834, 0.1446161, 0.2898268, 0.6415980, 1.4994884", \
+					  "0.0513425, 0.0609928, 0.0850048, 0.1445778, 0.2897976, 0.6415398, 1.4994032", \
+					  "0.0514200, 0.0609976, 0.0849760, 0.1445960, 0.2898456, 0.6415901, 1.4960522", \
+					  "0.0514021, 0.0610015, 0.0850069, 0.1444749, 0.2898433, 0.6420174, 1.5018804", \
+					  "0.0512214, 0.0610200, 0.0850167, 0.1444786, 0.2897401, 0.6411892, 1.4977740", \
+					  "0.0514206, 0.0610194, 0.0849810, 0.1446230, 0.2898409, 0.6414489, 1.4960485", \
+					  "0.0512381, 0.0610058, 0.0851586, 0.1446082, 0.2898613, 0.6411874, 1.4962315", \
+					  "0.0514285, 0.0609938, 0.0849704, 0.1444692, 0.2898417, 0.6420164, 1.4968399", \
+					  "0.0512803, 0.0609927, 0.0850306, 0.1444622, 0.2897212, 0.6415399, 1.4994008", \
+					  "0.0513855, 0.0610293, 0.0849537, 0.1445613, 0.2898790, 0.6416646, 1.5003428");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.8939131, 0.9039272, 0.9255737, 0.9684119, 1.0550294, 1.2322292, 1.6366837", \
+					  "2.7629090, 2.7726068, 2.7942595, 2.8378824, 2.9237925, 3.1011904, 3.5053769", \
+					  "4.5309401, 4.5406159, 4.5622714, 4.6058499, 4.6917794, 4.8689199, 5.2740492", \
+					  "4.9437716, 4.9534643, 4.9751212, 5.0187401, 5.1046496, 5.2820517, 5.6868483", \
+					  "7.4855482, 7.4953697, 7.5166584, 7.5602671, 7.6467215, 7.8240001, 8.2286791", \
+					  "9.6541912, 9.6641619, 9.6857251, 9.7336148, 9.8152961, 9.9927053, 10.3972050", \
+					  "11.3387470, 11.3465270, 11.3681880, 11.4108190, 11.4974750, 11.6751550, 12.0796740", \
+					  "13.7494840, 13.7604490, 13.7740450, 13.8271560, 13.9085700, 14.0799630, 14.4828840", \
+					  "48.9913390, 48.9998020, 49.0208190, 49.0653030, 49.1529020, 49.3281860, 49.7324320", \
+					  "72.5409710, 72.5493980, 72.5718790, 72.6154920, 72.7015820, 72.8802830, 73.2844610", \
+					  "177.9927600, 178.0004600, 178.0207300, 178.0652200, 178.1514800, 178.3270300, 178.7335700", \
+					  "586.2637500, 586.2709100, 586.2917800, 586.3356600, 586.4207000, 586.6018000, 587.0020300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0593293, 0.0673704, 0.0860349, 0.1252192, 0.2101502, 0.4156024, 0.9326163", \
+					  "0.0607097, 0.0681088, 0.0860946, 0.1262287, 0.2129498, 0.4202722, 0.9424009", \
+					  "0.0607692, 0.0681149, 0.0860140, 0.1262451, 0.2134115, 0.4183897, 0.9403532", \
+					  "0.0607107, 0.0681090, 0.0860962, 0.1262291, 0.2129604, 0.4166314, 0.9431397", \
+					  "0.0595731, 0.0674498, 0.0870984, 0.1264889, 0.2134100, 0.4198651, 0.9409941", \
+					  "0.0606780, 0.0681027, 0.0860294, 0.1261472, 0.2129795, 0.4199426, 0.9401655", \
+					  "0.0606351, 0.0680921, 0.0859140, 0.1261806, 0.2131927, 0.4198586, 0.9402102", \
+					  "0.0606697, 0.0678338, 0.0860633, 0.1262210, 0.2129637, 0.4199228, 0.9434270", \
+					  "0.0596483, 0.0680551, 0.0859184, 0.1266814, 0.2130369, 0.4190610, 0.9401280", \
+					  "0.0604733, 0.0681117, 0.0862659, 0.1262320, 0.2117909, 0.4180838, 0.9343016", \
+					  "0.0600182, 0.0680967, 0.0861975, 0.1271057, 0.2115944, 0.4174230, 0.9370157", \
+					  "0.0599497, 0.0680838, 0.0862706, 0.1262639, 0.2137098, 0.4183066, 0.9389567");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("2.5563007, 2.5644453, 2.5828180, 2.6259046, 2.7272413, 2.9715326, 3.5642616", \
+					  "2.6906710, 2.6981961, 2.7159468, 2.7587925, 2.8613558, 3.1047167, 3.6959966", \
+					  "2.7985954, 2.8066096, 2.8250715, 2.8680609, 2.9694942, 3.2137084, 3.8061665", \
+					  "2.8856229, 2.8897320, 2.9120888, 2.9551164, 3.0564968, 3.3007095, 3.8914134", \
+					  "2.9594551, 2.9674372, 2.9859542, 3.0289173, 3.1303726, 3.3745511, 3.9665875", \
+					  "3.0248120, 3.0331577, 3.0513136, 3.0941971, 3.1956491, 3.4397831, 4.0315995", \
+					  "3.1008214, 3.1088441, 3.1272995, 3.1702876, 3.2717331, 3.5159431, 4.1085140");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0513581, 0.0610808, 0.0849709, 0.1449689, 0.2900702, 0.6411141, 1.4978198", \
+					  "0.0513619, 0.0610572, 0.0853545, 0.1448509, 0.2903857, 0.6426604, 1.5011422", \
+					  "0.0512557, 0.0611337, 0.0850044, 0.1449921, 0.2906663, 0.6414350, 1.4988255", \
+					  "0.0513441, 0.0611557, 0.0849748, 0.1450988, 0.2904127, 0.6428054, 1.5000599", \
+					  "0.0512494, 0.0611557, 0.0848920, 0.1449351, 0.2906564, 0.6405745, 1.5014381", \
+					  "0.0512346, 0.0610937, 0.0849218, 0.1450964, 0.2907739, 0.6428722, 1.4980835", \
+					  "0.0512521, 0.0611198, 0.0849254, 0.1450290, 0.2906200, 0.6417749, 1.4975888");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("1.9841424, 1.9937394, 2.0151139, 2.0586750, 2.1437385, 2.3200342, 2.7211842", \
+					  "2.0577968, 2.0673916, 2.0887647, 2.1323270, 2.2173923, 2.3936821, 2.7948539", \
+					  "2.0853022, 2.0950288, 2.1163788, 2.1599498, 2.2450088, 2.4213438, 2.8224838", \
+					  "2.1020405, 2.1117845, 2.1334949, 2.1766959, 2.2617421, 2.4380400, 2.8392004", \
+					  "2.1084068, 2.1180018, 2.1392907, 2.1828515, 2.2679174, 2.4442890, 2.8453822", \
+					  "2.1121758, 2.1217650, 2.1431548, 2.1867212, 2.2717852, 2.4480333, 2.8492155", \
+					  "2.1110889, 2.1208171, 2.1420976, 2.1856292, 2.2710289, 2.4469040, 2.8480911");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0604816, 0.0673007, 0.0847807, 0.1242252, 0.2107575, 0.4152254, 0.9378427", \
+					  "0.0600371, 0.0672891, 0.0847911, 0.1242049, 0.2108137, 0.4150727, 0.9378122", \
+					  "0.0593607, 0.0674276, 0.0846717, 0.1242621, 0.2106046, 0.4150887, 0.9378219", \
+					  "0.0593580, 0.0674180, 0.0847820, 0.1241336, 0.2106123, 0.4155839, 0.9378458", \
+					  "0.0600493, 0.0672624, 0.0847761, 0.1242072, 0.2108253, 0.4150579, 0.9378187", \
+					  "0.0603325, 0.0674243, 0.0849444, 0.1239968, 0.2110246, 0.4146724, 0.9380627", \
+					  "0.0591268, 0.0667683, 0.0848171, 0.1237745, 0.2112308, 0.4137932, 0.9383188");
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )";
+				miller_cap_fall : "0.00886148";
+				miller_cap_rise : "0.00409391";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("14.2715, 6.54505, 5.90368, 5.60514, 5.26614, 5.08203, 4.88847, 4.68566, 4.47382, 4.25315, 4.02384, 3.78607, 3.54002, 3.28587, 3.02379, 2.75394, 2.47648, 2.19155, 1.89931, 1.59991, 1.29347, 0.980126, 0.660019, 0.333269, -5.81717e-09, -0.662369, -1.30628, -3.24147, -9.61107", \
+					  "13.2757, 5.06731, 4.79394, 4.67556, 4.53015, 4.4409, 4.33681, 4.21648, 4.07965, 3.92655, 3.75764, 3.57344, 3.37447, 3.16125, 2.93425, 2.69396, 2.44083, 2.17527, 1.8977, 1.60849, 1.308, 0.99659, 0.674579, 0.342283, -1.98555e-09, -0.689067, -1.36868, -3.43707, -9.67469", \
+					  "12.3454, 3.51284, 3.34428, 3.28217, 3.21261, 3.17419, 3.13266, 3.08729, 3.03697, 2.97984, 2.9125, 2.82982, 2.72737, 2.60341, 2.458, 2.29182, 2.10577, 1.90073, 1.67757, 1.4371, 1.18008, 0.907213, 0.619172, 0.316574, -4.86623e-09, -0.652463, -1.31418, -3.40106, -9.67678", \
+					  "12.0457, 3.00753, 2.75922, 2.71065, 2.65728, 2.6283, 2.59744, 2.56432, 2.52844, 2.4891, 2.44521, 2.39484, 2.33416, 2.25697, 2.15801, 2.03545, 1.88954, 1.72122, 1.53155, 1.32159, 1.09233, 0.844704, 0.579582, 0.297765, -5.29606e-09, -0.623075, -1.26606, -3.33842, -9.66473", \
+					  "11.7477, 2.6981, 2.15131, 2.11441, 2.07457, 2.05327, 2.03086, 2.00715, 1.9819, 1.95478, 1.92537, 1.89304, 1.85678, 1.81468, 1.7624, 1.6924, 1.59841, 1.47862, 1.33356, 1.16443, 0.972503, 0.758993, 0.52505, 0.271728, -7.90862e-09, -0.581694, -1.19715, -3.2405, -9.64374", \
+					  "11.6021, 2.58628, 1.84765, 1.81595, 1.78202, 1.76401, 1.74517, 1.72537, 1.70443, 1.68214, 1.65822, 1.63226, 1.60371, 1.57163, 1.53411, 1.4866, 1.42073, 1.32968, 1.2117, 1.06753, 0.898489, 0.705954, 0.491235, 0.255545, -8.57103e-09, -0.555791, -1.15368, -3.17619, -9.62942", \
+					  "11.4612, 2.49319, 1.55056, 1.52311, 1.4946, 1.47957, 1.46393, 1.44758, 1.43042, 1.4123, 1.39303, 1.37236, 1.34995, 1.32528, 1.29752, 1.26482, 1.22236, 1.16085, 1.07293, 0.956995, 0.813976, 0.645331, 0.452545, 0.237008, -7.90484e-09, -0.526034, -1.10358, -3.10049, -9.6123", \
+					  "11.3276, 2.41934, 1.2737, 1.24093, 1.21734, 1.205, 1.19222, 1.17895, 1.1651, 1.15058, 1.13528, 1.11902, 1.10162, 1.08277, 1.06203, 1.03866, 1.0109, 0.973672, 0.916655, 0.831963, 0.71822, 0.576573, 0.40862, 0.215941, -4.11505e-08, -0.492151, -1.04637, -3.01248, -9.59221", \
+					  "11.204, 2.36711, 1.06285, 0.974968, 0.955656, 0.945732, 0.935511, 0.924952, 0.914005, 0.902611, 0.890692, 0.878154, 0.864871, 0.850675, 0.83533, 0.818475, 0.79946, 0.776664, 0.744727, 0.692175, 0.610696, 0.49923, 0.359152, 0.192187, -9.61962e-07, -0.453901, -0.981645, -2.91124, -9.56902", \
+					  "11.0928, 2.33953, 0.938375, 0.734818, 0.71516, 0.707397, 0.699444, 0.691275, 0.682858, 0.674157, 0.665125, 0.655707, 0.645829, 0.635398, 0.624284, 0.612308, 0.599187, 0.584389, 0.566442, 0.539709, 0.491442, 0.413054, 0.30391, 0.165607, -2.4205e-05, -0.411107, -0.909088, -2.79583, -9.5427", \
+					  "10.9978, 2.33985, 0.878646, 0.554651, 0.501395, 0.495472, 0.489501, 0.483406, 0.477166, 0.47076, 0.464162, 0.457339, 0.450254, 0.442854, 0.435074, 0.426823, 0.417973, 0.408319, 0.397452, 0.38408, 0.362289, 0.317957, 0.242472, 0.135721, -0.00050638, -0.364099, -0.82894, -2.6658, -9.5139", \
+					  "10.9218, 2.3716, 0.869824, 0.464948, 0.319058, 0.31291, 0.308391, 0.303887, 0.29933, 0.294695, 0.289964, 0.28512, 0.28014, 0.274999, 0.269666, 0.264097, 0.258237, 0.252003, 0.245261, 0.237725, 0.228318, 0.210888, 0.1699, 0.0970826, -0.0071013, -0.318708, -0.747215, -2.52715, -9.49017", \
+					  "10.8675, 2.43857, 0.906039, 0.442301, 0.172756, 0.146451, 0.140075, 0.135412, 0.131106, 0.126923, 0.122783, 0.118642, 0.114473, 0.110256, 0.10597, 0.101594, 0.097104, 0.0924668, 0.0876372, 0.0825405, 0.0770043, 0.0702221, 0.0559941, 0.0175482, -0.0526243, -0.307829, -0.696986, -2.41385, -9.50258", \
+					  "10.8384, 2.5452, 0.984794, 0.465302, 0.0717971, -0.0176402, -0.0456349, -0.0559482, -0.062934, -0.0687686, -0.0740448, -0.0790016, -0.0837592, -0.0883869, -0.0929295, -0.0974187, -0.101879, -0.106332, -0.110799, -0.115306, -0.119887, -0.12463, -0.130042, -0.142079, -0.178032, -0.370468, -0.715227, -2.35882, -9.5725", \
+					  "10.8372, 2.69482, 1.10354, 0.525348, 0.0197909, -0.144652, -0.228084, -0.257398, -0.271709, -0.281631, -0.289643, -0.296612, -0.302934, -0.308817, -0.314386, -0.319723, -0.324881, -0.329899, -0.334808, -0.339631, -0.34439, -0.34911, -0.353835, -0.358892, -0.37065, -0.495211, -0.789041, -2.34705, -9.68169", \
+					  "10.8598, 2.87756, 1.24812, 0.608365, 0.00362318, -0.228908, -0.380563, -0.449793, -0.478816, -0.496003, -0.508599, -0.518869, -0.527758, -0.535741, -0.543088, -0.549964, -0.556482, -0.562716, -0.568722, -0.574543, -0.580212, -0.58576, -0.591217, -0.596629, -0.602634, -0.664812, -0.899591, -2.36077, -9.81205", \
+					  "10.8917, 3.0687, 1.39317, 0.692278, 0.000588757, -0.290947, -0.507194, -0.633164, -0.687648, -0.716116, -0.735271, -0.750052, -0.762362, -0.773103, -0.782768, -0.791651, -0.799943, -0.807774, -0.815236, -0.822397, -0.829312, -0.836024, -0.842575, -0.849013, -0.855433, -0.880661, -1.043, -2.39256, -9.95347", \
+					  "10.9235, 3.25389, 1.52442, 0.766767, 9.6642e-05, -0.34165, -0.614527, -0.802313, -0.895593, -0.940697, -0.968649, -0.989101, -1.00553, -1.0195, -1.03181, -1.04295, -1.05321, -1.0628, -1.07184, -1.08045, -1.0887, -1.09666, -1.10437, -1.11191, -1.11933, -1.13577, -1.22309, -2.43935, -10.0999", \
+					  "10.9544, 3.42954, 1.63776, 0.830273, 1.5891e-05, -0.383821, -0.704954, -0.950251, -1.09426, -1.16346, -1.20314, -1.23064, -1.25194, -1.2696, -1.28486, -1.29847, -1.31084, -1.32229, -1.33299, -1.34311, -1.35273, -1.36196, -1.37085, -1.37949, -1.38794, -1.40489, -1.44332, -2.49993, -10.2474", \
+					  "10.9833, 3.59497, 1.7328, 0.883302, 2.52139e-06, -0.418628, -0.780181, -1.07486, -1.27506, -1.37805, -1.43356, -1.4699, -1.497, -1.51888, -1.53744, -1.55374, -1.56839, -1.5818, -1.59425, -1.60592, -1.61696, -1.62749, -1.63758, -1.64733, -1.65682, -1.67548, -1.69799, -2.5742, -10.3938", \
+					  "11.0102, 3.74996, 1.81073, 0.926784, 3.34016e-07, -0.446982, -0.841921, -1.17757, -1.43153, -1.57848, -1.65557, -1.7032, -1.73731, -1.76408, -1.78635, -1.8056, -1.82271, -1.83823, -1.85251, -1.86582, -1.87833, -1.89018, -1.9015, -1.91238, -1.92292, -1.94343, -1.9647, -2.66291, -10.5377", \
+					  "11.0351, 3.89422, 1.87328, 0.961737, 3.08528e-08, -0.469727, -0.891866, -1.26098, -1.56112, -1.75889, -1.86525, -1.9276, -1.97031, -2.00284, -2.02933, -2.05187, -2.07167, -2.08944, -2.10567, -2.12069, -2.13474, -2.14798, -2.16056, -2.17259, -2.18419, -2.20658, -2.22907, -2.76729, -10.6782", \
+					  "11.0582, 4.02724, 1.92232, 0.989178, 7.2507e-09, -0.487638, -0.931617, -1.32776, -1.66525, -1.91414, -2.05861, -2.14047, -2.19399, -2.2334, -2.26476, -2.291, -2.31375, -2.33398, -2.3523, -2.36913, -2.38478, -2.39947, -2.41336, -2.42658, -2.43927, -2.46357, -2.48758, -2.88882, -10.8152", \
+					  "11.0793, 4.14821, 1.95959, 1.01007, 6.26949e-09, -0.501418, -0.962638, -1.38038, -1.7473, -2.04133, -2.23139, -2.33918, -2.40654, -2.45434, -2.49141, -2.52187, -2.54791, -2.57082, -2.59139, -2.61017, -2.62752, -2.64372, -2.65897, -2.67343, -2.68725, -2.7135, -2.73908, -3.02907, -10.9486", \
+					  "11.0987, 4.25595, 1.98673, 1.02532, 6.21715e-09, -0.511688, -0.98623, -1.421, -1.81089, -2.14116, -2.37933, -2.5208, -2.60618, -2.66443, -2.7083, -2.74363, -2.77338, -2.79926, -2.82229, -2.84315, -2.86232, -2.88012, -2.8968, -2.91255, -2.92753, -2.95581, -2.98299, -3.18941, -11.0785", \
+					  "11.1322, 4.42537, 2.01619, 1.04201, 6.21556e-09, -0.523805, -1.01556, -1.4735, -1.89487, -2.27349, -2.59172, -2.81892, -2.95845, -3.04698, -3.10935, -3.15717, -3.19605, -3.22899, -3.25771, -3.28332, -3.30654, -3.32786, -3.34765, -3.36619, -3.38368, -3.41625, -3.4468, -3.56928, -11.3286", \
+					  "11.1592, 4.52219, 2.02038, 1.04471, 6.9357e-09, -0.527512, -1.02702, -1.49728, -1.9366, -2.3421, -2.70673, -3.01156, -3.22983, -3.36875, -3.46044, -3.52664, -3.57811, -3.62029, -3.65618, -3.68757, -3.71559, -3.741, -3.76435, -3.78601, -3.8063, -3.8436, -3.87788, -3.98796, -11.5673", \
+					  "11.2042, 4.45371, 1.94616, 1.00529, 1.42046e-07, -0.510551, -1.00133, -1.47177, -1.92128, -2.34916, -2.75453, -3.136, -3.49069, -3.81165, -4.08334, -4.28862, -4.43236, -4.53487, -4.61233, -4.67412, -4.72549, -4.76957, -4.8083, -4.84296, -4.87442, -4.93012, -4.97877, -5.10494, -12.2039", \
+					  "11.1637, 4.00395, 1.72745, 0.888842, 4.65295e-06, -0.452011, -0.891524, -1.31834, -1.73225, -2.13305, -2.52054, -2.89449, -3.25466, -3.60078, -3.93255, -4.24952, -4.55097, -4.83557, -5.10044, -5.33953, -5.54345, -5.70632, -5.83252, -5.93161, -6.01188, -6.13662, -6.2323, -6.43591, -12.9813");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0319164");
+						index_3("0.0279880, 0.0440605, 0.0617688, 0.0821052, 0.1183710");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0957492");
+						index_3("0.0344261, 0.0630240, 0.0937413, 0.1317891, 0.1950532");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0319164");
+						index_3("0.0943418, 0.1128132, 0.1299217, 0.1505235, 0.1868303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0957492");
+						index_3("0.1032050, 0.1321353, 0.1629765, 0.2002139, 0.2637778");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.045039");
+						index_3("0.0254493, 0.0385783, 0.0521227, 0.0670860, 0.0887394");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.135117");
+						index_3("0.0318133, 0.0571585, 0.0832936, 0.1122604, 0.1547012");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.045039");
+						index_3("0.0820914, 0.1001486, 0.1140389, 0.1287216, 0.1502549");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.135117");
+						index_3("0.0920315, 0.1195424, 0.1457644, 0.1745637, 0.2170263");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("2.39922");
+						index_3("0.0319164");
+						index_4("1.1966854, 1.2703293, 1.3806800, 1.5080764, 1.5792218");
+						values("0.488641, 0.781826, 0.977283, 0.781826, 0.488641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0319164");
+						index_4("0.6991833, 0.7559387, 0.8492475, 0.9422313, 0.9968458");
+						values("0.550112, 0.88018, 1.10022, 0.88018, 0.550112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0319164");
+						index_4("0.4768528, 0.5224628, 0.6125445, 0.6768160, 0.7191591");
+						values("0.57654, 0.922464, 1.15308, 0.922464, 0.57654");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("1.19961");
+						index_3("0.0319164");
+						index_4("0.6044096, 0.6424816, 0.6949111, 0.7640174, 0.8046017");
+						values("0.258995, 0.414393, 0.517991, 0.414393, 0.258995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.47241");
+						index_3("0.0319164");
+						index_4("0.2425694, 0.2663597, 0.3002935, 0.3467938, 0.3755202");
+						values("0.31215, 0.499441, 0.624301, 0.499441, 0.31215");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0957492");
+						index_4("0.7003014, 0.7583227, 0.8512210, 0.9510706, 1.0084091");
+						values("0.325879, 0.521407, 0.651758, 0.521407, 0.325879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0957492");
+						index_4("0.4776735, 0.5239726, 0.5871119, 0.6830033, 0.7302081");
+						values("0.336651, 0.538642, 0.673303, 0.538642, 0.336651");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("1.64783");
+						index_3("0.045039");
+						index_4("0.8242168, 0.8912668, 1.0255813, 1.1451531, 1.2290657");
+						values("1.41092, 1.08747, 0.871842, 1.08747, 1.41092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.045039");
+						index_4("0.4674775, 0.5179177, 0.5926564, 0.7060876, 0.7667738");
+						values("1.36367, 1.01187, 0.777334, 1.01187, 1.36367");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.045039");
+						index_4("0.3112585, 0.3511756, 0.4270584, 0.4969378, 0.5446299");
+						values("1.34582, 0.983308, 0.741636, 0.983308, 1.34582");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("0.823917");
+						index_3("0.045039");
+						index_4("0.4187869, 0.4531278, 0.5379979, 0.5896027, 0.6386529");
+						values("1.67604, 1.51166, 1.40207, 1.51166, 1.67604");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.304231");
+						index_3("0.045039");
+						index_4("0.1621026, 0.1821135, 0.2141863, 0.2581426, 0.2894527");
+						values("1.65624, 1.47999, 1.36249, 1.47999, 1.65624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.135117");
+						index_4("0.4700352, 0.5221537, 0.6059946, 0.7158632, 0.7897206");
+						values("1.63472, 1.44555, 1.31943, 1.44555, 1.63472");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.135117");
+						index_4("0.3126733, 0.3533397, 0.4176838, 0.5071156, 0.5670719");
+						values("1.6298, 1.43767, 1.30959, 1.43767, 1.6298");
+					}
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00886148";
+				miller_cap_rise : "0.00409391";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("14.2715, 6.54505, 5.90368, 5.60514, 5.26614, 5.08203, 4.88847, 4.68566, 4.47382, 4.25315, 4.02384, 3.78607, 3.54002, 3.28587, 3.02379, 2.75394, 2.47648, 2.19155, 1.89931, 1.59991, 1.29347, 0.980126, 0.660019, 0.333269, -5.81717e-09, -0.662369, -1.30628, -3.24147, -9.61107", \
+					  "13.2757, 5.06731, 4.79394, 4.67556, 4.53015, 4.4409, 4.33681, 4.21648, 4.07965, 3.92655, 3.75764, 3.57344, 3.37447, 3.16125, 2.93425, 2.69396, 2.44083, 2.17527, 1.8977, 1.60849, 1.308, 0.99659, 0.674579, 0.342283, -1.98555e-09, -0.689067, -1.36868, -3.43707, -9.67469", \
+					  "12.3454, 3.51284, 3.34428, 3.28217, 3.21261, 3.17419, 3.13266, 3.08729, 3.03697, 2.97984, 2.9125, 2.82982, 2.72737, 2.60341, 2.458, 2.29182, 2.10577, 1.90073, 1.67757, 1.4371, 1.18008, 0.907213, 0.619172, 0.316574, -4.86623e-09, -0.652463, -1.31418, -3.40106, -9.67678", \
+					  "12.0457, 3.00753, 2.75922, 2.71065, 2.65728, 2.6283, 2.59744, 2.56432, 2.52844, 2.4891, 2.44521, 2.39484, 2.33416, 2.25697, 2.15801, 2.03545, 1.88954, 1.72122, 1.53155, 1.32159, 1.09233, 0.844704, 0.579582, 0.297765, -5.29606e-09, -0.623075, -1.26606, -3.33842, -9.66473", \
+					  "11.7477, 2.6981, 2.15131, 2.11441, 2.07457, 2.05327, 2.03086, 2.00715, 1.9819, 1.95478, 1.92537, 1.89304, 1.85678, 1.81468, 1.7624, 1.6924, 1.59841, 1.47862, 1.33356, 1.16443, 0.972503, 0.758993, 0.52505, 0.271728, -7.90862e-09, -0.581694, -1.19715, -3.2405, -9.64374", \
+					  "11.6021, 2.58628, 1.84765, 1.81595, 1.78202, 1.76401, 1.74517, 1.72537, 1.70443, 1.68214, 1.65822, 1.63226, 1.60371, 1.57163, 1.53411, 1.4866, 1.42073, 1.32968, 1.2117, 1.06753, 0.898489, 0.705954, 0.491235, 0.255545, -8.57103e-09, -0.555791, -1.15368, -3.17619, -9.62942", \
+					  "11.4612, 2.49319, 1.55056, 1.52311, 1.4946, 1.47957, 1.46393, 1.44758, 1.43042, 1.4123, 1.39303, 1.37236, 1.34995, 1.32528, 1.29752, 1.26482, 1.22236, 1.16085, 1.07293, 0.956995, 0.813976, 0.645331, 0.452545, 0.237008, -7.90484e-09, -0.526034, -1.10358, -3.10049, -9.6123", \
+					  "11.3276, 2.41934, 1.2737, 1.24093, 1.21734, 1.205, 1.19222, 1.17895, 1.1651, 1.15058, 1.13528, 1.11902, 1.10162, 1.08277, 1.06203, 1.03866, 1.0109, 0.973672, 0.916655, 0.831963, 0.71822, 0.576573, 0.40862, 0.215941, -4.11505e-08, -0.492151, -1.04637, -3.01248, -9.59221", \
+					  "11.204, 2.36711, 1.06285, 0.974968, 0.955656, 0.945732, 0.935511, 0.924952, 0.914005, 0.902611, 0.890692, 0.878154, 0.864871, 0.850675, 0.83533, 0.818475, 0.79946, 0.776664, 0.744727, 0.692175, 0.610696, 0.49923, 0.359152, 0.192187, -9.61962e-07, -0.453901, -0.981645, -2.91124, -9.56902", \
+					  "11.0928, 2.33953, 0.938375, 0.734818, 0.71516, 0.707397, 0.699444, 0.691275, 0.682858, 0.674157, 0.665125, 0.655707, 0.645829, 0.635398, 0.624284, 0.612308, 0.599187, 0.584389, 0.566442, 0.539709, 0.491442, 0.413054, 0.30391, 0.165607, -2.4205e-05, -0.411107, -0.909088, -2.79583, -9.5427", \
+					  "10.9978, 2.33985, 0.878646, 0.554651, 0.501395, 0.495472, 0.489501, 0.483406, 0.477166, 0.47076, 0.464162, 0.457339, 0.450254, 0.442854, 0.435074, 0.426823, 0.417973, 0.408319, 0.397452, 0.38408, 0.362289, 0.317957, 0.242472, 0.135721, -0.00050638, -0.364099, -0.82894, -2.6658, -9.5139", \
+					  "10.9218, 2.3716, 0.869824, 0.464948, 0.319058, 0.31291, 0.308391, 0.303887, 0.29933, 0.294695, 0.289964, 0.28512, 0.28014, 0.274999, 0.269666, 0.264097, 0.258237, 0.252003, 0.245261, 0.237725, 0.228318, 0.210888, 0.1699, 0.0970826, -0.0071013, -0.318708, -0.747215, -2.52715, -9.49017", \
+					  "10.8675, 2.43857, 0.906039, 0.442301, 0.172756, 0.146451, 0.140075, 0.135412, 0.131106, 0.126923, 0.122783, 0.118642, 0.114473, 0.110256, 0.10597, 0.101594, 0.097104, 0.0924668, 0.0876372, 0.0825405, 0.0770043, 0.0702221, 0.0559941, 0.0175482, -0.0526243, -0.307829, -0.696986, -2.41385, -9.50258", \
+					  "10.8384, 2.5452, 0.984794, 0.465302, 0.0717971, -0.0176402, -0.0456349, -0.0559482, -0.062934, -0.0687686, -0.0740448, -0.0790016, -0.0837592, -0.0883869, -0.0929295, -0.0974187, -0.101879, -0.106332, -0.110799, -0.115306, -0.119887, -0.12463, -0.130042, -0.142079, -0.178032, -0.370468, -0.715227, -2.35882, -9.5725", \
+					  "10.8372, 2.69482, 1.10354, 0.525348, 0.0197909, -0.144652, -0.228084, -0.257398, -0.271709, -0.281631, -0.289643, -0.296612, -0.302934, -0.308817, -0.314386, -0.319723, -0.324881, -0.329899, -0.334808, -0.339631, -0.34439, -0.34911, -0.353835, -0.358892, -0.37065, -0.495211, -0.789041, -2.34705, -9.68169", \
+					  "10.8598, 2.87756, 1.24812, 0.608365, 0.00362318, -0.228908, -0.380563, -0.449793, -0.478816, -0.496003, -0.508599, -0.518869, -0.527758, -0.535741, -0.543088, -0.549964, -0.556482, -0.562716, -0.568722, -0.574543, -0.580212, -0.58576, -0.591217, -0.596629, -0.602634, -0.664812, -0.899591, -2.36077, -9.81205", \
+					  "10.8917, 3.0687, 1.39317, 0.692278, 0.000588757, -0.290947, -0.507194, -0.633164, -0.687648, -0.716116, -0.735271, -0.750052, -0.762362, -0.773103, -0.782768, -0.791651, -0.799943, -0.807774, -0.815236, -0.822397, -0.829312, -0.836024, -0.842575, -0.849013, -0.855433, -0.880661, -1.043, -2.39256, -9.95347", \
+					  "10.9235, 3.25389, 1.52442, 0.766767, 9.6642e-05, -0.34165, -0.614527, -0.802313, -0.895593, -0.940697, -0.968649, -0.989101, -1.00553, -1.0195, -1.03181, -1.04295, -1.05321, -1.0628, -1.07184, -1.08045, -1.0887, -1.09666, -1.10437, -1.11191, -1.11933, -1.13577, -1.22309, -2.43935, -10.0999", \
+					  "10.9544, 3.42954, 1.63776, 0.830273, 1.5891e-05, -0.383821, -0.704954, -0.950251, -1.09426, -1.16346, -1.20314, -1.23064, -1.25194, -1.2696, -1.28486, -1.29847, -1.31084, -1.32229, -1.33299, -1.34311, -1.35273, -1.36196, -1.37085, -1.37949, -1.38794, -1.40489, -1.44332, -2.49993, -10.2474", \
+					  "10.9833, 3.59497, 1.7328, 0.883302, 2.52139e-06, -0.418628, -0.780181, -1.07486, -1.27506, -1.37805, -1.43356, -1.4699, -1.497, -1.51888, -1.53744, -1.55374, -1.56839, -1.5818, -1.59425, -1.60592, -1.61696, -1.62749, -1.63758, -1.64733, -1.65682, -1.67548, -1.69799, -2.5742, -10.3938", \
+					  "11.0102, 3.74996, 1.81073, 0.926784, 3.34016e-07, -0.446982, -0.841921, -1.17757, -1.43153, -1.57848, -1.65557, -1.7032, -1.73731, -1.76408, -1.78635, -1.8056, -1.82271, -1.83823, -1.85251, -1.86582, -1.87833, -1.89018, -1.9015, -1.91238, -1.92292, -1.94343, -1.9647, -2.66291, -10.5377", \
+					  "11.0351, 3.89422, 1.87328, 0.961737, 3.08528e-08, -0.469727, -0.891866, -1.26098, -1.56112, -1.75889, -1.86525, -1.9276, -1.97031, -2.00284, -2.02933, -2.05187, -2.07167, -2.08944, -2.10567, -2.12069, -2.13474, -2.14798, -2.16056, -2.17259, -2.18419, -2.20658, -2.22907, -2.76729, -10.6782", \
+					  "11.0582, 4.02724, 1.92232, 0.989178, 7.2507e-09, -0.487638, -0.931617, -1.32776, -1.66525, -1.91414, -2.05861, -2.14047, -2.19399, -2.2334, -2.26476, -2.291, -2.31375, -2.33398, -2.3523, -2.36913, -2.38478, -2.39947, -2.41336, -2.42658, -2.43927, -2.46357, -2.48758, -2.88882, -10.8152", \
+					  "11.0793, 4.14821, 1.95959, 1.01007, 6.26949e-09, -0.501418, -0.962638, -1.38038, -1.7473, -2.04133, -2.23139, -2.33918, -2.40654, -2.45434, -2.49141, -2.52187, -2.54791, -2.57082, -2.59139, -2.61017, -2.62752, -2.64372, -2.65897, -2.67343, -2.68725, -2.7135, -2.73908, -3.02907, -10.9486", \
+					  "11.0987, 4.25595, 1.98673, 1.02532, 6.21715e-09, -0.511688, -0.98623, -1.421, -1.81089, -2.14116, -2.37933, -2.5208, -2.60618, -2.66443, -2.7083, -2.74363, -2.77338, -2.79926, -2.82229, -2.84315, -2.86232, -2.88012, -2.8968, -2.91255, -2.92753, -2.95581, -2.98299, -3.18941, -11.0785", \
+					  "11.1322, 4.42537, 2.01619, 1.04201, 6.21556e-09, -0.523805, -1.01556, -1.4735, -1.89487, -2.27349, -2.59172, -2.81892, -2.95845, -3.04698, -3.10935, -3.15717, -3.19605, -3.22899, -3.25771, -3.28332, -3.30654, -3.32786, -3.34765, -3.36619, -3.38368, -3.41625, -3.4468, -3.56928, -11.3286", \
+					  "11.1592, 4.52219, 2.02038, 1.04471, 6.9357e-09, -0.527512, -1.02702, -1.49728, -1.9366, -2.3421, -2.70673, -3.01156, -3.22983, -3.36875, -3.46044, -3.52664, -3.57811, -3.62029, -3.65618, -3.68757, -3.71559, -3.741, -3.76435, -3.78601, -3.8063, -3.8436, -3.87788, -3.98796, -11.5673", \
+					  "11.2042, 4.45371, 1.94616, 1.00529, 1.42046e-07, -0.510551, -1.00133, -1.47177, -1.92128, -2.34916, -2.75453, -3.136, -3.49069, -3.81165, -4.08334, -4.28862, -4.43236, -4.53487, -4.61233, -4.67412, -4.72549, -4.76957, -4.8083, -4.84296, -4.87442, -4.93012, -4.97877, -5.10494, -12.2039", \
+					  "11.1637, 4.00395, 1.72745, 0.888842, 4.65295e-06, -0.452011, -0.891524, -1.31834, -1.73225, -2.13305, -2.52054, -2.89449, -3.25466, -3.60078, -3.93255, -4.24952, -4.55097, -4.83557, -5.10044, -5.33953, -5.54345, -5.70632, -5.83252, -5.93161, -6.01188, -6.13662, -6.2323, -6.43591, -12.9813");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0319164");
+						index_3("0.0279880, 0.0440605, 0.0617688, 0.0821052, 0.1183710");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0957492");
+						index_3("0.0344261, 0.0630240, 0.0937413, 0.1317891, 0.1950532");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0319164");
+						index_3("0.0943418, 0.1128132, 0.1299217, 0.1505235, 0.1868303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0957492");
+						index_3("0.1032050, 0.1321353, 0.1629765, 0.2002139, 0.2637778");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.045039");
+						index_3("0.0254493, 0.0385783, 0.0521227, 0.0670860, 0.0887394");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.135117");
+						index_3("0.0318133, 0.0571585, 0.0832936, 0.1122604, 0.1547012");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.045039");
+						index_3("0.0820914, 0.1001486, 0.1140389, 0.1287216, 0.1502549");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.135117");
+						index_3("0.0920315, 0.1195424, 0.1457644, 0.1745637, 0.2170263");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("2.39922");
+						index_3("0.0319164");
+						index_4("1.1966854, 1.2703293, 1.3806800, 1.5080764, 1.5792218");
+						values("0.488641, 0.781826, 0.977283, 0.781826, 0.488641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0319164");
+						index_4("0.6991833, 0.7559387, 0.8492475, 0.9422313, 0.9968458");
+						values("0.550112, 0.88018, 1.10022, 0.88018, 0.550112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0319164");
+						index_4("0.4768528, 0.5224628, 0.6125445, 0.6768160, 0.7191591");
+						values("0.57654, 0.922464, 1.15308, 0.922464, 0.57654");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("1.19961");
+						index_3("0.0319164");
+						index_4("0.6044096, 0.6424816, 0.6949111, 0.7640174, 0.8046017");
+						values("0.258995, 0.414393, 0.517991, 0.414393, 0.258995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.47241");
+						index_3("0.0319164");
+						index_4("0.2425694, 0.2663597, 0.3002935, 0.3467938, 0.3755202");
+						values("0.31215, 0.499441, 0.624301, 0.499441, 0.31215");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0957492");
+						index_4("0.7003014, 0.7583227, 0.8512210, 0.9510706, 1.0084091");
+						values("0.325879, 0.521407, 0.651758, 0.521407, 0.325879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0957492");
+						index_4("0.4776735, 0.5239726, 0.5871119, 0.6830033, 0.7302081");
+						values("0.336651, 0.538642, 0.673303, 0.538642, 0.336651");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("1.64783");
+						index_3("0.045039");
+						index_4("0.8242168, 0.8912668, 1.0255813, 1.1451531, 1.2290657");
+						values("1.41092, 1.08747, 0.871842, 1.08747, 1.41092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.045039");
+						index_4("0.4674775, 0.5179177, 0.5926564, 0.7060876, 0.7667738");
+						values("1.36367, 1.01187, 0.777334, 1.01187, 1.36367");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.045039");
+						index_4("0.3112585, 0.3511756, 0.4270584, 0.4969378, 0.5446299");
+						values("1.34582, 0.983308, 0.741636, 0.983308, 1.34582");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("0.823917");
+						index_3("0.045039");
+						index_4("0.4187869, 0.4531278, 0.5379979, 0.5896027, 0.6386529");
+						values("1.67604, 1.51166, 1.40207, 1.51166, 1.67604");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.304231");
+						index_3("0.045039");
+						index_4("0.1621026, 0.1821135, 0.2141863, 0.2581426, 0.2894527");
+						values("1.65624, 1.47999, 1.36249, 1.47999, 1.65624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.135117");
+						index_4("0.4700352, 0.5221537, 0.6059946, 0.7158632, 0.7897206");
+						values("1.63472, 1.44555, 1.31943, 1.44555, 1.63472");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.135117");
+						index_4("0.3126733, 0.3533397, 0.4176838, 0.5071156, 0.5670719");
+						values("1.6298, 1.43767, 1.30959, 1.43767, 1.6298");
+					}
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.316900;
+			max_transition : 3.762950;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("8.2657759, 8.1607024, 7.8903167, 7.4960830, 6.9661263, 6.3023335, 4.8699502", \
+					  "6.1400182, 6.0065182, 5.6893604, 5.2624420, 4.6058292, 4.1095560, 2.1589037", \
+					  "6.3403540, 6.2216963, 5.9242047, 5.4948359, 5.0794226, 4.1117309, 3.5322749", \
+					  "6.5315891, 6.4160608, 6.0864907, 5.6865567, 5.2191680, 4.9740398, 2.6565835", \
+					  "6.7113836, 6.6175837, 6.2710076, 5.8871579, 5.3826639, 5.0037704, 2.6623064", \
+					  "6.8783252, 6.7553285, 6.4587927, 5.9578466, 5.6010513, 5.2698419, 3.6399408", \
+					  "7.0898591, 6.8838091, 6.6381479, 6.2964330, 5.7547443, 5.3542904, 5.0890481");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("5.9660070, 5.8983345, 5.8220969, 5.7096990, 5.5285262, 5.4260639, 5.3803383", \
+					  "9.0575568, 8.9565742, 8.7220118, 8.4280664, 8.2163460, 8.2684875, 8.2244116", \
+					  "9.0838795, 8.9746821, 8.7576361, 8.4335412, 8.2365339, 8.1151266, 8.2340532", \
+					  "9.1118319, 8.9818855, 8.7880345, 8.4156978, 8.2669887, 8.1219337, 8.1774292", \
+					  "9.1229004, 8.9686123, 8.7826656, 8.4140568, 8.1544918, 8.1207645, 8.0952467", \
+					  "9.0382745, 8.9558066, 8.6594395, 8.4259735, 8.3106664, 8.1262115, 8.1217186", \
+					  "9.1751242, 9.0454476, 8.8763068, 8.5748839, 8.1745843, 8.1299516, 8.1177695");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.9249407, 9.8060826, 9.5112294, 9.0242129, 8.4061622, 7.6853219, 6.0369121", \
+					  "13.6707750, 13.5382570, 13.2289120, 12.7419040, 12.2540670, 11.5696600, 9.6024412", \
+					  "25.6340630, 25.5178780, 25.1431430, 24.6906020, 24.2237190, 23.7954970, 21.6800190", \
+					  "36.9871390, 36.8932800, 36.4966630, 36.0686650, 35.5399560, 35.1561310, 34.1416440", \
+					  "48.1698080, 47.9541580, 47.7339760, 47.2477890, 46.7180150, 46.3349360, 45.9221760", \
+					  "59.1497200, 58.8968180, 58.6709010, 58.1944110, 57.7295950, 57.2679020, 56.8951830", \
+					  "69.9520030, 69.8848200, 69.5026050, 68.9904520, 68.5030990, 68.0991790, 67.7227720");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.6463395, 9.5986655, 9.6250745, 9.7708315, 9.6977995, 9.6077645, 9.5688525", \
+					  "29.5934180, 29.4853830, 29.2478820, 28.9277390, 28.6839980, 29.1204910, 29.0934190", \
+					  "40.7744670, 40.6693360, 40.5340070, 40.1113310, 39.8639280, 39.7300140, 40.2234500", \
+					  "52.0066520, 51.9021870, 51.7819990, 51.3318620, 51.1088880, 50.9112750, 51.3837760", \
+					  "63.3064780, 63.2169460, 63.0832680, 62.6722980, 62.4449170, 62.1954990, 62.3577230", \
+					  "74.6748150, 74.5769420, 74.4621930, 73.9993410, 73.7874690, 73.5724610, 73.4739840", \
+					  "86.0636910, 85.9061020, 85.7871900, 85.3987510, 85.2552330, 84.9522470, 84.7712390");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("11.7530621, 11.6308450, 11.3405991, 10.8593451, 10.2512213, 9.5313151, 7.8806375", \
+					  "47.2949630, 47.1657540, 46.8535520, 46.3755210, 45.8853610, 44.4364130, 43.1733360", \
+					  "85.9505520, 85.8111150, 85.4552960, 85.0101730, 84.5020370, 84.1120990, 81.8975930", \
+					  "123.5879200, 123.4568000, 123.1077900, 122.6383000, 122.1569700, 121.7034800, 119.7143500", \
+					  "160.8328900, 160.6832000, 160.4140300, 159.9078000, 159.4788900, 158.9812900, 158.4594300", \
+					  "197.5919700, 197.5420000, 197.2927700, 196.8011900, 196.2955900, 195.9746400, 195.3698700", \
+					  "234.4667000, 234.0913600, 233.8058400, 233.2558300, 233.0391200, 232.3116700, 231.7875200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.7093275, 9.6398725, 9.5996105, 9.6231655, 9.4978855, 9.3904845, 9.3440605", \
+					  "36.7946820, 36.6927740, 36.4474530, 36.1362750, 35.8847670, 36.2734110, 36.2595890", \
+					  "55.9813860, 55.8918330, 55.6460570, 55.3413810, 55.0889890, 54.9103020, 55.4288140", \
+					  "75.3363340, 75.3490260, 75.0674520, 74.7316790, 74.5329010, 74.3351010, 74.6965540", \
+					  "94.9673120, 94.8611330, 94.6066700, 94.3195170, 94.0445850, 93.9093180, 93.7351170", \
+					  "114.7657000, 114.5447300, 114.2690200, 114.1895700, 113.7154100, 113.6576700, 113.3729600", \
+					  "134.4083300, 134.2711600, 134.0047700, 133.7182900, 133.4470500, 133.2517200, 132.9611000");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("23.2331618, 23.1401998, 22.8958118, 22.5337078, 22.1199478, 21.5173318, 19.7745118", \
+					  "23.2610767, 23.1390867, 22.8960647, 22.5394397, 22.1230037, 21.4871117, 19.7336007", \
+					  "23.2671816, 23.1662036, 22.9086506, 22.5474696, 22.1258326, 21.5227666, 19.7433316", \
+					  "23.2784944, 23.1685294, 22.9222824, 22.5726144, 22.1398944, 21.5379484, 19.9180314", \
+					  "23.2898478, 23.1683908, 22.9404288, 22.5784878, 22.1526768, 21.5218108, 19.8913058", \
+					  "23.3116656, 23.1944236, 22.9508946, 22.5965216, 22.1706906, 21.5428216, 19.9519376", \
+					  "23.3088625, 23.2027285, 22.9708845, 22.6094735, 22.1712025, 21.5933905, 19.8295365");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("18.6521019, 18.4776219, 18.0507859, 17.3942989, 16.5620099, 15.6754989, 15.2234419", \
+					  "18.6590726, 18.4931086, 18.0588536, 17.3988416, 16.5597906, 15.6391066, 15.1790456", \
+					  "18.6602063, 18.4717993, 18.0480603, 17.4041983, 16.5559113, 15.6675963, 15.2026683", \
+					  "18.6551035, 18.4766595, 18.0482855, 17.4028045, 16.5588135, 15.6759185, 15.2482105", \
+					  "18.6477275, 18.4701395, 18.0409335, 17.3967255, 16.5519775, 15.6748175, 15.2037605", \
+					  "18.6583337, 18.5008947, 18.0366417, 17.3999737, 16.5540737, 15.6765227, 15.2417977", \
+					  "18.6500809, 18.4753719, 18.0451669, 17.3894539, 16.5570759, 15.6743429, 15.2058989");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("1.3079935, 1.3167403, 1.3404301, 1.4012955, 1.5722940, 2.1008755, 3.7885468", \
+					  "2.9041158, 2.9126190, 2.9363283, 2.9971481, 3.1681300, 3.6988874, 5.3846354", \
+					  "4.1792405, 4.1881019, 4.2117705, 4.2726507, 4.4434687, 4.9729190, 6.6690076", \
+					  "4.4654098, 4.4740065, 4.4976995, 4.5586579, 4.7292983, 5.2581868, 6.9503101", \
+					  "6.1145462, 6.1233938, 6.1470757, 6.2079444, 6.3787682, 6.8804402, 8.5977421", \
+					  "7.5256734, 7.5340463, 7.5578521, 7.6188016, 7.7897804, 8.3676062, 10.0102960", \
+					  "8.6774614, 8.6859178, 8.7096836, 8.7705274, 8.9414973, 9.4192494, 11.1598960", \
+					  "10.3396000, 10.3475300, 10.3712540, 10.4321610, 10.6031710, 11.1134910, 12.8229640", \
+					  "32.0162140, 32.0252590, 32.0484440, 32.1099980, 32.2810330, 32.2810345, 34.5010700", \
+					  "45.4085340, 45.4182040, 45.4407320, 45.5551650, 45.7304450, 46.2127580, 47.8910070", \
+					  "102.7687900, 103.4557400, 103.7209600, 103.7209664, 103.9863900, 103.9863930, 104.9418300", \
+					  "309.6045900, 309.6045990, 309.6373900, 309.6374054, 309.9503700, 310.6335100, 311.5765700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0742272, 0.0811161, 0.1031828, 0.1720868, 0.4083431, 1.1996178, 3.7627630", \
+					  "0.0737005, 0.0822820, 0.1037083, 0.1720478, 0.4075342, 1.2045408, 3.7384384", \
+					  "0.0749599, 0.0818698, 0.1036745, 0.1718536, 0.4076627, 1.1997379, 3.7589003", \
+					  "0.0746710, 0.0822553, 0.1031731, 0.1717352, 0.4080371, 1.1992534, 3.7491983", \
+					  "0.0749705, 0.0818618, 0.1036848, 0.1718602, 0.4076930, 1.2019503, 3.7497987", \
+					  "0.0737614, 0.0822893, 0.1036773, 0.1718989, 0.4079785, 1.1993853, 3.7509107", \
+					  "0.0736112, 0.0822908, 0.1032006, 0.1719964, 0.4076181, 1.1993370, 3.7443186", \
+					  "0.0736235, 0.0822867, 0.1031976, 0.1719660, 0.4077113, 1.2035437, 3.7513535", \
+					  "0.0737652, 0.0811361, 0.1032081, 0.1718764, 0.4080644, 1.2035596, 3.7432919", \
+					  "0.0736203, 0.0822854, 0.1031969, 0.1718738, 0.4075653, 1.1981746, 3.7508130", \
+					  "0.0741007, 0.0819722, 0.1036882, 0.1717561, 0.4076875, 1.1993452, 3.7518340", \
+					  "0.0748964, 0.0822864, 0.1032812, 0.1719744, 0.4077029, 1.2029163, 3.7552343");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.9294713, 0.9377984, 0.9621138, 1.0241041, 1.1936933, 1.7064445, 3.3349794", \
+					  "2.2796127, 2.2879967, 2.3116864, 2.3739679, 2.5440390, 3.0570522, 4.6841548", \
+					  "3.1184870, 3.1268665, 3.1505609, 3.2128538, 3.3829211, 3.8958756, 5.5247584", \
+					  "3.2936123, 3.3020131, 3.3257510, 3.3879741, 3.5580430, 4.0708863, 5.6982401", \
+					  "4.2746269, 4.2827670, 4.3068944, 4.3684762, 4.5392889, 5.0515765, 6.6832704", \
+					  "5.0502029, 5.0579692, 5.0823408, 5.1438862, 5.2283085, 5.8271690, 7.4565776", \
+					  "5.5895053, 5.5979843, 5.6216054, 5.6839169, 5.8426348, 6.3646715, 7.9967704", \
+					  "6.3220362, 6.3306529, 6.3542185, 6.4166854, 6.5833023, 7.0733548, 8.7263841", \
+					  "14.6523240, 14.6601300, 14.6828660, 14.7468820, 14.9316360, 15.4445980, 17.0583420", \
+					  "19.3170720, 19.3261620, 19.3510220, 19.4115350, 19.6645030, 20.1635700, 21.7221330", \
+					  "38.4683750, 38.5064350, 38.5165610, 38.5469430, 38.7625080, 39.2409550, 40.8869880", \
+					  "104.9613900, 104.9756900, 104.9964200, 105.0595100, 105.2326000, 105.7214900, 107.3639700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0650115, 0.0711310, 0.0909639, 0.1506283, 0.3480443, 1.0076313, 3.1525964", \
+					  "0.0649496, 0.0713672, 0.0913000, 0.1498808, 0.3479249, 1.0126594, 3.1389689", \
+					  "0.0649383, 0.0713862, 0.0913596, 0.1507615, 0.3475503, 1.0133593, 3.1452823", \
+					  "0.0648807, 0.0713945, 0.0905705, 0.1507581, 0.3476208, 1.0132474, 3.1477148", \
+					  "0.0649968, 0.0711783, 0.0910509, 0.1512853, 0.3477219, 1.0118869, 3.1496215", \
+					  "0.0650052, 0.0713360, 0.0911652, 0.1506937, 0.3475609, 1.0102875, 3.1572982", \
+					  "0.0650396, 0.0713400, 0.0910911, 0.1506556, 0.3472780, 1.0117325, 3.1485237", \
+					  "0.0651194, 0.0716406, 0.0910496, 0.1509029, 0.3477554, 1.0098886, 3.1529488", \
+					  "0.0650030, 0.0713463, 0.0911500, 0.1503296, 0.3473473, 1.0134376, 3.1550620", \
+					  "0.0651152, 0.0713211, 0.0905967, 0.1505904, 0.3475851, 1.0129537, 3.1720522", \
+					  "0.0649574, 0.0713851, 0.0913595, 0.1510673, 0.3477835, 1.0127749, 3.1475322", \
+					  "0.0647182, 0.0713338, 0.0905164, 0.1509189, 0.3477887, 1.0087189, 3.1476863");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6678352, 0.6766784, 0.7012103, 0.7622305, 0.9332138, 1.4625954, 3.1453995", \
+					  "0.0994353, 0.1084424, 0.1328140, 0.1941043, 0.3650416, 0.8935111, 2.5782094", \
+					  "-0.7601395, -0.7523195, -0.7267525, -0.6654646, -0.4945256, 0.0339400, 1.7166100", \
+					  "-0.9766280, -0.9676667, -0.9432393, -0.8819576, -0.7110174, -0.1825266, 1.5015722", \
+					  "-2.3734950, -2.3649429, -2.3401123, -2.2788140, -2.1078785, -1.5793299, 0.1042817", \
+					  "-3.6250805, -3.6183088, -3.5928489, -3.5303669, -3.3594727, -2.8308778, -1.1406343", \
+					  "-4.6193058, -4.6105209, -4.5850399, -4.5231081, -4.3525321, -3.8239523, -2.1334603", \
+					  "-6.0669922, -6.0566996, -6.0307803, -5.9718368, -5.8002616, -5.2717564, -3.5886885", \
+					  "-28.3801050, -28.3801031, -28.3575970, -28.2887940, -28.1296800, -27.6011180, -25.8999100", \
+					  "-43.7049970, -43.6959660, -43.6873650, -43.6384230, -43.4378290, -42.9124430, -41.2234870", \
+					  "-113.2921300, -113.2921257, -113.2509300, -113.1887400, -113.0183400, -112.4964700, -110.8040600", \
+					  "-386.0613700, -386.0345700, -386.0345611, -385.9575200, -385.8550000, -385.2747500, -383.6050000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0768169, 0.0842433, 0.1057112, 0.1730434, 0.4089190, 1.2022950, 3.7476533", \
+					  "0.0766918, 0.0842944, 0.1058485, 0.1736454, 0.4090498, 1.2018241, 3.7416621", \
+					  "0.0766831, 0.0843011, 0.1061029, 0.1736494, 0.4090575, 1.2018398, 3.7489469", \
+					  "0.0766716, 0.0842982, 0.1060894, 0.1736432, 0.4090364, 1.2018215, 3.7398036", \
+					  "0.0767592, 0.0842935, 0.1058588, 0.1736219, 0.4089678, 1.2013250, 3.7395864", \
+					  "0.0767655, 0.0843114, 0.1058456, 0.1736199, 0.4088801, 1.2011135, 3.7510008", \
+					  "0.0766935, 0.0843160, 0.1060916, 0.1736544, 0.4089733, 1.2013395, 3.7460737", \
+					  "0.0767635, 0.0843158, 0.1058598, 0.1736220, 0.4089724, 1.2013702, 3.7487293", \
+					  "0.0767578, 0.0842849, 0.1059999, 0.1736577, 0.4088014, 1.2010383, 3.7432497", \
+					  "0.0769058, 0.0844068, 0.1060394, 0.1736725, 0.4090915, 1.2016116, 3.7545269", \
+					  "0.0770646, 0.0843404, 0.1061043, 0.1736511, 0.4090540, 1.2019010, 3.7397800", \
+					  "0.0767587, 0.0843024, 0.1061555, 0.1736221, 0.4090332, 1.2013858, 3.7408219");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6752985, 0.6839139, 0.7083397, 0.7718370, 0.9438714, 1.4569729, 3.0839289", \
+					  "2.5437326, 2.5523654, 2.5768061, 2.6402946, 2.8122968, 3.3252474, 4.9505364", \
+					  "4.3118749, 4.3205395, 4.3449968, 4.4085144, 4.5804769, 5.0936713, 6.7196479", \
+					  "4.7247606, 4.7333959, 4.7578514, 4.8212807, 4.9933169, 5.5062532, 7.1335533", \
+					  "7.2660042, 7.2747062, 7.2992212, 7.3629667, 7.5346627, 8.0476790, 9.6734283", \
+					  "9.4351202, 9.4437818, 9.4682218, 9.5334904, 9.7035997, 10.2167320, 11.8426850", \
+					  "11.1175250, 11.1262010, 11.1506400, 11.2163840, 11.3861110, 11.8991380, 13.5272900", \
+					  "13.5224620, 13.5404740, 13.5555960, 13.6276010, 13.7913940, 14.3127300, 15.9398200", \
+					  "48.7702000, 48.7809900, 48.8035110, 48.8657650, 49.0380320, 49.5524510, 51.1767300", \
+					  "72.3207380, 72.3291150, 72.3539200, 72.4191200, 72.5905170, 73.1018660, 74.7273250", \
+					  "177.7695600, 177.7782200, 177.8031600, 177.8660300, 178.0383300, 178.5506200, 180.1774200", \
+					  "586.0380300, 586.0481500, 586.0734400, 586.1391500, 586.3069000, 586.8204800, 588.4487000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0674095, 0.0742443, 0.0932676, 0.1534616, 0.3497878, 1.0098756, 3.1475778", \
+					  "0.0678200, 0.0741961, 0.0935649, 0.1536364, 0.3499019, 1.0095331, 3.1402156", \
+					  "0.0676531, 0.0740926, 0.0933829, 0.1538168, 0.3497928, 1.0095917, 3.1386518", \
+					  "0.0678092, 0.0741494, 0.0934371, 0.1532985, 0.3496353, 1.0090818, 3.1430993", \
+					  "0.0675023, 0.0742485, 0.0936018, 0.1536843, 0.3501575, 1.0077538, 3.1402541", \
+					  "0.0678273, 0.0740677, 0.0934175, 0.1535314, 0.3499062, 1.0088862, 3.1357932", \
+					  "0.0678371, 0.0741511, 0.0934150, 0.1537827, 0.3497430, 1.0084068, 3.1445078", \
+					  "0.0676710, 0.0739938, 0.0934095, 0.1533653, 0.3499022, 1.0077095, 3.1479206", \
+					  "0.0675937, 0.0740174, 0.0936361, 0.1538989, 0.3497309, 1.0077583, 3.1482830", \
+					  "0.0678905, 0.0742312, 0.0933702, 0.1536311, 0.3495362, 1.0082817, 3.1393241", \
+					  "0.0677218, 0.0743320, 0.0935024, 0.1534764, 0.3500348, 1.0075480, 3.1453813", \
+					  "0.0676234, 0.0741338, 0.0935253, 0.1534122, 0.3496227, 1.0068645, 3.1461231");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6783241, 0.6873695, 0.7116522, 0.7727998, 0.9438887, 1.4736071, 3.1611056", \
+					  "1.0925115, 1.1015533, 1.1259148, 1.1871942, 1.3581416, 1.8865298, 3.5802976", \
+					  "1.2574167, 1.2665574, 1.2908840, 1.3519657, 1.5230517, 2.0514479, 3.7354648", \
+					  "1.2931907, 1.3016733, 1.3260500, 1.3878655, 1.5587910, 2.0872486, 3.7714090", \
+					  "1.4683448, 1.4773452, 1.4999925, 1.5630263, 1.7339118, 2.2606270, 3.9531729", \
+					  "1.5927135, 1.6036367, 1.6259807, 1.6878282, 1.8602492, 2.3912383, 4.0791122", \
+					  "1.6859650, 1.6947835, 1.7138082, 1.7722810, 1.9514044, 2.4747371, 4.1656416", \
+					  "1.8033277, 1.8086314, 1.8356696, 1.8974717, 2.0647772, 2.5938993, 4.2727621", \
+					  "3.0304079, 3.0712062, 3.0740104, 3.1542984, 3.2987317, 3.8267203, 5.5112976", \
+					  "3.7117958, 3.7603223, 3.7771208, 3.8448040, 4.0065586, 4.5319726, 6.1923280", \
+					  "6.5016872, 6.5036971, 6.5240724, 6.5963599, 6.7639108, 7.2966913, 8.9542604", \
+					  "15.8908480, 15.9123720, 15.9296010, 16.0048880, 16.1479470, 16.6841650, 18.3652760");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0765315, 0.0841980, 0.1053487, 0.1731416, 0.4087973, 1.2032443, 3.7421204", \
+					  "0.0769035, 0.0843421, 0.1060179, 0.1736792, 0.4091192, 1.2019183, 3.7461620", \
+					  "0.0766908, 0.0842983, 0.1058520, 0.1736756, 0.4090787, 1.2018101, 3.7680952", \
+					  "0.0766796, 0.0843045, 0.1061542, 0.1736534, 0.4090643, 1.2018140, 3.7592218", \
+					  "0.0767938, 0.0843657, 0.1060987, 0.1735465, 0.4084207, 1.2018982, 3.7441161", \
+					  "0.0767020, 0.0842952, 0.1058601, 0.1736544, 0.4090587, 1.2013376, 3.7473220", \
+					  "0.0767007, 0.0842810, 0.1058509, 0.1736484, 0.4090876, 1.2016647, 3.7446770", \
+					  "0.0766817, 0.0844852, 0.1060973, 0.1736627, 0.4090703, 1.2010136, 3.7540837", \
+					  "0.0766890, 0.0843129, 0.1060958, 0.1735923, 0.4090791, 1.2018383, 3.7538124", \
+					  "0.0767721, 0.0843091, 0.1060985, 0.1736317, 0.4090868, 1.2001483, 3.7452308", \
+					  "0.0766767, 0.0843308, 0.1058535, 0.1736525, 0.4090803, 1.2013005, 3.7451984", \
+					  "0.0767703, 0.0842935, 0.1060964, 0.1736506, 0.4089099, 1.2017513, 3.7518735");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.5622613, 0.5708119, 0.5953549, 0.6588194, 0.8307520, 1.3436303, 2.9710756", \
+					  "1.9355448, 1.9441802, 1.9686479, 2.0320934, 2.2041342, 2.7170328, 4.3431410", \
+					  "3.1916523, 3.2004108, 3.2247480, 3.2881962, 3.4602448, 3.9733079, 5.5992602", \
+					  "3.4786918, 3.5129408, 3.5129411, 3.5756573, 3.7476648, 4.2607033, 5.8870291", \
+					  "5.2782121, 5.2800218, 5.3061950, 5.3696967, 5.5409299, 6.0546332, 7.6834992", \
+					  "6.7960810, 6.8045325, 6.8283459, 6.8917663, 7.0623360, 7.5664142, 9.1935313", \
+					  "7.9732478, 7.9869436, 8.0097928, 8.0732159, 8.2264293, 8.7432106, 10.3759390", \
+					  "9.6575690, 9.6834132, 9.6926875, 9.7361834, 9.9270974, 10.4389470, 12.0718310", \
+					  "34.3094650, 34.3180200, 34.3413400, 34.4039960, 34.5800510, 35.0902030, 36.6292490", \
+					  "50.7709750, 50.7709752, 50.7957660, 50.8701530, 51.0277760, 51.5405250, 53.1702360", \
+					  "124.4534800, 124.5142000, 124.5509500, 124.6028800, 124.7825000, 125.2829400, 126.9297800", \
+					  "410.1047200, 410.1419800, 410.1652600, 410.2045400, 410.3790200, 410.9161900, 412.5055600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0675790, 0.0742222, 0.0934379, 0.1532628, 0.3496689, 1.0091808, 3.1459764", \
+					  "0.0678340, 0.0741891, 0.0934818, 0.1533388, 0.3496507, 1.0101608, 3.1340877", \
+					  "0.0678378, 0.0741858, 0.0934760, 0.1533745, 0.3496565, 1.0098470, 3.1490073", \
+					  "0.0676262, 0.0741528, 0.0934490, 0.1538872, 0.3500137, 1.0098737, 3.1477236", \
+					  "0.0676308, 0.0741710, 0.0934622, 0.1538981, 0.3497701, 1.0096488, 3.1559990", \
+					  "0.0678442, 0.0741690, 0.0934728, 0.1537210, 0.3498931, 1.0097631, 3.1446885", \
+					  "0.0676052, 0.0741717, 0.0934711, 0.1537767, 0.3498186, 1.0104767, 3.1456135", \
+					  "0.0678497, 0.0740354, 0.0934691, 0.1535833, 0.3499092, 1.0098520, 3.1442038", \
+					  "0.0676871, 0.0741734, 0.0934530, 0.1537599, 0.3498785, 1.0097513, 3.1370058", \
+					  "0.0678536, 0.0741926, 0.0934580, 0.1534021, 0.3498859, 1.0105212, 3.1523792", \
+					  "0.0676298, 0.0740948, 0.0934309, 0.1537924, 0.3497208, 1.0097003, 3.1458167", \
+					  "0.0676475, 0.0740397, 0.0934560, 0.1536222, 0.3498592, 1.0097027, 3.1479361");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("2.5178382, 2.5268239, 2.5512898, 2.6125249, 2.7836393, 3.3135201, 5.0064255", \
+					  "2.6527118, 2.6618581, 2.6861178, 2.7472720, 2.9172227, 3.4484573, 5.1409477", \
+					  "2.7587381, 2.7678850, 2.7923190, 2.8534159, 3.0241174, 3.5545713, 5.2468057", \
+					  "2.8469265, 2.8559217, 2.8805198, 2.9417309, 3.1072154, 3.6431704, 5.3347819", \
+					  "2.9216427, 2.9306417, 2.9550997, 3.0163623, 3.1863574, 3.7179080, 5.4097802", \
+					  "2.9864624, 2.9954499, 3.0200080, 3.0811620, 3.2600229, 3.7827088, 5.4742708", \
+					  "3.0608331, 3.0698606, 3.0942957, 3.1555123, 3.3256080, 3.8563841, 5.5493232");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.0767708, 0.0842894, 0.1057046, 0.1734467, 0.4094770, 1.2016488, 3.7561052", \
+					  "0.0766723, 0.0842168, 0.1057152, 0.1732846, 0.4083456, 1.2017638, 3.7568810", \
+					  "0.0768055, 0.0843631, 0.1057900, 0.1734300, 0.4092000, 1.2029868, 3.7551873", \
+					  "0.0766600, 0.0842826, 0.1057913, 0.1731966, 0.4090206, 1.2043896, 3.7455030", \
+					  "0.0766847, 0.0842802, 0.1056201, 0.1734127, 0.4095393, 1.2046701, 3.7490628", \
+					  "0.0766276, 0.0842995, 0.1057594, 0.1733802, 0.4094167, 1.2043890, 3.7448227", \
+					  "0.0768025, 0.0843544, 0.1057991, 0.1733362, 0.4095833, 1.2011381, 3.7570342");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("1.9956523, 2.0066468, 2.0371287, 2.1119559, 2.2969926, 2.8154890, 4.4408317", \
+					  "2.0694149, 2.0799897, 2.1104549, 2.1856503, 2.3704205, 2.8888534, 4.5145243", \
+					  "2.0981683, 2.1074780, 2.1379938, 2.2138771, 2.3978730, 2.9163474, 4.5411128", \
+					  "2.1136879, 2.1246458, 2.1551783, 2.2298656, 2.4151556, 2.9335496, 4.5581432", \
+					  "2.1194884, 2.1303939, 2.1608486, 2.2355655, 2.4208244, 2.9392513, 4.5647726", \
+					  "2.1236362, 2.1344537, 2.1647524, 2.2397375, 2.4247069, 2.9431955, 4.5686757", \
+					  "2.1225146, 2.1331366, 2.1635229, 2.2390818, 2.4235394, 2.9423848, 4.5677968");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.1354806, 0.1447282, 0.1664549, 0.2229365, 0.4008890, 1.0274827, 3.1727008", \
+					  "0.1357976, 0.1446759, 0.1664068, 0.2229879, 0.3995242, 1.0284195, 3.1600551", \
+					  "0.1354417, 0.1450493, 0.1664994, 0.2230790, 0.3998106, 1.0283074, 3.1585119", \
+					  "0.1358460, 0.1444543, 0.1663190, 0.2229222, 0.4000898, 1.0285720, 3.1583782", \
+					  "0.1354668, 0.1446800, 0.1664198, 0.2227390, 0.3995639, 1.0283681, 3.1594063", \
+					  "0.1359183, 0.1445530, 0.1666604, 0.2229864, 0.4009232, 1.0275032, 3.1737177", \
+					  "0.1359895, 0.1450497, 0.1665955, 0.2232009, 0.3997118, 1.0286354, 3.1521368");
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & VTRIP_SEL )";
+				miller_cap_fall : "0.0151747";
+				miller_cap_rise : "0.0114473";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("91.6422, 44.0832, 29.6541, 28.493, 26.9135, 25.9396, 24.8801, 23.7672, 22.6177, 21.437, 20.2265, 18.9861, 17.7157, 16.4149, 15.0834, 13.7208, 12.3268, 10.9009, 9.4428, 7.95219, 6.42873, 4.87209, 3.28194, 1.65801, -2.46121e-07, -3.33749, -6.69098, -25.1905, -67.8562", \
+					  "87.8502, 40.2903, 21.4984, 21.0496, 20.5141, 20.2008, 19.8462, 19.438, 18.9573, 18.3761, 17.6579, 16.7863, 15.8026, 14.7516, 13.6501, 12.5012, 11.3053, 10.0621, 8.77109, 7.43195, 6.04421, 4.60748, 3.1214, 1.58567, -7.95893e-08, -3.2084, -6.45957, -25.1134, -67.7769", \
+					  "85.9305, 38.0906, 16.2962, 16.0104, 15.6909, 15.5123, 15.3173, 15.1022, 14.862, 14.5894, 14.274, 13.8995, 13.4395, 12.8517, 12.0944, 11.1951, 10.2105, 9.1594, 8.04443, 6.86558, 5.62248, 4.31471, 2.94189, 1.50373, -1.96599e-08, -3.05767, -6.20985, -25.0367, -67.6992", \
+					  "85.4819, 37.3999, 14.566, 14.3122, 14.0407, 13.8912, 13.7296, 13.5531, 13.3585, 13.1408, 12.8936, 12.6067, 12.2646, 11.8414, 11.2935, 10.5724, 9.70242, 8.74182, 7.70796, 6.60286, 5.42642, 4.17823, 2.85794, 1.46526, -4.23633e-08, -2.98806, -6.09717, -25.0037, -67.6661", \
+					  "85.122, 36.8635, 12.8689, 12.6142, 12.3816, 12.2564, 12.1223, 11.9774, 11.8193, 11.6446, 11.4492, 11.2269, 10.9681, 10.6581, 10.2712, 9.76257, 9.07749, 8.23837, 7.30319, 6.28671, 5.19028, 4.0137, 2.75662, 1.41877, -2.72062e-08, -2.90487, -5.96466, -24.9662, -67.6285", \
+					  "84.9524, 36.6317, 12.3232, 11.7667, 11.5503, 11.4357, 11.3137, 11.1825, 11.04, 10.8835, 10.7097, 10.5134, 10.2875, 10.0205, 9.69334, 9.272, 8.69907, 7.94508, 7.06911, 6.10394, 5.05371, 3.91849, 2.69794, 1.39182, -2.52931e-07, -2.85712, -5.88966, -24.9454, -67.6078", \
+					  "84.7848, 36.4079, 11.9842, 10.9221, 10.7187, 10.6137, 10.5028, 10.384, 10.2558, 10.1157, 9.96104, 9.78782, 9.5903, 9.35975, 9.08193, 8.7318, 8.26296, 7.61494, 6.80939, 5.90143, 4.90235, 3.81288, 2.63279, 1.36181, -0.000128511, -2.80473, -5.80817, -24.9235, -67.5863", \
+					  "84.6161, 36.1918, 11.7082, 10.2308, 9.88105, 9.78278, 9.67995, 9.57068, 9.45333, 9.32604, 9.1865, 9.03155, 8.85668, 8.6552, 8.41643, 8.12213, 7.73831, 7.20391, 6.48492, 5.63951, 4.69483, 3.65332, 2.51473, 1.27868, -0.055061, -2.81739, -5.81139, -25.0065, -67.8157", \
+					  "84.4437, 35.9801, 11.4554, 9.72807, 8.8263, 8.69668, 8.57887, 8.46086, 8.33861, 8.20957, 8.07138, 7.92127, 7.75562, 7.56926, 7.35427, 7.0976, 6.77564, 6.34244, 5.7306, 4.9537, 4.06203, 3.06331, 1.95671, 0.740761, -0.585835, -3.38741, -6.4868, -25.7716, -69.4211", \
+					  "84.2646, 35.7997, 11.2073, 9.23079, 7.63472, 7.38068, 7.2308, 7.10129, 6.97609, 6.84931, 6.71747, 6.57758, 6.42642, 6.25982, 6.07179, 5.8529, 5.58685, 5.2425, 4.75873, 4.08439, 3.26708, 2.3308, 1.27536, 0.098397, -1.20227, -4.00624, -7.16517, -26.462, -70.6976", \
+					  "84.0758, 35.5628, 10.9518, 8.72499, 6.56205, 6.04786, 5.82521, 5.67565, 5.54547, 5.42072, 5.29544, 5.16592, 5.02891, 4.88088, 4.71716, 4.53084, 4.31054, 4.03548, 3.66354, 3.12069, 2.39724, 1.53801, 0.547817, -0.576304, -1.83741, -4.61708, -7.78628, -27.0929, -71.8141", \
+					  "83.8743, 35.3541, 10.6791, 8.2125, 5.62026, 4.80553, 4.42495, 4.23482, 4.09355, 3.96816, 3.84776, 3.72704, 3.60237, 3.47046, 3.32751, 3.16836, 2.98496, 2.76328, 2.47613, 2.06587, 1.46297, 0.695901, -0.215258, -1.27318, -2.48176, -5.21244, -8.36036, -27.6716, -72.8063", \
+					  "83.6564, 35.1432, 10.3824, 7.69588, 4.77521, 3.70239, 3.07771, 2.80348, 2.63937, 2.5087, 2.39054, 2.27652, 2.16207, 2.04378, 1.91836, 1.78179, 1.62829, 1.44828, 1.22413, 0.919179, 0.458153, -0.197129, -1.01411, -1.99139, -3.13362, -5.7897, -8.88938, -28.2004, -73.6868", \
+					  "83.4186, 34.9287, 10.0584, 7.17748, 4.00167, 2.7217, 1.83643, 1.40466, 1.19555, 1.05213, 0.932504, 0.822689, 0.716233, 0.609196, 0.498422, 0.380629, 0.251542, 0.104536, -0.0719879, -0.300729, -0.63222, -1.1496, -1.85473, -2.73511, -3.79562, -6.34954, -9.38236, -28.6829, -74.4678", \
+					  "83.1572, 34.7089, 9.7082, 6.65974, 3.28349, 1.83608, 0.729276, 0.0709559, -0.224133, -0.392794, -0.519096, -0.62777, -0.7286, -0.826662, -0.92534, -1.02756, -1.13663, -1.25718, -1.39696, -1.57025, -1.80685, -2.17583, -2.74681, -3.51145, -4.47265, -6.89373, -9.83969, -29.1229, -75.1606", \
+					  "82.8681, 34.4825, 9.33608, 6.14578, 2.61119, 1.02676, -0.25674, -1.15541, -1.59969, -1.81516, -1.95592, -2.06737, -2.16521, -2.25657, -2.34549, -2.4349, -2.52753, -2.62673, -2.73772, -2.86965, -3.04028, -3.28938, -3.70351, -4.33012, -5.17129, -7.42429, -10.2616, -29.5243, -75.775", \
+					  "82.5484, 34.2492, 8.94893, 5.64039, 1.98079, 0.28342, -1.14256, -2.24644, -2.8999, -3.19893, -3.36639, -3.4859, -3.58387, -3.67089, -3.75228, -3.83132, -3.91052, -3.99246, -4.0807, -4.1812, -4.30487, -4.47368, -4.74091, -5.20381, -5.90011, -7.94316, -10.6476, -29.8899, -76.3188", \
+					  "82.1971, 34.0101, 8.55724, 5.15177, 1.39424, -0.39633, -1.93895, -3.20398, -4.08255, -4.51872, -4.73268, -4.86781, -4.96976, -5.05504, -5.13111, -5.20203, -5.27042, -5.33849, -5.40877, -5.48517, -5.57463, -5.69005, -5.85794, -6.14861, -6.67027, -8.45204, -10.9963, -30.2133, -76.7982", \
+					  "81.819, 33.7709, 8.17847, 4.69502, 0.861747, -1.00512, -2.6436, -4.03525, -5.10906, -5.73398, -6.02562, -6.18753, -6.29847, -6.38499, -6.45802, -6.52296, -6.58293, -6.64006, -6.69632, -6.75439, -6.81882, -6.8978, -7.00605, -7.17388, -7.49652, -8.95244, -11.305, -30.4795, -77.2068", \
+					  "81.435, 33.5471, 7.84498, 4.29932, 0.40787, -1.52013, -3.23655, -4.72886, -5.95374, -6.78419, -7.1956, -7.40073, -7.52728, -7.61853, -7.69095, -7.75204, -7.80581, -7.85465, -7.90037, -7.94495, -7.99156, -8.04587, -8.11765, -8.22299, -8.39377, -9.44572, -11.5705, -30.7099, -77.536", \
+					  "81.1133, 33.3806, 7.62657, 4.02757, 0.0884907, -1.88631, -3.66411, -5.23561, -6.57335, -7.58368, -8.15241, -8.42297, -8.57359, -8.67361, -8.748, -8.80744, -8.85726, -8.90046, -8.93899, -8.9746, -9.00978, -9.0489, -9.09969, -9.1744, -9.29079, -9.93441, -11.7983, -30.9175, -77.8168", \
+					  "81.0595, 33.3773, 7.66247, 3.9961, 0.00134103, -2.00954, -3.83575, -5.46994, -6.89335, -8.04193, -8.78025, -9.14338, -9.33135, -9.44793, -9.53037, -9.59376, -9.64528, -9.68881, -9.7267, -9.7608, -9.7933, -9.82799, -9.87163, -9.93539, -10.0358, -10.4571, -12.017, -31.1133, -78.0639", \
+					  "81.1646, 33.4467, 7.79595, 4.05474, 5.24377e-07, -2.04296, -3.91203, -5.60123, -7.09716, -8.35684, -9.26167, -9.7494, -9.99326, -10.1361, -10.2332, -10.3057, -10.3636, -10.4118, -10.4532, -10.4899, -10.5241, -10.5588, -10.6002, -10.6583, -10.7486, -11.1162, -12.2698, -31.3033, -78.2838", \
+					  "81.2679, 33.5592, 7.91511, 4.10753, 5.48298e-08, -2.07083, -3.97739, -5.71476, -7.27304, -8.62331, -9.67618, -10.3142, -10.6352, -10.8134, -10.9291, -11.013, -11.0785, -11.1322, -11.1779, -11.2178, -11.2541, -11.2897, -11.3296, -11.3829, -11.4639, -11.7966, -12.6208, -31.4916, -78.4808", \
+					  "81.3641, 33.6164, 8.02006, 4.15376, 9.41958e-08, -2.09501, -4.03442, -5.81409, -7.42652, -8.85188, -10.0278, -10.8269, -11.2515, -11.4774, -11.6172, -11.7153, -11.79, -11.8502, -11.9007, -11.9444, -11.9834, -12.0204, -12.0597, -12.1091, -12.1818, -12.4802, -13.1741, -31.6814, -78.6587", \
+					  "81.5315, 33.6587, 8.19422, 4.22957, 2.9177e-07, -2.13417, -4.12773, -5.97761, -7.6792, -9.22244, -10.5787, -11.6662, -12.37, -12.7478, -12.961, -13.0991, -13.1986, -13.2757, -13.3385, -13.3916, -13.4378, -13.4795, -13.52, -13.5649, -13.6245, -13.8599, -14.4347, -32.0933, -78.9719", \
+					  "81.6643, 33.787, 8.32948, 4.28717, 8.10895e-07, -2.16345, -4.19878, -6.10365, -7.87495, -9.50704, -10.9863, -12.2733, -13.2713, -13.8949, -14.2385, -14.443, -14.5805, -14.682, -14.7618, -14.8275, -14.8833, -14.9323, -14.9771, -15.0218, -15.0738, -15.2577, -15.7167, -32.5558, -79.2719", \
+					  "81.9078, 33.8777, 8.57158, 4.38325, 8.0274e-06, -2.21076, -4.32092, -6.32925, -8.23445, -10.0349, -11.7282, -13.3094, -14.7672, -16.0715, -17.1512, -17.9172, -18.3875, -18.6764, -18.8701, -19.0117, -19.1219, -19.2118, -19.2876, -19.3534, -19.4125, -19.5321, -19.7378, -33.9307, -79.8931", \
+					  "82.0633, 33.9611, 8.65648, 4.39525, 7.95978e-05, -2.21353, -4.3542, -6.42138, -8.41456, -10.3333, -12.177, -13.9451, -15.637, -17.2513, -18.7859, -20.2358, -21.5903, -22.8233, -23.8821, -24.7021, -25.2736, -25.6606, -25.934, -26.1392, -26.3013, -26.5474, -26.7334, -36.6206, -80.6727");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0675359");
+						index_3("0.0244730, 0.0364863, 0.0493817, 0.0654926, 0.0950739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.202608");
+						index_3("0.0311716, 0.0557036, 0.0823093, 0.1153488, 0.1753114");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0675359");
+						index_3("0.0742470, 0.0947703, 0.1091117, 0.1253235, 0.1548590");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.202608");
+						index_3("0.0854802, 0.1144287, 0.1411198, 0.1739157, 0.2339122");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0664462");
+						index_3("0.0242671, 0.0361503, 0.0482603, 0.0615671, 0.0812885");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.199339");
+						index_3("0.0309032, 0.0549490, 0.0796049, 0.1065251, 0.1471618");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0664462");
+						index_3("0.0677206, 0.0891567, 0.1033872, 0.1166395, 0.1361962");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.199339");
+						index_3("0.0795375, 0.1092724, 0.1338205, 0.1604400, 0.2012069");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.789677");
+						index_3("0.0675359");
+						index_4("0.3379724, 0.3866403, 0.4223882, 0.4669472, 0.5163076");
+						values("0.211161, 0.337858, 0.422322, 0.337858, 0.211161");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.0675359");
+						index_4("0.2256078, 0.2572223, 0.2859440, 0.3176921, 0.3493800");
+						values("0.349402, 0.559043, 0.698804, 0.559043, 0.349402");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.0675359");
+						index_4("0.1723685, 0.1919968, 0.2155440, 0.2458301, 0.2668971");
+						values("0.607058, 0.971293, 1.21412, 0.971293, 0.607058");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.394839");
+						index_3("0.0675359");
+						index_4("0.1787910, 0.2016051, 0.2234489, 0.2518276, 0.2794929");
+						values("0.188665, 0.301864, 0.37733, 0.301864, 0.188665");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.179357");
+						index_3("0.0675359");
+						index_4("0.0906709, 0.1009696, 0.1155031, 0.1351039, 0.1508527");
+						values("0.386548, 0.618477, 0.773096, 0.618477, 0.386548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.202608");
+						index_4("0.2275574, 0.2601532, 0.2881698, 0.3422679, 0.3816753");
+						values("0.273116, 0.436986, 0.546232, 0.436986, 0.273116");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.202608");
+						index_4("0.1700173, 0.1930095, 0.2285220, 0.2607388, 0.2915132");
+						values("0.388819, 0.62211, 0.777637, 0.62211, 0.388819");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413955");
+						index_3("0.0664462");
+						index_4("0.1802365, 0.2121998, 0.2425022, 0.2838830, 0.3187938");
+						values("5.06844, 4.80951, 4.63689, 4.80951, 5.06844");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.0664462");
+						index_4("0.1522441, 0.1773994, 0.2037293, 0.2415517, 0.2708419");
+						values("4.9651, 4.64417, 4.43021, 4.64417, 4.9651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.0664462");
+						index_4("0.1324443, 0.1527314, 0.1819097, 0.2105463, 0.2361860");
+						values("4.83797, 4.44075, 4.17593, 4.44075, 4.83797");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.206977");
+						index_3("0.0664462");
+						index_4("0.0997512, 0.1146819, 0.1361101, 0.1614699, 0.1832316");
+						values("5.165, 4.964, 4.83, 4.964, 5.165");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.140536");
+						index_3("0.0664462");
+						index_4("0.0735445, 0.0838946, 0.1019588, 0.1220595, 0.1412963");
+						values("5.06481, 4.8037, 4.62963, 4.8037, 5.06481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.199339");
+						index_4("0.1568619, 0.1827704, 0.2171606, 0.2658099, 0.3038723");
+						values("5.11218, 4.87949, 4.72437, 4.87949, 5.11218");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.199339");
+						index_4("0.1347480, 0.1571806, 0.1962738, 0.2325037, 0.2683923");
+						values("5.06041, 4.79665, 4.62081, 4.79665, 5.06041");
+					}
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0151747";
+				miller_cap_rise : "0.0114473";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("91.6422, 44.0832, 29.6541, 28.493, 26.9135, 25.9396, 24.8801, 23.7672, 22.6177, 21.437, 20.2265, 18.9861, 17.7157, 16.4149, 15.0834, 13.7208, 12.3268, 10.9009, 9.4428, 7.95219, 6.42873, 4.87209, 3.28194, 1.65801, -2.46121e-07, -3.33749, -6.69098, -25.1905, -67.8562", \
+					  "87.8502, 40.2903, 21.4984, 21.0496, 20.5141, 20.2008, 19.8462, 19.438, 18.9573, 18.3761, 17.6579, 16.7863, 15.8026, 14.7516, 13.6501, 12.5012, 11.3053, 10.0621, 8.77109, 7.43195, 6.04421, 4.60748, 3.1214, 1.58567, -7.95893e-08, -3.2084, -6.45957, -25.1134, -67.7769", \
+					  "85.9305, 38.0906, 16.2962, 16.0104, 15.6909, 15.5123, 15.3173, 15.1022, 14.862, 14.5894, 14.274, 13.8995, 13.4395, 12.8517, 12.0944, 11.1951, 10.2105, 9.1594, 8.04443, 6.86558, 5.62248, 4.31471, 2.94189, 1.50373, -1.96599e-08, -3.05767, -6.20985, -25.0367, -67.6992", \
+					  "85.4819, 37.3999, 14.566, 14.3122, 14.0407, 13.8912, 13.7296, 13.5531, 13.3585, 13.1408, 12.8936, 12.6067, 12.2646, 11.8414, 11.2935, 10.5724, 9.70242, 8.74182, 7.70796, 6.60286, 5.42642, 4.17823, 2.85794, 1.46526, -4.23633e-08, -2.98806, -6.09717, -25.0037, -67.6661", \
+					  "85.122, 36.8635, 12.8689, 12.6142, 12.3816, 12.2564, 12.1223, 11.9774, 11.8193, 11.6446, 11.4492, 11.2269, 10.9681, 10.6581, 10.2712, 9.76257, 9.07749, 8.23837, 7.30319, 6.28671, 5.19028, 4.0137, 2.75662, 1.41877, -2.72062e-08, -2.90487, -5.96466, -24.9662, -67.6285", \
+					  "84.9524, 36.6317, 12.3232, 11.7667, 11.5503, 11.4357, 11.3137, 11.1825, 11.04, 10.8835, 10.7097, 10.5134, 10.2875, 10.0205, 9.69334, 9.272, 8.69907, 7.94508, 7.06911, 6.10394, 5.05371, 3.91849, 2.69794, 1.39182, -2.52931e-07, -2.85712, -5.88966, -24.9454, -67.6078", \
+					  "84.7848, 36.4079, 11.9842, 10.9221, 10.7187, 10.6137, 10.5028, 10.384, 10.2558, 10.1157, 9.96104, 9.78782, 9.5903, 9.35975, 9.08193, 8.7318, 8.26296, 7.61494, 6.80939, 5.90143, 4.90235, 3.81288, 2.63279, 1.36181, -0.000128511, -2.80473, -5.80817, -24.9235, -67.5863", \
+					  "84.6161, 36.1918, 11.7082, 10.2308, 9.88105, 9.78278, 9.67995, 9.57068, 9.45333, 9.32604, 9.1865, 9.03155, 8.85668, 8.6552, 8.41643, 8.12213, 7.73831, 7.20391, 6.48492, 5.63951, 4.69483, 3.65332, 2.51473, 1.27868, -0.055061, -2.81739, -5.81139, -25.0065, -67.8157", \
+					  "84.4437, 35.9801, 11.4554, 9.72807, 8.8263, 8.69668, 8.57887, 8.46086, 8.33861, 8.20957, 8.07138, 7.92127, 7.75562, 7.56926, 7.35427, 7.0976, 6.77564, 6.34244, 5.7306, 4.9537, 4.06203, 3.06331, 1.95671, 0.740761, -0.585835, -3.38741, -6.4868, -25.7716, -69.4211", \
+					  "84.2646, 35.7997, 11.2073, 9.23079, 7.63472, 7.38068, 7.2308, 7.10129, 6.97609, 6.84931, 6.71747, 6.57758, 6.42642, 6.25982, 6.07179, 5.8529, 5.58685, 5.2425, 4.75873, 4.08439, 3.26708, 2.3308, 1.27536, 0.098397, -1.20227, -4.00624, -7.16517, -26.462, -70.6976", \
+					  "84.0758, 35.5628, 10.9518, 8.72499, 6.56205, 6.04786, 5.82521, 5.67565, 5.54547, 5.42072, 5.29544, 5.16592, 5.02891, 4.88088, 4.71716, 4.53084, 4.31054, 4.03548, 3.66354, 3.12069, 2.39724, 1.53801, 0.547817, -0.576304, -1.83741, -4.61708, -7.78628, -27.0929, -71.8141", \
+					  "83.8743, 35.3541, 10.6791, 8.2125, 5.62026, 4.80553, 4.42495, 4.23482, 4.09355, 3.96816, 3.84776, 3.72704, 3.60237, 3.47046, 3.32751, 3.16836, 2.98496, 2.76328, 2.47613, 2.06587, 1.46297, 0.695901, -0.215258, -1.27318, -2.48176, -5.21244, -8.36036, -27.6716, -72.8063", \
+					  "83.6564, 35.1432, 10.3824, 7.69588, 4.77521, 3.70239, 3.07771, 2.80348, 2.63937, 2.5087, 2.39054, 2.27652, 2.16207, 2.04378, 1.91836, 1.78179, 1.62829, 1.44828, 1.22413, 0.919179, 0.458153, -0.197129, -1.01411, -1.99139, -3.13362, -5.7897, -8.88938, -28.2004, -73.6868", \
+					  "83.4186, 34.9287, 10.0584, 7.17748, 4.00167, 2.7217, 1.83643, 1.40466, 1.19555, 1.05213, 0.932504, 0.822689, 0.716233, 0.609196, 0.498422, 0.380629, 0.251542, 0.104536, -0.0719879, -0.300729, -0.63222, -1.1496, -1.85473, -2.73511, -3.79562, -6.34954, -9.38236, -28.6829, -74.4678", \
+					  "83.1572, 34.7089, 9.7082, 6.65974, 3.28349, 1.83608, 0.729276, 0.0709559, -0.224133, -0.392794, -0.519096, -0.62777, -0.7286, -0.826662, -0.92534, -1.02756, -1.13663, -1.25718, -1.39696, -1.57025, -1.80685, -2.17583, -2.74681, -3.51145, -4.47265, -6.89373, -9.83969, -29.1229, -75.1606", \
+					  "82.8681, 34.4825, 9.33608, 6.14578, 2.61119, 1.02676, -0.25674, -1.15541, -1.59969, -1.81516, -1.95592, -2.06737, -2.16521, -2.25657, -2.34549, -2.4349, -2.52753, -2.62673, -2.73772, -2.86965, -3.04028, -3.28938, -3.70351, -4.33012, -5.17129, -7.42429, -10.2616, -29.5243, -75.775", \
+					  "82.5484, 34.2492, 8.94893, 5.64039, 1.98079, 0.28342, -1.14256, -2.24644, -2.8999, -3.19893, -3.36639, -3.4859, -3.58387, -3.67089, -3.75228, -3.83132, -3.91052, -3.99246, -4.0807, -4.1812, -4.30487, -4.47368, -4.74091, -5.20381, -5.90011, -7.94316, -10.6476, -29.8899, -76.3188", \
+					  "82.1971, 34.0101, 8.55724, 5.15177, 1.39424, -0.39633, -1.93895, -3.20398, -4.08255, -4.51872, -4.73268, -4.86781, -4.96976, -5.05504, -5.13111, -5.20203, -5.27042, -5.33849, -5.40877, -5.48517, -5.57463, -5.69005, -5.85794, -6.14861, -6.67027, -8.45204, -10.9963, -30.2133, -76.7982", \
+					  "81.819, 33.7709, 8.17847, 4.69502, 0.861747, -1.00512, -2.6436, -4.03525, -5.10906, -5.73398, -6.02562, -6.18753, -6.29847, -6.38499, -6.45802, -6.52296, -6.58293, -6.64006, -6.69632, -6.75439, -6.81882, -6.8978, -7.00605, -7.17388, -7.49652, -8.95244, -11.305, -30.4795, -77.2068", \
+					  "81.435, 33.5471, 7.84498, 4.29932, 0.40787, -1.52013, -3.23655, -4.72886, -5.95374, -6.78419, -7.1956, -7.40073, -7.52728, -7.61853, -7.69095, -7.75204, -7.80581, -7.85465, -7.90037, -7.94495, -7.99156, -8.04587, -8.11765, -8.22299, -8.39377, -9.44572, -11.5705, -30.7099, -77.536", \
+					  "81.1133, 33.3806, 7.62657, 4.02757, 0.0884907, -1.88631, -3.66411, -5.23561, -6.57335, -7.58368, -8.15241, -8.42297, -8.57359, -8.67361, -8.748, -8.80744, -8.85726, -8.90046, -8.93899, -8.9746, -9.00978, -9.0489, -9.09969, -9.1744, -9.29079, -9.93441, -11.7983, -30.9175, -77.8168", \
+					  "81.0595, 33.3773, 7.66247, 3.9961, 0.00134103, -2.00954, -3.83575, -5.46994, -6.89335, -8.04193, -8.78025, -9.14338, -9.33135, -9.44793, -9.53037, -9.59376, -9.64528, -9.68881, -9.7267, -9.7608, -9.7933, -9.82799, -9.87163, -9.93539, -10.0358, -10.4571, -12.017, -31.1133, -78.0639", \
+					  "81.1646, 33.4467, 7.79595, 4.05474, 5.24377e-07, -2.04296, -3.91203, -5.60123, -7.09716, -8.35684, -9.26167, -9.7494, -9.99326, -10.1361, -10.2332, -10.3057, -10.3636, -10.4118, -10.4532, -10.4899, -10.5241, -10.5588, -10.6002, -10.6583, -10.7486, -11.1162, -12.2698, -31.3033, -78.2838", \
+					  "81.2679, 33.5592, 7.91511, 4.10753, 5.48298e-08, -2.07083, -3.97739, -5.71476, -7.27304, -8.62331, -9.67618, -10.3142, -10.6352, -10.8134, -10.9291, -11.013, -11.0785, -11.1322, -11.1779, -11.2178, -11.2541, -11.2897, -11.3296, -11.3829, -11.4639, -11.7966, -12.6208, -31.4916, -78.4808", \
+					  "81.3641, 33.6164, 8.02006, 4.15376, 9.41958e-08, -2.09501, -4.03442, -5.81409, -7.42652, -8.85188, -10.0278, -10.8269, -11.2515, -11.4774, -11.6172, -11.7153, -11.79, -11.8502, -11.9007, -11.9444, -11.9834, -12.0204, -12.0597, -12.1091, -12.1818, -12.4802, -13.1741, -31.6814, -78.6587", \
+					  "81.5315, 33.6587, 8.19422, 4.22957, 2.9177e-07, -2.13417, -4.12773, -5.97761, -7.6792, -9.22244, -10.5787, -11.6662, -12.37, -12.7478, -12.961, -13.0991, -13.1986, -13.2757, -13.3385, -13.3916, -13.4378, -13.4795, -13.52, -13.5649, -13.6245, -13.8599, -14.4347, -32.0933, -78.9719", \
+					  "81.6643, 33.787, 8.32948, 4.28717, 8.10895e-07, -2.16345, -4.19878, -6.10365, -7.87495, -9.50704, -10.9863, -12.2733, -13.2713, -13.8949, -14.2385, -14.443, -14.5805, -14.682, -14.7618, -14.8275, -14.8833, -14.9323, -14.9771, -15.0218, -15.0738, -15.2577, -15.7167, -32.5558, -79.2719", \
+					  "81.9078, 33.8777, 8.57158, 4.38325, 8.0274e-06, -2.21076, -4.32092, -6.32925, -8.23445, -10.0349, -11.7282, -13.3094, -14.7672, -16.0715, -17.1512, -17.9172, -18.3875, -18.6764, -18.8701, -19.0117, -19.1219, -19.2118, -19.2876, -19.3534, -19.4125, -19.5321, -19.7378, -33.9307, -79.8931", \
+					  "82.0633, 33.9611, 8.65648, 4.39525, 7.95978e-05, -2.21353, -4.3542, -6.42138, -8.41456, -10.3333, -12.177, -13.9451, -15.637, -17.2513, -18.7859, -20.2358, -21.5903, -22.8233, -23.8821, -24.7021, -25.2736, -25.6606, -25.934, -26.1392, -26.3013, -26.5474, -26.7334, -36.6206, -80.6727");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0675359");
+						index_3("0.0244730, 0.0364863, 0.0493817, 0.0654926, 0.0950739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.202608");
+						index_3("0.0311716, 0.0557036, 0.0823093, 0.1153488, 0.1753114");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0675359");
+						index_3("0.0742470, 0.0947703, 0.1091117, 0.1253235, 0.1548590");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.202608");
+						index_3("0.0854802, 0.1144287, 0.1411198, 0.1739157, 0.2339122");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0664462");
+						index_3("0.0242671, 0.0361503, 0.0482603, 0.0615671, 0.0812885");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.199339");
+						index_3("0.0309032, 0.0549490, 0.0796049, 0.1065251, 0.1471618");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0664462");
+						index_3("0.0677206, 0.0891567, 0.1033872, 0.1166395, 0.1361962");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.199339");
+						index_3("0.0795375, 0.1092724, 0.1338205, 0.1604400, 0.2012069");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.789677");
+						index_3("0.0675359");
+						index_4("0.3379724, 0.3866403, 0.4223882, 0.4669472, 0.5163076");
+						values("0.211161, 0.337858, 0.422322, 0.337858, 0.211161");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.0675359");
+						index_4("0.2256078, 0.2572223, 0.2859440, 0.3176921, 0.3493800");
+						values("0.349402, 0.559043, 0.698804, 0.559043, 0.349402");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.0675359");
+						index_4("0.1723685, 0.1919968, 0.2155440, 0.2458301, 0.2668971");
+						values("0.607058, 0.971293, 1.21412, 0.971293, 0.607058");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.394839");
+						index_3("0.0675359");
+						index_4("0.1787910, 0.2016051, 0.2234489, 0.2518276, 0.2794929");
+						values("0.188665, 0.301864, 0.37733, 0.301864, 0.188665");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.179357");
+						index_3("0.0675359");
+						index_4("0.0906709, 0.1009696, 0.1155031, 0.1351039, 0.1508527");
+						values("0.386548, 0.618477, 0.773096, 0.618477, 0.386548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.202608");
+						index_4("0.2275574, 0.2601532, 0.2881698, 0.3422679, 0.3816753");
+						values("0.273116, 0.436986, 0.546232, 0.436986, 0.273116");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.202608");
+						index_4("0.1700173, 0.1930095, 0.2285220, 0.2607388, 0.2915132");
+						values("0.388819, 0.62211, 0.777637, 0.62211, 0.388819");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413955");
+						index_3("0.0664462");
+						index_4("0.1802365, 0.2121998, 0.2425022, 0.2838830, 0.3187938");
+						values("5.06844, 4.80951, 4.63689, 4.80951, 5.06844");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.0664462");
+						index_4("0.1522441, 0.1773994, 0.2037293, 0.2415517, 0.2708419");
+						values("4.9651, 4.64417, 4.43021, 4.64417, 4.9651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.0664462");
+						index_4("0.1324443, 0.1527314, 0.1819097, 0.2105463, 0.2361860");
+						values("4.83797, 4.44075, 4.17593, 4.44075, 4.83797");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.206977");
+						index_3("0.0664462");
+						index_4("0.0997512, 0.1146819, 0.1361101, 0.1614699, 0.1832316");
+						values("5.165, 4.964, 4.83, 4.964, 5.165");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.140536");
+						index_3("0.0664462");
+						index_4("0.0735445, 0.0838946, 0.1019588, 0.1220595, 0.1412963");
+						values("5.06481, 4.8037, 4.62963, 4.8037, 5.06481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.199339");
+						index_4("0.1568619, 0.1827704, 0.2171606, 0.2658099, 0.3038723");
+						values("5.11218, 4.87949, 4.72437, 4.87949, 5.11218");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.199339");
+						index_4("0.1347480, 0.1571806, 0.1962738, 0.2325037, 0.2683923");
+						values("5.06041, 4.79665, 4.62081, 4.79665, 5.06041");
+					}
+				}
+			}
+
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047768;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("52.7381386, 53.0555966, 54.8498626, 64.3245296, 90.9074806, 148.8680906, 177.5881406", \
+					  "52.7075733, 53.0297943, 54.8155183, 64.2989363, 90.9331933, 148.8460063, 177.4203963", \
+					  "52.6859140, 53.0074100, 54.7934850, 64.2735650, 90.8600620, 148.7878320, 177.4040520", \
+					  "52.7120783, 53.0037023, 54.8006953, 64.2727923, 90.8177043, 148.8675433, 177.5488833", \
+					  "52.7052999, 53.0202779, 54.8287619, 64.3103259, 90.9253419, 148.8668509, 177.4448509", \
+					  "52.7667182, 53.1127982, 54.7717182, 64.4803822, 90.9007342, 148.8584522, 177.5022822", \
+					  "52.8709175, 53.1549605, 54.8125065, 64.3578185, 90.9715025, 148.8664135, 177.4932335");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.3661933, 68.4083213, 68.8195893, 69.2073693, 69.0244673, 58.1345603, -126.3398657", \
+					  "69.1243750, 69.4541730, 68.8497890, 69.7570010, 69.0078430, 59.4355350, -123.7242650", \
+					  "68.9301846, 68.9525346, 68.8821946, 69.2836856, 68.6098916, 59.4667196, -127.5037444", \
+					  "68.6866232, 69.2045002, 68.8357672, 68.1969242, 68.9922602, 59.2324562, -128.1988378", \
+					  "68.9281231, 68.9377891, 68.9242231, 68.9689241, 68.9438191, 59.0273551, -127.3992119", \
+					  "68.8878647, 68.8886207, 68.7245297, 69.0030497, 68.8135697, 58.7296917, -127.0243153", \
+					  "68.8982463, 68.8753063, 68.9327673, 69.0183023, 68.9782933, 58.7276733, -127.2749087");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.7086063, 70.7753793, 71.0835283, 71.4258793, 71.2847983, 65.8906963, -10.8447117", \
+					  "70.6907190, 70.7119800, 70.9918250, 71.3328030, 71.1575950, 64.4311290, -15.5288890", \
+					  "70.5987386, 70.6399896, 70.8509806, 71.2080566, 71.0983946, 66.1209526, -15.4336164", \
+					  "70.5364832, 70.5896212, 70.8345922, 71.3123002, 71.0687802, 64.8626052, -5.8463091", \
+					  "70.5475431, 70.6184381, 70.8751141, 71.2998301, 71.6842241, 65.2114091, -11.5603119", \
+					  "70.5445807, 70.5814337, 70.8305537, 71.3052437, 71.0313997, 65.5482307, -6.2553756", \
+					  "70.5197963, 70.5735243, 70.8295553, 71.2642973, 71.1249093, 65.0237893, -1.4588408");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.4971396, 39.5262726, 39.7239926, 40.6856646, 43.6083446, 43.3635126, 43.5669186", \
+					  "39.3486653, 39.3906243, 39.7689433, 40.5189653, 42.5199443, 43.8989213, 44.0961423", \
+					  "39.4186960, 39.4516010, 39.7156080, 40.5836080, 42.2807400, 43.1672500, 43.5163010", \
+					  "39.4708193, 39.4476223, 39.5891453, 40.4712653, 42.8849323, 41.3901833, 43.8648773", \
+					  "39.4884149, 39.5395779, 39.6581289, 40.2724509, 43.2466689, 42.2588649, 43.3686649", \
+					  "39.5047742, 39.5368492, 39.6452522, 40.5237092, 42.5452662, 43.4011852, 43.4314662", \
+					  "39.5039955, 39.5428905, 39.7401355, 40.6343445, 42.5305465, 43.4898345, 43.4161365");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7277112, 19.7610872, 19.9563142, 20.8991572, 22.8196842, 23.7699082, 23.9710632", \
+					  "21.2644276, 21.2998096, 21.5200516, 22.5427486, 24.5672006, 25.5633956, 25.7704276", \
+					  "21.2669430, 21.3058650, 21.5217150, 22.5432780, 24.5695530, 25.5639820, 25.7738970", \
+					  "21.2616945, 21.3013555, 21.5155055, 22.5412975, 24.5728565, 25.5639595, 25.7789405", \
+					  "21.2666130, 21.3013100, 21.5209000, 22.5429600, 24.5740820, 25.5679280, 25.7791960", \
+					  "21.2707254, 21.3092484, 21.5272204, 22.5494924, 24.5776934, 25.5710524, 25.7827064", \
+					  "21.2718868, 21.3050958, 21.5233158, 22.5465078, 24.5736608, 25.5707328, 25.7785928");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.4800549, 63.5608939, 63.7906819, 64.2172089, 64.0673569, 59.6540879, -22.1620181", \
+					  "63.4428306, 63.5710686, 63.7829966, 64.1306416, 64.2168926, 59.5121626, -17.5555814", \
+					  "63.4639973, 63.5879263, 63.7935533, 64.2117123, 64.1393533, 62.1665213, -17.5123877", \
+					  "63.5311027, 63.6563227, 63.8621437, 64.2243817, 64.1501707, 57.8457377, -14.9609933", \
+					  "63.5080382, 63.5805842, 63.8270262, 64.2216552, 65.6781012, 58.4714632, -8.9829419", \
+					  "63.5647076, 63.6083116, 63.8357346, 64.2488756, 65.2174826, 58.5053386, -14.5498294", \
+					  "63.5643740, 63.6286290, 63.8335830, 64.2475500, 64.2788510, 58.6103510, -14.8818330");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5037652, 14.5035152, 14.5033672, 14.5027172, 14.5022782, 14.5024462, 14.5020612", \
+					  "14.9102916, 14.9099506, 14.9074866, 14.9029526, 14.8993406, 14.8984266, 14.8980466", \
+					  "14.9201800, 14.9196560, 14.9169930, 14.9091800, 14.9030930, 14.9014850, 14.9012190", \
+					  "14.9223505, 14.9178785, 14.9198375, 14.9081745, 14.9023195, 14.9019905, 14.9007035", \
+					  "14.9225320, 14.9221280, 14.9220150, 14.9097140, 14.9022910, 14.8997200, 14.9010530", \
+					  "14.9268854, 14.9262844, 14.9112574, 14.9000734, 14.9071714, 14.8920624, 14.9048244", \
+					  "14.9242148, 14.9226218, 14.9159448, 14.9109058, 14.9036648, 14.9024608, 14.9014528");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2638789, 26.3688919, 26.4559909, 26.3273439, 24.6657579, 26.1687259, 25.6692749", \
+					  "26.2277316, 26.3256156, 26.4788246, 26.7811906, 26.4154686, 26.2782676, 25.8831946", \
+					  "26.4603513, 26.3300853, 26.1839643, 26.1148183, 27.1315973, 23.5525323, 26.2535453", \
+					  "26.3562027, 26.3598757, 26.2908247, 26.2104617, 25.7435747, 27.5650627, 26.8392517", \
+					  "26.2430572, 26.6327962, 26.5028422, 26.3878812, 29.0961172, 26.1315322, 25.6101242", \
+					  "26.3840566, 26.4218776, 26.3327976, 26.3257686, 24.2551816, 26.3772986, 25.8928126", \
+					  "26.4006090, 26.4469580, 26.3997660, 26.3926610, 26.4287710, 26.4253130, 26.2450910");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("88.0132756, 88.8017976, 95.6777416, 125.0155206, 152.4085206, 160.5060306, 165.4443006", \
+					  "88.3399693, 89.1016243, 95.5700953, 124.9916963, 152.4286663, 160.4471963, 166.1760163", \
+					  "87.9467880, 88.9679360, 95.5030280, 124.7611020, 152.3800520, 160.4074820, 165.8915820", \
+					  "87.7016003, 88.8730443, 95.5171323, 125.0662833, 152.4164333, 160.3908433, 166.1350133", \
+					  "88.0228449, 89.1021549, 95.5161699, 124.9891409, 152.4720309, 160.3848109, 166.1223509", \
+					  "87.8480512, 89.0762152, 95.0161512, 124.9948822, 152.4832222, 160.4135622, 166.2577922", \
+					  "88.0665345, 89.2448275, 95.3780195, 125.0373635, 152.5079135, 160.4220735, 166.2897835");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.4185243, 19.4190133, 19.4248303, 19.4271353, 19.4061043, 19.3999003, 19.3988563", \
+					  "20.6313530, 20.6341250, 20.6195530, 20.5742890, 20.5504470, 20.4909340, 20.4650860", \
+					  "20.6663746, 20.6563526, 20.6506876, 20.5985586, 20.5462846, 20.5024376, 20.5125066", \
+					  "20.7206392, 20.7254812, 20.6966482, 20.6543362, 20.6119132, 20.5701952, 20.5490112", \
+					  "20.7690771, 20.7492751, 20.7319751, 20.6874291, 20.6153061, 20.5873421, 20.5734041", \
+					  "20.7669387, 20.7091867, 20.6810057, 20.6506547, 20.6022247, 20.5533877, 20.5395517", \
+					  "20.6979393, 20.6780833, 20.6796573, 20.6288623, 20.5640953, 20.5310143, 20.5165753");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4923172, 14.4922562, 14.4918902, 14.4911722, 14.4907042, 14.4906602, 14.4905752", \
+					  "14.9095786, 14.9095446, 14.9075786, 14.9023036, 14.8986366, 14.8976386, 14.8972586", \
+					  "14.9188770, 14.9189520, 14.9161270, 14.9079430, 14.9025450, 14.9008650, 14.9000900", \
+					  "14.9228725, 14.9205325, 14.9188255, 14.9097115, 14.9030185, 14.9012655, 14.9015045", \
+					  "14.9256890, 14.9207490, 14.9182540, 14.9132650, 14.9019130, 14.9005160, 14.9039740", \
+					  "14.9145204, 14.9133174, 14.9225174, 14.9014334, 14.9070224, 14.9046634, 14.8922544", \
+					  "14.9195338, 14.9230968, 14.9196558, 14.9069868, 14.9035848, 14.9019698, 14.8974288");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.1175729, 26.4841929, 26.4421059, 26.3071869, 23.8441649, 26.5711419, 27.4960239", \
+					  "26.3661156, 26.3558866, 26.3512826, 26.3231036, 26.2327936, 26.4633926, 25.4065386", \
+					  "26.3373553, 26.4523623, 26.3335843, 26.4434163, 25.6940833, 26.3880753, 24.3657083", \
+					  "26.3586387, 26.3290907, 26.3794867, 26.5917157, 26.0108417, 28.3572967, 26.8990707", \
+					  "26.2272992, 26.2389232, 26.8571492, 25.9991572, 27.4988752, 26.5160852, 26.0093642", \
+					  "26.2994236, 26.3837876, 26.2075666, 26.4831916, 25.9860286, 26.2370006, 26.2908416", \
+					  "26.4338430, 26.4048610, 26.3995960, 26.3527600, 26.4376390, 26.4317320, 26.2664650");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("59.2946809, 59.2130449, 59.1663809, 58.4866749, 56.7596429, 43.9988329, -139.4478041", \
+					  "59.3343886, 59.3257286, 59.1458376, 58.8179056, 56.7777736, 44.6405726, -143.9135794", \
+					  "59.3036933, 59.2673473, 59.1714453, 58.7543623, 56.8890173, 44.5658473, -142.5154447", \
+					  "59.2616707, 59.2908837, 59.1746987, 58.5453207, 56.9916777, 43.9567677, -144.2422933", \
+					  "59.2160892, 59.5860572, 59.8101352, 58.2957632, 57.4487322, 45.3005332, -139.1813428", \
+					  "59.3321556, 59.3154266, 59.2596936, 58.8855416, 56.9174206, 44.6980596, -140.8603414", \
+					  "59.4022870, 59.3605080, 59.2847210, 58.9557280, 57.0818970, 44.5038050, -140.5751400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.3323052, 14.3325062, 14.3343162, 14.3387252, 14.3416952, 14.3424872, 14.3430312", \
+					  "14.3946306, 14.3955796, 14.3999076, 14.4107206, 14.4198256, 14.4224076, 14.4228356", \
+					  "14.3910360, 14.3920350, 14.3966780, 14.4107110, 14.4218320, 14.4250480, 14.4251630", \
+					  "14.3834395, 14.3858555, 14.3960855, 14.4052085, 14.4154105, 14.4194915, 14.4198825", \
+					  "14.3891290, 14.3894380, 14.3971430, 14.4101750, 14.4226930, 14.4258610, 14.4264980", \
+					  "14.3939084, 14.3931214, 14.3999704, 14.4130784, 14.4256554, 14.4291104, 14.4296854", \
+					  "14.3927278, 14.3952268, 14.3977418, 14.4129658, 14.4270898, 14.4300138, 14.4313498");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("48.7223126, 49.0135346, 50.5552146, 59.1460056, 102.8293306, 160.4292306, 172.7964806", \
+					  "48.7205293, 49.0890993, 50.5369243, 59.0546733, 103.3134063, 160.3858763, 172.7400563", \
+					  "48.7762770, 48.9596100, 50.5894620, 59.0149240, 103.2641020, 160.3788020, 172.6980220", \
+					  "48.7143453, 48.9799453, 50.6000693, 59.0402693, 103.3219733, 160.3258933, 172.6589633", \
+					  "48.7309069, 49.0042229, 50.6127899, 59.1237319, 103.3537409, 160.2855209, 172.6606709", \
+					  "48.7996582, 49.0672332, 50.5482152, 59.1377672, 102.9577122, 160.3636422, 172.8015022", \
+					  "48.7759675, 49.0170975, 50.5799545, 59.1394375, 103.2756435, 160.3852535, 172.7333235");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.0666933, 20.0729193, 20.0725633, 20.0702023, 20.0626343, 20.0398503, 20.0428113", \
+					  "21.2849130, 21.2607260, 21.2353030, 21.2085120, 21.1529940, 21.1092200, 21.1057890", \
+					  "21.2832266, 21.2777756, 21.2646096, 21.2189446, 21.1739166, 21.1303606, 21.0983836", \
+					  "21.3455642, 21.3364332, 21.3346762, 21.2693962, 21.1995722, 21.1472532, 21.1572502", \
+					  "21.3337291, 21.3624101, 21.3440561, 21.3152151, 21.2628611, 21.2168491, 21.2190091", \
+					  "21.2816797, 21.3194777, 21.3143397, 21.2621187, 21.1954247, 21.1758527, 21.1534767", \
+					  "21.2975883, 21.2938413, 21.2625923, 21.2236713, 21.1646443, 21.1463233, 21.1164033");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.5883393, 66.5106173, 67.4974043, 65.6250123, 60.5238573, 52.8494163, -133.2736257", \
+					  "66.2469130, 66.3065250, 66.4340750, 65.9691350, 65.8069730, 51.6722860, -137.5963950", \
+					  "66.2514176, 66.3939556, 66.3761366, 65.9017806, 64.0014526, 51.8213076, -134.3021544", \
+					  "65.8641862, 66.5808492, 65.7880592, 66.1789062, 63.6777892, 52.3497642, -138.4789878", \
+					  "66.2761961, 66.2754261, 66.2445411, 65.7813211, 63.9380041, 51.7485771, -134.0261019", \
+					  "66.2700067, 66.2887557, 66.1899877, 65.8207067, 63.9803317, 51.4773987, -133.4838353", \
+					  "66.2850173, 66.2547703, 66.1994183, 65.7926813, 64.0114603, 51.5688403, -134.0037187");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0885696, 32.3835796, 32.1946136, 32.2256366, 33.8536226, 21.1531086, 31.4452956", \
+					  "32.0613343, 32.5135283, 32.0598253, 32.2745223, 35.1325443, 32.2083313, 32.6143893", \
+					  "32.1914780, 32.1139460, 32.2085990, 31.7051580, 31.9569570, 31.9428850, 32.0675840", \
+					  "32.1334833, 32.0629533, 31.9779453, 32.4554103, 31.9541583, 32.2673003, 31.5423563", \
+					  "32.1926669, 32.2008859, 32.1879349, 31.7421799, 32.0924659, 32.1804239, 31.8917929", \
+					  "32.2162122, 32.2140962, 32.2098802, 32.1588902, 32.2540602, 32.2474542, 32.0744162", \
+					  "32.2434725, 32.2439345, 32.2550435, 32.2535935, 32.2368425, 32.2621485, 32.0429045");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.7100263, 66.3849533, 66.3267503, 67.0103283, 64.1036533, 52.9163553, -122.6314757", \
+					  "66.2743490, 66.3340030, 66.3691340, 66.1751230, 65.5419000, 51.6967490, -136.0819450", \
+					  "66.3470356, 66.3871276, 66.2548726, 65.8408216, 63.9966656, 52.0251196, -136.1497544", \
+					  "65.8176742, 66.0611672, 66.4444932, 65.2369932, 63.7604662, 39.4106522, -131.7947278", \
+					  "66.3004261, 66.2923361, 66.2064251, 65.8675781, 64.1187271, 51.6156971, -134.4451419", \
+					  "66.2740107, 66.2457347, 66.1757077, 65.8262647, 63.7553937, 51.5866967, -135.0988553", \
+					  "66.2706323, 66.2141083, 66.1941453, 65.8615153, 63.9808253, 51.6944723, -134.9808787");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0939926, 32.3718706, 32.1299076, 32.2263066, 33.8604616, 32.3305876, 32.7402526", \
+					  "32.1955923, 32.2831783, 32.0419853, 32.3862343, 35.0963623, 24.7370353, 32.1133593", \
+					  "32.1929520, 32.1128880, 32.2056680, 31.6132600, 31.9362790, 32.1076630, 31.9379270", \
+					  "32.0962043, 31.9571803, 31.9742713, 31.4736643, 31.9234993, 31.9190443, 32.7383883", \
+					  "32.1932669, 32.2155169, 32.1901479, 31.6542709, 31.9538169, 32.1806909, 32.2278989", \
+					  "32.2196922, 32.2158722, 32.2043152, 32.0415572, 32.2538942, 32.2486482, 32.0667622", \
+					  "32.2340675, 32.2343245, 32.2216985, 32.2590165, 32.2365515, 32.2487885, 32.0423625");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.2088873, 70.3299363, 70.7271193, 71.0075543, 71.5182223, 65.2053003, -0.7081994", \
+					  "70.1925970, 70.1808980, 70.4874160, 71.0364290, 71.1898890, 65.4246260, -12.1796820", \
+					  "70.1513596, 70.1495356, 70.3973436, 70.7834936, 70.7461136, 65.1233746, -7.9675142", \
+					  "70.0844632, 70.1439642, 70.4158302, 70.9404522, 70.9657232, 66.7030502, -8.3182571", \
+					  "70.1000401, 70.1573201, 70.4257581, 70.8567121, 70.8724291, 65.0576841, -9.9976421", \
+					  "70.0725907, 70.1259087, 70.3796157, 70.7904957, 70.6567137, 65.1979277, -6.1777424", \
+					  "70.0799393, 70.1121433, 70.3654333, 70.8282503, 70.7983373, 64.7013323, -11.4646807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0309026, 24.0683046, 24.2860436, 25.3062656, 27.3267916, 28.3125566, 28.5198326", \
+					  "25.8697753, 25.8997563, 26.1262353, 27.1501853, 29.1689193, 30.1633873, 30.3664543", \
+					  "25.8688600, 25.9076240, 26.1242750, 27.1493950, 29.1782110, 30.1662020, 30.3746810", \
+					  "25.8710813, 25.9199853, 26.1283273, 27.1538313, 29.1919683, 30.1734123, 30.3913213", \
+					  "25.9021629, 25.9413139, 26.1575799, 27.1809089, 29.2082349, 30.1999759, 30.4061209", \
+					  "25.9266352, 25.9639352, 26.1820722, 27.2056562, 29.2308952, 30.2215302, 30.4297562", \
+					  "25.9337405, 25.9815495, 26.1900845, 27.2128515, 29.2494095, 30.2299555, 30.4493425");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7699102, 19.8028502, 19.9971392, 20.9387702, 22.8578352, 23.8073522, 24.0075152", \
+					  "21.3140826, 21.3479256, 21.5698836, 22.5883996, 24.6156446, 25.6094176, 25.8174716", \
+					  "21.3155880, 21.3549700, 21.5712070, 22.5898470, 24.6172110, 25.6124150, 25.8216970", \
+					  "21.3095785, 21.3465405, 21.5650595, 22.5862655, 24.6154755, 25.6106345, 25.8248505", \
+					  "21.3136640, 21.3548240, 21.5660470, 22.5892550, 24.6198650, 25.6140850, 25.8290920", \
+					  "21.3188574, 21.3565784, 21.5738594, 22.5926124, 24.6215864, 25.6174034, 25.8288714", \
+					  "21.3204348, 21.3543678, 21.5736138, 22.5949928, 24.6221338, 25.6175198, 25.8264638");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3794129, 85.4259309, 85.5354689, 85.3033629, 86.2116289, 79.5553559, 1.5492866", \
+					  "85.3829056, 85.3982126, 85.5325776, 85.3107346, 86.2909436, 78.7651996, 0.1806388", \
+					  "85.3848173, 85.4018033, 85.5438913, 85.3479503, 86.2990163, 79.1981893, -0.6417952", \
+					  "85.4252977, 85.4123637, 85.5499617, 85.3430027, 86.3193257, 79.7536337, 1.2385109", \
+					  "85.4660222, 85.4613872, 85.5800982, 85.3612932, 86.3705142, 79.1287792, 5.3207847", \
+					  "85.4528516, 85.4692906, 85.5920326, 85.3926776, 86.3349796, 78.8445686, 1.2810157", \
+					  "85.4653210, 85.4930490, 85.6015940, 85.3514530, 86.4316450, 79.7391030, 13.2154400");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.2699933, 95.3728493, 95.5571593, 95.7341713, 98.1965553, 93.0520593, 9.2858181", \
+					  "95.2082600, 95.2578450, 95.4442100, 95.6809720, 98.1989720, 93.4943600, 27.4563030", \
+					  "95.1130806, 95.1340146, 95.2978766, 95.5675636, 98.0437016, 94.7521816, 9.8681156", \
+					  "95.0900782, 95.1181882, 95.3395392, 95.5810472, 98.3226252, 94.7281942, 17.1228782", \
+					  "95.0994591, 95.1243351, 95.3476841, 95.5754251, 98.3542171, 93.4707691, 16.3039141", \
+					  "94.9758367, 95.1274097, 95.3449307, 95.5167717, 98.2505777, 93.6533527, 11.6569497", \
+					  "95.0568213, 95.1182863, 95.3040543, 95.5079533, 98.2135463, 94.6904403, 16.4806873");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.4134016, 60.7324516, 62.5266766, 73.2234876, 101.4822606, 160.0945406, 188.9238106", \
+					  "60.2114973, 60.6600343, 62.5841343, 73.0484093, 101.4640163, 160.0699163, 188.9034463", \
+					  "60.3535060, 60.6664990, 62.5042920, 73.1679010, 101.4294920, 160.0340120, 188.8093420", \
+					  "60.3606923, 60.6821853, 62.4497163, 73.0174013, 101.4444933, 160.0490433, 188.6590533", \
+					  "60.3853339, 60.6938579, 62.5959479, 73.0929679, 101.4005509, 160.0888709, 188.9422509", \
+					  "60.4049272, 60.6715962, 62.6068392, 73.2089422, 101.5632922, 160.1198122, 188.9325922", \
+					  "60.4111775, 60.6926185, 62.5792345, 73.1728435, 101.6115735, 160.1401835, 188.9081235");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.8822922, 14.8390072, 14.8531802, 14.8854702, 14.8848122, 14.8687902, 14.8719012", \
+					  "16.4677426, 16.5106766, 16.4824616, 16.4496836, 16.4288536, 16.3818646, 16.3375756", \
+					  "16.6826280, 16.6805820, 16.6592400, 16.6186650, 16.5682210, 16.5232620, 16.5193400", \
+					  "16.6685215, 16.6833325, 16.6715835, 16.6073115, 16.5689785, 16.5248415, 16.5200605", \
+					  "16.6809220, 16.6806760, 16.6653100, 16.6168240, 16.5641580, 16.5347390, 16.5170760", \
+					  "16.6855064, 16.6842274, 16.6679354, 16.6165334, 16.5685544, 16.5351074, 16.5217864", \
+					  "16.6675078, 16.6763298, 16.6604128, 16.5965918, 16.5636318, 16.5272408, 16.5198168");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.3338499, 44.6321389, 46.2387259, 54.6520059, 98.9608399, 155.9790159, 168.2017659", \
+					  "44.3518076, 44.6103156, 46.2415106, 54.6692176, 98.9518156, 155.9462106, 168.2438206", \
+					  "44.3594493, 44.5915553, 46.2582463, 54.6879203, 98.7925503, 155.9976353, 168.3675253", \
+					  "44.3794997, 44.6609787, 46.2792087, 54.6981377, 98.9837437, 156.0643767, 168.2692267", \
+					  "44.3649172, 44.7123472, 46.2986512, 54.7588422, 98.9360462, 156.0044372, 168.3352872", \
+					  "44.3731536, 44.6714256, 46.2524456, 54.7252406, 98.4167806, 156.0881686, 168.3879786", \
+					  "44.5017310, 44.7528840, 46.1803640, 54.7487460, 98.4667470, 156.1122100, 168.4282100");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8807312, 15.8607472, 15.8800332, 15.8761032, 15.8642562, 15.8278502, 15.8372622", \
+					  "16.5708666, 16.5701416, 16.5509366, 16.5078226, 16.4573596, 16.4165786, 16.4063386", \
+					  "16.5573890, 16.5674180, 16.4374260, 16.5180440, 16.4667500, 16.4188350, 16.4092750", \
+					  "16.5659875, 16.5710095, 16.5410245, 16.5026975, 16.4517595, 16.4115085, 16.3955295", \
+					  "16.5702760, 16.5626400, 16.5508040, 16.5046730, 16.4516400, 16.4144510, 16.4026050", \
+					  "16.5580044, 16.5533424, 16.5244544, 16.4905804, 16.4386794, 16.4030134, 16.3838514", \
+					  "16.5700848, 16.5671348, 16.5410508, 16.5045128, 16.4502508, 16.4016058, 16.3958588");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.3737469, 29.5068559, 30.1052869, 31.6936799, 35.7218739, 48.6425179, 71.4089769", \
+					  "29.4855046, 29.5144016, 30.1060086, 31.6666346, 35.7429366, 48.5371256, 71.4054896", \
+					  "29.4933833, 29.5298953, 30.0985553, 31.6787843, 35.6811863, 48.6465653, 71.4810633", \
+					  "29.4991007, 29.5842477, 30.1329057, 31.7178737, 35.7622157, 48.6891487, 71.4107447", \
+					  "29.5396512, 29.6157052, 30.1085362, 31.7348262, 35.7658962, 48.7264142, 71.4199112", \
+					  "29.5397236, 29.6207876, 30.1162276, 31.7428706, 35.8181186, 48.7471616, 71.4305266", \
+					  "29.5619170, 29.6514740, 30.1136740, 31.7762290, 35.8090730, 48.6325170, 71.4777800");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.3671129, 84.5276839, 91.1403949, 120.7061759, 148.0712159, 156.0561859, 161.7194259", \
+					  "83.3805426, 84.3986166, 91.1323696, 120.7057106, 148.0821306, 156.0656606, 161.7750606", \
+					  "83.6603283, 84.5292993, 91.1221443, 120.4334653, 148.1337153, 156.0589853, 161.8329453", \
+					  "83.3364267, 84.5211257, 91.1144627, 120.7507467, 148.0737167, 156.1081867, 161.9225067", \
+					  "83.5310842, 84.6839262, 91.2075892, 120.7644572, 148.1011172, 156.0180972, 161.9781172", \
+					  "83.6059546, 84.7798696, 91.3438206, 120.6754686, 148.1246186, 156.1186686, 161.8401786", \
+					  "83.7915210, 84.8269630, 91.0854640, 120.6880600, 148.1658300, 156.1800600, 161.9711600");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.2947722, 14.2936052, 14.2971682, 14.2926752, 14.2923372, 14.2887682, 14.2905482", \
+					  "15.8570416, 15.8639636, 15.8665966, 15.8223866, 15.7915646, 15.7458826, 15.7391146", \
+					  "16.0712880, 16.0688340, 16.0515890, 16.0097650, 15.9525570, 15.9171960, 15.9049080", \
+					  "16.0752445, 16.0782595, 16.0620465, 16.0166615, 15.9567105, 15.9215205, 15.9134385", \
+					  "16.0823260, 16.0787440, 16.0505050, 16.0171130, 15.9624240, 15.9155860, 15.9168670", \
+					  "16.0843324, 16.0964564, 16.0705954, 16.0093244, 15.9715824, 15.9288144, 15.9207744", \
+					  "16.0816968, 16.0723428, 16.0644588, 16.0102498, 15.9576208, 15.9298338, 15.9102828");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4924852, 14.4924302, 14.4921352, 14.4914392, 14.4909852, 14.4907912, 14.4907212", \
+					  "14.9094766, 14.9095076, 14.9075616, 14.9024926, 14.8983956, 14.8974996, 14.8974136", \
+					  "14.9188840, 14.9189600, 14.9160840, 14.9078940, 14.9019340, 14.9009140, 14.9006160", \
+					  "14.9248085, 14.9229305, 14.9174435, 14.9115535, 14.9030715, 14.9010725, 14.9003425", \
+					  "14.9252760, 14.9207120, 14.9176190, 14.9132060, 14.9049900, 14.8997030, 14.9039690", \
+					  "14.9144294, 14.9129394, 14.9097704, 14.9013954, 14.8939024, 14.9047374, 14.8922754", \
+					  "14.9196368, 14.9227398, 14.9199328, 14.9069588, 14.8995278, 14.9017338, 14.8974838");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.3188019, 26.3768239, 26.4241159, 26.7210009, 26.8533029, 26.5361629, 23.6061419", \
+					  "26.3170316, 26.3277306, 26.4010846, 26.5423396, 26.1279556, 26.3814506, 25.3444216", \
+					  "26.2018423, 26.3275453, 26.2857213, 26.4589233, 26.2714893, 20.0619573, 17.2136173", \
+					  "26.3701897, 26.3389407, 26.3516337, 26.1862437, 26.4699887, 26.3655377, 25.4504237", \
+					  "26.3244402, 26.3377562, 26.0045812, 26.8501482, 28.4970932, 26.4951232, 25.9627032", \
+					  "26.3881216, 26.3827036, 26.4050156, 26.2837446, 26.0303836, 26.3350336, 26.3204516", \
+					  "26.4279870, 26.4153090, 26.4153370, 26.3496810, 26.4260960, 26.4230010, 26.2903310");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.1333223, 92.1592733, 92.2930483, 92.1266053, 92.7396563, 87.1692653, 7.2161568", \
+					  "92.0415980, 92.0644270, 92.1878150, 92.0191720, 92.6499590, 86.4891370, 7.5020060", \
+					  "91.9457946, 91.9354346, 92.1111486, 91.9050076, 92.5497906, 85.4155596, 12.6017556", \
+					  "91.9270252, 91.9752642, 92.1063122, 91.9097402, 92.5348652, 87.0265082, 9.0387546", \
+					  "91.9455511, 91.9970731, 92.1260111, 91.9170601, 92.4703811, 87.0064191, 7.5656742", \
+					  "91.9063687, 91.9272747, 92.0646237, 91.8528837, 92.4718877, 85.3078067, 6.9737752", \
+					  "91.9058763, 91.9370463, 92.0817913, 91.8380903, 92.7678743, 85.3078003, 8.2852235");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0833206, 24.1205436, 24.3383076, 25.3573986, 27.3772216, 28.3611206, 28.5686696", \
+					  "25.9152143, 25.9529453, 26.1712563, 27.1950763, 29.2214573, 30.2119113, 30.4183403", \
+					  "25.9221420, 25.9573270, 26.1830560, 27.1950280, 29.2167040, 30.2067460, 30.4151520", \
+					  "25.9376533, 25.9702493, 26.2009063, 27.2171523, 29.2446453, 30.2347043, 30.4414723", \
+					  "25.9466629, 25.9867439, 26.2021139, 27.2271859, 29.2536609, 30.2404859, 30.4475179", \
+					  "25.9718352, 26.0098352, 26.2268662, 27.2503082, 29.2770112, 30.2693212, 30.4780812", \
+					  "25.9770445, 26.0269575, 26.2321895, 27.2542225, 29.2807695, 30.2728995, 30.4961935");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3770259, 85.3817369, 85.5728409, 85.3104189, 86.2750709, 80.3715779, 2.9014952", \
+					  "85.3734826, 85.3752036, 85.5183186, 85.3147866, 86.2321916, 80.5216136, 3.0825871", \
+					  "85.3877663, 85.4306273, 85.5432593, 85.2947983, 86.2967403, 79.0453463, 2.8537996", \
+					  "85.4613597, 85.4004287, 85.5879877, 85.3681557, 86.2846657, 80.4311257, -0.5167946", \
+					  "85.4654672, 85.4877762, 85.5830402, 85.3404632, 86.2953452, 78.8509802, 0.0249191", \
+					  "85.4418886, 85.5121236, 85.6015876, 85.3758926, 86.4106416, 80.3969586, 0.2672022", \
+					  "85.4668750, 85.4895310, 85.6154780, 85.3586210, 86.4422480, 79.0680490, 6.6920802");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7698872, 19.8005812, 19.9974922, 20.9400812, 22.8569802, 23.8069772, 24.0079642", \
+					  "21.3138586, 21.3503646, 21.5697396, 22.5881936, 24.6154726, 25.6076806, 25.8192866", \
+					  "21.3160600, 21.3520820, 21.5703650, 22.5893230, 24.6173200, 25.6127480, 25.8223670", \
+					  "21.3094205, 21.3464465, 21.5648825, 22.5860265, 24.6158935, 25.6162495, 25.8234325", \
+					  "21.3111580, 21.3545810, 21.5688850, 22.5889500, 24.6202710, 25.6189980, 25.8269530", \
+					  "21.3187374, 21.3564974, 21.5736814, 22.5924964, 24.6215534, 25.6186574, 25.8275924", \
+					  "21.3185698, 21.3540658, 21.5731098, 22.5943188, 24.6245308, 25.6163438, 25.8296468");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5036642, 14.5034382, 14.5032792, 14.5030122, 14.5023912, 14.5019642, 14.5019472", \
+					  "14.9103456, 14.9100646, 14.9080336, 14.9032906, 14.8993786, 14.8977826, 14.8980376", \
+					  "14.9201540, 14.9196260, 14.9167590, 14.9090650, 14.9030320, 14.9016950, 14.9013890", \
+					  "14.9240615, 14.9200675, 14.9176095, 14.9115975, 14.9043875, 14.9001865, 14.9017095", \
+					  "14.9261990, 14.9219040, 14.9184140, 14.9043760, 14.9028930, 14.9038470, 14.9043160", \
+					  "14.9154564, 14.9266304, 14.9228724, 14.9006424, 14.8925754, 14.8929434, 14.8925984", \
+					  "14.9200078, 14.9230468, 14.9199638, 14.9118768, 14.9045458, 14.8982788, 14.8977878");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2562849, 26.3500929, 26.3946239, 26.3158169, 25.5839629, 26.1699059, 25.6957569", \
+					  "26.2546426, 26.2667356, 26.2258466, 26.2035726, 25.7995556, 26.2274246, 26.4421546", \
+					  "26.3353853, 26.1568173, 26.3408733, 26.1295343, 26.3269753, 21.9266283, 26.1576903", \
+					  "26.3694507, 26.2634727, 26.6693937, 26.4064597, 26.3709687, 26.5720007, 27.0363917", \
+					  "26.9268802, 26.4604442, 26.6015832, 26.4475502, 24.9460112, 26.2260792, 26.9775372", \
+					  "26.3631256, 26.3848236, 26.4270386, 26.3872076, 25.1001016, 26.4004036, 26.2899446", \
+					  "26.3852660, 26.3644680, 26.4093370, 26.3645960, 26.4350630, 26.4482670, 26.2185330");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8835862, 15.8906152, 15.8908772, 15.8681382, 15.8772342, 15.8556742, 15.8396822", \
+					  "16.5708096, 16.5680426, 16.5530456, 16.4847456, 16.4548986, 16.4162536, 16.4044236", \
+					  "16.5711320, 16.5461880, 16.5555330, 16.5010260, 16.4421290, 16.4114940, 16.3983900", \
+					  "16.5757035, 16.5607245, 16.5599265, 16.5080755, 16.4551005, 16.4072685, 16.3869305", \
+					  "16.5711750, 16.5658740, 16.5484990, 16.5028080, 16.4605320, 16.4133250, 16.3981850", \
+					  "16.5583684, 16.5595324, 16.5396854, 16.4938274, 16.4411424, 16.4049354, 16.3854544", \
+					  "16.5676268, 16.5678098, 16.5498618, 16.5019958, 16.4484138, 16.4098798, 16.3959038");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.4180209, 29.4962399, 30.1232969, 31.6471719, 35.7233589, 48.6748529, 71.3263349", \
+					  "29.4151026, 29.5151126, 30.0757056, 31.6623536, 35.7394046, 48.6155696, 71.3946786", \
+					  "29.4563883, 29.5309533, 30.0472173, 31.6767653, 35.7387973, 48.6285213, 71.4759703", \
+					  "29.4814647, 29.5785887, 30.0748137, 31.7148487, 35.7644077, 48.6567657, 71.4022647", \
+					  "29.5612592, 29.6334582, 30.0332462, 31.7390272, 35.8695532, 48.7209192, 71.4571662", \
+					  "29.5455276, 29.6206696, 30.0996786, 31.7578386, 35.7831186, 48.7461206, 71.4343506", \
+					  "29.5554780, 29.6490630, 30.1124390, 31.7939270, 35.8265660, 48.7254630, 71.4503510");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.4973293, 92.4869423, 92.6419013, 92.4396463, 93.1385593, 86.0137243, 4.4429303", \
+					  "92.4059800, 92.4673550, 92.5401440, 92.3833390, 93.0528240, 86.3476110, 7.1924411", \
+					  "92.3395276, 92.3348446, 92.4408286, 92.2874446, 93.1272386, 87.4024356, 6.4549981", \
+					  "92.3054382, 92.3344562, 92.4697992, 92.2428702, 92.9044102, 85.7462262, 5.8247938", \
+					  "92.3096211, 92.2983251, 92.4549981, 92.2279091, 92.8433261, 87.4344171, 6.2247785", \
+					  "92.2631597, 92.3043767, 92.4237117, 92.2284927, 92.8063077, 85.6866007, 6.8568991", \
+					  "92.2755803, 92.3215993, 92.4722433, 92.2022023, 93.1347963, 85.7048163, 16.1900153");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.5764656, 39.5966646, 39.7596516, 40.7119526, 43.1837256, 43.4223646, 43.3944426", \
+					  "39.5204483, 39.5539353, 39.7238893, 40.6625343, 42.5584383, 44.7125103, 43.6818583", \
+					  "39.2190120, 39.6531300, 39.8963420, 40.4003110, 42.4946350, 43.3641170, 43.5105830", \
+					  "39.5906543, 39.4451213, 39.9938173, 40.5236123, 39.7313953, 45.4139713, 43.0955793", \
+					  "39.5638439, 39.5378369, 39.7609469, 40.5363159, 42.6520399, 43.3922569, 43.3398479", \
+					  "39.5037822, 39.5820982, 39.7426462, 40.5794112, 42.5670642, 43.4308902, 43.5257802", \
+					  "39.5462975, 39.5791255, 39.7679955, 40.6895535, 42.5229165, 43.5336885, 43.4610105");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.3883226, 35.4732996, 35.9406376, 37.5738246, 41.6281396, 54.5498596, 77.1998076", \
+					  "35.3368393, 35.4306463, 35.9423103, 37.5262443, 41.6040483, 54.4633773, 77.1137063", \
+					  "35.3215750, 35.4126410, 35.8757150, 37.5248950, 41.5669990, 54.6093200, 77.1993220", \
+					  "35.3232063, 35.4073373, 35.8855273, 37.5306313, 41.5671393, 54.5045853, 77.2145853", \
+					  "35.3564389, 35.4376549, 35.8966039, 37.5458469, 41.6271549, 54.5680049, 77.2609809", \
+					  "35.3706162, 35.4615992, 35.9069682, 37.5679522, 41.6136432, 54.4805902, 77.2697012", \
+					  "35.3727755, 35.4608265, 35.9233175, 37.5670755, 41.6446295, 54.4922075, 77.2853805");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.0733723, 69.0071683, 69.1500403, 68.9387023, 71.0970313, 59.3667413, -125.5936357", \
+					  "69.0253150, 69.4006190, 69.1573480, 68.6521270, 70.9837000, 61.8718570, -131.3088550", \
+					  "68.8665196, 68.3554976, 69.1278936, 69.1860276, 68.8314226, 56.1309436, -99.3967304", \
+					  "68.5084182, 69.1000072, 69.5572322, 69.5822332, 68.8920282, 58.5416822, -124.4615578", \
+					  "68.8335951, 68.8441831, 68.8387921, 68.9202341, 69.0064081, 58.8218531, -126.8062719", \
+					  "68.8034387, 68.8024677, 68.8946927, 68.9058297, 68.9437917, 58.8330257, -126.4935353", \
+					  "68.8110243, 68.7769333, 68.7997063, 68.9066003, 68.8323543, 58.5038803, -125.6113487");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.7148816, 42.8386786, 43.4967906, 46.0944706, 52.0012066, 65.7646006, 88.6624226", \
+					  "42.6936143, 42.7786403, 43.4942173, 46.0631553, 51.9588243, 65.5567223, 88.5275973", \
+					  "42.6558430, 42.7771630, 43.4746950, 46.0508250, 51.9711280, 65.8517950, 88.5106560", \
+					  "42.6631133, 42.8081293, 43.4502263, 46.0419763, 51.9534873, 65.7726893, 88.5924563", \
+					  "42.6892259, 42.8031099, 43.4683939, 46.0609899, 51.9631859, 65.7167919, 88.6361389", \
+					  "42.7187902, 42.8311792, 43.5065112, 46.0916612, 51.9817832, 65.6156552, 88.6140082", \
+					  "42.7167515, 42.8558905, 43.5101655, 46.1080565, 51.9984865, 65.7113265, 88.6727365");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.3441503, 73.3938953, 73.7472583, 74.7741043, 77.3167503, 73.9050353, -0.4514574", \
+					  "73.2370090, 73.3499950, 73.6305060, 74.5551070, 76.3815000, 75.1719950, -10.2834711", \
+					  "73.1528826, 73.1792296, 73.5608406, 74.5970396, 77.8738426, 76.0596896, -10.2287939", \
+					  "73.1759902, 73.1350852, 73.6743272, 74.3911712, 77.2402252, 72.2786872, 1.6187098", \
+					  "73.1464091, 73.2105171, 73.5812831, 74.4591081, 76.0062461, 73.0990371, -3.8915694", \
+					  "73.1032427, 73.1737277, 73.5262727, 74.3840787, 76.4353137, 74.0076097, 5.1470975", \
+					  "73.1206533, 73.1818123, 73.5105353, 74.3816093, 77.3664023, 74.7326763, 1.8606246");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("56.0923819, 56.3982483, 57.8400492, 64.4127294, 78.3889912, 100.0822147, 111.9612854", \
+					  "57.0828765, 57.3065841, 58.7804228, 65.4461344, 79.8383552, 101.1659088, 113.1482745", \
+					  "57.1388810, 57.3286265, 58.7479617, 65.3533625, 79.9119709, 99.8419427, 112.2555956", \
+					  "57.1191650, 57.3575732, 58.8090144, 65.4208103, 79.8585687, 101.5621958, 113.4903530", \
+					  "57.1823082, 57.4185060, 58.8435576, 65.4686296, 80.4052188, 101.2144375, 113.3768343", \
+					  "57.1785072, 57.4342867, 58.8096961, 65.4724920, 79.5320332, 101.2394753, 113.3668753", \
+					  "57.2246663, 57.4590229, 58.7988315, 65.4745451, 79.9678610, 101.2762204, 113.4204233");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.4301119, 83.3942193, 83.6539343, 83.5613501, 82.3832559, 74.6634034, -45.2998591", \
+					  "84.2847994, 84.2461309, 84.2408226, 83.9883821, 84.0175901, 75.4578827, -46.3907766", \
+					  "84.2605567, 84.2464864, 84.3389303, 84.2538415, 84.6212700, 75.0258309, -44.6272807", \
+					  "84.3280659, 84.3330889, 84.3727248, 84.1978154, 83.7432868, 74.6404983, -46.2543844", \
+					  "84.3660579, 84.4443810, 84.4883832, 84.4174646, 84.2213488, 76.4945309, -44.0403977", \
+					  "84.3220728, 84.3438893, 84.4092335, 84.2811354, 83.9927854, 75.0784568, -45.0559125", \
+					  "84.3547013, 84.3653246, 84.4230577, 84.2929957, 83.9372696, 74.9743381, -43.5319814");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.5601205, 86.9970990, 89.2465734, 100.3151772, 125.0778510, 157.8592672, 179.8083957", \
+					  "87.1535088, 87.6139678, 89.8058891, 100.8617721, 125.8999701, 159.2835319, 180.6952938", \
+					  "87.0921738, 87.4979625, 89.8457042, 100.5733287, 124.7558935, 160.1706843, 180.3892007", \
+					  "87.0958219, 87.4262426, 89.7612966, 100.7107149, 124.4086383, 160.2182023, 180.4804916", \
+					  "87.1961781, 87.5805256, 89.8459200, 100.6227193, 125.0248155, 160.0605915, 180.4581811", \
+					  "87.2086154, 87.6238846, 89.7612392, 100.8735199, 124.9380964, 160.2784572, 180.5640234", \
+					  "87.2754570, 87.6725005, 89.8650575, 100.9476962, 125.0111308, 160.3424622, 180.5484218");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("134.2309063, 134.0535448, 134.5568654, 134.6311184, 134.7353816, 122.3238174, -76.5760664", \
+					  "134.3938968, 134.5704452, 134.6578530, 134.8906682, 135.9457660, 122.9392398, -80.4969795", \
+					  "134.2743570, 134.2327154, 134.5359022, 134.7297374, 135.0997859, 122.6585137, -76.7410914", \
+					  "134.0104306, 134.3841696, 134.5828794, 134.5827284, 135.0284431, 120.4328791, -76.9645466", \
+					  "134.2649617, 134.3112380, 134.5168102, 134.6461244, 135.0193249, 122.3829015, -79.3732452", \
+					  "134.1916197, 134.2490624, 134.4249952, 134.5910984, 134.8238227, 121.9595969, -77.0343182", \
+					  "134.2031858, 134.2229091, 134.4386499, 134.5756726, 135.1562564, 122.0555098, -75.0574745");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3469892, 1.3528225, 1.3572524, 1.3572525, 1.3572526, 1.3572527, 1.7029130", \
+					  "1.4074173, 1.4087872, 1.4148206, 1.4199175, 1.4199176, 1.4199177, 1.6762531", \
+					  "1.4307686, 1.4373259, 1.4373261, 1.4373262, 1.4412168, 1.4412169, 1.6278524", \
+					  "1.4414747, 1.4415921, 1.4415922, 1.4415923, 1.4415924, 1.4415926, 1.6057726", \
+					  "1.4455419, 1.4455420, 1.4466807, 1.4466808, 1.4466809, 1.4466810, 1.6134821", \
+					  "1.4476748, 1.4486949, 1.4486951, 1.4486952, 1.4486953, 1.4486954, 1.6071682", \
+					  "1.4358226, 1.4369789, 1.4369790, 1.4369792, 1.4419874, 1.4419875, 1.5813895");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2590898, 7.4408528, 8.5774854, 15.5527360, 58.4124250, 321.1066800, 1938.9006000", \
+					  "7.3331150, 7.5091950, 8.6643928, 15.6154310, 58.4589300, 321.7640500, 1938.9729000", \
+					  "7.3542159, 7.5374409, 8.6678824, 15.6537020, 58.6064530, 321.5095000, 1939.0807000", \
+					  "7.3667938, 7.5582767, 8.7022283, 15.6616210, 58.5884190, 321.8617100, 1940.7644000", \
+					  "7.3689588, 7.5575493, 8.6871292, 15.6693660, 58.5173580, 321.8559400, 1939.5600000", \
+					  "7.3869137, 7.5697342, 8.6824334, 15.6918830, 58.5228190, 321.1978000, 1938.7369000", \
+					  "7.3787478, 7.5630968, 8.7039176, 15.6774180, 58.4960700, 321.3089600, 1941.6968000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.9165230, 12.2416150, 14.4397410, 28.2405550, 113.4156400, 637.7800900, 3872.9950000", \
+					  "11.8783470, 12.2437790, 14.4427670, 28.2288860, 113.4976100, 637.9816600, 3872.9963000", \
+					  "11.8859000, 12.2289460, 14.4671610, 28.2304460, 113.4888800, 637.7689500, 3872.9381000", \
+					  "11.8653620, 12.2365710, 14.4408660, 28.1783430, 113.4786700, 638.0581700, 3872.6284000", \
+					  "11.8703810, 12.2268200, 14.4561650, 28.1698810, 113.4221500, 638.0528800, 3871.9345000", \
+					  "11.8673760, 12.2350140, 14.4435570, 28.1696440, 113.0510200, 637.3157900, 3871.5566000", \
+					  "11.8729260, 12.2211700, 14.4380990, 28.1862460, 113.4981000, 637.1572000, 3871.0241000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7199236, 1.7288538, 1.7794294, 2.0312536, 3.0073473, 5.6919078, 14.7472770", \
+					  "1.8524834, 1.8614116, 1.9119886, 2.1638003, 3.1390683, 5.8247513, 14.8807380", \
+					  "1.9497060, 1.9586281, 2.0092019, 2.2610218, 3.2370557, 5.9215672, 14.9769530", \
+					  "2.0308999, 2.0401714, 2.0907478, 2.3425812, 3.3181755, 6.0035954, 15.0581330", \
+					  "2.1012634, 2.1101167, 2.1606594, 2.4123366, 3.3882717, 6.0735950, 15.1292970", \
+					  "2.1697761, 2.1782727, 2.2291049, 2.4808613, 3.4563175, 6.1439458, 15.1968590", \
+					  "2.2331659, 2.2416786, 2.2931206, 2.5444589, 3.5214587, 6.2064869, 15.2625750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4434239, 0.4471207, 0.4768253, 0.6521141, 1.4608955, 3.3918028, 13.2035280", \
+					  "0.4434625, 0.4471351, 0.4768685, 0.6521253, 1.4630006, 3.3921630, 13.2170100", \
+					  "0.4434613, 0.4471322, 0.4768581, 0.6521238, 1.4610112, 3.3916896, 13.2047640", \
+					  "0.4443048, 0.4471056, 0.4767861, 0.6520995, 1.4592690, 3.3920222, 13.2171330", \
+					  "0.4445826, 0.4476586, 0.4779015, 0.6527163, 1.4627753, 3.3926397, 13.2146550", \
+					  "0.4423484, 0.4493805, 0.4743449, 0.6556404, 1.4587961, 3.3906415, 13.2262540", \
+					  "0.4453098, 0.4497931, 0.4763069, 0.6523998, 1.4632513, 3.3856031, 13.2056980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9282354, 2.9293528, 2.9293529, 2.9293532, 2.9293534, 2.9293536, 2.9293539", \
+					  "2.9688929, 2.9927019, 2.9927022, 2.9927024, 2.9927027, 2.9927029, 2.9927031", \
+					  "2.9997980, 3.0006098, 3.0006100, 3.0006103, 3.0006105, 3.0006108, 3.0006110", \
+					  "3.0348459, 3.0348460, 3.0348462, 3.0348465, 3.0348467, 3.0348470, 3.0348472", \
+					  "3.0330400, 3.0426006, 3.0426008, 3.0426010, 3.0426013, 3.0426015, 3.0426018", \
+					  "3.0417175, 3.0427478, 3.0427479, 3.0427481, 3.0427484, 3.0427486, 3.0427489", \
+					  "3.0374965, 3.0378526, 3.0378527, 3.0378529, 3.0378532, 3.0378534, 3.0378536");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6562652, 2.6592710, 2.6653528, 2.6653530, 2.6653532, 2.6653535, 2.7509068", \
+					  "2.7393241, 2.7495470, 2.7495472, 2.7495474, 2.7495477, 2.7495479, 2.7495481", \
+					  "2.7780216, 2.7780217, 2.7780220, 2.7780222, 2.7780225, 2.7780227, 2.7780229", \
+					  "2.7925338, 2.7936389, 2.7936391, 2.7936394, 2.7936396, 2.7936398, 2.7936401", \
+					  "2.7902884, 2.7902886, 2.7902889, 2.7902891, 2.7902893, 2.7902896, 2.7902898", \
+					  "2.7948228, 2.7948229, 2.7948231, 2.7948233, 2.7948236, 2.7948238, 2.7948241", \
+					  "2.7926743, 2.7926745, 2.7926747, 2.7926749, 2.7926752, 2.7926754, 2.7926757");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8428987, 1.8671586, 2.0152480, 2.9052813, 8.0116322, 16.2219620, 35.6784400", \
+					  "1.9118398, 1.9359161, 2.0839712, 2.9748641, 8.0789260, 16.2938050, 35.7447870", \
+					  "1.9380597, 1.9622932, 2.1103748, 3.0004563, 8.1056859, 16.3189070, 35.7680610", \
+					  "1.9500512, 1.9743005, 2.1223341, 3.0132419, 8.1171363, 16.3271920, 35.8038610", \
+					  "1.9546880, 1.9791229, 2.1272364, 3.0180840, 8.1226643, 16.3322940, 35.8042410", \
+					  "1.9544264, 1.9790238, 2.1270874, 3.0176601, 8.1222380, 16.3330880, 35.8088240", \
+					  "1.9507904, 1.9752122, 2.1232509, 3.0141327, 8.1145659, 16.3298090, 35.7979460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5083065, 1.5521144, 1.8299767, 3.5887660, 7.9236484, 10.2984470, 28.2117250", \
+					  "1.5077055, 1.5499899, 1.8299386, 3.5890244, 7.9229370, 10.3017430, 28.2160780", \
+					  "1.5036606, 1.5505105, 1.8301137, 3.5900161, 7.9235694, 10.2888670, 28.2027310", \
+					  "1.5041006, 1.5501235, 1.8300726, 3.5910963, 7.9230071, 10.3102910, 28.1937780", \
+					  "1.5050036, 1.5504347, 1.8308358, 3.5874874, 7.9223428, 10.3066540, 28.1984360", \
+					  "1.5066910, 1.5518701, 1.8302527, 3.5862815, 7.9237337, 10.3008740, 28.1919180", \
+					  "1.5063711, 1.5518933, 1.8304740, 3.5820698, 7.9215781, 10.3115740, 28.2270530");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5092288, 7.6968423, 8.8619485, 15.9368550, 59.0939240, 322.9114000, 1945.7968000", \
+					  "7.6462090, 7.8278317, 8.9871968, 16.0866480, 59.1393780, 322.4263000, 1945.3462000", \
+					  "7.7427149, 7.9343891, 9.0873717, 16.1915600, 59.2050750, 322.5975700, 1945.4412000", \
+					  "7.8206241, 8.0336777, 9.1610817, 16.2422320, 59.2122690, 322.8171900, 1945.8278000", \
+					  "7.9160714, 8.0928437, 9.2546468, 16.3091600, 59.3188500, 322.9077700, 1946.2493000", \
+					  "7.9515221, 8.1689899, 9.3036796, 16.3969920, 59.3640760, 323.1849600, 1946.0178000", \
+					  "8.0192475, 8.2353084, 9.3578976, 16.4397240, 59.3736370, 323.2155200, 1947.3198000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6965320, 12.0630060, 14.2834500, 28.0835180, 113.3125300, 639.1290400, 3887.3545000", \
+					  "11.7085990, 12.0648220, 14.2838420, 28.1070120, 113.6187500, 639.7813800, 3885.5187000", \
+					  "11.6876400, 12.0415690, 14.2800150, 28.0923590, 113.6942600, 639.6752500, 3885.4769000", \
+					  "11.6847610, 12.0489460, 14.2591720, 28.0236940, 113.3746700, 640.3765300, 3885.0008000", \
+					  "11.6987760, 12.0620300, 14.2926600, 28.0239260, 113.3964700, 639.8598800, 3885.3377000", \
+					  "11.6810600, 12.0614350, 14.2798720, 28.0577360, 113.2823800, 640.1119800, 3885.4619000", \
+					  "11.6696200, 12.0579060, 14.2764920, 28.0311530, 113.2355800, 640.1440400, 3885.4191000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9283849, 2.9297220, 2.9297222, 2.9297225, 2.9297227, 2.9297230, 2.9297232", \
+					  "2.9785623, 2.9930971, 2.9930972, 2.9930975, 2.9930977, 2.9930980, 2.9930982", \
+					  "2.9987295, 3.0006124, 3.0006127, 3.0006129, 3.0006131, 3.0006134, 3.0006136", \
+					  "3.0348450, 3.0366021, 3.0366022, 3.0366024, 3.0366027, 3.0366029, 3.0366031", \
+					  "3.0357974, 3.0386860, 3.0386862, 3.0386864, 3.0386867, 3.0386869, 3.0386872", \
+					  "3.0419387, 3.0435767, 3.0435769, 3.0435771, 3.0435774, 3.0435776, 3.0435778", \
+					  "3.0372842, 3.0397482, 3.0397484, 3.0397486, 3.0397488, 3.0397491, 3.0397493");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3518359, 1.3543897, 1.3551054, 1.3551055, 1.3551056, 1.3568551, 1.6992309", \
+					  "1.4100212, 1.4106245, 1.4166520, 1.4166521, 1.4166522, 1.4166523, 1.6590612", \
+					  "1.4322834, 1.4396818, 1.4396819, 1.4396820, 1.4396821, 1.4396822, 1.6362868", \
+					  "1.4465673, 1.4474062, 1.4474063, 1.4474302, 1.4474304, 1.4474305, 1.6204100", \
+					  "1.4458142, 1.4479912, 1.4479914, 1.4479915, 1.4479916, 1.4479917, 1.6135064", \
+					  "1.4455422, 1.4464749, 1.4492013, 1.4492015, 1.4492016, 1.4492017, 1.6055702", \
+					  "1.4373651, 1.4394499, 1.4424950, 1.4424951, 1.4424952, 1.4424953, 1.5956583");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9792721, 2.0041178, 2.1546143, 3.0764240, 7.5600539, 27.1791140, 67.5328170", \
+					  "2.1126789, 2.1373001, 2.2874584, 3.2062735, 7.6925302, 27.3120710, 67.6528420", \
+					  "2.2096258, 2.2347730, 2.3859155, 3.3058412, 7.7898141, 27.4061110, 67.7637930", \
+					  "2.2919582, 2.3162980, 2.4673896, 3.3873084, 7.8713391, 27.4887540, 67.8319050", \
+					  "2.3624425, 2.3861708, 2.5367128, 3.4556513, 7.9413685, 27.5604390, 67.9251710", \
+					  "2.4299982, 2.4549140, 2.6061919, 3.5284242, 8.0098310, 27.6293710, 67.9763520", \
+					  "2.4949254, 2.5191578, 2.6697309, 3.5927570, 8.0722753, 27.6918510, 68.0427950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5228059, 1.5686933, 1.8521636, 3.2730267, 8.5847365, 31.0370960, 38.0546790", \
+					  "1.5230422, 1.5676203, 1.8516235, 3.2718241, 8.5702165, 31.0614790, 38.0302230", \
+					  "1.5216078, 1.5690464, 1.8534412, 3.2726977, 8.5701561, 31.0444650, 38.0423800", \
+					  "1.5227921, 1.5691737, 1.8533787, 3.2727510, 8.5706935, 31.0424540, 38.0133290", \
+					  "1.5220201, 1.5697805, 1.8531013, 3.2726837, 8.5681338, 31.0715540, 38.1555270", \
+					  "1.5217674, 1.5674743, 1.8516658, 3.2708458, 8.5678478, 31.0734940, 38.1091890", \
+					  "1.5186769, 1.5652161, 1.8528872, 3.2740508, 8.5681160, 31.0657240, 38.1096400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3547160, 1.3547161, 1.3547162, 1.3616683, 1.3616685, 1.3616686, 1.7052170", \
+					  "1.4104934, 1.4148771, 1.4148772, 1.4148773, 1.4191833, 1.4191834, 1.6711692", \
+					  "1.4333759, 1.4333761, 1.4333762, 1.4338591, 1.4338592, 1.4350321, 1.6135340", \
+					  "1.4469056, 1.4474562, 1.4474564, 1.4474911, 1.4474912, 1.4474913, 1.6205575", \
+					  "1.4460632, 1.4474666, 1.4477277, 1.4477278, 1.4477279, 1.4477280, 1.6133747", \
+					  "1.4455733, 1.4466098, 1.4466100, 1.4466101, 1.4466102, 1.4466103, 1.6056566", \
+					  "1.4374670, 1.4399582, 1.4399583, 1.4399584, 1.4451937, 1.4451938, 1.5955014");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7054771, 1.7140145, 1.7653137, 2.0199660, 3.0794352, 8.6146228, 36.1075260", \
+					  "1.8371873, 1.8464557, 1.8976709, 2.1524438, 3.2107621, 8.7471559, 36.2428450", \
+					  "1.9351778, 1.9434083, 1.9944897, 2.2496511, 3.3092322, 8.8444390, 36.3414260", \
+					  "2.0160731, 2.0249140, 2.0767117, 2.3311635, 3.3907925, 8.9257304, 36.4146790", \
+					  "2.0866111, 2.0949027, 2.1464255, 2.4012270, 3.4607128, 8.9962775, 36.4930950", \
+					  "2.1549473, 2.1630655, 2.2134610, 2.4692248, 3.5290253, 9.0624521, 36.5317870", \
+					  "2.2183717, 2.2277900, 2.2787860, 2.5341329, 3.5921679, 9.1292325, 36.6241540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4478750, 0.4515369, 0.4793512, 0.6618651, 1.7590037, 8.6974801, 30.0345100", \
+					  "0.4496461, 0.4542076, 0.4796487, 0.6606012, 1.7578273, 8.6953822, 30.0289390", \
+					  "0.4502797, 0.4534930, 0.4826016, 0.6581288, 1.7593120, 8.7050260, 30.0342540", \
+					  "0.4495993, 0.4532143, 0.4823387, 0.6606048, 1.7583158, 8.7059870, 30.0626370", \
+					  "0.4485374, 0.4523020, 0.4818360, 0.6616530, 1.7590445, 8.6972438, 30.0371530", \
+					  "0.4501192, 0.4549756, 0.4800543, 0.6621706, 1.7591915, 8.7013401, 30.0328150", \
+					  "0.4478650, 0.4516225, 0.4804005, 0.6610159, 1.7604326, 8.7029042, 30.0683320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1206379, 7.3064070, 8.4274649, 15.2998450, 57.8581800, 320.4129100, 1938.0243000", \
+					  "7.2534285, 7.4329569, 8.5676293, 15.4685700, 57.9983670, 320.5367100, 1938.1330000", \
+					  "7.3502466, 7.5341129, 8.6649576, 15.5748550, 58.0932640, 320.6205300, 1938.7878000", \
+					  "7.4387017, 7.6142275, 8.7405336, 15.6570690, 58.2430530, 320.5424300, 1938.3353000", \
+					  "7.5102351, 7.6929085, 8.7970262, 15.7031380, 58.2539670, 320.6653500, 1939.0075000", \
+					  "7.5783575, 7.7425923, 8.8696650, 15.7660660, 58.3255830, 321.3431800, 1938.4800000", \
+					  "7.6219111, 7.8266202, 8.9388861, 15.8409050, 58.3719450, 320.7463500, 1938.0786000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8752830, 12.2283560, 14.4654910, 28.1885470, 113.5050700, 638.0868700, 3873.2937000", \
+					  "11.8767270, 12.2172330, 14.4543180, 28.1684360, 113.4465700, 638.0560600, 3873.2196000", \
+					  "11.8752750, 12.2335630, 14.4553610, 28.1363360, 113.4582600, 638.0444100, 3872.4634000", \
+					  "11.8757460, 12.2373610, 14.4527050, 28.1635250, 113.4841600, 637.7969700, 3873.2742000", \
+					  "11.8715490, 12.2329960, 14.4596060, 28.1746320, 113.0985800, 637.8261300, 3872.3792000", \
+					  "11.8714020, 12.2322760, 14.4603130, 28.1876820, 113.4253300, 638.1328600, 3874.2369000", \
+					  "11.8596380, 12.2330990, 14.4599990, 28.2024870, 113.5172200, 637.4164600, 3872.6866000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8866737, 1.8957869, 1.9464090, 2.1979931, 3.1741350, 5.8604743, 14.9163930", \
+					  "2.0186417, 2.0277191, 2.0785513, 2.3287958, 3.3065035, 5.9914016, 15.0472830", \
+					  "2.1169677, 2.1254136, 2.1740607, 2.4281577, 3.4036263, 6.0897452, 15.1442010", \
+					  "2.1971631, 2.2053892, 2.2569244, 2.5085549, 3.4846217, 6.1692234, 15.2262430", \
+					  "2.2680322, 2.2775291, 2.3274693, 2.5772193, 3.5559309, 6.2388903, 15.2948680", \
+					  "2.3270994, 2.3426840, 2.3972171, 2.6481835, 3.6241576, 6.3110210, 15.3666220", \
+					  "2.4012472, 2.4097893, 2.4614566, 2.7134017, 3.6887786, 6.3752177, 15.4274980");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4467424, 0.4486350, 0.4780455, 0.6530549, 1.4637396, 3.3915093, 13.2257580", \
+					  "0.4469194, 0.4505578, 0.4781011, 0.6529785, 1.4636555, 3.3913536, 13.2084710", \
+					  "0.4465281, 0.4486352, 0.4779900, 0.6525352, 1.4638538, 3.3921763, 13.2027590", \
+					  "0.4470413, 0.4506338, 0.4780810, 0.6525356, 1.4601116, 3.3852516, 13.2065990", \
+					  "0.4456029, 0.4494007, 0.4780068, 0.6522473, 1.4606205, 3.3923608, 13.1993400", \
+					  "0.4450494, 0.4484834, 0.4774686, 0.6550437, 1.4612909, 3.3862408, 13.2130790", \
+					  "0.4445003, 0.4506218, 0.4762337, 0.6561311, 1.4631125, 3.3884289, 13.2102040");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0838309, 7.2551862, 8.3791738, 15.3087340, 57.8124040, 320.4640400, 1938.2358000", \
+					  "7.1537807, 7.3412716, 8.4568397, 15.3726810, 57.8372120, 320.4120300, 1937.8513000", \
+					  "7.1814190, 7.3619573, 8.4907557, 15.4031880, 57.8814120, 320.4235700, 1939.3863000", \
+					  "7.1927886, 7.3743012, 8.4925649, 15.4142960, 57.9276610, 320.4272900, 1938.7858000", \
+					  "7.1885631, 7.3662600, 8.5080432, 15.3966550, 58.1143170, 320.4220900, 1940.2571000", \
+					  "7.1879609, 7.3844797, 8.5007095, 15.3770330, 57.9218560, 320.2598600, 1940.3476000", \
+					  "7.1974817, 7.3674715, 8.4951548, 15.4003710, 57.9260370, 320.3103600, 1940.6668000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8770050, 12.2320150, 14.4479890, 28.1456710, 113.4509100, 638.1633900, 3874.8053000", \
+					  "11.8534440, 12.2345700, 14.4470200, 28.1916110, 113.2649400, 637.9935900, 3873.2464000", \
+					  "11.8494790, 12.2362980, 14.4528660, 28.1610700, 113.4554400, 637.5353200, 3876.3351000", \
+					  "11.8587930, 12.2326220, 14.4642660, 28.1676070, 113.4869200, 637.5350900, 3873.8422000", \
+					  "11.8657640, 12.2326780, 14.4343950, 28.1875220, 113.3721000, 637.5284400, 3871.8308000", \
+					  "11.8667050, 12.2361170, 14.4374370, 28.1706230, 113.4988400, 637.4907000, 3871.3601000", \
+					  "11.8733650, 12.2212140, 14.4504660, 28.1981830, 113.4854100, 637.4552300, 3873.3215000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2269457, 7.4336461, 8.5349070, 15.4616000, 58.2451140, 321.3184000, 1944.2032000", \
+					  "7.3597448, 7.5666877, 8.6675485, 15.5936770, 58.3789190, 321.8119400, 1944.5060000", \
+					  "7.4568431, 7.6638500, 8.7647267, 15.6913110, 58.4790280, 321.6391600, 1944.6038000", \
+					  "7.5386435, 7.7449673, 8.8451415, 15.7574130, 58.5572870, 322.2971300, 1944.5586000", \
+					  "7.6087847, 7.8162419, 8.9164116, 15.8441190, 58.6258050, 321.9462000, 1948.0251000", \
+					  "7.6769595, 7.8868494, 8.9838146, 15.9119310, 58.7069490, 322.4770600, 1944.5449000", \
+					  "7.7413222, 7.9206890, 9.0610417, 15.9779640, 58.6352510, 322.2714300, 1948.0592000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7012680, 12.0638210, 14.2952090, 28.0585300, 113.6685300, 640.0939600, 3887.7183000", \
+					  "11.7015170, 12.0632770, 14.2925730, 28.0338210, 113.6659900, 639.7801300, 3886.7420000", \
+					  "11.7014110, 12.0633410, 14.2946990, 28.0578030, 113.6603600, 640.2045800, 3885.0012000", \
+					  "11.7016050, 12.0636200, 14.2947040, 28.0068110, 113.6670300, 639.1861100, 3885.1699000", \
+					  "11.6968360, 12.0633090, 14.2934110, 28.0293990, 113.6692200, 639.8870500, 3889.0857000", \
+					  "11.6944480, 12.0585460, 14.2812990, 28.0315540, 113.6418400, 639.9581000, 3886.9862000", \
+					  "11.7019460, 12.0598660, 14.2900280, 28.0783540, 113.2752700, 639.8689700, 3885.4218000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3994554, 1.4011694, 1.4011695, 1.4011696, 1.4011698, 1.4011699, 1.5692339", \
+					  "1.4505324, 1.4592050, 1.4592051, 1.4592053, 1.4651707, 1.4651709, 1.6123404", \
+					  "1.4948344, 1.4948345, 1.5023681, 1.5023682, 1.5023683, 1.5023684, 1.6080125", \
+					  "1.4854111, 1.4854112, 1.4927849, 1.4948034, 1.4948035, 1.5077839, 1.6529590", \
+					  "1.4929082, 1.4929083, 1.4929085, 1.4939154, 1.4939155, 1.4966625, 1.6244973", \
+					  "1.4985718, 1.4985719, 1.4985720, 1.4985721, 1.4985722, 1.4985723, 1.6171925", \
+					  "1.4880454, 1.4880456, 1.4956313, 1.4993189, 1.4993191, 1.5014865, 1.6281705");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6681353, 2.6681356, 2.6681358, 2.6681361, 2.6681363, 2.6681365, 2.6681368", \
+					  "2.7478437, 2.7513638, 2.7513639, 2.7513642, 2.7513644, 2.7513646, 2.7513649", \
+					  "2.7782971, 2.7782974, 2.7782976, 2.7782978, 2.7782981, 2.7782983, 2.7782985", \
+					  "2.7924627, 2.7938805, 2.7938806, 2.7938809, 2.7938811, 2.7938813, 2.7938816", \
+					  "2.7907332, 2.7913576, 2.7913577, 2.7913579, 2.7913582, 2.7913584, 2.7913586", \
+					  "2.7949205, 2.7952676, 2.7952678, 2.7952680, 2.7952682, 2.7952685, 2.7952687", \
+					  "2.7927223, 2.7928095, 2.7928097, 2.7928099, 2.7928101, 2.7928104, 2.7928106");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894926, 1.9137809, 2.0618096, 2.9532228, 8.0765679, 16.3167360, 35.7604580", \
+					  "2.0213364, 2.0453632, 2.1943036, 3.0837555, 8.2076603, 16.4471070, 35.9018170", \
+					  "2.1177287, 2.1401407, 2.2917375, 3.1788590, 8.3046459, 16.5409390, 35.9993140", \
+					  "2.2010258, 2.2243838, 2.3708611, 3.2625087, 8.3854967, 16.6228170, 36.0841420", \
+					  "2.2710844, 2.2955509, 2.4432194, 3.3335574, 8.4536582, 16.6900430, 36.1611720", \
+					  "2.3390858, 2.3573203, 2.5070988, 3.4017755, 8.5174738, 16.7571040, 36.2217990", \
+					  "2.3982156, 2.4269955, 2.5760993, 3.4672925, 8.5852365, 16.8181950, 36.2850760");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5042783, 1.5485407, 1.8287769, 3.5681633, 7.9614058, 10.2820990, 28.2121080", \
+					  "1.5043786, 1.5459898, 1.8297162, 3.5718868, 7.9641138, 10.2844120, 28.2090580", \
+					  "1.5018097, 1.5461721, 1.8296002, 3.5683423, 7.9624343, 10.3021180, 28.2091430", \
+					  "1.5015107, 1.5469606, 1.8295969, 3.5728600, 7.9632381, 10.3079230, 28.2066170", \
+					  "1.5036651, 1.5484023, 1.8291695, 3.5738470, 7.9674276, 10.3182010, 28.2166200", \
+					  "1.5040039, 1.5483198, 1.8283899, 3.5766072, 7.9773823, 10.3146530, 28.2056800", \
+					  "1.5014039, 1.5485031, 1.8293788, 3.5716026, 7.9656459, 10.3097550, 28.2068980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5941954, 1.6040964, 1.6114887, 1.6114888, 1.6114889, 1.6125603, 1.7702370", \
+					  "1.6562560, 1.6591053, 1.6591054, 1.6832475, 1.6832476, 1.6832911, 1.7745634", \
+					  "1.6978549, 1.6980748, 1.6980749, 1.6980750, 1.6980752, 1.6980753, 1.8011639", \
+					  "1.6915073, 1.7089637, 1.7089639, 1.7089640, 1.7089641, 1.7102950, 1.8005750", \
+					  "1.6945962, 1.6994803, 1.7094760, 1.7108189, 1.7146264, 1.7201107, 1.8400695", \
+					  "1.7100840, 1.7100841, 1.7100842, 1.7159807, 1.7159808, 1.7159809, 1.8120365", \
+					  "1.7134221, 1.7134222, 1.7134223, 1.7134224, 1.7134225, 1.7161028, 1.8109327");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0839579, 7.2556645, 8.3791818, 15.2945280, 57.8007690, 320.3049900, 1937.9920000", \
+					  "7.1518929, 7.3416255, 8.4586027, 15.3593460, 57.8441720, 320.3759600, 1937.8954000", \
+					  "7.1681598, 7.3620465, 8.4809635, 15.3996570, 57.9035660, 320.6544900, 1940.5823000", \
+					  "7.1950410, 7.3766775, 8.4961131, 15.3792930, 57.9028520, 320.5082900, 1939.3240000", \
+					  "7.1884960, 7.3856281, 8.5059151, 15.3939370, 57.8837600, 320.7354700, 1937.9675000", \
+					  "7.2017525, 7.3754509, 8.5006446, 15.3823620, 57.9221720, 320.2408400, 1938.2812000", \
+					  "7.1981243, 7.3671380, 8.4893870, 15.4089700, 57.9157950, 320.4249300, 1940.1343000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8768240, 12.2320910, 14.4406260, 28.1991440, 113.3493200, 638.0430500, 3873.6148000", \
+					  "11.8599810, 12.2351180, 14.4420200, 28.1949610, 113.2808000, 637.8896300, 3873.0132000", \
+					  "11.8723650, 12.2364020, 14.4551620, 28.1899550, 113.4364000, 637.9916000, 3873.9786000", \
+					  "11.8674850, 12.2022220, 14.4641210, 28.1882060, 113.3611100, 638.0656100, 3876.2978000", \
+					  "11.8676790, 12.2350250, 14.4435470, 28.1887740, 113.2436400, 637.7765100, 3873.2269000", \
+					  "11.8734050, 12.2111870, 14.4456020, 28.1592580, 113.4954000, 637.3322800, 3874.7353000", \
+					  "11.8741600, 12.2218430, 14.4661800, 28.1998050, 113.4935700, 638.0680000, 3873.5666000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2271167, 7.4336088, 8.5340446, 15.4618130, 58.2460590, 321.3066300, 1944.2377000", \
+					  "7.3599140, 7.5657356, 8.6675778, 15.5944400, 58.3797190, 321.5148800, 1944.9701000", \
+					  "7.4569906, 7.6637248, 8.7647782, 15.6917930, 58.4789140, 321.6100900, 1944.6049000", \
+					  "7.5640392, 7.7339477, 8.8450944, 15.7735280, 58.5582570, 321.7643900, 1944.6018000", \
+					  "7.6089215, 7.8148057, 8.9163930, 15.8449430, 58.6090860, 322.4097000, 1947.4730000", \
+					  "7.6769071, 7.8868556, 8.9839629, 15.9121800, 58.7069910, 322.4766700, 1947.3075000", \
+					  "7.7437878, 7.9232905, 9.0436938, 15.9679450, 58.6265510, 322.5797500, 1944.2007000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7014420, 12.0638660, 14.2961510, 28.0603400, 113.6688800, 640.0716200, 3887.7274000", \
+					  "11.7013070, 12.0651430, 14.2948960, 28.0594480, 113.6665300, 640.1725200, 3885.7297000", \
+					  "11.7016140, 12.0633710, 14.2948140, 28.0584640, 113.6627100, 640.1704100, 3884.1690000", \
+					  "11.6992800, 12.0618750, 14.2950180, 28.0569490, 113.6671800, 640.2641500, 3885.0148000", \
+					  "11.6979520, 12.0647960, 14.2935730, 28.0283280, 113.6930200, 639.5864000, 3887.8698000", \
+					  "11.6936940, 12.0586330, 14.2820830, 28.0326140, 113.6436700, 639.9535600, 3883.6356000", \
+					  "11.6952640, 12.0615700, 14.2702980, 28.0715420, 113.2476500, 639.6747900, 3886.2026000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8400601, 1.8643702, 2.0123880, 2.9023215, 8.0251006, 16.2613570, 35.7244720", \
+					  "1.9092115, 1.9337418, 2.0813924, 2.9717799, 8.0942497, 16.3320680, 35.7912630", \
+					  "1.9347173, 1.9594153, 2.1076474, 2.9975495, 8.1222309, 16.3546850, 35.8222040", \
+					  "1.9473454, 1.9716799, 2.1194830, 3.0100429, 8.1323419, 16.3753600, 35.8344540", \
+					  "1.9522601, 1.9764037, 2.1246473, 3.0156067, 8.1371594, 16.3714930, 35.8526930", \
+					  "1.9516009, 1.9762654, 2.1241517, 3.0149527, 8.1388150, 16.3708420, 35.8479080", \
+					  "1.9482886, 1.9724089, 2.1203437, 3.0115046, 8.1333225, 16.3678080, 35.8309040");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5072028, 1.5482461, 1.8290849, 3.5880905, 7.9624254, 10.3141720, 28.2269690", \
+					  "1.5057595, 1.5504046, 1.8291231, 3.5906074, 7.9632008, 10.3115340, 28.2086640", \
+					  "1.5056427, 1.5502567, 1.8292146, 3.5900032, 7.9649451, 10.3117350, 28.2054750", \
+					  "1.5060350, 1.5491697, 1.8291103, 3.5903476, 7.9637141, 10.2849720, 28.2067220", \
+					  "1.5035634, 1.5495811, 1.8298276, 3.5869319, 7.9641316, 10.3126230, 28.2102430", \
+					  "1.5041550, 1.5496505, 1.8293267, 3.5817340, 7.9617077, 10.3102400, 28.1964870", \
+					  "1.5061377, 1.5511032, 1.8299093, 3.5839594, 7.9636431, 10.3177680, 28.2315770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3850969, 1.3872126, 1.3954852, 1.3954853, 1.3954854, 1.3954855, 1.5682059", \
+					  "1.4545365, 1.4612395, 1.4612396, 1.4612397, 1.4612398, 1.4612399, 1.5846344", \
+					  "1.4891411, 1.4891412, 1.4891413, 1.4891415, 1.4891416, 1.4891417, 1.5931839", \
+					  "1.4708849, 1.4890043, 1.4890044, 1.4890045, 1.4890046, 1.4904514, 1.5938455", \
+					  "1.4876802, 1.4876803, 1.4890833, 1.4890834, 1.4890835, 1.4936538, 1.5931330", \
+					  "1.4931674, 1.4931675, 1.4931676, 1.4931677, 1.4931679, 1.4960528, 1.5895882", \
+					  "1.4677515, 1.4709978, 1.4709979, 1.4709980, 1.4748638, 1.4853515, 1.5706415");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8274708, 1.8409865, 1.9130282, 2.1781479, 3.1012464, 7.6770963, 25.6200870", \
+					  "1.8968342, 1.9102271, 1.9820510, 2.2473872, 3.1703198, 7.7489632, 25.7096320", \
+					  "1.9229343, 1.9363156, 2.0083191, 2.2734108, 3.1973284, 7.7748199, 25.7231040", \
+					  "1.9348902, 1.9484105, 2.0201767, 2.2852173, 3.2093435, 7.7880591, 25.7404840", \
+					  "1.9394752, 1.9530388, 2.0248916, 2.2898825, 3.2138932, 7.7908089, 25.7471150", \
+					  "1.9395601, 1.9529081, 2.0247160, 2.2898980, 3.2139316, 7.7911784, 25.7557050", \
+					  "1.9357747, 1.9488859, 2.0210702, 2.2861602, 3.2102170, 7.7865829, 25.7398870");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7593118, 0.7619307, 0.7713578, 0.7585229, 1.7324295, 7.0719057, 27.2780020", \
+					  "0.7592811, 0.7616159, 0.7713762, 0.7583367, 1.7335271, 7.0785005, 27.3503870", \
+					  "0.7594070, 0.7615411, 0.7717386, 0.7580623, 1.7326707, 7.0824879, 27.3142910", \
+					  "0.7594799, 0.7621507, 0.7717099, 0.7591710, 1.7359982, 7.0805073, 27.3469830", \
+					  "0.7595045, 0.7615576, 0.7718374, 0.7592849, 1.7351108, 7.0815700, 27.3454020", \
+					  "0.7595248, 0.7617673, 0.7720940, 0.7590071, 1.7351610, 7.0816188, 27.3548220", \
+					  "0.7593266, 0.7613738, 0.7713462, 0.7600980, 1.7380672, 7.0776194, 27.3381350");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7355442, 1.7441285, 1.7952611, 2.0490678, 3.0249399, 5.7012088, 14.7540050", \
+					  "1.8682551, 1.8767996, 1.9277717, 2.1817628, 3.1574567, 5.8334626, 14.8866510", \
+					  "1.9654065, 1.9738611, 2.0249875, 2.2788984, 3.2547658, 5.9308660, 14.9837670", \
+					  "2.0469386, 2.0555315, 2.1066815, 2.3605955, 3.3364156, 6.0121832, 15.0652720", \
+					  "2.1169959, 2.1256030, 2.1765902, 2.4303226, 3.4065704, 6.0828165, 15.1343510", \
+					  "2.1846736, 2.1933746, 2.2452229, 2.4983819, 3.4741447, 6.1522289, 15.2034810", \
+					  "2.2484696, 2.2569067, 2.3081124, 2.5616211, 3.5376664, 6.2171512, 15.2678940");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4246750, 0.4293011, 0.4574120, 0.6419931, 1.4489108, 3.3851526, 13.2040900", \
+					  "0.4226850, 0.4291386, 0.4579237, 0.6388554, 1.4486161, 3.3852559, 13.2098000", \
+					  "0.4246624, 0.4293043, 0.4576721, 0.6419737, 1.4488420, 3.3850176, 13.1993700", \
+					  "0.4246673, 0.4293286, 0.4573892, 0.6389018, 1.4489894, 3.3849958, 13.2079570", \
+					  "0.4246786, 0.4293101, 0.4580184, 0.6398025, 1.4499097, 3.3864624, 13.2261940", \
+					  "0.4246526, 0.4288951, 0.4580379, 0.6418468, 1.4487034, 3.3821659, 13.2257160", \
+					  "0.4247489, 0.4292313, 0.4594527, 0.6397672, 1.4535693, 3.3815437, 13.2101760");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4123067, 1.4176776, 1.4176778, 1.4176779, 1.4176780, 1.4181054, 1.6037869", \
+					  "1.4878764, 1.4878765, 1.4878766, 1.4878768, 1.4878769, 1.4878770, 1.6281089", \
+					  "1.5128780, 1.5132051, 1.5179694, 1.5179695, 1.5179696, 1.5179697, 1.6373245", \
+					  "1.5301084, 1.5301086, 1.5301087, 1.5301088, 1.5301089, 1.5301090, 1.6454246", \
+					  "1.5123722, 1.5174088, 1.5174090, 1.5180158, 1.5180159, 1.5180160, 1.6338737", \
+					  "1.5113256, 1.5154579, 1.5166773, 1.5166774, 1.5166775, 1.5192753, 1.6276439", \
+					  "1.5159265, 1.5159266, 1.5159267, 1.5159268, 1.5181719, 1.5206931, 1.6289254");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4028001, 1.4028002, 1.4028003, 1.4028004, 1.4028006, 1.4028007, 1.6001241", \
+					  "1.4524848, 1.4723761, 1.4723762, 1.4723763, 1.4723765, 1.4723766, 1.6285702", \
+					  "1.4894364, 1.4894365, 1.4894366, 1.4894367, 1.4894369, 1.4973013, 1.5794581", \
+					  "1.5124797, 1.5124798, 1.5139009, 1.5139010, 1.5139011, 1.5139012, 1.6011447", \
+					  "1.4934145, 1.4934146, 1.4934148, 1.4934149, 1.4934150, 1.4982064, 1.6241719", \
+					  "1.4938885, 1.4938886, 1.4947589, 1.4986498, 1.4986499, 1.4986501, 1.6336346", \
+					  "1.4860824, 1.4860825, 1.4878735, 1.4878737, 1.4990217, 1.5013327, 1.6118752");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6754130, 2.6754131, 2.6754133, 2.6754135, 2.6754138, 2.6754140, 2.7465512", \
+					  "2.7465934, 2.7521321, 2.7521323, 2.7521326, 2.7521328, 2.7521331, 2.7521333", \
+					  "2.7800995, 2.7822782, 2.7822785, 2.7822787, 2.7822789, 2.7822792, 2.7822794", \
+					  "2.7925797, 2.7943938, 2.7943939, 2.7943942, 2.7943944, 2.7943947, 2.7943949", \
+					  "2.7914455, 2.7942899, 2.7942900, 2.7942902, 2.7942905, 2.7942907, 2.7942910", \
+					  "2.7949787, 2.7967750, 2.7967753, 2.7967755, 2.7967757, 2.7967760, 2.7967762", \
+					  "2.7925235, 2.7925236, 2.7925238, 2.7925240, 2.7925243, 2.7925245, 2.7925247");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8623585, 1.8761959, 1.9497237, 2.2181137, 3.1353073, 7.7095059, 25.6712160", \
+					  "1.9928281, 2.0081852, 2.0813320, 2.3500808, 3.2667486, 7.8417139, 25.8035030", \
+					  "2.0920223, 2.1058510, 2.1750095, 2.4475670, 3.3656702, 7.9405309, 25.9004780", \
+					  "2.1718589, 2.1866395, 2.2601381, 2.5249991, 3.4456373, 8.0206570, 25.9742340", \
+					  "2.2415119, 2.2580293, 2.3301059, 2.5983065, 3.5130976, 8.0890690, 26.0541190", \
+					  "2.3122599, 2.3258726, 2.3999089, 2.6665672, 3.5860284, 8.1613587, 26.1185260", \
+					  "2.3766238, 2.3855944, 2.4557492, 2.7324942, 3.6464283, 8.2254452, 26.1851940");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784656, 0.7816162, 0.7932876, 0.7838810, 1.7364897, 7.0819226, 27.3430810", \
+					  "0.7781895, 0.7810957, 0.7932760, 0.7854158, 1.7379602, 7.0881510, 27.3432570", \
+					  "0.7781859, 0.7812400, 0.7931102, 0.7854139, 1.7375859, 7.0866131, 27.3511080", \
+					  "0.7782988, 0.7812493, 0.7931123, 0.7854823, 1.7381943, 7.0865762, 27.3452050", \
+					  "0.7782638, 0.7810346, 0.7933168, 0.7851626, 1.7377156, 7.0867361, 27.3395670", \
+					  "0.7784592, 0.7811058, 0.7931052, 0.7854661, 1.7372266, 7.0829325, 27.3512910", \
+					  "0.7785505, 0.7811039, 0.7931851, 0.7835839, 1.7382267, 7.0855877, 27.3519150");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3733402, 7.5581710, 8.6814431, 15.6051850, 58.4345800, 322.1715900, 1944.6456000", \
+					  "7.5087785, 7.6881141, 8.8122416, 15.7356050, 58.5568150, 321.9790600, 1945.0049000", \
+					  "7.6112139, 7.7838669, 8.9109520, 15.8316100, 58.6613850, 322.3887000, 1944.5492000", \
+					  "7.6850144, 7.8654239, 8.9981116, 15.9160490, 58.7278240, 322.1109900, 1945.0274000", \
+					  "7.7562117, 7.9394491, 9.0770333, 15.9978380, 58.7902810, 322.5595700, 1944.5695000", \
+					  "7.8235045, 8.0082163, 9.1290673, 16.0586480, 58.8554800, 322.3036600, 1945.1495000", \
+					  "7.8839797, 8.0723721, 9.1970422, 16.1085990, 58.9196070, 322.6179500, 1945.4151000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6978880, 12.0643770, 14.2959730, 28.0475620, 113.5717000, 640.0254600, 3886.6533000", \
+					  "11.7036480, 12.0603810, 14.2960120, 28.0453280, 113.6065100, 639.8369100, 3886.4114000", \
+					  "11.6922390, 12.0543090, 14.2958080, 28.0640510, 113.5725600, 640.0759400, 3886.1372000", \
+					  "11.7020110, 12.0555960, 14.2837700, 28.0781570, 113.6314000, 640.4386000, 3885.0762000", \
+					  "11.6945720, 12.0631080, 14.2939210, 28.0595350, 113.6492800, 639.6000500, 3885.6924000", \
+					  "11.6981150, 12.0510500, 14.2964370, 28.0372760, 113.6579300, 640.2604500, 3886.3218000", \
+					  "11.7011430, 12.0572610, 14.2972660, 28.0323730, 113.6595300, 639.0889100, 3886.6069000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9284046, 2.9296925, 2.9296927, 2.9296929, 2.9296932, 2.9296934, 2.9296936", \
+					  "2.9762457, 3.0018363, 3.0018365, 3.0018367, 3.0018369, 3.0018372, 3.0018374", \
+					  "2.9986154, 2.9993785, 2.9993786, 2.9993789, 2.9993791, 2.9993793, 2.9993796", \
+					  "3.0352802, 3.0366354, 3.0366356, 3.0366358, 3.0366360, 3.0366363, 3.0366365", \
+					  "3.0357938, 3.0385219, 3.0385222, 3.0385224, 3.0385227, 3.0385229, 3.0385231", \
+					  "3.0419192, 3.0436569, 3.0436570, 3.0436572, 3.0436575, 3.0436577, 3.0436579", \
+					  "3.0373930, 3.0399547, 3.0399548, 3.0399551, 3.0399553, 3.0399555, 3.0399558");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3587638, 1.3587639, 1.3587640, 1.3587642, 1.3587643, 1.3592582, 1.6960786", \
+					  "1.4105873, 1.4110237, 1.4133172, 1.4133173, 1.4133174, 1.4188111, 1.6357113", \
+					  "1.4303650, 1.4340569, 1.4340570, 1.4403981, 1.4403982, 1.4403983, 1.6357725", \
+					  "1.4411140, 1.4415884, 1.4415885, 1.4415886, 1.4415887, 1.4415889, 1.6048387", \
+					  "1.4445535, 1.4462982, 1.4462984, 1.4462985, 1.4462986, 1.4462987, 1.6102679", \
+					  "1.4439197, 1.4492145, 1.4492146, 1.4492147, 1.4492148, 1.4492149, 1.6043983", \
+					  "1.4362851, 1.4372418, 1.4372419, 1.4435284, 1.4435285, 1.4468315, 1.6002608");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1490074, 2.1731823, 2.3245095, 3.2442644, 7.7286515, 27.3478090, 67.6887170", \
+					  "2.2809290, 2.3051481, 2.4564331, 3.3760486, 7.8603790, 27.4768670, 67.8384280", \
+					  "2.3786439, 2.4025792, 2.5543483, 3.4699008, 7.9574320, 27.5770000, 67.9169080", \
+					  "2.4548368, 2.4830911, 2.6339149, 3.5544541, 8.0383815, 27.6586700, 68.0212370", \
+					  "2.5225511, 2.5547890, 2.7055016, 3.6252676, 8.1100540, 27.7276340, 68.0910400", \
+					  "2.5989133, 2.6221199, 2.7748830, 3.6951220, 8.1784313, 27.7958350, 68.1565240", \
+					  "2.6553586, 2.6833562, 2.8386091, 3.7619605, 8.2338882, 27.8560230, 68.2029580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5226870, 1.5694890, 1.8532849, 3.2729157, 8.5705798, 31.0612050, 38.0291960", \
+					  "1.5226612, 1.5692591, 1.8532872, 3.2728673, 8.5700922, 31.0496300, 38.0403480", \
+					  "1.5217783, 1.5694801, 1.8524566, 3.2727152, 8.5688745, 31.0547890, 38.0312560", \
+					  "1.5229977, 1.5693802, 1.8513960, 3.2728835, 8.5726901, 31.0605550, 38.0284070", \
+					  "1.5232081, 1.5686337, 1.8535713, 3.2728575, 8.5756140, 31.0718060, 38.1499240", \
+					  "1.5234172, 1.5675110, 1.8517446, 3.2709677, 8.5774969, 31.0417430, 38.0011290", \
+					  "1.5208439, 1.5695903, 1.8511435, 3.2703411, 8.5848928, 31.0375760, 38.0215430");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4062876, 1.4062877, 1.4062878, 1.4062879, 1.4062880, 1.4062881, 1.5801207", \
+					  "1.4509406, 1.4708724, 1.4708725, 1.4708726, 1.4708728, 1.4715157, 1.6219094", \
+					  "1.4771923, 1.4894208, 1.4894209, 1.4985463, 1.4985464, 1.4985465, 1.6491238", \
+					  "1.5014567, 1.5014568, 1.5014570, 1.5014571, 1.5014572, 1.5014573, 1.6028809", \
+					  "1.4898406, 1.4899944, 1.4913233, 1.4913235, 1.4913236, 1.4934483, 1.6242732", \
+					  "1.4966720, 1.4966721, 1.4966723, 1.4966724, 1.4966725, 1.4985398, 1.6167521", \
+					  "1.4841716, 1.5060635, 1.5060636, 1.5060637, 1.5060638, 1.5060639, 1.5814772");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3609284, 1.3609285, 1.3609286, 1.3609288, 1.3609289, 1.3609290, 1.7014522", \
+					  "1.4074962, 1.4131659, 1.4177126, 1.4177127, 1.4177128, 1.4177129, 1.6620332", \
+					  "1.4305941, 1.4358205, 1.4358206, 1.4358207, 1.4358208, 1.4358209, 1.6339324", \
+					  "1.4412848, 1.4414165, 1.4414166, 1.4414167, 1.4414169, 1.4414170, 1.6049523", \
+					  "1.4427604, 1.4455952, 1.4462705, 1.4462706, 1.4462707, 1.4462708, 1.6140254", \
+					  "1.4479451, 1.4479452, 1.4479453, 1.4479455, 1.4479456, 1.4479457, 1.6062736", \
+					  "1.4362088, 1.4374241, 1.4377101, 1.4377102, 1.4377103, 1.4377104, 1.6029728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9286795, 2.9298362, 2.9298364, 2.9298367, 2.9298369, 2.9298372, 2.9298374", \
+					  "2.9689413, 3.0010943, 3.0010945, 3.0010947, 3.0010950, 3.0010952, 3.0010955", \
+					  "2.9991998, 2.9999538, 2.9999539, 2.9999542, 2.9999544, 2.9999546, 2.9999549", \
+					  "3.0316141, 3.0316142, 3.0316145, 3.0316147, 3.0316149, 3.0316152, 3.0316154", \
+					  "3.0330436, 3.0369642, 3.0369643, 3.0369646, 3.0369648, 3.0369651, 3.0369653", \
+					  "3.0417284, 3.0433847, 3.0433850, 3.0433852, 3.0433854, 3.0433857, 3.0433859", \
+					  "3.0355314, 3.0378378, 3.0378379, 3.0378382, 3.0378384, 3.0378386, 3.0378389");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6575762, 2.6725802, 2.6725804, 2.6725806, 2.6725809, 2.6725811, 2.7459282", \
+					  "2.7392961, 2.7392964, 2.7450147, 2.7450148, 2.7450151, 2.7450153, 2.7450156", \
+					  "2.7781342, 2.7813560, 2.7813563, 2.7813565, 2.7813567, 2.7813570, 2.7813572", \
+					  "2.7926528, 2.7946152, 2.7946154, 2.7946157, 2.7946159, 2.7946162, 2.7946164", \
+					  "2.7923039, 2.7948717, 2.7948720, 2.7948722, 2.7948725, 2.7948727, 2.7948729", \
+					  "2.7947480, 2.7963787, 2.7963788, 2.7963790, 2.7963793, 2.7963795, 2.7963797", \
+					  "2.7925358, 2.7925360, 2.8059808, 2.8059809, 2.8059811, 2.8059813, 2.8059816");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8128771, 1.8266378, 1.9005124, 2.1687363, 3.0865968, 7.6620328, 25.6236670", \
+					  "1.8821675, 1.8959694, 1.9693625, 2.2378115, 3.1558860, 7.7304838, 25.6911360", \
+					  "1.9079796, 1.9219195, 1.9953372, 2.2637106, 3.1816672, 7.7566487, 25.7159560", \
+					  "1.9201742, 1.9342996, 2.0076572, 2.2757394, 3.1931544, 7.7677571, 25.7281930", \
+					  "1.9250719, 1.9389386, 2.0124853, 2.2804831, 3.1987810, 7.7728917, 25.7337010", \
+					  "1.9243824, 1.9382653, 2.0120867, 2.2804989, 3.1979892, 7.7741609, 25.7313940", \
+					  "1.9212485, 1.9346216, 2.0086814, 2.2766264, 3.1948049, 7.7678850, 25.7274360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7785584, 0.7816680, 0.7931645, 0.7854794, 1.7339006, 7.0802253, 27.3406790", \
+					  "0.7785035, 0.7815885, 0.7930755, 0.7854207, 1.7357691, 7.0874515, 27.3401390", \
+					  "0.7784558, 0.7815705, 0.7930326, 0.7855782, 1.7344629, 7.0876371, 27.3542470", \
+					  "0.7782852, 0.7810603, 0.7932267, 0.7852766, 1.7328818, 7.0833135, 27.3521720", \
+					  "0.7785738, 0.7811318, 0.7930930, 0.7852463, 1.7359029, 7.0847519, 27.3415600", \
+					  "0.7788464, 0.7811182, 0.7930069, 0.7845753, 1.7348291, 7.0887332, 27.3385150", \
+					  "0.7783305, 0.7807306, 0.7932921, 0.7843499, 1.7388958, 7.0862289, 27.3434480");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.6260993, 1.6430560, 1.6430561, 1.6430563, 1.6430564, 1.6430565, 1.7912555", \
+					  "1.6975262, 1.6975263, 1.6975264, 1.6999703, 1.6999704, 1.7002231, 1.8341967", \
+					  "1.6998206, 1.7228210, 1.7228211, 1.7228212, 1.7256198, 1.7272912, 1.8476444", \
+					  "1.7343551, 1.7343552, 1.7343553, 1.7343554, 1.7359425, 1.7397189, 1.8545606", \
+					  "1.7524621, 1.7524623, 1.7524624, 1.7571055, 1.7571056, 1.7571057, 1.8657775", \
+					  "1.7363743, 1.7384616, 1.7410066, 1.7464499, 1.7464501, 1.7464502, 1.8550114", \
+					  "1.7420945, 1.7420946, 1.7420947, 1.7420949, 1.7420950, 1.7420951, 1.8426850");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8620553, 1.8761648, 1.9498472, 2.2179658, 3.1358687, 7.7096587, 25.6702290", \
+					  "1.9928037, 2.0075746, 2.0812729, 2.3495559, 3.2679575, 7.8422158, 25.8016350", \
+					  "2.0918862, 2.1052338, 2.1795017, 2.4475268, 3.3654162, 7.9406623, 25.9013110", \
+					  "2.1715811, 2.1860471, 2.2600848, 2.5268854, 3.4429950, 8.0205051, 25.9821270", \
+					  "2.2440452, 2.2579072, 2.3315245, 2.5927802, 3.5155745, 8.0905380, 26.0546890", \
+					  "2.3103794, 2.3257718, 2.3994748, 2.6677587, 3.5847789, 8.1617291, 26.1183150", \
+					  "2.3765987, 2.3841014, 2.4529616, 2.7249036, 3.6463004, 8.2203231, 26.1827640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784332, 0.7812155, 0.7933298, 0.7854480, 1.7354660, 7.0848150, 27.3473880", \
+					  "0.7784091, 0.7814592, 0.7931257, 0.7853058, 1.7380777, 7.0805397, 27.3480260", \
+					  "0.7784839, 0.7815964, 0.7931210, 0.7850218, 1.7356798, 7.0884396, 27.3545150", \
+					  "0.7782158, 0.7812120, 0.7932881, 0.7854493, 1.7370986, 7.0808510, 27.3482520", \
+					  "0.7782419, 0.7812456, 0.7931738, 0.7850724, 1.7380407, 7.0890369, 27.3372190", \
+					  "0.7784705, 0.7812955, 0.7930914, 0.7851361, 1.7371372, 7.0797861, 27.3557890", \
+					  "0.7786316, 0.7811580, 0.7931655, 0.7836964, 1.7376379, 7.0860833, 27.3486180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8867214, 1.8957147, 1.9461537, 2.1982716, 3.1748510, 5.8597185, 14.9155230", \
+					  "2.0186430, 2.0281217, 2.0785507, 2.3307039, 3.3065719, 5.9915704, 15.0481350", \
+					  "2.1128943, 2.1254283, 2.1760278, 2.4284139, 3.4004524, 6.0890684, 15.1452770", \
+					  "2.1976752, 2.2049296, 2.2567712, 2.5071687, 3.4845449, 6.1712022, 15.2230960", \
+					  "2.2679323, 2.2774853, 2.3277273, 2.5795670, 3.5560510, 6.2416703, 15.2955340", \
+					  "2.3364377, 2.3445064, 2.3965980, 2.6454412, 3.6189423, 6.3096128, 15.3685720", \
+					  "2.3975644, 2.4055427, 2.4541131, 2.7122957, 3.6888548, 6.3719674, 15.4289360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4449251, 0.4486117, 0.4784777, 0.6534934, 1.4611755, 3.3842373, 13.2131910", \
+					  "0.4451146, 0.4485649, 0.4779068, 0.6535315, 1.4606485, 3.3837200, 13.2275460", \
+					  "0.4452229, 0.4486041, 0.4778938, 0.6526198, 1.4610088, 3.3853731, 13.2136040", \
+					  "0.4451054, 0.4487259, 0.4788903, 0.6526130, 1.4598993, 3.3889873, 13.2134160", \
+					  "0.4456177, 0.4491783, 0.4781008, 0.6528623, 1.4593211, 3.3915351, 13.2173290", \
+					  "0.4466150, 0.4510916, 0.4786511, 0.6552083, 1.4609371, 3.3922056, 13.2170240", \
+					  "0.4443444, 0.4492721, 0.4782673, 0.6531857, 1.4611845, 3.3924872, 13.2315580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894790, 1.9137651, 2.0617759, 2.9531466, 8.0765510, 16.3198100, 35.7689100", \
+					  "2.0212767, 2.0461521, 2.1924942, 3.0846292, 8.2082678, 16.4435720, 35.9064490", \
+					  "2.1169517, 2.1434852, 2.2914466, 3.1823384, 8.3027736, 16.5405220, 36.0010010", \
+					  "2.1999480, 2.2252202, 2.3715681, 3.2616350, 8.3854879, 16.6233910, 36.0895530", \
+					  "2.2709918, 2.2941753, 2.4372688, 3.3333503, 8.4536707, 16.6836770, 36.1619890", \
+					  "2.3390763, 2.3638975, 2.5114180, 3.3985346, 8.5239549, 16.7579850, 36.2206730", \
+					  "2.3971212, 2.4279663, 2.5760678, 3.4666612, 8.5825365, 16.8211450, 36.2869130");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5044002, 1.5486706, 1.8291243, 3.5665177, 7.9613747, 10.2925140, 28.2291110", \
+					  "1.5045051, 1.5469069, 1.8267172, 3.5724985, 7.9612086, 10.2771580, 28.2194550", \
+					  "1.5043924, 1.5465601, 1.8296931, 3.5733152, 7.9603870, 10.3135050, 28.2076440", \
+					  "1.5040733, 1.5473116, 1.8297194, 3.5690693, 7.9626101, 10.3119260, 28.2106840", \
+					  "1.5038869, 1.5496726, 1.8292916, 3.5741574, 7.9674178, 10.3269220, 28.2066850", \
+					  "1.5024363, 1.5489955, 1.8277387, 3.5688192, 7.9623232, 10.3170680, 28.2065220", \
+					  "1.5014189, 1.5483488, 1.8294531, 3.5714365, 7.9648839, 10.3222320, 28.1986400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1599826, 2.1837687, 2.3345279, 3.2587646, 8.4143004, 16.3855150, 31.8838890", \
+					  "2.2916513, 2.3145663, 2.4669471, 3.3902543, 8.5465768, 16.5161480, 32.0167080", \
+					  "2.3859681, 2.4131908, 2.5650750, 3.4842700, 8.6441840, 16.6145710, 32.1139700", \
+					  "2.4698394, 2.4933902, 2.6445373, 3.5658045, 8.7251060, 16.6930270, 32.1953950", \
+					  "2.5410569, 2.5617086, 2.7136966, 3.6410892, 8.7941752, 16.7659110, 32.2660010", \
+					  "2.6107243, 2.6343097, 2.7856726, 3.7070569, 8.8649372, 16.8306770, 32.3329420", \
+					  "2.6737390, 2.6997486, 2.8498757, 3.7738817, 8.9289571, 16.9037370, 32.3918560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5191024, 1.5642918, 1.8531222, 3.6350205, 8.7151886, 9.4374375, 19.4425900", \
+					  "1.5191055, 1.5644088, 1.8526883, 3.6336998, 8.7175493, 9.4392769, 19.4350670", \
+					  "1.5167929, 1.5636986, 1.8533606, 3.6342906, 8.7151921, 9.4392405, 19.4704320", \
+					  "1.5167319, 1.5633266, 1.8531046, 3.6453034, 8.7149674, 9.4390362, 19.4308820", \
+					  "1.5191601, 1.5639806, 1.8526600, 3.6373835, 8.7161887, 9.4411199, 19.4705980", \
+					  "1.5184844, 1.5628824, 1.8507775, 3.6453138, 8.7182901, 9.4360217, 19.4687060", \
+					  "1.5141409, 1.5636528, 1.8489267, 3.6388692, 8.7173957, 9.4352979, 19.4664120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4013850, 1.4013851, 1.4014299, 1.4029121, 1.4029122, 1.4029124, 1.5884261", \
+					  "1.4666349, 1.4666350, 1.4678078, 1.4726291, 1.4726292, 1.4726293, 1.6274962", \
+					  "1.4917915, 1.4969777, 1.5003602, 1.5003604, 1.5003605, 1.5003606, 1.6234941", \
+					  "1.5097810, 1.5097811, 1.5116387, 1.5116388, 1.5116389, 1.5116390, 1.6228981", \
+					  "1.4910034, 1.4914298, 1.4914299, 1.4914300, 1.4914302, 1.4945388, 1.6236710", \
+					  "1.4844745, 1.4844746, 1.4945176, 1.4964883, 1.4964884, 1.4964886, 1.6127217", \
+					  "1.4993393, 1.4993395, 1.4993396, 1.5060110, 1.5060111, 1.5060112, 1.5814434");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3526742, 1.3526744, 1.3599077, 1.3599079, 1.3599080, 1.3599081, 1.7075340", \
+					  "1.4103606, 1.4106671, 1.4108523, 1.4118365, 1.4129866, 1.4205473, 1.6593499", \
+					  "1.4325000, 1.4339097, 1.4339098, 1.4347056, 1.4347057, 1.4347058, 1.6345386", \
+					  "1.4475906, 1.4476026, 1.4476027, 1.4477064, 1.4477065, 1.4477066, 1.6207888", \
+					  "1.4474744, 1.4481162, 1.4481163, 1.4481164, 1.4481165, 1.4481167, 1.6135213", \
+					  "1.4466898, 1.4497366, 1.4497367, 1.4497368, 1.4497369, 1.4497371, 1.6083821", \
+					  "1.4441746, 1.4441748, 1.4441749, 1.4441750, 1.4441751, 1.4441752, 1.5953610");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2525256, 1.2525257, 1.2525258, 1.2575558, 1.2575560, 1.2575561, 1.6630410", \
+					  "1.3684938, 1.3684939, 1.3700376, 1.3700377, 1.3700378, 1.3700379, 1.6128551", \
+					  "1.4583480, 1.4589490, 1.4590572, 1.4590573, 1.4590574, 1.4590576, 1.6495927", \
+					  "1.5357803, 1.5366844, 1.5366845, 1.5366846, 1.5366847, 1.5366849, 1.6945318", \
+					  "1.6049095, 1.6050488, 1.6050489, 1.6050490, 1.6050491, 1.6050492, 1.7519106", \
+					  "1.6713911, 1.6720164, 1.6720165, 1.6720166, 1.6720167, 1.6720168, 1.8161047", \
+					  "1.7350427, 1.7357000, 1.7357001, 1.7357002, 1.7357004, 1.7357005, 1.8799080");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8731192, 1.8819026, 1.9337387, 2.1880904, 3.2478042, 8.7829015, 36.2785250", \
+					  "2.0053101, 2.0141229, 2.0655158, 2.3200692, 3.3800216, 8.9150962, 36.4116560", \
+					  "2.1027085, 2.1111866, 2.1595294, 2.4171776, 3.4774235, 9.0112406, 36.5068970", \
+					  "2.1839732, 2.1921445, 2.2442677, 2.4978313, 3.5552796, 9.0939114, 36.5896790", \
+					  "2.2537423, 2.2601565, 2.3111830, 2.5694513, 3.6285737, 9.1577954, 36.6552510", \
+					  "2.3241824, 2.3297504, 2.3833739, 2.6339451, 3.6974899, 9.2326822, 36.7147980", \
+					  "2.3867248, 2.3964324, 2.4483859, 2.7024272, 3.7610994, 9.2892867, 36.7898070");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4498067, 0.4533270, 0.4824080, 0.6579264, 1.7588353, 8.7046317, 30.1164180", \
+					  "0.4497698, 0.4532826, 0.4823773, 0.6579349, 1.7586277, 8.7085770, 30.0248320", \
+					  "0.4498045, 0.4542698, 0.4818044, 0.6588046, 1.7613400, 8.7163814, 30.0191230", \
+					  "0.4497573, 0.4532678, 0.4829005, 0.6586069, 1.7591675, 8.6933456, 30.0141740", \
+					  "0.4479960, 0.4544434, 0.4825095, 0.6626074, 1.7602465, 8.6992848, 30.0324460", \
+					  "0.4481942, 0.4522210, 0.4823763, 0.6628892, 1.7598232, 8.7175538, 29.9825230", \
+					  "0.4499795, 0.4542583, 0.4796565, 0.6591944, 1.7590204, 8.7092618, 30.0599550");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2520106, 1.2520107, 1.2524565, 1.2527143, 1.2555544, 1.2555545, 1.6523570", \
+					  "1.3692685, 1.3692686, 1.3692688, 1.3692689, 1.3692690, 1.3692691, 1.5945827", \
+					  "1.4606543, 1.4606544, 1.4606545, 1.4606546, 1.4606547, 1.4606548, 1.6442949", \
+					  "1.5362281, 1.5366258, 1.5366259, 1.5366260, 1.5366261, 1.5366262, 1.6946827", \
+					  "1.6054205, 1.6054206, 1.6054207, 1.6054208, 1.6054209, 1.6054211, 1.7519202", \
+					  "1.6722161, 1.6722162, 1.6722163, 1.6722164, 1.6722165, 1.6722166, 1.8164287", \
+					  "1.7358984, 1.7358985, 1.7358986, 1.7358987, 1.7358988, 1.7358990, 1.8772335");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1189938, 7.2995832, 8.4176728, 15.3040780, 57.8736350, 320.4868300, 1938.4959000", \
+					  "7.2617707, 7.4330679, 8.5610434, 15.4405080, 58.0109930, 320.5457200, 1938.1978000", \
+					  "7.3557449, 7.5291640, 8.6472740, 15.5865790, 58.0452380, 320.6157300, 1938.2344000", \
+					  "7.4383593, 7.6057889, 8.7441565, 15.6642180, 58.1542490, 320.7049100, 1938.3333000", \
+					  "7.5022983, 7.6916997, 8.8055954, 15.6955360, 58.2473730, 320.6137400, 1938.1903000", \
+					  "7.5767366, 7.7614466, 8.8745846, 15.7982870, 58.3201330, 320.6139600, 1939.4702000", \
+					  "7.6279294, 7.8152924, 8.9352969, 15.8200370, 58.3824650, 320.7067300, 1938.0345000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8676760, 12.2274960, 14.4411250, 28.1765580, 113.0666100, 638.8702300, 3874.3055000", \
+					  "11.8750870, 12.2165630, 14.4492200, 28.1893640, 113.1197500, 638.0876700, 3873.7517000", \
+					  "11.8686780, 12.2274400, 14.4410970, 28.1510580, 113.4723200, 637.9256100, 3873.1919000", \
+					  "11.8751850, 12.2372150, 14.4601150, 28.1435320, 113.3602200, 638.0820400, 3873.3610000", \
+					  "11.8710610, 12.2329100, 14.4640420, 28.1870280, 113.4243100, 637.4261300, 3874.1737000", \
+					  "11.8704840, 12.2328290, 14.4550450, 28.1371510, 113.5168500, 637.3747400, 3872.1291000", \
+					  "11.8721760, 12.2328200, 14.4624650, 28.1644060, 113.5174400, 637.3856500, 3872.1982000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3769293, 7.5562898, 8.6746628, 15.6077640, 58.3009230, 322.1759400, 1944.8207000", \
+					  "7.5066353, 7.6866522, 8.8267811, 15.7469490, 58.4249410, 322.2490600, 1944.7673000", \
+					  "7.6336804, 7.8157329, 8.9102716, 15.8400470, 58.6610010, 322.0188200, 1945.1561000", \
+					  "7.6864026, 7.8915887, 8.9933563, 15.9192940, 58.6049720, 321.7461400, 1944.9894000", \
+					  "7.7577624, 7.9586178, 9.0625658, 15.9931750, 58.7936240, 322.5601100, 1946.4981000", \
+					  "7.8230764, 8.0222167, 9.1562056, 16.0917080, 58.8333470, 322.5274300, 1947.4362000", \
+					  "7.8850153, 8.0945810, 9.1965644, 16.1241300, 58.8165110, 322.1645100, 1944.7893000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7034790, 12.0609770, 14.2618250, 28.0786130, 113.5456900, 639.9190500, 3884.5355000", \
+					  "11.6829020, 12.0606460, 14.2904200, 28.0858220, 113.4947700, 639.1478000, 3886.6401000", \
+					  "11.6982160, 12.0575860, 14.2959770, 28.0696230, 113.5802800, 640.4184800, 3886.8557000", \
+					  "11.7025780, 12.0654300, 14.2974340, 28.0757890, 113.6085400, 640.1354000, 3885.9275000", \
+					  "11.7031480, 12.0601310, 14.2785870, 28.0401600, 113.6250300, 639.6567200, 3883.3041000", \
+					  "11.6980960, 12.0649110, 14.3008100, 28.0836310, 113.6807400, 638.9888700, 3885.4901000", \
+					  "11.7004540, 12.0665660, 14.2969240, 28.0813400, 113.3983900, 639.8629500, 3888.0953000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8121006, 1.8256895, 1.8985842, 2.1661224, 3.0858309, 7.6712006, 25.6441570", \
+					  "1.8807162, 1.8946567, 1.9672175, 2.2350182, 3.1545095, 7.7401623, 25.7123190", \
+					  "1.9072008, 1.9209314, 1.9937636, 2.2613171, 3.1810292, 7.7670821, 25.7390540", \
+					  "1.9191770, 1.9328938, 2.0054076, 2.2733497, 3.1930540, 7.7772606, 25.7511110", \
+					  "1.9240023, 1.9374626, 2.0102384, 2.2778228, 3.1973588, 7.7818001, 25.7523070", \
+					  "1.9239730, 1.9372648, 2.0101094, 2.2777757, 3.1979972, 7.7840117, 25.7531310", \
+					  "1.9201458, 1.9336062, 2.0064166, 2.2741802, 3.1939813, 7.7770208, 25.7374990");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7734202, 0.7768170, 0.7882450, 0.7814910, 1.7395616, 7.0982580, 27.3498980", \
+					  "0.7733055, 0.7764114, 0.7882084, 0.7818011, 1.7390790, 7.1006845, 27.3465570", \
+					  "0.7733908, 0.7763650, 0.7882205, 0.7818597, 1.7419597, 7.0949322, 27.3443560", \
+					  "0.7738124, 0.7762041, 0.7883163, 0.7801252, 1.7378915, 7.0988686, 27.3431680", \
+					  "0.7736242, 0.7760578, 0.7880117, 0.7815326, 1.7381026, 7.0958230, 27.3428690", \
+					  "0.7733784, 0.7763961, 0.7881984, 0.7809174, 1.7398679, 7.1006228, 27.3282530", \
+					  "0.7734465, 0.7759373, 0.7879202, 0.7806988, 1.7434667, 7.0978312, 27.3183180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5083229, 7.6977669, 8.8639940, 15.9299670, 59.0940420, 322.8873600, 1945.6902000", \
+					  "7.6476381, 7.8325328, 9.0003853, 16.1063730, 59.1260370, 322.6007300, 1945.3025000", \
+					  "7.7328375, 7.9479809, 9.0803756, 16.1530910, 59.2994030, 322.4667500, 1945.4142000", \
+					  "7.8168449, 8.0096569, 9.1750856, 16.2478210, 59.3595080, 322.7897000, 1945.9103000", \
+					  "7.8828855, 8.1049736, 9.2346149, 16.3080910, 59.4878670, 323.3139700, 1945.2898000", \
+					  "7.9506570, 8.1416722, 9.2964498, 16.3944180, 59.3272170, 322.9761200, 1946.2541000", \
+					  "8.0188665, 8.2343004, 9.3599315, 16.4376780, 59.4286040, 323.2107400, 1946.8571000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6907920, 12.0596410, 14.2871910, 28.0419400, 113.3350900, 639.0514500, 3885.8066000", \
+					  "11.6914520, 12.0595030, 14.2695780, 28.0804850, 113.6917300, 639.8393000, 3885.4100000", \
+					  "11.7076360, 12.0630730, 14.2874940, 28.0800500, 113.6117300, 639.7207200, 3885.5196000", \
+					  "11.6687080, 12.0495870, 14.2929240, 28.0738860, 113.6445700, 640.3632300, 3885.8839000", \
+					  "11.6788220, 12.0551350, 14.2762590, 28.0257380, 113.2073000, 639.1790600, 3884.1686000", \
+					  "11.6822510, 12.0374120, 14.2759350, 28.0364160, 113.2033600, 639.8743700, 3886.0794000", \
+					  "11.6670640, 12.0567090, 14.2701600, 28.0276100, 113.2879100, 640.1495500, 3886.0171000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8895172, 1.9137998, 2.0618312, 2.9529255, 8.0761406, 16.3188840, 35.7744840", \
+					  "2.0210653, 2.0453002, 2.1939561, 3.0850669, 8.2059879, 16.4453230, 35.9004030", \
+					  "2.1151594, 2.1434141, 2.2907012, 3.1825493, 8.3054932, 16.5402360, 36.0027530", \
+					  "2.1994389, 2.2246391, 2.3727271, 3.2607683, 8.3862544, 16.6229950, 36.0817080", \
+					  "2.2712812, 2.2952815, 2.4419597, 3.3316725, 8.4538412, 16.6932100, 36.1608700", \
+					  "2.3391551, 2.3578541, 2.5117108, 3.4029661, 8.5197982, 16.7580950, 36.2322120", \
+					  "2.3998386, 2.4286626, 2.5749967, 3.4668100, 8.5896881, 16.8188060, 36.2789120");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5043041, 1.5485302, 1.8290242, 3.5742136, 7.9733908, 10.2898040, 28.2051290", \
+					  "1.5038658, 1.5460219, 1.8267514, 3.5684344, 7.9632860, 10.2856420, 28.1761870", \
+					  "1.5042350, 1.5484789, 1.8290566, 3.5738366, 7.9643510, 10.3117890, 28.2076580", \
+					  "1.5035703, 1.5462024, 1.8296108, 3.5736374, 7.9611162, 10.3148960, 28.2215210", \
+					  "1.5037254, 1.5485381, 1.8291703, 3.5701770, 7.9674027, 10.3125760, 28.2183720", \
+					  "1.5039793, 1.5483165, 1.8285912, 3.5726075, 7.9773592, 10.3159670, 28.2135100", \
+					  "1.5015786, 1.5483839, 1.8293878, 3.5711540, 7.9665252, 10.3103410, 28.2105630");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3760735, 7.5576789, 8.6810710, 15.6073790, 58.2963080, 322.1704800, 1944.9644000", \
+					  "7.5359239, 7.6884179, 8.8130729, 15.7480590, 58.5565130, 322.3065100, 1944.9508000", \
+					  "7.6308266, 7.7867766, 8.9072438, 15.8310020, 58.6626780, 321.9651600, 1944.7850000", \
+					  "7.6863165, 7.8694455, 8.9938812, 15.9162050, 58.7254940, 322.4708400, 1945.0854000", \
+					  "7.7795725, 7.9396498, 9.0640340, 15.9751500, 58.8001930, 322.5573300, 1946.8750000", \
+					  "7.8234565, 8.0087439, 9.1290389, 16.0894210, 58.8205110, 322.6388400, 1944.3410000", \
+					  "7.8896187, 8.0677980, 9.1985823, 16.1138320, 58.8249240, 322.6863600, 1945.4792000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7030510, 12.0636870, 14.2954260, 28.0725330, 113.5303600, 640.0385900, 3885.3764000", \
+					  "11.6968660, 12.0636960, 14.2952750, 28.0801680, 113.6082400, 640.0094900, 3886.6729000", \
+					  "11.6941030, 12.0627780, 14.2942510, 28.0625640, 113.6102900, 640.4520100, 3885.7165000", \
+					  "11.7021140, 12.0642590, 14.2972510, 28.0725510, 113.6302400, 639.8776100, 3886.6378000", \
+					  "11.6980190, 12.0629220, 14.2847240, 28.0183930, 113.6099900, 640.0365500, 3886.9975000", \
+					  "11.7013930, 12.0640410, 14.2970650, 28.0838520, 113.6984800, 639.9868700, 3885.8725000", \
+					  "11.7017010, 12.0464310, 14.2949300, 28.0213220, 113.4368400, 640.0313200, 3885.9575000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1202271, 7.3065074, 8.4276390, 15.3001680, 57.8414120, 320.4124500, 1938.1050000", \
+					  "7.2526611, 7.4381217, 8.5674561, 15.4442240, 57.9786740, 320.4979500, 1938.1712000", \
+					  "7.3578552, 7.5361450, 8.6644727, 15.5396440, 58.0935050, 320.5369400, 1939.4886000", \
+					  "7.4320758, 7.6127380, 8.7461549, 15.6556260, 58.1620510, 320.3736300, 1938.4986000", \
+					  "7.5106774, 7.6929751, 8.7920986, 15.7038220, 58.2173490, 321.1050300, 1938.4058000", \
+					  "7.5779365, 7.7392626, 8.8682031, 15.7874090, 58.3021990, 320.6369600, 1941.9294000", \
+					  "7.6311562, 7.8261309, 8.9291081, 15.8245350, 58.3742050, 320.7124200, 1939.2676000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8739760, 12.2277020, 14.4661080, 28.1905950, 113.3720000, 638.0864800, 3875.4124000", \
+					  "11.8757940, 12.2275820, 14.4564630, 28.1869990, 112.9953700, 638.0376500, 3873.3101000", \
+					  "11.8715390, 12.2275430, 14.4558120, 28.1829370, 113.4567500, 637.4871800, 3873.1805000", \
+					  "11.8768130, 12.2418940, 14.4559020, 28.1771920, 113.5061000, 637.7184100, 3874.8426000", \
+					  "11.8721020, 12.2330460, 14.4604420, 28.1836620, 113.3739900, 638.4012200, 3872.9536000", \
+					  "11.8712420, 12.2322220, 14.4608540, 28.2015580, 113.4426200, 637.7815100, 3872.7353000", \
+					  "11.8516880, 12.2330700, 14.4467190, 28.1638690, 113.5169100, 637.4205000, 3875.0195000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1600239, 2.1837678, 2.3348645, 3.2554099, 8.4149256, 16.3868460, 31.8840670", \
+					  "2.2924871, 2.3157896, 2.4671165, 3.3898813, 8.5472305, 16.5139260, 32.0160860", \
+					  "2.3898590, 2.4133897, 2.5649596, 3.4840704, 8.6425933, 16.6121460, 32.1134270", \
+					  "2.4669680, 2.4952973, 2.6452915, 3.5662091, 8.7252712, 16.6932330, 32.1918460", \
+					  "2.5398204, 2.5645310, 2.7179270, 3.6379983, 8.7891898, 16.7654620, 32.2660190", \
+					  "2.6098430, 2.6345164, 2.7784874, 3.7121923, 8.8640828, 16.8384650, 32.3315490", \
+					  "2.6697315, 2.6960714, 2.8509983, 3.7721968, 8.9273264, 16.9028120, 32.3972880");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5189895, 1.5632993, 1.8530077, 3.6434802, 8.7148049, 9.4531174, 19.4279280", \
+					  "1.5179531, 1.5622467, 1.8533181, 3.6396521, 8.7156904, 9.4376587, 19.4530810", \
+					  "1.5169377, 1.5626307, 1.8531230, 3.6427581, 8.7148848, 9.4374073, 19.4792860", \
+					  "1.5167647, 1.5601258, 1.8533750, 3.6452066, 8.7150429, 9.4396739, 19.3914080", \
+					  "1.5146989, 1.5600322, 1.8504697, 3.6432910, 8.7149905, 9.4384704, 19.4030460", \
+					  "1.5141580, 1.5626830, 1.8529638, 3.6455730, 8.7162517, 9.4376231, 19.4690380", \
+					  "1.5165026, 1.5648164, 1.8516984, 3.6453598, 8.7151051, 9.4376169, 19.4193910");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8624546, 1.8762532, 1.9497177, 2.2178310, 3.1359080, 7.7105682, 25.6710970", \
+					  "1.9938535, 2.0085933, 2.0817523, 2.3499520, 3.2683107, 7.8430755, 25.8051130", \
+					  "2.0887279, 2.1058593, 2.1793376, 2.4461939, 3.3656553, 7.9402076, 25.8987040", \
+					  "2.1730213, 2.1839779, 2.2601407, 2.5283449, 3.4451453, 8.0185405, 25.9814930", \
+					  "2.2440816, 2.2565325, 2.3281508, 2.5953191, 3.5177838, 8.0887697, 26.0490030", \
+					  "2.3124065, 2.3259006, 2.3995836, 2.6674036, 3.5829846, 8.1606464, 26.1205970", \
+					  "2.3759281, 2.3799891, 2.4630085, 2.7325171, 3.6507413, 8.2253964, 26.2002190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7782653, 0.7811575, 0.7932751, 0.7853883, 1.7353367, 7.0883455, 27.3425350", \
+					  "0.7784411, 0.7812095, 0.7931006, 0.7855417, 1.7381035, 7.0867179, 27.3374510", \
+					  "0.7784209, 0.7810901, 0.7931112, 0.7854187, 1.7374918, 7.0883730, 27.3537830", \
+					  "0.7784700, 0.7810813, 0.7932788, 0.7855413, 1.7375749, 7.0872099, 27.3423240", \
+					  "0.7782136, 0.7810527, 0.7931385, 0.7851680, 1.7372842, 7.0852506, 27.3349720", \
+					  "0.7784106, 0.7811075, 0.7939320, 0.7856111, 1.7374938, 7.0866606, 27.3457220", \
+					  "0.7784833, 0.7810820, 0.7931286, 0.7836010, 1.7378913, 7.0855806, 27.3395510");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1297883, 7.3000139, 8.4142036, 15.3249310, 57.8702920, 320.3730000, 1939.2739000", \
+					  "7.2618351, 7.4246493, 8.5491312, 15.4407580, 57.9914180, 320.7371700, 1939.1205000", \
+					  "7.3568120, 7.5287518, 8.6569480, 15.5717220, 58.0773190, 320.8495200, 1938.6066000", \
+					  "7.4372033, 7.6122362, 8.7356122, 15.6623760, 58.1722400, 320.6941400, 1938.2321000", \
+					  "7.5001960, 7.6937354, 8.8122878, 15.6918320, 58.2438670, 320.7090100, 1939.2178000", \
+					  "7.5752382, 7.7492555, 8.8749363, 15.7590150, 58.3426110, 320.6410500, 1938.1159000", \
+					  "7.6332460, 7.8267224, 8.9340917, 15.8166550, 58.3788520, 320.7316300, 1938.5795000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8759970, 12.2293950, 14.4568880, 28.1946590, 113.0286500, 638.1288800, 3872.6071000", \
+					  "11.8754660, 12.2416440, 14.4532290, 28.1702570, 113.5073900, 637.7166000, 3874.6393000", \
+					  "11.8631200, 12.2361930, 14.4536840, 28.1772540, 113.4371400, 637.4313000, 3874.6821000", \
+					  "11.8576350, 12.2231700, 14.4510110, 28.1617750, 113.4351100, 638.0471400, 3873.2192000", \
+					  "11.8507070, 12.2330140, 14.4416090, 28.1872520, 113.4540100, 638.0273600, 3873.3751000", \
+					  "11.8606260, 12.2326310, 14.4603470, 28.1726070, 113.4751900, 637.3607600, 3874.1032000", \
+					  "11.8563860, 12.2331640, 14.4587690, 28.1632570, 113.5176300, 637.4342000, 3874.1546000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3772242, 7.5563378, 8.6727274, 15.6001820, 58.3002910, 322.1943500, 1944.7318000", \
+					  "7.5068920, 7.6906224, 8.8153245, 15.7465100, 58.5305920, 322.0472700, 1945.0436000", \
+					  "7.6072304, 7.7857605, 8.9045198, 15.8370760, 58.6311480, 322.0236800, 1945.1170000", \
+					  "7.6855970, 7.8679854, 9.0077483, 15.9068600, 58.7338140, 321.7780000, 1945.1163000", \
+					  "7.7569924, 7.9377767, 9.0627372, 15.9842890, 58.6688460, 322.5273400, 1945.5053000", \
+					  "7.8530862, 8.0049611, 9.1247365, 16.0522420, 58.8322600, 322.5214000, 1945.2466000", \
+					  "7.8867277, 8.0729485, 9.2036974, 16.1279810, 58.8895120, 322.4769800, 1944.7070000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7033050, 12.0611720, 14.2583280, 28.0694440, 113.5410100, 639.8539700, 3886.6347000", \
+					  "11.7012130, 12.0650380, 14.2738480, 28.0846530, 113.6601900, 639.9164400, 3884.7370000", \
+					  "11.7008860, 12.0629290, 14.2654870, 28.0681490, 113.6660800, 640.4076200, 3889.0917000", \
+					  "11.7020040, 12.0631110, 14.2932680, 28.0412760, 113.6166100, 639.7471600, 3886.1917000", \
+					  "11.6933550, 12.0603880, 14.2963770, 28.0739770, 113.4293400, 639.5499100, 3886.4964000", \
+					  "11.6958020, 12.0604950, 14.2587060, 28.0095080, 113.6809700, 638.9955200, 3890.8374000", \
+					  "11.6984010, 12.0588320, 14.2946620, 28.0816100, 113.7044500, 640.1641000, 3887.2868000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8652233, 1.8897823, 2.0396917, 2.9395950, 8.0827256, 16.2959370, 35.7364980", \
+					  "1.9343067, 1.9589015, 2.1086430, 3.0087541, 8.1521039, 16.3642880, 35.7878630", \
+					  "1.9605435, 1.9852970, 2.1349946, 3.0352394, 8.1755260, 16.3912470, 35.8145870", \
+					  "1.9724928, 1.9971911, 2.1469788, 3.0476310, 8.1880245, 16.3925620, 35.8601860", \
+					  "1.9771642, 2.0017463, 2.1514959, 3.0509899, 8.1944398, 16.4006640, 35.8598060", \
+					  "1.9770408, 2.0016000, 2.1513842, 3.0512979, 8.1920515, 16.4054320, 35.8581250", \
+					  "1.9734838, 1.9978945, 2.1479611, 3.0476091, 8.1890494, 16.4009360, 35.8516270");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5032332, 1.5485965, 1.8288745, 3.5870515, 7.9143092, 10.2133590, 28.1952580", \
+					  "1.5058939, 1.5488513, 1.8296918, 3.5806813, 7.9157747, 10.2321280, 28.1496360", \
+					  "1.5061457, 1.5483022, 1.8305215, 3.5815135, 7.9213907, 10.2747840, 28.1501520", \
+					  "1.5050979, 1.5493679, 1.8292464, 3.5887060, 7.9312965, 10.2941040, 28.1848380", \
+					  "1.5038558, 1.5486179, 1.8287124, 3.5821621, 7.9174267, 10.2780400, 28.1816400", \
+					  "1.5049462, 1.5504201, 1.8289673, 3.5875454, 7.9181133, 10.2556430, 28.2226300", \
+					  "1.5041758, 1.5511223, 1.8292436, 3.5852807, 7.9309670, 10.2567080, 28.2028780");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.0347981, 2.0605990, 2.2163202, 3.1553147, 8.3358920, 16.2388560, 31.7245470", \
+					  "2.1673270, 2.1925759, 2.3478897, 3.2866154, 8.4690823, 16.3716720, 31.8559860", \
+					  "2.2647548, 2.2900797, 2.4455145, 3.3880563, 8.5665377, 16.4686080, 31.9523860", \
+					  "2.3460756, 2.3718150, 2.5274254, 3.4688576, 8.6470413, 16.5499760, 32.0357360", \
+					  "2.4167710, 2.4419871, 2.5976516, 3.5393841, 8.7177288, 16.6192230, 32.1047720", \
+					  "2.4844469, 2.5089641, 2.6644436, 3.6098995, 8.7850367, 16.6903650, 32.1704330", \
+					  "2.5488287, 2.5753113, 2.7305245, 3.6746550, 8.8496108, 16.7509380, 32.2411220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5132391, 1.5629588, 1.8476195, 3.6439787, 8.6605292, 9.4107016, 19.3909810", \
+					  "1.5164604, 1.5653736, 1.8531455, 3.6447989, 8.6607823, 9.4117105, 19.3787080", \
+					  "1.5151882, 1.5646358, 1.8529410, 3.6466752, 8.6618126, 9.4241788, 19.3720670", \
+					  "1.5125857, 1.5643692, 1.8484575, 3.6424499, 8.6609635, 9.4103534, 19.4012180", \
+					  "1.5178568, 1.5617568, 1.8486062, 3.6399179, 8.6601197, 9.4128501, 19.4106300", \
+					  "1.5176322, 1.5646331, 1.8495249, 3.6444912, 8.6649390, 9.4275938, 19.3878900", \
+					  "1.5178998, 1.5622392, 1.8521683, 3.6439989, 8.6642444, 9.4105053, 19.3950740");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2596658, 7.4388824, 8.5736227, 15.5810390, 58.4414970, 321.1420700, 1941.2651000", \
+					  "7.3283681, 7.5159345, 8.6492919, 15.6189510, 58.4471810, 321.2165000, 1938.9927000", \
+					  "7.3676110, 7.5369009, 8.6691667, 15.6487530, 58.5125330, 321.5401700, 1939.0063000", \
+					  "7.3700535, 7.5585840, 8.6799679, 15.6997770, 58.4965600, 321.9464900, 1939.3199000", \
+					  "7.3693416, 7.5697413, 8.6877572, 15.6497110, 58.5108710, 321.5637900, 1938.5332000", \
+					  "7.3785404, 7.5616295, 8.6966239, 15.6685010, 58.4922400, 321.3755500, 1938.7896000", \
+					  "7.3789246, 7.5633846, 8.6848138, 15.6688300, 58.5111690, 321.2467200, 1943.1388000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8622920, 12.2126660, 14.5381070, 28.1292620, 113.4570000, 637.7951500, 3872.4647000", \
+					  "11.8849130, 12.2432660, 14.4606530, 28.1810560, 113.3038600, 637.7576900, 3873.0063000", \
+					  "11.8742070, 12.2298630, 14.4859980, 28.1963080, 113.4458500, 637.4555100, 3873.0032000", \
+					  "11.8539340, 12.2349300, 14.4543990, 28.1575190, 113.4389000, 637.5859700, 3873.1291000", \
+					  "11.8717520, 12.2306210, 14.4428860, 28.1897190, 113.4976500, 638.1465600, 3872.0177000", \
+					  "11.8690810, 12.2000850, 14.4497380, 28.1689920, 113.4752500, 637.5233900, 3874.1782000", \
+					  "11.8725840, 12.2229790, 14.4519310, 28.1824240, 113.4290000, 637.4448800, 3872.8444000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9951640, 2.0203774, 2.1720348, 3.0904552, 8.2490459, 16.2187650, 31.7153770", \
+					  "2.1277666, 2.1529565, 2.3023587, 3.2231213, 8.3816305, 16.3474430, 31.8479290", \
+					  "2.2250535, 2.2503071, 2.4017290, 3.3203362, 8.4788390, 16.4455240, 31.9451240", \
+					  "2.3063009, 2.3316298, 2.4832241, 3.4011489, 8.5601881, 16.5311910, 32.0252020", \
+					  "2.3769990, 2.4009925, 2.5523268, 3.4769234, 8.6293195, 16.5955520, 32.0961710", \
+					  "2.4453315, 2.4696469, 2.6209765, 3.5462218, 8.6975804, 16.6679290, 32.1659320", \
+					  "2.5082776, 2.5336684, 2.6862386, 3.6046142, 8.7623491, 16.7293270, 32.2259840");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5167686, 1.5652896, 1.8493629, 3.6440817, 8.7127328, 9.4386428, 19.3999610", \
+					  "1.5170086, 1.5653493, 1.8534861, 3.6437418, 8.7123428, 9.4357011, 19.4023110", \
+					  "1.5171393, 1.5656108, 1.8498020, 3.6437440, 8.7123420, 9.4515924, 19.4039260", \
+					  "1.5157781, 1.5650060, 1.8511177, 3.6452261, 8.7123126, 9.4430872, 19.4175730", \
+					  "1.5189611, 1.5660307, 1.8503145, 3.6411694, 8.7133190, 9.4361541, 19.4256630", \
+					  "1.5174976, 1.5650847, 1.8524501, 3.6457803, 8.7146370, 9.4359480, 19.3976530", \
+					  "1.5153659, 1.5646449, 1.8503439, 3.6441563, 8.7172651, 9.4362571, 19.4153050");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.3513895, 9.4226411, 9.4938927, 9.4832083, 9.4729514, 9.4622671, 9.4515827");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.0664759, -9.1418917, -9.2173075, -9.2123390, -9.2075692, -9.2026007, -9.1976322");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.483766";
+				miller_cap_rise : "0.0244361";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("117.724, 47.6637, 6.77422, 3.48214, 3.44385, 3.42195, 3.39757, 3.36998, 3.33809, 3.30015, 3.25314, 3.19137, 3.1034, 2.97396, 2.80802, 2.61844, 2.40833, 2.17802, 1.92751, 1.65673, 1.36567, 1.05436, 0.722885, 0.371366, -2.66242e-06, -0.778457, -1.60229, -17.103, -47.5312", \
+					  "117.697, 47.6149, 6.71564, 3.36119, 3.32488, 3.30423, 3.28136, 3.25564, 3.22613, 3.19139, 3.14896, 3.09435, 3.01849, 2.9055, 2.75124, 2.57005, 2.36742, 2.14404, 1.89994, 1.63507, 1.3494, 1.04295, 0.715798, 0.368084, -2.64665e-06, -0.77295, -1.59367, -17.1004, -47.5282", \
+					  "117.684, 47.5758, 6.66749, 3.2614, 3.22662, 3.20695, 3.18524, 3.16093, 3.13321, 3.10083, 3.06172, 3.01216, 2.94473, 2.84495, 2.70196, 2.52827, 2.33211, 2.11471, 1.87614, 1.61637, 1.33534, 1.03309, 0.709679, 0.365252, -2.44202e-07, -0.768203, -1.58625, -17.0983, -47.5256", \
+					  "117.68, 47.5126, 6.56861, 3.05275, 3.02092, 3.00312, 2.98361, 2.96197, 2.93757, 2.90948, 2.87622, 2.83525, 2.78174, 2.70563, 2.59017, 2.43486, 2.25332, 2.04925, 1.82303, 1.57462, 1.30396, 1.01107, 0.696013, 0.358921, -2.65846e-06, -0.757632, -1.56983, -17.0935, -47.5199", \
+					  "117.727, 47.48, 6.463, 2.66608, 2.63878, 2.62394, 2.6079, 2.59036, 2.57093, 2.54906, 2.52392, 2.49419, 2.45757, 2.40968, 2.34102, 2.23424, 2.08648, 1.9108, 1.71062, 1.48621, 1.23749, 0.964428, 0.667059, 0.345512, -2.65494e-06, -0.735373, -1.53558, -17.0836, -47.5083", \
+					  "117.768, 47.4842, 6.45786, 2.49507, 2.44698, 2.43343, 2.41888, 2.40308, 2.38572, 2.36635, 2.34436, 2.31878, 2.28797, 2.24901, 2.19578, 2.11475, 1.99034, 1.83146, 1.6462, 1.43551, 1.19936, 0.937659, 0.650441, 0.337819, -1.01391e-07, -0.722688, -1.51626, -17.078, -47.5018", \
+					  "117.816, 47.4929, 6.48285, 2.47119, 2.25519, 2.24281, 2.22963, 2.2154, 2.19988, 2.18272, 2.16345, 2.14133, 2.11522, 2.0831, 2.04103, 1.98026, 1.88313, 1.74418, 1.57539, 1.37976, 1.15739, 0.908198, 0.632151, 0.329349, -1.73013e-08, -0.708792, -1.49529, -17.072, -47.4949", \
+					  "117.866, 47.5059, 6.53297, 2.57756, 2.06282, 2.05116, 2.03916, 2.0272, 2.01383, 1.99863, 1.98172, 1.96256, 1.94031, 1.91358, 1.87977, 1.83346, 1.76183, 1.64759, 1.49731, 1.31826, 1.11108, 0.875665, 0.61195, 0.319995, -3.19419e-08, -0.693536, -1.47247, -17.0656, -47.4875", \
+					  "117.915, 47.5362, 6.59992, 2.73072, 1.84238, 1.77632, 1.76157, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74171, 1.71421, 1.67819, 1.6258, 1.53951, 1.4109, 1.2502, 1.05979, 0.839617, 0.589559, 0.309627, -3.18892e-08, -0.67673, -1.44759, -17.0586, -47.4794", \
+					  "117.961, 47.5725, 6.67129, 2.86139, 1.62172, 1.35795, 1.30163, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.17462, 1.00278, 0.799523, 0.564643, 0.298089, -2.61518e-08, -0.658156, -1.42039, -17.0511, -47.4708", \
+					  "118, 47.6049, 6.73593, 2.95719, 1.41545, 0.940422, 0.736981, 0.685053, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.536798, 0.285189, -3.17744e-08, -0.637548, -1.39057, -17.0429, -47.4615", \
+					  "118.033, 47.6293, 6.79152, 3.02248, 1.22136, 0.565701, 0.167354, 0.0141882, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.61459, -1.3577, -17.0339, -47.4514", \
+					  "118.062, 47.6456, 6.83749, 3.06279, 1.03782, 0.229606, -0.346727, -0.669187, -0.782507, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -1.32088, -17.0242, -47.4405", \
+					  "118.084, 47.655, 6.8744, 3.08312, 0.863965, -0.0738448, -0.802852, -1.30125, -1.54567, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -17.0135, -47.4287", \
+					  "118.1, 47.6577, 6.9035, 3.08796, 0.699596, -0.349102, -1.20879, -1.86153, -2.2744, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -17.0014, -47.4157", \
+					  "118.109, 47.6551, 6.9277, 3.08148, 0.545148, -0.599032, -1.57112, -2.35633, -2.92922, -3.24435, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -17.0058, -47.4015", \
+					  "118.11, 47.649, 6.9435, 3.06786, 0.401795, -0.825021, -1.89427, -2.79361, -3.50403, -3.98301, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -17.9768, -49.019", \
+					  "118.105, 47.641, 6.95794, 3.05166, 0.271665, -1.02693, -2.18053, -3.17872, -4.00667, -4.63431, -4.98382, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -19.0116, -51.5491", \
+					  "118.098, 47.6333, 6.97272, 3.03839, 0.158257, -1.20283, -2.4299, -3.51407, -4.44332, -5.19653, -5.71179, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -19.9748, -53.7508", \
+					  "118.092, 47.6294, 6.99242, 3.03509, 0.066873, -1.34867, -2.63985, -3.79902, -4.81619, -5.67567, -6.33521, -6.67773, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -20.8502, -55.6158", \
+					  "118.096, 47.6359, 7.02596, 3.05436, 0.00831328, -1.45472, -2.80189, -4.02658, -5.12035, -6.0709, -6.84921, -7.35609, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -21.6371, -57.168", \
+					  "118.135, 47.6671, 7.09016, 3.11723, 2.25763e-05, -1.50521, -2.90202, -4.18469, -5.34598, -6.37594, -7.25345, -7.90314, -8.23778, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -22.3497, -58.447", \
+					  "118.178, 47.7003, 7.15575, 3.18133, 2.51383e-08, -1.54283, -2.98387, -4.31814, -5.53941, -6.63942, -7.60195, -8.36955, -8.86123, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -23.0067, -59.4994", \
+					  "118.216, 47.7304, 7.21594, 3.23854, 2.22415e-08, -1.5762, -3.05657, -4.43675, -5.71135, -6.87338, -7.90984, -8.7752, -9.40434, -9.74193, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -23.6234, -60.3673", \
+					  "118.252, 47.7572, 7.2712, 3.28958, 3.67056e-08, -1.60584, -3.12122, -4.54233, -5.86447, -7.08165, -8.18307, -9.13118, -9.87379, -10.3628, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -24.2114, -61.0866", \
+					  "118.312, 47.8039, 7.36897, 3.37558, 1.09459e-07, -1.65556, -3.22997, -4.72027, -6.12286, -7.43322, -8.64337, -9.72517, -10.6406, -11.3704, -11.8629, -12.076, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -25.3496, -62.1873", \
+					  "118.361, 47.8416, 7.44992, 3.44353, 3.02705e-07, -1.69471, -3.31602, -4.86166, -6.3288, -7.71395, -9.01083, -10.1965, -11.2393, -12.1332, -12.8574, -13.3573, -13.5876, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -26.4801, -62.9818", \
+					  "118.457, 47.9137, 7.60826, 3.56803, 3.08208e-06, -1.76681, -3.47728, -5.13034, -6.72462, -8.25832, -9.7277, -11.1167, -12.4013, -13.582, -14.6632, -15.6421, -16.5082, -17.2354, -17.7715, -18.0657, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -29.9055, -64.4252", \
+					  "118.505, 47.9467, 7.67695, 3.61559, 3.23425e-05, -1.79798, -3.55663, -5.27571, -6.95481, -8.59329, -10.1889, -11.7294, -13.1948, -14.5854, -15.9094, -17.1705, -18.3703, -19.509, -20.5857, -21.5975, -22.5394, -23.4016, -24.165, -24.7939, -25.2501, -25.7559, -25.924, -35.88, -66.9989");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1782298, 0.2302742, 0.2670847, 0.3077915, 0.3768907");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2272209, 0.2793827, 0.3161413, 0.3568387, 0.4258885");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1010664, 0.1299910, 0.1499011, 0.1691111, 0.2002647");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1503854, 0.1801974, 0.2001564, 0.2192818, 0.2506266");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("5.23633");
+						index_3("0.001");
+						index_4("2.3104377, 2.6125523, 2.7759810, 3.0538438, 3.3964088");
+						values("0.0593577, 0.0949723, 0.118715, 0.0949723, 0.0593577");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("3.26439");
+						index_3("0.001");
+						index_4("1.4840027, 1.6608319, 1.7772298, 1.9853343, 2.2145282");
+						values("0.0943812, 0.15101, 0.188762, 0.15101, 0.0943812");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("2.28378");
+						index_3("0.001");
+						index_4("1.0817071, 1.1889228, 1.3167936, 1.4430735, 1.6066684");
+						values("0.14228, 0.227648, 0.28456, 0.227648, 0.14228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("2.61817");
+						index_3("0.001");
+						index_4("1.2523955, 1.3577087, 1.4558798, 1.6473129, 1.8373248");
+						values("0.0558977, 0.0894364, 0.111795, 0.0894364, 0.0558977");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("1.63219");
+						index_3("0.001");
+						index_4("0.8260413, 0.8811486, 0.9620001, 1.1039569, 1.2412737");
+						values("0.0842002, 0.13472, 0.1684, 0.13472, 0.0842002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("1.14189");
+						index_3("0.001");
+						index_4("0.6024743, 0.6465852, 0.7100643, 0.8273783, 0.9351961");
+						values("0.115959, 0.185534, 0.231918, 0.185534, 0.115959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("4.89658");
+						index_3("0.001");
+						index_4("2.1457202, 2.4371209, 2.6044849, 2.8585164, 3.1867944");
+						values("0.0984756, 0.157561, 0.196951, 0.157561, 0.0984756");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("5.23289");
+						index_3("0.001");
+						index_4("1.1945246, 1.2415892, 2.6846067, 2.7535509, 2.8001545");
+						values("5.44816, 5.41706, 5.39632, 5.41706, 5.44816");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("2.7213");
+						index_3("0.001");
+						index_4("1.2954733, 1.4403579, 1.5367570, 1.5903295, 1.6436038");
+						values("5.35564, 5.26903, 5.21129, 5.26903, 5.35564");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("1.72517");
+						index_3("0.001");
+						index_4("0.9662569, 1.0404303, 1.1429117, 1.2288767, 1.2850171");
+						values("4.53883, 3.96212, 3.57765, 3.96212, 4.53883");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("2.61645");
+						index_3("0.001");
+						index_4("0.6861452, 0.7151892, 0.7793619, 1.4382396, 1.4769769");
+						values("5.43868, 5.40188, 5.37736, 5.40188, 5.43868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("1.36065");
+						index_3("0.001");
+						index_4("0.4128545, 0.7056708, 0.7757787, 0.8326601, 0.8681525");
+						values("5.37275, 5.2964, 5.2455, 5.2964, 5.37275");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("0.862584");
+						index_3("0.001");
+						index_4("0.3072294, 0.4517359, 0.5326506, 0.5938659, 0.6271710");
+						values("5.31636, 5.20618, 5.13272, 5.20618, 5.31636");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("4.08195");
+						index_3("0.001");
+						index_4("2.1040272, 2.1682337, 2.2741018, 2.3503233, 2.4172684");
+						values("5.21477, 5.04363, 4.92954, 5.04363, 5.21477");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.140543;
+			capacitance : 0.140392;
+			fall_capacitance : 0.140242;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3606864, -0.3562182, -0.3517500, -0.3521326, -0.3525000, -0.3528826, -0.3532653");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602284, 0.3555600, 0.3508916, 0.3520574, 0.3531766, 0.3543424, 0.3555082");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133581;
+			capacitance : 0.133411;
+			fall_capacitance : 0.133241;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3609688, -0.3561999, -0.3514311, -0.3520184, -0.3525822, -0.3531695, -0.3537569");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602862, 0.3546780, 0.3490698, 0.3496305, 0.3501687, 0.3507294, 0.3512901");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr.lib
new file mode 100644
index 0000000..0563a89
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr.lib
@@ -0,0 +1,7976 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50_nointpwr") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.098300e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.1238000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.9740000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5238000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.4570000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.7512000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.1728000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7790000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2300000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.8790000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.8300000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.9600000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.3380000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7390000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2290000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.5810000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.6321000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5232000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.8750000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006731;
+			capacitance : 0.006574;
+			fall_capacitance : 0.006416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2797057, 0.2073874, 0.1686132, 0.1558140, 0.1484101, 0.1493457, 0.1594347", \
+					  "0.3380255, 0.2547905, 0.2330458, 0.2248363, 0.2098030, 0.2076869, 0.2101464", \
+					  "0.3453534, 0.2513539, 0.2341908, 0.2183314, 0.2139793, 0.1969892, 0.2004392", \
+					  "0.3272498, 0.2579696, 0.2222822, 0.1967786, 0.1929238, 0.1831488, 0.1918796", \
+					  "0.3077221, 0.2385118, 0.1966808, 0.1899552, 0.1802983, 0.1590729, 0.1661101", \
+					  "0.2951138, 0.1755906, 0.1736407, 0.1468293, 0.1423223, 0.1342576, 0.1412947", \
+					  "0.2603253, 0.1803924, 0.1500568, 0.1403721, 0.1229476, 0.1366462, 0.1376303");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820966, 0.0456109, -0.0503554, -0.1385299, -0.2114478, -0.2649321, -0.3108593", \
+					  "0.2434682, 0.1124715, 0.0027470, -0.0826316, -0.1605167, -0.2348029, -0.2992809", \
+					  "0.2401150, 0.1122483, 0.0135726, -0.0822834, -0.1573234, -0.2383244, -0.3003214", \
+					  "0.2403218, 0.1033998, 0.0031176, -0.0856102, -0.1702354, -0.2444225, -0.3033224", \
+					  "0.2207941, 0.0686133, -0.0221691, -0.0934872, -0.1836595, -0.2727508, -0.3116625", \
+					  "0.1944529, 0.0422720, -0.0277563, -0.1239040, -0.2058302, -0.2792556, -0.3576677", \
+					  "0.1596644, -0.0077754, -0.0594930, -0.1528474, -0.2376014, -0.2759750, -0.3756715");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139648, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0141210, 0.0017301", \
+					  "-0.1738101, -0.0970234, -0.0632206, -0.0529159, -0.0335661, -0.0373661, -0.0382997", \
+					  "-0.1567826, -0.1070228, -0.0771028, -0.0735835, -0.0704956, -0.0400165, -0.0485796", \
+					  "-0.1630344, -0.0951226, -0.0731150, -0.0448581, -0.0590268, -0.0357456, -0.0443183", \
+					  "-0.1404549, -0.0633878, -0.0249704, -0.0240826, -0.0208681, -0.0159349, -0.0251532", \
+					  "-0.1125877, -0.0370466, 0.0015370, 0.0003557, -0.0024108, -0.0007521, 0.0144875", \
+					  "-0.0793252, 0.0130007, 0.0286960, 0.0346973, -0.0297926, 0.0251892, 0.0419119");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1674165, -0.0339807, 0.0610238, 0.1518831, 0.2201501, 0.2732763, 0.3172938", \
+					  "-0.2303135, -0.1036538, 0.0028757, 0.0926297, 0.1705813, 0.2403439, 0.3092217", \
+					  "-0.2224412, -0.1020820, -0.0022008, 0.0923702, 0.1606989, 0.2455697, 0.3064858", \
+					  "-0.2271671, -0.0917076, 0.0009946, 0.0988995, 0.1772871, 0.2530209, 0.3101527", \
+					  "-0.2030617, -0.0584470, 0.0325438, 0.1103314, 0.1907113, 0.2828543, 0.3292229", \
+					  "-0.1782464, -0.0321060, 0.0394645, 0.1411577, 0.2164024, 0.2905625, 0.3677712", \
+					  "-0.1434579, 0.0194671, 0.0712012, 0.1659160, 0.2473170, 0.3470357, 0.3720421");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426662, 0.0420959, 0.0415257, 0.0416784, 0.0418249, 0.0419776, 0.0421303");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540832, 0.0533472, 0.0526111, 0.0530917, 0.0535531, 0.0540337, 0.0545143");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010592";
+				miller_cap_rise : "0.000882648";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90882e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87963e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88772e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89208e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.8978e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90966e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18654e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07574e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353497, 0.0656624, 0.1031316, 0.1459545, 0.2141032");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012708, 0.1316759, 0.1689743, 0.2117709, 0.2795798");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189855, 0.0300421, 0.0415633, 0.0543003, 0.0709668");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765025, 0.0933881, 0.1057908, 0.1184081, 0.1344163");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18862");
+						index_3("0.001");
+						index_4("2.0673775, 2.1760463, 2.3235424, 2.5258622, 2.6168643");
+						values("0.381847, 0.610956, 0.763694, 0.610956, 0.381847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41369");
+						index_3("0.001");
+						index_4("1.1907153, 1.2837009, 1.4357537, 1.5619640, 1.6354618");
+						values("0.459937, 0.7359, 0.919875, 0.7359, 0.459937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62684");
+						index_3("0.001");
+						index_4("0.8029656, 0.8800983, 1.0133912, 1.1133657, 1.1701055");
+						values("0.489733, 0.783573, 0.979466, 0.783573, 0.489733");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09431");
+						index_3("0.001");
+						index_4("1.0440512, 1.1001027, 1.1966417, 1.2717979, 1.3201272");
+						values("0.216828, 0.346924, 0.433655, 0.346924, 0.216828");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20685");
+						index_3("0.001");
+						index_4("0.6049622, 0.6495784, 0.7300148, 0.7884044, 0.8261674");
+						values("0.255112, 0.408179, 0.510224, 0.408179, 0.255112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.813419");
+						index_3("0.001");
+						index_4("0.4102094, 0.4483022, 0.5006235, 0.5629844, 0.5925875");
+						values("0.271495, 0.434392, 0.542989, 0.434392, 0.271495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.62054");
+						index_3("0.001");
+						index_4("1.7765214, 1.9197560, 2.1140383, 2.3286901, 2.4331822");
+						values("0.635971, 1.01755, 1.27194, 1.01755, 0.635971");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20481");
+						index_3("0.001");
+						index_4("0.6006478, 0.6554080, 0.7556867, 0.8985473, 0.9849122");
+						values("1.44554, 1.14286, 0.941073, 1.14286, 1.44554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682814");
+						index_3("0.001");
+						index_4("0.3438965, 0.3845658, 0.4607934, 0.5607878, 0.6260253");
+						values("1.41862, 1.09979, 0.88724, 1.09979, 1.41862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452963");
+						index_3("0.001");
+						index_4("0.2298859, 0.2603223, 0.3121291, 0.4003832, 0.4499734");
+						values("1.40751, 1.08202, 0.865021, 1.08202, 1.40751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602403");
+						index_3("0.001");
+						index_4("0.3064390, 0.3356662, 0.3917423, 0.4582382, 0.5151660");
+						values("1.69277, 1.53843, 1.43554, 1.53843, 1.69277");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341407");
+						index_3("0.001");
+						index_4("0.1767181, 0.1975850, 0.2290985, 0.2888024, 0.3327108");
+						values("1.6819, 1.52104, 1.41381, 1.52104, 1.6819");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226481");
+						index_3("0.001");
+						index_4("0.1194429, 0.1359590, 0.1655903, 0.2079155, 0.2423954");
+						values("1.67848, 1.51556, 1.40695, 1.51556, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02422");
+						index_3("0.001");
+						index_4("0.5104897, 0.5715607, 0.6771830, 0.8217028, 0.9166059");
+						values("1.17314, 0.707021, 0.396276, 0.707021, 1.17314");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004656;
+			capacitance : 0.004802;
+			rise_capacitance : 0.004949;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0956636, -0.0126184, 0.0122167, 0.0253194, 0.0205315, 0.0544025, 0.0515230", \
+					  "-0.1524571, -0.0753460, -0.0398489, -0.0137196, -0.0061192, 0.0038327, 0.0013731", \
+					  "-0.1400072, -0.0905160, -0.0605432, -0.0194525, -0.0100892, -0.0079730, -0.0081985", \
+					  "-0.1432073, -0.0740381, -0.0599826, -0.0096794, -0.0350945, 0.0156763, -0.0001965", \
+					  "-0.1267314, -0.0453551, -0.0152587, 0.0119999, 0.0036369, 0.0153844, 0.0435387", \
+					  "-0.0942866, -0.0159621, 0.0183216, -0.0009296, -0.0139744, 0.0343195, 0.0143937", \
+					  "-0.0640758, 0.0325593, 0.0575196, 0.0694052, 0.0136946, 0.0238613, 0.0460526");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1536380, -0.0141442, 0.0861915, 0.1768001, 0.2559033, 0.3243688, 0.3828599", \
+					  "-0.2119573, -0.0768806, 0.0279457, 0.1268926, 0.2077274, 0.2867743, 0.3523253", \
+					  "-0.2056110, -0.0891695, 0.0301418, 0.1210414, 0.1983386, 0.2820722, 0.3526732", \
+					  "-0.2118628, -0.0726917, 0.0260368, 0.1208131, 0.2180872, 0.2967398, 0.3644465", \
+					  "-0.1862316, -0.0424828, 0.0601222, 0.1375832, 0.2242806, 0.3087943, 0.3856804", \
+					  "-0.1568385, -0.0207192, 0.0650105, 0.1647209, 0.2459376, 0.3365406, 0.4165993", \
+					  "-0.1220501, 0.0293281, 0.0967741, 0.1905210, 0.2570549, 0.3561910, 0.4115179");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2751423, 0.1953368, 0.1609839, 0.1375035, 0.1270479, 0.1264576, 0.1334948", \
+					  "0.3349880, 0.2478500, 0.2193863, 0.1971935, 0.1884407, 0.1847987, 0.1781030", \
+					  "0.3423167, 0.2440996, 0.2281245, 0.2014991, 0.1909331, 0.1843974, 0.1766754", \
+					  "0.3226865, 0.2461108, 0.2101923, 0.2014083, 0.1746133, 0.1672585, 0.1658014", \
+					  "0.3031588, 0.2294492, 0.1951994, 0.1655412, 0.1489821, 0.1377106, 0.1310149", \
+					  "0.2890245, 0.1697726, 0.1617092, 0.1407258, 0.1151796, 0.1098435, 0.1016219", \
+					  "0.2557620, 0.1700002, 0.1350172, 0.1212889, 0.1061629, 0.1084850, 0.1124305");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1698619, 0.0333999, -0.0753031, -0.1643081, -0.2433509, -0.3140641, -0.3775050", \
+					  "0.2266528, 0.0916856, -0.0217639, -0.1174522, -0.1976066, -0.2758425, -0.3406959", \
+					  "0.2399436, 0.1010475, -0.0171276, -0.1107081, -0.1909095, -0.2754465, -0.3401224", \
+					  "0.2235065, 0.0891472, -0.0251127, -0.1056249, -0.2051461, -0.2817522, -0.3418707", \
+					  "0.2070306, 0.0589384, -0.0436791, -0.1249288, -0.2065477, -0.3017425, -0.3563048", \
+					  "0.1684823, 0.0295453, -0.0555679, -0.1459988, -0.2344120, -0.3189285, -0.4003923", \
+					  "0.1459009, -0.0159242, -0.0865553, -0.1959151, -0.2441096, -0.3582945, -0.4153443");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0512305, 0.0505265, 0.0498225, 0.0503222, 0.0508019, 0.0513015, 0.0518012");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0632302, 0.0625772, 0.0619241, 0.0629310, 0.0638976, 0.0649044, 0.0659113");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00104678";
+				miller_cap_rise : "0.000875765";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.3978, 0.626133, 0.573974, 0.551564, 0.524534, 0.509262, 0.492816, 0.475198, 0.456409, 0.436454, 0.415336, 0.393059, 0.369627, 0.345044, 0.319316, 0.292445, 0.264437, 0.235297, 0.205029, 0.173638, 0.141128, 0.107504, 0.0727721, 0.0369357, -4.77919e-09, -0.0733954, -0.144065, -0.368653, -2.24515", \
+					  "3.30797, 0.443653, 0.401603, 0.394245, 0.385388, 0.380135, 0.374109, 0.367073, 0.358759, 0.348945, 0.3375, 0.324366, 0.309524, 0.292969, 0.274705, 0.254737, 0.233076, 0.20973, 0.184709, 0.158023, 0.129683, 0.0997003, 0.0680848, 0.0348477, -5.56766e-09, -0.0703454, -0.139055, -0.362129, -2.24513", \
+					  "3.25246, 0.331791, 0.264789, 0.260834, 0.256432, 0.25401, 0.251401, 0.248559, 0.245423, 0.241904, 0.237869, 0.233107, 0.227285, 0.219955, 0.210721, 0.199382, 0.185871, 0.170173, 0.152293, 0.132243, 0.110038, 0.0856934, 0.0592271, 0.0306567, -1.83793e-09, -0.0635463, -0.127357, -0.34337, -2.24251", \
+					  "3.24035, 0.321826, 0.217099, 0.213912, 0.210431, 0.208548, 0.206549, 0.204407, 0.202091, 0.199557, 0.19674, 0.193546, 0.189822, 0.18531, 0.179569, 0.172038, 0.162324, 0.150275, 0.135852, 0.119055, 0.0998957, 0.0783906, 0.0545594, 0.0284222, -1.84549e-09, -0.0598828, -0.121049, -0.333153, -2.24108", \
+					  "3.23036, 0.330307, 0.169795, 0.16727, 0.164561, 0.163118, 0.161603, 0.160004, 0.158303, 0.156478, 0.154498, 0.152321, 0.149883, 0.147083, 0.143745, 0.139534, 0.133841, 0.126008, 0.115699, 0.102821, 0.0873598, 0.0693266, 0.0487405, 0.0256237, -1.85528e-09, -0.0552877, -0.113149, -0.320409, -2.2393", \
+					  "3.22615, 0.339521, 0.146716, 0.144447, 0.142081, 0.140829, 0.139523, 0.138153, 0.136705, 0.135166, 0.133513, 0.131719, 0.12974, 0.127515, 0.124941, 0.121829, 0.117783, 0.112085, 0.104053, 0.0934017, 0.080063, 0.0640346, 0.0453327, 0.0239795, -1.86939e-09, -0.0525881, -0.108516, -0.312984, -2.23827", \
+					  "3.2225, 0.351817, 0.124803, 0.122212, 0.120166, 0.119092, 0.117976, 0.116812, 0.115591, 0.114303, 0.112933, 0.111462, 0.109862, 0.108095, 0.1061, 0.103773, 0.100908, 0.097031, 0.0912977, 0.0830337, 0.0720086, 0.05818, 0.0415544, 0.0221527, -2.1436e-09, -0.0495898, -0.103378, -0.304806, -2.23712", \
+					  "3.21947, 0.367231, 0.107289, 0.100804, 0.0990509, 0.0981402, 0.097199, 0.0962227, 0.0952055, 0.0941399, 0.0930164, 0.0918222, 0.0905401, 0.0891456, 0.0876027, 0.0858533, 0.0837941, 0.0811979, 0.0774832, 0.0716774, 0.0631474, 0.0517207, 0.037376, 0.0201276, -1.05633e-08, -0.0462684, -0.0976951, -0.295841, -2.23585", \
+					  "3.21715, 0.385817, 0.0998631, 0.0806098, 0.0790005, 0.078242, 0.0774621, 0.0766574, 0.0758241, 0.0749574, 0.0740508, 0.0730964, 0.0720832, 0.0709965, 0.0698148, 0.0685059, 0.0670164, 0.0652446, 0.0629355, 0.0593653, 0.0534458, 0.0446194, 0.0327685, 0.0178885, -2.7078e-07, -0.0425993, -0.0914272, -0.28607, -2.23446", \
+					  "3.21564, 0.407618, 0.102695, 0.0630714, 0.0603188, 0.0597011, 0.0590701, 0.0584226, 0.0577561, 0.0570674, 0.0563528, 0.0556071, 0.0548238, 0.0539942, 0.053106, 0.0521415, 0.0510732, 0.049854, 0.0483858, 0.0463763, 0.0429191, 0.0368453, 0.0277004, 0.0154134, -7.70174e-06, -0.038565, -0.0845415, -0.275492, -2.23294", \
+					  "3.21506, 0.432672, 0.112765, 0.0530833, 0.0433424, 0.0428228, 0.0423234, 0.0418147, 0.0412946, 0.0407609, 0.0402114, 0.0396432, 0.0390525, 0.0384345, 0.0377824, 0.037087, 0.0363345, 0.0355031, 0.0345535, 0.033394, 0.031683, 0.0282916, 0.0220285, 0.0125562, -0.000160028, -0.0342881, -0.0771542, -0.264294, -2.23143", \
+					  "3.21558, 0.461029, 0.128059, 0.0540936, 0.0284139, 0.0275523, 0.0271093, 0.026676, 0.0262401, 0.0257983, 0.0253488, 0.0248896, 0.0244187, 0.0239334, 0.0234303, 0.0229051, 0.0223511, 0.0217589, 0.0211128, 0.0203831, 0.0194881, 0.0180244, 0.0146138, 0.00811885, -0.00168346, -0.0310378, -0.0705868, -0.253971, -2.23113", \
+					  "3.21739, 0.49205, 0.147534, 0.0627619, 0.0160002, 0.0117698, 0.0109425, 0.0103577, 0.00981949, 0.00929814, 0.00878356, 0.00827089, 0.0077571, 0.00723984, 0.00671693, 0.00618599, 0.0056441, 0.00508734, 0.00450993, 0.00390231, 0.00324509, 0.00247274, 0.00111566, -0.00244505, -0.00921078, -0.0335298, -0.0696527, -0.249343, -2.23579", \
+					  "3.22079, 0.527385, 0.17072, 0.0754957, 0.00697762, -0.00721243, -0.0110135, -0.0123998, -0.0133849, -0.0142348, -0.0150199, -0.015768, -0.0164929, -0.0172026, -0.0179021, -0.0185951, -0.0192843, -0.0199724, -0.0206621, -0.0213566, -0.0220609, -0.0227852, -0.0235742, -0.0249577, -0.0287092, -0.0475692, -0.0799983, -0.255147, -2.24868", \
+					  "3.22614, 0.566665, 0.197386, 0.0908521, 0.00203756, -0.0247745, -0.0368637, -0.0407533, -0.0427472, -0.0442116, -0.0454488, -0.046563, -0.0476013, -0.0485885, -0.0495392, -0.050463, -0.0513661, -0.0522531, -0.0531277, -0.0539928, -0.0548512, -0.055706, -0.0565625, -0.0574522, -0.0590376, -0.0719442, -0.100155, -0.269267, -2.26786", \
+					  "3.23332, 0.609061, 0.22627, 0.107645, 0.000402299, -0.0383733, -0.0616205, -0.0711096, -0.0750591, -0.0775195, -0.0794142, -0.0810253, -0.0824691, -0.0838038, -0.0850622, -0.0862644, -0.0874239, -0.0885498, -0.0896489, -0.0907263, -0.0917864, -0.0928328, -0.0938693, -0.0949009, -0.0960046, -0.103258, -0.12652, -0.288144, -2.2905", \
+					  "3.24145, 0.652255, 0.254836, 0.123934, 6.6148e-05, -0.0494431, -0.0838513, -0.101999, -0.109393, -0.113365, -0.116156, -0.118398, -0.120334, -0.122075, -0.123685, -0.125198, -0.12664, -0.128026, -0.129367, -0.130672, -0.131948, -0.1332, -0.134434, -0.135656, -0.136875, -0.140654, -0.157624, -0.310058, -2.31517", \
+					  "3.24997, 0.694706, 0.281525, 0.138801, 8.2889e-06, -0.0590173, -0.103657, -0.13196, -0.144824, -0.151021, -0.154994, -0.15801, -0.160517, -0.162715, -0.164705, -0.166549, -0.168284, -0.169935, -0.17152, -0.173052, -0.17454, -0.175993, -0.177418, -0.178822, -0.180212, -0.183175, -0.193271, -0.334245, -2.34112", \
+					  "3.25875, 0.735828, 0.305809, 0.152141, 5.61046e-07, -0.0674282, -0.121237, -0.159658, -0.180098, -0.189536, -0.195069, -0.199031, -0.202201, -0.204906, -0.207309, -0.209502, -0.211541, -0.213463, -0.215292, -0.217047, -0.218743, -0.22039, -0.221998, -0.223575, -0.225131, -0.228237, -0.233623, -0.360298, -2.3679", \
+					  "3.26775, 0.775432, 0.327609, 0.164045, 2.3701e-08, -0.0748346, -0.136804, -0.184528, -0.21401, -0.228052, -0.235665, -0.240796, -0.244741, -0.248018, -0.250872, -0.253437, -0.255794, -0.257993, -0.260071, -0.262051, -0.263953, -0.26579, -0.267576, -0.26932, -0.271034, -0.274415, -0.278206, -0.388, -2.39522", \
+					  "3.27698, 0.813521, 0.347048, 0.174637, 2.37072e-09, -0.081353, -0.150561, -0.206586, -0.245538, -0.2658, -0.276209, -0.282802, -0.287666, -0.291592, -0.294944, -0.297911, -0.300605, -0.303095, -0.305428, -0.307637, -0.309746, -0.311774, -0.313735, -0.315643, -0.317511, -0.321174, -0.324883, -0.417262, -2.42289", \
+					  "3.28646, 0.850166, 0.364326, 0.184037, 1.6586e-09, -0.0870838, -0.162701, -0.226067, -0.274035, -0.302034, -0.316205, -0.324656, -0.330621, -0.335296, -0.339204, -0.342609, -0.345664, -0.348461, -0.35106, -0.353505, -0.355826, -0.358046, -0.360184, -0.362255, -0.364275, -0.368215, -0.372128, -0.448105, -2.45079", \
+					  "3.2962, 0.885425, 0.379654, 0.192361, 1.63169e-09, -0.0921161, -0.1734, -0.243248, -0.299318, -0.336022, -0.355184, -0.366025, -0.373332, -0.378879, -0.383413, -0.387302, -0.390747, -0.39387, -0.39675, -0.399441, -0.401981, -0.404398, -0.406715, -0.408951, -0.411122, -0.415337, -0.41949, -0.48066, -2.47881", \
+					  "3.30618, 0.919293, 0.393226, 0.199713, 1.63576e-09, -0.0965287, -0.182815, -0.258386, -0.321551, -0.367122, -0.392654, -0.406603, -0.415564, -0.42214, -0.427391, -0.431817, -0.435688, -0.439162, -0.44234, -0.445289, -0.448056, -0.450677, -0.453178, -0.455582, -0.457907, -0.462396, -0.46679, -0.515182, -2.50692", \
+					  "3.31642, 0.951687, 0.40522, 0.206188, 1.65276e-09, -0.100391, -0.191088, -0.271713, -0.341048, -0.394929, -0.428081, -0.446073, -0.457108, -0.464914, -0.47099, -0.47602, -0.48036, -0.484215, -0.487711, -0.490933, -0.493939, -0.496772, -0.499463, -0.502039, -0.504521, -0.509287, -0.513921, -0.552072, -2.53508", \
+					  "3.33756, 1.0113, 0.425082, 0.216844, 1.73744e-09, -0.106705, -0.204696, -0.293717, -0.373115, -0.440685, -0.490624, -0.520236, -0.537258, -0.548388, -0.556567, -0.563069, -0.568513, -0.57324, -0.577452, -0.581278, -0.584804, -0.588093, -0.59119, -0.59413, -0.596942, -0.602281, -0.607397, -0.633948, -2.59155", \
+					  "3.35572, 1.06199, 0.440299, 0.224929, 1.91802e-09, -0.111467, -0.215062, -0.310605, -0.397717, -0.475296, -0.539856, -0.585072, -0.611764, -0.628047, -0.639243, -0.647721, -0.654578, -0.660379, -0.665445, -0.669975, -0.674096, -0.677899, -0.681446, -0.684785, -0.687954, -0.693905, -0.699525, -0.7222, -2.64815", \
+					  "3.38312, 1.14644, 0.465822, 0.238174, 3.45601e-09, -0.1193, -0.232639, -0.339937, -0.441086, -0.535894, -0.623916, -0.703943, -0.772659, -0.824345, -0.857985, -0.879654, -0.894776, -0.906215, -0.915406, -0.923115, -0.929788, -0.935702, -0.941037, -0.945919, -0.950438, -0.958641, -0.966046, -0.987467, -2.81319", \
+					  "3.38276, 1.16682, 0.470113, 0.239816, 1.20713e-08, -0.120757, -0.237483, -0.350149, -0.458728, -0.563189, -0.66349, -0.759569, -0.851311, -0.938482, -1.02054, -1.09616, -1.1624, -1.21507, -1.253, -1.27971, -1.2993, -1.31448, -1.3268, -1.33716, -1.34613, -1.36118, -1.37364, -1.40299, -3.06735");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0403070, 0.0805763, 0.1272700, 0.1821111, 0.2682958");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1062961, 0.1462279, 0.1937819, 0.2475670, 0.3342914");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0193946, 0.0334359, 0.0481780, 0.0641486, 0.0843591");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0784238, 0.0975092, 0.1124350, 0.1284155, 0.1489769");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("5.2841");
+						index_3("0.001");
+						index_4("2.6085269, 2.7468652, 2.9483010, 3.1728306, 3.2966178");
+						values("0.375056, 0.600089, 0.750111, 0.600089, 0.375056");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("3.03828");
+						index_3("0.001");
+						index_4("1.4980082, 1.6161057, 1.8030993, 1.9681103, 2.0542694");
+						values("0.450281, 0.72045, 0.900562, 0.72045, 0.450281");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("2.04474");
+						index_3("0.001");
+						index_4("1.0095740, 1.1066290, 1.2518612, 1.3995836, 1.4683595");
+						values("0.483268, 0.77323, 0.966537, 0.77323, 0.483268");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("2.64205");
+						index_3("0.001");
+						index_4("1.3129154, 1.3841305, 1.4859360, 1.6010300, 1.6681935");
+						values("0.21159, 0.338544, 0.42318, 0.338544, 0.21159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("1.51914");
+						index_3("0.001");
+						index_4("0.7579387, 0.8165128, 0.9178404, 0.9923511, 1.0383401");
+						values("0.250921, 0.401473, 0.501841, 0.401473, 0.250921");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("1.02237");
+						index_3("0.001");
+						index_4("0.5117486, 0.5585003, 0.6508118, 0.7045657, 0.7426731");
+						values("0.263963, 0.42234, 0.527926, 0.42234, 0.263963");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("4.55742");
+						index_3("0.001");
+						index_4("2.2383442, 2.4167013, 2.7084353, 2.9274027, 3.0580776");
+						values("0.627788, 1.00446, 1.25558, 1.00446, 0.627788");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("1.43919");
+						index_3("0.001");
+						index_4("0.7168557, 0.7845056, 0.9057971, 1.0701914, 1.1782966");
+						values("1.46884, 1.18014, 0.98767, 1.18014, 1.46884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.815165");
+						index_3("0.001");
+						index_4("0.4084027, 0.4555233, 0.5485801, 0.6699119, 0.7462743");
+						values("1.44026, 1.13441, 0.930514, 1.13441, 1.44026");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.540546");
+						index_3("0.001");
+						index_4("0.2725486, 0.3099407, 0.3819180, 0.4770077, 0.5393148");
+						values("1.42692, 1.11308, 0.903845, 1.11308, 1.42692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("0.719593");
+						index_3("0.001");
+						index_4("0.3630654, 0.3983444, 0.4769490, 0.5494688, 0.6183565");
+						values("1.70089, 1.55142, 1.45177, 1.55142, 1.70089");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.407583");
+						index_3("0.001");
+						index_4("0.2089114, 0.2332358, 0.2731154, 0.3444691, 0.3986198");
+						values("1.69263, 1.53821, 1.43526, 1.53821, 1.69263");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.270273");
+						index_3("0.001");
+						index_4("0.1403846, 0.1594977, 0.2016276, 0.2479765, 0.2909301");
+						values("1.68964, 1.53342, 1.42928, 1.53342, 1.68964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("1.22275");
+						index_3("0.001");
+						index_4("0.6075333, 0.6802850, 0.8121845, 0.9862958, 1.0990733");
+						values("1.21154, 0.768457, 0.473072, 0.768457, 1.21154");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006308;
+			capacitance : 0.006466;
+			rise_capacitance : 0.006623;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2903870, 0.2167194, 0.1808203, 0.1725987, 0.1621431, 0.1630787, 0.1701159", \
+					  "0.3517586, 0.2625852, 0.2467908, 0.2339915, 0.2186547, 0.2198939, 0.2208276", \
+					  "0.3575614, 0.2625753, 0.2418118, 0.2259608, 0.2226899, 0.2174785, 0.2234780", \
+					  "0.3379311, 0.2691688, 0.2504172, 0.2155865, 0.2097085, 0.2162974, 0.2009380", \
+					  "0.3199293, 0.2460805, 0.2011993, 0.1991105, 0.1832588, 0.1728058, 0.1813689", \
+					  "0.3057951, 0.1849902, 0.1816046, 0.1762141, 0.1707501, 0.1534140, 0.1504500", \
+					  "0.2710067, 0.1881843, 0.1584799, 0.1505920, 0.1290511, 0.1425583, 0.1463680");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1881971, 0.0486578, -0.0519591, -0.1405817, -0.2074576, -0.2689656, -0.3167061", \
+					  "0.2465157, 0.1158852, 0.0041492, -0.0826167, -0.1627522, -0.2341162, -0.3025321", \
+					  "0.2349616, 0.1155727, 0.0154575, -0.0803943, -0.1538625, -0.2275072, -0.2976703", \
+					  "0.2403175, 0.1021466, -0.0008618, -0.0766517, -0.1672905, -0.2394902, -0.3087470", \
+					  "0.2238416, 0.0749895, -0.0233499, -0.1004942, -0.1757952, -0.2513885, -0.3287768", \
+					  "0.1868192, 0.0455965, -0.0274578, -0.1293632, -0.2061640, -0.2444446, -0.3530900", \
+					  "0.1688154, -0.0013992, -0.0576972, -0.1522858, -0.2306626, -0.3064147, -0.3588868");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1124389, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0125950, 0.0032560", \
+					  "-0.1753359, -0.1037286, -0.0692222, -0.0529159, -0.0380022, -0.0405197, -0.0428774", \
+					  "-0.1567825, -0.1085488, -0.0785950, -0.0811010, -0.0751202, -0.0415424, -0.0531572", \
+					  "-0.1615085, -0.0935969, -0.0731147, -0.0463695, -0.0590268, -0.0357456, -0.0459212", \
+					  "-0.1435067, -0.0618622, -0.0280285, -0.0270542, -0.0237812, -0.0159349, -0.0266130", \
+					  "-0.1156395, -0.0355209, -0.0030407, 0.0003558, -0.0024108, -0.0007527, 0.0144875", \
+					  "-0.0823769, 0.0145264, 0.0271701, 0.0346976, -0.0313320, 0.0251892, 0.0389393");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1689424, -0.0324548, 0.0623747, 0.1503575, 0.2201747, 0.2702665, 0.3189309", \
+					  "-0.2272617, -0.1036536, 0.0044016, 0.0900175, 0.1720989, 0.2433956, 0.3107109", \
+					  "-0.2209154, -0.1020824, -0.0022010, 0.0838623, 0.1653869, 0.2471653, 0.3150773", \
+					  "-0.2241153, -0.0917080, 0.0009943, 0.0988993, 0.1757612, 0.2530206, 0.3194287", \
+					  "-0.2030618, -0.0614991, 0.0356672, 0.1103316, 0.1907113, 0.2828543, 0.3250959", \
+					  "-0.1751947, -0.0336320, 0.0394645, 0.1411576, 0.2193612, 0.2954627, 0.3586159", \
+					  "-0.1388804, 0.0179414, 0.0712011, 0.1733526, 0.2444388, 0.2848722, 0.3857750");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426802, 0.0421167, 0.0415531, 0.0417052, 0.0418512, 0.0420033, 0.0421554");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539543, 0.0532218, 0.0524894, 0.0529691, 0.0534296, 0.0539093, 0.0543890");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105934";
+				miller_cap_rise : "0.000882978";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90897e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87978e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88788e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89223e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89796e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90983e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18671e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07576e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0352969, 0.0655231, 0.1028879, 0.1456022, 0.2135841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012179, 0.1315366, 0.1687247, 0.2114251, 0.2790374");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189672, 0.0299946, 0.0414811, 0.0541775, 0.0708563");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764691, 0.0933270, 0.1057129, 0.1182985, 0.1342493");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.1779");
+						index_3("0.001");
+						index_4("2.0620479, 2.1703718, 2.3170598, 2.5193980, 2.6102197");
+						values("0.381848, 0.610956, 0.763695, 0.610956, 0.381848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.40751");
+						index_3("0.001");
+						index_4("1.1876642, 1.2803916, 1.4317039, 1.5580779, 1.6312577");
+						values("0.460039, 0.736062, 0.920078, 0.736062, 0.460039");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62267");
+						index_3("0.001");
+						index_4("0.8009090, 0.8778644, 1.0105402, 1.1105243, 1.1670995");
+						values("0.489879, 0.783806, 0.979758, 0.783806, 0.489879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08895");
+						index_3("0.001");
+						index_4("1.0414090, 1.0973015, 1.1934204, 1.2685499, 1.3167395");
+						values("0.216934, 0.347095, 0.433869, 0.347095, 0.216934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20376");
+						index_3("0.001");
+						index_4("0.6034180, 0.6478973, 0.7283285, 0.7863906, 0.8240470");
+						values("0.255174, 0.408279, 0.510349, 0.408279, 0.255174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811337");
+						index_3("0.001");
+						index_4("0.4091721, 0.4471613, 0.4992892, 0.5615693, 0.5910826");
+						values("0.271598, 0.434557, 0.543196, 0.434557, 0.271598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.61127");
+						index_3("0.001");
+						index_4("1.7719474, 1.9148132, 2.1083912, 2.3227595, 2.4269738");
+						values("0.636041, 1.01766, 1.27208, 1.01766, 0.636041");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20192");
+						index_3("0.001");
+						index_4("0.5992199, 0.6538445, 0.7539387, 0.8963955, 0.9825528");
+						values("1.44543, 1.14269, 0.940865, 1.14269, 1.44543");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681179");
+						index_3("0.001");
+						index_4("0.3430819, 0.3836299, 0.4594002, 0.5594650, 0.6244336");
+						values("1.41855, 1.09968, 0.887097, 1.09968, 1.41855");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451878");
+						index_3("0.001");
+						index_4("0.2293441, 0.2596996, 0.3113658, 0.3994348, 0.4488984");
+						values("1.40745, 1.08191, 0.864893, 1.08191, 1.40745");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.60096");
+						index_3("0.001");
+						index_4("0.3057223, 0.3348752, 0.3906650, 0.4571452, 0.5139293");
+						values("1.69273, 1.53837, 1.43547, 1.53837, 1.69273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340589");
+						index_3("0.001");
+						index_4("0.1763153, 0.1971332, 0.2286381, 0.2881041, 0.3319198");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225939");
+						index_3("0.001");
+						index_4("0.1191702, 0.1356396, 0.1651558, 0.2074370, 0.2418253");
+						values("1.67848, 1.51557, 1.40697, 1.51557, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02177");
+						index_3("0.001");
+						index_4("0.5092793, 0.5702216, 0.6753410, 0.8197380, 0.9143627");
+						values("1.17297, 0.706758, 0.395947, 0.706758, 1.17297");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028484;
+			capacitance : 0.028428;
+			fall_capacitance : 0.028373;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0546577, 0.0614792, 0.1776162, 0.3267601, 0.4699383, 0.6190822, 0.7682261");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3198161, 0.4472738, 0.5747315, 0.7260719, 0.8713586, 1.0226989, 1.1740393");
+				}
+			}*/
+			/* Copied from enable_vswitch_h of gpio_ovtv3. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032530;
+			capacitance : 0.032824;
+			rise_capacitance : 0.033119;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1512753, 0.1519221, 0.1525690, 0.1554335, 0.1581834, 0.1610478, 0.1639123");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1459064, 0.1479861, 0.1500657, 0.1550634, 0.1598612, 0.1648588, 0.1698565");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000855551";
+				miller_cap_rise : "0.000651733";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("1.90843, 0.611514, 0.562003, 0.537685, 0.509056, 0.493134, 0.476152, 0.458117, 0.43904, 0.41893, 0.397797, 0.375652, 0.352504, 0.328362, 0.303238, 0.277139, 0.250077, 0.222061, 0.193099, 0.163202, 0.132379, 0.100638, 0.0679882, 0.0344394, -1.09139e-08, -0.0684885, -0.134721, -0.338268, -1.56863", \
+					  "1.83259, 0.418022, 0.398824, 0.391015, 0.381464, 0.375715, 0.369056, 0.36124, 0.352051, 0.341353, 0.329092, 0.315256, 0.299852, 0.282897, 0.26441, 0.244413, 0.222927, 0.199973, 0.175574, 0.149751, 0.122524, 0.0939156, 0.0639453, 0.0326336, -1.09139e-08, -0.0657957, -0.130223, -0.33246, -1.56852", \
+					  "1.7826, 0.288011, 0.263787, 0.259744, 0.255223, 0.252724, 0.25002, 0.247059, 0.243771, 0.240052, 0.235742, 0.230593, 0.224229, 0.216231, 0.206326, 0.194414, 0.180484, 0.164558, 0.146665, 0.126841, 0.105122, 0.0815427, 0.0561395, 0.0289472, -7.27596e-09, -0.059761, -0.119735, -0.315686, -1.56643", \
+					  "1.76983, 0.272589, 0.216434, 0.213201, 0.209658, 0.207737, 0.205691, 0.203492, 0.201106, 0.198483, 0.195549, 0.192196, 0.188244, 0.183387, 0.177145, 0.169026, 0.158773, 0.146321, 0.13168, 0.114883, 0.0959695, 0.074982, 0.0519633, 0.0269555, -7.27596e-09, -0.0564815, -0.114045, -0.306407, -1.56529", \
+					  "1.75873, 0.280679, 0.169379, 0.166835, 0.164097, 0.162636, 0.1611, 0.159476, 0.157745, 0.155882, 0.153855, 0.151617, 0.149096, 0.146178, 0.14266, 0.138148, 0.13201, 0.123706, 0.113028, 0.0999515, 0.0845068, 0.0667392, 0.0466986, 0.0244355, -7.27596e-09, -0.0523399, -0.106885, -0.294666, -1.56388", \
+					  "1.75377, 0.290033, 0.146366, 0.144117, 0.141733, 0.14047, 0.139151, 0.137764, 0.136298, 0.134735, 0.133054, 0.131222, 0.129195, 0.126904, 0.124232, 0.120962, 0.116638, 0.110534, 0.102105, 0.0911816, 0.0777585, 0.0618759, 0.0435854, 0.0229418, -7.27596e-09, -0.049892, -0.102667, -0.287731, -1.56306", \
+					  "1.74926, 0.301994, 0.124204, 0.12197, 0.119914, 0.118832, 0.117708, 0.116534, 0.115302, 0.114, 0.112613, 0.11112, 0.109493, 0.10769, 0.105643, 0.103238, 0.100239, 0.0961059, 0.0900146, 0.0814368, 0.0702443, 0.0564515, 0.0401076, 0.0212706, -7.27596e-09, -0.0471605, -0.0979731, -0.280006, -1.56215", \
+					  "1.74525, 0.316732, 0.105175, 0.100629, 0.0988739, 0.0979592, 0.0970134, 0.0960317, 0.095008, 0.0939346, 0.0928016, 0.0915956, 0.0902986, 0.0888848, 0.0873156, 0.0855287, 0.0834098, 0.0806999, 0.076755, 0.0706499, 0.0618975, 0.0504134, 0.0362294, 0.0194037, -1.45519e-08, -0.0441188, -0.0927621, -0.271428, -1.56114", \
+					  "1.74183, 0.333833, 0.095093, 0.0804299, 0.0788828, 0.0781223, 0.0773399, 0.0765325, 0.0756959, 0.0748251, 0.0739137, 0.0729532, 0.0719326, 0.0708363, 0.0696419, 0.0683156, 0.0668004, 0.0649851, 0.0625821, 0.0588114, 0.0526612, 0.0437091, 0.0319135, 0.0173218, -2.54659e-07, -0.0407395, -0.0869908, -0.261939, -1.56005", \
+					  "1.73909, 0.353048, 0.0953258, 0.0625188, 0.0602451, 0.0596271, 0.0589951, 0.0583463, 0.0576783, 0.0569879, 0.0562711, 0.0555227, 0.054736, 0.0539022, 0.0530084, 0.0520365, 0.0509577, 0.0497225, 0.0482246, 0.0461398, 0.0425182, 0.0362898, 0.0271179, 0.0149987, -7.2032e-06, -0.0370003, -0.0806221, -0.251498, -1.55885", \
+					  "1.73714, 0.374115, 0.10268, 0.0513497, 0.043291, 0.042782, 0.0422827, 0.0417738, 0.0412533, 0.0407192, 0.0401691, 0.0396001, 0.0390083, 0.0383888, 0.0377349, 0.0370369, 0.0362808, 0.0354442, 0.034486, 0.0333084, 0.0315401, 0.0280283, 0.0216909, 0.0122884, -0.000151096, -0.0330088, -0.073755, -0.240234, -1.55764", \
+					  "1.73612, 0.396826, 0.114905, 0.0507709, 0.0283083, 0.0275416, 0.0271023, 0.0266707, 0.0262362, 0.0257957, 0.0253474, 0.0248893, 0.0244193, 0.0239349, 0.0234326, 0.0229078, 0.0223542, 0.0217619, 0.021115, 0.0203829, 0.0194803, 0.0179808, 0.0145071, 0.0080247, -0.00160952, -0.0299599, -0.0676199, -0.229517, -1.55721", \
+					  "1.73623, 0.421175, 0.130846, 0.0574215, 0.0157346, 0.0118222, 0.0110214, 0.0104443, 0.00991151, 0.00939475, 0.00888439, 0.00837573, 0.00786581, 0.00735233, 0.00683313, 0.00630585, 0.00576759, 0.00521443, 0.00464059, 0.00403652, 0.00338278, 0.00261352, 0.00125864, -0.00227103, -0.00890847, -0.0323831, -0.0667881, -0.223955, -1.56007", \
+					  "1.7377, 0.447491, 0.149892, 0.0674831, 0.00670523, -0.00687299, -0.0107257, -0.0121166, -0.0130974, -0.0139418, -0.0147212, -0.0154637, -0.016183, -0.0168872, -0.0175813, -0.0182688, -0.0189526, -0.0196353, -0.0203195, -0.0210084, -0.0217068, -0.0224245, -0.0232018, -0.024513, -0.0280793, -0.0460294, -0.0767767, -0.228261, -1.56854", \
+					  "1.74087, 0.476325, 0.171592, 0.0795282, 0.00191361, -0.023308, -0.0358754, -0.0400925, -0.0421588, -0.0436449, -0.0448891, -0.0460046, -0.0470415, -0.0480258, -0.0489728, -0.0498923, -0.0507908, -0.0516729, -0.0525424, -0.0534022, -0.0542551, -0.0551041, -0.0559538, -0.056827, -0.0582344, -0.0699742, -0.0963599, -0.240584, -1.58141", \
+					  "1.74565, 0.507353, 0.194521, 0.0925447, 0.000370566, -0.0351102, -0.058593, -0.0694993, -0.073927, -0.0765384, -0.0784966, -0.080139, -0.0815997, -0.0829435, -0.0842065, -0.0854106, -0.0865701, -0.0876947, -0.0887915, -0.0898659, -0.0909222, -0.0919643, -0.0929957, -0.0940208, -0.0950752, -0.101138, -0.122186, -0.257594, -1.59674", \
+					  "1.75129, 0.538991, 0.216304, 0.104869, 5.99583e-05, -0.0440615, -0.0775021, -0.0980912, -0.107177, -0.111699, -0.114711, -0.117063, -0.119061, -0.120841, -0.122475, -0.124005, -0.125457, -0.12685, -0.128195, -0.129503, -0.130779, -0.13203, -0.133262, -0.134479, -0.135688, -0.138862, -0.153012, -0.27774, -1.61352", \
+					  "1.75732, 0.570273, 0.235789, 0.115785, 7.41355e-06, -0.0513793, -0.0933079, -0.123837, -0.140462, -0.148199, -0.152757, -0.156051, -0.158713, -0.161005, -0.163058, -0.164945, -0.166711, -0.168385, -0.169986, -0.171529, -0.173026, -0.174484, -0.175911, -0.177315, -0.178701, -0.181533, -0.188961, -0.30041, -1.63122", \
+					  "1.76366, 0.600905, 0.252895, 0.125298, 4.96232e-07, -0.0575168, -0.10662, -0.145863, -0.171825, -0.18476, -0.191639, -0.196204, -0.199696, -0.202595, -0.205125, -0.207406, -0.209508, -0.211476, -0.213341, -0.215124, -0.21684, -0.218503, -0.220121, -0.221704, -0.223261, -0.226337, -0.230348, -0.325346, -1.64953", \
+					  "1.77029, 0.630741, 0.267851, 0.133561, 2.07681e-08, -0.0627125, -0.117892, -0.164465, -0.199646, -0.220029, -0.230432, -0.236759, -0.241314, -0.244947, -0.248029, -0.250751, -0.25322, -0.255503, -0.257645, -0.259675, -0.261615, -0.263483, -0.265291, -0.267051, -0.268774, -0.272148, -0.275607, -0.352469, -1.66825", \
+					  "1.77725, 0.659629, 0.280926, 0.140734, 1.32693e-09, -0.0671326, -0.127476, -0.18021, -0.223374, -0.252617, -0.268243, -0.277072, -0.283024, -0.287562, -0.291296, -0.294521, -0.297398, -0.300024, -0.30246, -0.30475, -0.306923, -0.309001, -0.311002, -0.312939, -0.314827, -0.318499, -0.322128, -0.381832, -1.68725", \
+					  "1.78457, 0.687368, 0.292361, 0.146962, 1.43245e-09, -0.0709056, -0.135653, -0.193598, -0.243382, -0.281381, -0.304118, -0.316543, -0.324371, -0.330053, -0.334571, -0.338379, -0.341716, -0.34472, -0.347477, -0.350044, -0.352461, -0.354759, -0.356958, -0.359077, -0.361131, -0.365102, -0.368982, -0.413597, -1.70643", \
+					  "1.79231, 0.713693, 0.302361, 0.152368, 1.40133e-09, -0.0741347, -0.142651, -0.205028, -0.260299, -0.305902, -0.337026, -0.35453, -0.364937, -0.372093, -0.377572, -0.382069, -0.385933, -0.389359, -0.392467, -0.395334, -0.398013, -0.400542, -0.402949, -0.405256, -0.407482, -0.411755, -0.415894, -0.448058, -1.72575", \
+					  "1.80052, 0.738277, 0.3111, 0.15706, 1.39817e-09, -0.0769034, -0.148652, -0.214818, -0.274684, -0.326524, -0.366066, -0.390299, -0.404281, -0.41338, -0.42006, -0.425382, -0.429858, -0.433763, -0.437262, -0.440457, -0.443418, -0.446194, -0.448821, -0.451325, -0.453729, -0.458312, -0.462714, -0.485663, -1.74517", \
+					  "1.80925, 0.760751, 0.31873, 0.161127, 1.40702e-09, -0.0792799, -0.153807, -0.223222, -0.286977, -0.343893, -0.390844, -0.423015, -0.441895, -0.453602, -0.461812, -0.468139, -0.473335, -0.477791, -0.481728, -0.485286, -0.488555, -0.491597, -0.494458, -0.49717, -0.499762, -0.504668, -0.509338, -0.526862, -1.76468", \
+					  "1.82849, 0.798147, 0.331159, 0.16769, 1.46981e-09, -0.0830712, -0.162046, -0.236665, -0.306576, -0.37117, -0.429029, -0.476595, -0.509372, -0.529334, -0.542152, -0.551302, -0.558406, -0.564251, -0.56926, -0.573678, -0.57766, -0.581309, -0.584694, -0.587869, -0.59087, -0.596475, -0.601713, -0.617284, -1.80397", \
+					  "1.85021, 0.82521, 0.340494, 0.172558, 1.61523e-09, -0.085854, -0.168123, -0.24661, -0.32107, -0.391144, -0.456147, -0.514431, -0.562173, -0.595356, -0.61624, -0.630046, -0.640058, -0.647885, -0.654341, -0.659875, -0.664751, -0.669139, -0.673151, -0.676865, -0.68034, -0.686736, -0.692605, -0.70923, -1.84356", \
+					  "1.88348, 0.865817, 0.355699, 0.180309, 2.89038e-09, -0.0902715, -0.177964, -0.262979, -0.345206, -0.42452, -0.50076, -0.573689, -0.64289, -0.707472, -0.765385, -0.812872, -0.847228, -0.870616, -0.887083, -0.899449, -0.909283, -0.917455, -0.924471, -0.930647, -0.936188, -0.945887, -0.954287, -0.975466, -1.95953", \
+					  "1.87887, 0.874781, 0.357913, 0.181172, 1.00903e-08, -0.090971, -0.180217, -0.267695, -0.353362, -0.437174, -0.519081, -0.599032, -0.676966, -0.752814, -0.826483, -0.897842, -0.966667, -1.03255, -1.09463, -1.15121, -1.1996, -1.23767, -1.26609, -1.28737, -1.30387, -1.32831, -1.34624, -1.38293, -2.14046");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0386380, 0.0774858, 0.1242609, 0.1784726, 0.2637188");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1047665, 0.1431079, 0.1903832, 0.2434017, 0.3302853");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0186925, 0.0325560, 0.0472792, 0.0635025, 0.0854210");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0775415, 0.0968992, 0.1117395, 0.1281227, 0.1499168");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("5.21972");
+						index_3("0.001");
+						index_4("2.5723522, 2.7140427, 2.9437388, 3.1454498, 3.2568107");
+						values("0.380758, 0.609213, 0.761516, 0.609213, 0.380758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("2.98718");
+						index_3("0.001");
+						index_4("1.4699309, 1.5867952, 1.7892037, 1.9368050, 2.0224461");
+						values("0.450741, 0.721186, 0.901482, 0.721186, 0.450741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("2.00397");
+						index_3("0.001");
+						index_4("0.9858201, 1.0812306, 1.2639550, 1.3707861, 1.4428887");
+						values("0.479594, 0.76735, 0.959188, 0.76735, 0.479594");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("2.60986");
+						index_3("0.001");
+						index_4("1.2976038, 1.3670617, 1.4672662, 1.5826670, 1.6527881");
+						values("0.217104, 0.347367, 0.434208, 0.347367, 0.217104");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("1.49359");
+						index_3("0.001");
+						index_4("0.7459378, 0.8034018, 0.8896262, 0.9747554, 1.0220642");
+						values("0.255384, 0.408614, 0.510768, 0.408614, 0.255384");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("1.00198");
+						index_3("0.001");
+						index_4("0.5010090, 0.5473467, 0.6296929, 0.6910191, 0.7309120");
+						values("0.272208, 0.435533, 0.544416, 0.435533, 0.272208");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("4.48077");
+						index_3("0.001");
+						index_4("2.1974381, 2.3754435, 2.6377868, 2.8791292, 3.0096060");
+						values("0.628482, 1.00557, 1.25696, 1.00557, 0.628482");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.41555");
+						index_3("0.001");
+						index_4("0.7047213, 0.7701521, 0.8790189, 1.0540883, 1.1569896");
+						values("1.47838, 1.19541, 1.00676, 1.19541, 1.47838");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.800416");
+						index_3("0.001");
+						index_4("0.4002901, 0.4473567, 0.5335182, 0.6568220, 0.7340727");
+						values("1.44599, 1.14359, 0.941982, 1.14359, 1.44599");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.530178");
+						index_3("0.001");
+						index_4("0.2660415, 0.3026688, 0.3731364, 0.4677235, 0.5291988");
+						values("1.42914, 1.11662, 0.908273, 1.11662, 1.42914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.707774");
+						index_3("0.001");
+						index_4("0.3565863, 0.3909566, 0.4542554, 0.5384325, 0.6079411");
+						values("1.70091, 1.55146, 1.45182, 1.55146, 1.70091");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.400208");
+						index_3("0.001");
+						index_4("0.2049228, 0.2288798, 0.2835496, 0.3376822, 0.3911442");
+						values("1.69996, 1.54993, 1.44992, 1.54993, 1.69996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.265089");
+						index_3("0.001");
+						index_4("0.1364989, 0.1559512, 0.1913174, 0.2433943, 0.2856520");
+						values("1.68719, 1.52951, 1.42438, 1.52951, 1.68719");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("1.20062");
+						index_3("0.001");
+						index_4("0.5956411, 0.6667822, 0.8056963, 0.9688685, 1.0767308");
+						values("1.227, 0.793204, 0.504005, 0.793204, 1.227");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051707;
+			capacitance : 0.052126;
+			rise_capacitance : 0.052544;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0196528, -0.0197741, -0.0198954, -0.0198871, -0.0198792, -0.0198709, -0.0198626");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0202093, 0.0201009, 0.0199926, 0.0199895, 0.0199866, 0.0199836, 0.0199806");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00145923";
+				miller_cap_rise : "0.00051885";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.42798, 0.610543, 0.428185, 0.41954, 0.40904, 0.4028, 0.395651, 0.387314, 0.37743, 0.365649, 0.351872, 0.336318, 0.319232, 0.300725, 0.280821, 0.259511, 0.236775, 0.212585, 0.186913, 0.159728, 0.130999, 0.100694, 0.0687815, 0.035228, -9.24485e-09, -0.072621, -0.146786, -0.415699, -2.91428", \
+					  "3.29358, 0.466754, 0.252642, 0.248593, 0.243957, 0.241351, 0.238502, 0.235355, 0.231835, 0.227837, 0.223206, 0.217717, 0.211042, 0.202807, 0.192816, 0.181126, 0.167812, 0.152879, 0.136301, 0.118039, 0.0980543, 0.0763024, 0.0527395, 0.0273207, -6.76313e-09, -0.0577831, -0.118395, -0.348497, -2.90792", \
+					  "3.21905, 0.387586, 0.153276, 0.151001, 0.14847, 0.147084, 0.1456, 0.144, 0.142261, 0.140353, 0.138234, 0.135846, 0.133102, 0.129871, 0.125944, 0.121003, 0.114665, 0.106693, 0.0970078, 0.0855596, 0.072296, 0.0571632, 0.0401068, 0.0210713, -6.61407e-09, -0.0461677, -0.09641, -0.294969, -2.90204", \
+					  "3.19614, 0.363578, 0.122465, 0.120666, 0.118684, 0.117607, 0.116462, 0.115237, 0.113917, 0.112483, 0.110909, 0.10916, 0.107186, 0.104913, 0.102225, 0.0989336, 0.0947295, 0.0892099, 0.0820711, 0.0731764, 0.0624503, 0.0498299, 0.0352549, 0.0186652, -2.79349e-09, -0.0417222, -0.0880501, -0.274687, -2.89988", \
+					  "3.17469, 0.341572, 0.0934303, 0.092054, 0.0905538, 0.0897463, 0.0888931, 0.0879871, 0.087019, 0.0859775, 0.0848473, 0.0836084, 0.0822329, 0.0806808, 0.0788922, 0.0767716, 0.0741571, 0.0707623, 0.066151, 0.0599267, 0.0518923, 0.041952, 0.0300341, 0.0160722, -3.40932e-09, -0.0369473, -0.0791042, -0.253014, -2.89761", \
+					  "3.16463, 0.331477, 0.0797452, 0.0785569, 0.077274, 0.0765867, 0.075863, 0.0750974, 0.0742829, 0.0734108, 0.0724698, 0.071445, 0.070316, 0.0690541, 0.0676174, 0.0659401, 0.0639134, 0.0613401, 0.0578579, 0.0529705, 0.0463327, 0.037796, 0.0272756, 0.0147, -3.53603e-09, -0.0344274, -0.0743969, -0.241616, -2.89642", \
+					  "3.15507, 0.322095, 0.0667416, 0.0657048, 0.0646236, 0.0640472, 0.0634424, 0.0628052, 0.0621302, 0.0614111, 0.0606396, 0.0598047, 0.058892, 0.0578813, 0.0567435, 0.0554347, 0.0538836, 0.0519639, 0.0494274, 0.0458066, 0.0405825, 0.0334893, 0.0244131, 0.0132744, -2.72718e-09, -0.0318142, -0.0695253, -0.229817, -2.89519", \
+					  "3.14609, 0.313529, 0.0546414, 0.0535912, 0.0526957, 0.052221, 0.0517249, 0.0512044, 0.0506555, 0.0500737, 0.0494531, 0.0487859, 0.0480621, 0.0472678, 0.0463834, 0.0453802, 0.0442128, 0.0428038, 0.0410035, 0.0384806, 0.0346458, 0.029029, 0.0214431, 0.0117929, -1.13024e-08, -0.0291039, -0.0644835, -0.217595, -2.89393", \
+					  "3.13777, 0.305888, 0.0441249, 0.0423245, 0.041595, 0.0412132, 0.0408157, 0.0404004, 0.0399647, 0.0395054, 0.0390182, 0.038498, 0.037938, 0.037329, 0.0366582, 0.0359075, 0.0350488, 0.0340363, 0.0327861, 0.0311111, 0.0285448, 0.024415, 0.0183623, 0.0102531, -5.92545e-08, -0.0262927, -0.0592657, -0.204934, -2.89263", \
+					  "3.1302, 0.299272, 0.0359787, 0.0320462, 0.0314381, 0.03114, 0.0308312, 0.0305101, 0.030175, 0.0298236, 0.0294534, 0.0290608, 0.0286415, 0.0281898, 0.0276977, 0.027154, 0.0265423, 0.0258367, 0.0249921, 0.023916, 0.0223543, 0.0196547, 0.0151678, 0.00865145, -3.94392e-07, -0.0233771, -0.0538667, -0.19184, -2.89131", \
+					  "3.12346, 0.293747, 0.0300911, 0.0230656, 0.0223505, 0.0221265, 0.0218964, 0.0216585, 0.0214116, 0.0211544, 0.0208853, 0.020602, 0.0203021, 0.0199821, 0.0196375, 0.0192618, 0.0188459, 0.0183761, 0.0178295, 0.0171634, 0.0162726, 0.0147798, 0.0118559, 0.00698187, -2.71893e-06, -0.0203553, -0.0482839, -0.178373, -2.89", \
+					  "3.11768, 0.289348, 0.0261175, 0.0162068, 0.0144685, 0.0143035, 0.0141414, 0.0139752, 0.013804, 0.013627, 0.0134432, 0.0132516, 0.0130507, 0.0128386, 0.0126132, 0.0123715, 0.0121086, 0.0118176, 0.0114881, 0.0111019, 0.0106208, 0.0099178, 0.00842035, 0.00522509, -1.88618e-05, -0.0172353, -0.0425273, -0.164666, -2.8887", \
+					  "3.11299, 0.286073, 0.0238992, 0.0119615, 0.00797415, 0.00780905, 0.00769616, 0.007585, 0.00747255, 0.00735792, 0.00724048, 0.00711962, 0.00699472, 0.00686542, 0.00673296, 0.00659514, 0.0064482, 0.00628921, 0.00611408, 0.00591623, 0.00568349, 0.00538535, 0.00485903, 0.00329565, -0.000132552, -0.014096, -0.0366843, -0.150956, -2.88748", \
+					  "3.10969, 0.284263, 0.0235449, 0.0100493, 0.00323246, 0.00268649, 0.00253442, 0.00242724, 0.00233106, 0.00223959, 0.00215059, 0.0020632, 0.00197804, 0.00190027, 0.00183436, 0.00177072, 0.00170502, 0.001636, 0.00156244, 0.00148257, 0.0013935, 0.0012894, 0.00115052, 0.000763923, -0.000867302, -0.0114534, -0.0312945, -0.137907, -2.88668", \
+					  "3.10821, 0.284263, 0.0253663, 0.0103948, 0.00075921, -0.00116307, -0.00182405, -0.00210854, -0.00229851, -0.00244976, -0.00257834, -0.00268886, -0.00277818, -0.00283697, -0.00286982, -0.00289421, -0.00291658, -0.00293848, -0.00296051, -0.00298311, -0.00300677, -0.00303225, -0.00306129, -0.00310583, -0.00348496, -0.0105777, -0.0276758, -0.12688, -2.88707", \
+					  "3.10834, 0.285758, 0.0287741, 0.0122998, 9.90841e-05, -0.00360854, -0.00562641, -0.00655271, -0.00704948, -0.00738165, -0.00762852, -0.00781499, -0.00794462, -0.00801726, -0.00805153, -0.00807075, -0.00808443, -0.00809552, -0.00810518, -0.00811395, -0.00812217, -0.00813005, -0.00813784, -0.0081462, -0.00819073, -0.0118834, -0.0262072, -0.118176, -2.8888", \
+					  "3.10908, 0.287732, 0.0324215, 0.0144648, 9.06642e-06, -0.00525854, -0.00888902, -0.0110636, -0.0122593, -0.0129678, -0.0134349, -0.0137535, -0.0139574, -0.0140698, -0.0141273, -0.014161, -0.0141841, -0.0142019, -0.0142164, -0.0142289, -0.0142399, -0.0142499, -0.014259, -0.0142676, -0.0142786, -0.0154695, -0.0264744, -0.111178, -2.89144", \
+					  "3.10992, 0.289724, 0.035772, 0.0164109, 6.75767e-07, -0.00653487, -0.0115518, -0.0151252, -0.017442, -0.0188553, -0.0197269, -0.020275, -0.0206055, -0.0207879, -0.0208884, -0.0209509, -0.020995, -0.021029, -0.0210568, -0.0210805, -0.0211012, -0.0211197, -0.0211366, -0.0211521, -0.0211668, -0.0213805, -0.0282322, -0.105366, -2.89463", \
+					  "3.11073, 0.29164, 0.0387647, 0.0181018, 5.27976e-08, -0.00756754, -0.0136978, -0.0184548, -0.0219377, -0.0243183, -0.0258519, -0.0267984, -0.0273519, -0.0276594, -0.0278371, -0.0279523, -0.0280353, -0.0280998, -0.0281526, -0.0281975, -0.0282367, -0.0282717, -0.0283033, -0.0283324, -0.0283593, -0.0284274, -0.0314666, -0.100294, -2.8981", \
+					  "3.11148, 0.293455, 0.041427, 0.0195705, 1.06868e-08, -0.00841942, -0.015445, -0.0211374, -0.0255743, -0.0288631, -0.0311547, -0.032639, -0.033526, -0.0340339, -0.0343408, -0.0345467, -0.034698, -0.0348169, -0.0349147, -0.034998, -0.0350707, -0.0351355, -0.035194, -0.0352476, -0.0352971, -0.0353882, -0.0362414, -0.0954727, -2.90152", \
+					  "3.11218, 0.295148, 0.0437971, 0.0208521, 7.91304e-09, -0.00913298, -0.0168894, -0.0233232, -0.0284984, -0.0324949, -0.0354147, -0.0373919, -0.0386191, -0.0393534, -0.0398183, -0.0401411, -0.0403839, -0.0405774, -0.0407382, -0.0408761, -0.0409971, -0.0411052, -0.0412031, -0.0412929, -0.041376, -0.0415263, -0.041774, -0.0903877, -2.90453", \
+					  "3.11282, 0.296711, 0.0459112, 0.0219766, 7.72743e-09, -0.00973877, -0.0181015, -0.0251333, -0.0308852, -0.0354168, -0.0388009, -0.0411408, -0.0426257, -0.0435427, -0.0441435, -0.044572, -0.0449005, -0.0451657, -0.0453884, -0.0455807, -0.0457504, -0.0459028, -0.0460413, -0.0461688, -0.046287, -0.0465017, -0.0467071, -0.0848533, -2.90689", \
+					  "3.1134, 0.298143, 0.0478023, 0.0229686, 7.71178e-09, -0.0102592, -0.0191325, -0.0266558, -0.0328676, -0.0378114, -0.0415387, -0.0441356, -0.0458004, -0.0468487, -0.0475506, -0.0480602, -0.0484555, -0.0487778, -0.0490501, -0.0492865, -0.049496, -0.0496846, -0.0498567, -0.0500153, -0.0501628, -0.0504312, -0.0506734, -0.0793118, -2.90861", \
+					  "3.11392, 0.299451, 0.049499, 0.0238486, 7.70794e-09, -0.0107108, -0.0200198, -0.0279537, -0.0345404, -0.0398098, -0.0437977, -0.0465813, -0.0483741, -0.0495175, -0.0502944, -0.050865, -0.0513115, -0.0516776, -0.0519883, -0.0522589, -0.0524994, -0.0527163, -0.0529146, -0.0530976, -0.053268, -0.0535785, -0.0538577, -0.0745893, -2.90986", \
+					  "3.11439, 0.300642, 0.0510263, 0.0246331, 7.70533e-09, -0.0111064, -0.0207912, -0.0290733, -0.0359711, -0.0415037, -0.0456952, -0.0486189, -0.0505064, -0.0517211, -0.0525552, -0.0531731, -0.0536595, -0.05406, -0.054401, -0.0546987, -0.0549636, -0.055203, -0.055422, -0.0556244, -0.0558129, -0.0561567, -0.056466, -0.0714632, -2.91079", \
+					  "3.11518, 0.302722, 0.053655, 0.0259686, 7.70095e-09, -0.0117661, -0.0220663, -0.0309063, -0.0382907, -0.0442222, -0.0487096, -0.0518273, -0.0538444, -0.0551588, -0.0560747, -0.0567609, -0.0573055, -0.0577565, -0.0581421, -0.0584798, -0.0587809, -0.0590536, -0.0593033, -0.0595343, -0.0597497, -0.0601429, -0.0604969, -0.070431, -2.91218", \
+					  "3.11583, 0.304416, 0.0558257, 0.0270592, 7.69708e-09, -0.0122936, -0.023076, -0.0323435, -0.0400905, -0.0463097, -0.0510004, -0.0542448, -0.0563458, -0.0577264, -0.058698, -0.0594314, -0.0600166, -0.0605031, -0.0609201, -0.061286, -0.0616128, -0.061909, -0.0621806, -0.0624319, -0.0626663, -0.0630945, -0.0634801, -0.0726014, -2.91351", \
+					  "3.11717, 0.308149, 0.0604801, 0.0293699, 7.68769e-09, -0.0133847, -0.0251386, -0.0352421, -0.0436753, -0.0504157, -0.0554521, -0.0588981, -0.0611331, -0.0626243, -0.063691, -0.0645067, -0.0651635, -0.0657129, -0.066186, -0.0666024, -0.0669753, -0.0673138, -0.0676245, -0.0679123, -0.068181, -0.0686721, -0.0691146, -0.0782347, -2.917", \
+					  "3.11831, 0.311589, 0.0648492, 0.0315196, 7.67853e-09, -0.0143764, -0.0269851, -0.037799, -0.0467924, -0.0539357, -0.0592175, -0.0627949, -0.0651197, -0.0666892, -0.0678259, -0.0687033, -0.0694144, -0.070012, -0.0705283, -0.0709839, -0.0713924, -0.0717638, -0.0721051, -0.0724214, -0.0727168, -0.0732569, -0.0737437, -0.0829469, -2.92");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1031292, 0.2409167, 0.3809640, 0.5044836, 0.6644850");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1719577, 0.3094758, 0.4506616, 0.5739375, 0.7356512");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1411594, 0.2749152, 0.4367432, 0.6628533, 1.0126625");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2069896, 0.3410303, 0.5029552, 0.7286762, 1.0819313");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("16.7404");
+						index_3("0.001");
+						index_4("8.3480637, 9.0083334, 10.1955746, 11.3514845, 12.0518054");
+						values("0.473886, 0.758218, 0.947773, 0.758218, 0.473886");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("9.57571");
+						index_3("0.001");
+						index_4("4.7896056, 5.2584266, 6.2427872, 6.9711356, 7.4667711");
+						values("0.495021, 0.792034, 0.990043, 0.792034, 0.495021");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("6.44687");
+						index_3("0.001");
+						index_4("3.2350192, 3.5991025, 4.3409521, 4.9468935, 5.3382550");
+						values("0.505609, 0.808975, 1.01122, 0.808975, 0.505609");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("8.3702");
+						index_3("0.001");
+						index_4("4.1965762, 4.5289027, 5.1215588, 5.7497713, 6.1490821");
+						values("0.242969, 0.388751, 0.485938, 0.388751, 0.242969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("4.78785");
+						index_3("0.001");
+						index_4("2.4108079, 2.6390589, 3.0165440, 3.5395166, 3.8362592");
+						values("0.251345, 0.402153, 0.502691, 0.402153, 0.251345");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("3.22343");
+						index_3("0.001");
+						index_4("1.6333137, 1.8112053, 2.1686827, 2.5142592, 2.7595438");
+						values("0.253959, 0.406335, 0.507919, 0.406335, 0.253959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("14.3636");
+						index_3("0.001");
+						index_4("7.2676797, 7.9873818, 9.1047273, 10.2436148, 10.9765952");
+						values("0.809235, 1.29478, 1.61847, 1.29478, 0.809235");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("20.3831");
+						index_3("0.001");
+						index_4("10.1511910, 10.7778458, 12.0946096, 13.4294696, 14.1000314");
+						values("1.46178, 1.16885, 0.973566, 1.16885, 1.46178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("11.5656");
+						index_3("0.001");
+						index_4("5.7685645, 6.2170579, 7.0813322, 8.2227724, 8.6765921");
+						values("1.43821, 1.13113, 0.926411, 1.13113, 1.43821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("7.63352");
+						index_3("0.001");
+						index_4("3.8188112, 4.1630734, 4.8423122, 5.7402400, 6.0866868");
+						values("1.42913, 1.11661, 0.908262, 1.11661, 1.42913");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("10.1916");
+						index_3("0.001");
+						index_4("5.1602671, 5.5091905, 6.1643429, 6.7150155, 7.0903857");
+						values("1.6978, 1.54647, 1.44559, 1.54647, 1.6978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("5.78279");
+						index_3("0.001");
+						index_4("2.9588333, 3.2096058, 3.6312256, 4.0932167, 4.3654779");
+						values("1.68292, 1.52266, 1.41583, 1.52266, 1.68292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("3.81676");
+						index_3("0.001");
+						index_4("1.9713263, 2.1628114, 2.4658847, 2.8586101, 3.0673071");
+						values("1.679, 1.51639, 1.40799, 1.51639, 1.679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("17.3484");
+						index_3("0.001");
+						index_4("8.5123556, 9.2244472, 10.8849518, 12.2932854, 13.0147223");
+						values("1.26102, 0.847631, 0.572039, 0.847631, 1.26102");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016757;
+			capacitance : 0.016704;
+			fall_capacitance : 0.016651;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254142, 0.0264072, 0.0274003, 0.0297905, 0.0320852, 0.0344754, 0.0368656");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297630, 0.0309483, 0.0321337, 0.0346784, 0.0371214, 0.0396662, 0.0422110");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000704878";
+				miller_cap_rise : "0.000447112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.14074, 0.809172, 0.739793, 0.709237, 0.672842, 0.65247, 0.630684, 0.607493, 0.5829, 0.556908, 0.529517, 0.500727, 0.47054, 0.438957, 0.405979, 0.371608, 0.335845, 0.298694, 0.260157, 0.220236, 0.178935, 0.136258, 0.0922064, 0.0467859, -8.21555e-09, -0.0918079, -0.178371, -0.452011, -2.32319", \
+					  "3.04295, 0.626174, 0.581043, 0.565785, 0.548802, 0.539399, 0.529164, 0.517847, 0.505102, 0.490505, 0.47369, 0.454529, 0.433058, 0.409323, 0.383339, 0.355108, 0.32463, 0.291904, 0.25693, 0.219709, 0.180243, 0.138534, 0.0945874, 0.0484073, -1.76872e-09, -0.095934, -0.18663, -0.46869, -2.32801", \
+					  "2.9639, 0.473106, 0.42629, 0.415749, 0.404547, 0.398619, 0.392416, 0.385873, 0.378906, 0.371395, 0.363167, 0.353961, 0.343378, 0.330847, 0.315747, 0.297732, 0.27673, 0.25273, 0.225721, 0.195691, 0.16263, 0.126532, 0.0873941, 0.045216, -1.77892e-09, -0.0915175, -0.17968, -0.457779, -2.32635", \
+					  "2.94091, 0.438881, 0.36643, 0.357188, 0.347478, 0.342397, 0.337127, 0.33163, 0.325853, 0.319727, 0.313152, 0.305985, 0.298006, 0.288869, 0.278032, 0.26478, 0.248537, 0.229083, 0.206354, 0.18032, 0.150958, 0.118254, 0.0821962, 0.0427792, -1.78731e-09, -0.0877771, -0.173501, -0.447503, -2.32481", \
+					  "2.91769, 0.432449, 0.303672, 0.295654, 0.287321, 0.283003, 0.278561, 0.273971, 0.269202, 0.264213, 0.25895, 0.253334, 0.247251, 0.240527, 0.232879, 0.223836, 0.212665, 0.198563, 0.18107, 0.160025, 0.135365, 0.107054, 0.0750659, 0.0393852, -1.8005e-09, -0.0824581, -0.164655, -0.432608, -2.32261", \
+					  "2.90573, 0.433074, 0.271629, 0.264198, 0.256521, 0.252562, 0.248505, 0.244331, 0.240016, 0.235532, 0.230835, 0.22587, 0.220555, 0.214769, 0.20832, 0.200885, 0.19191, 0.180545, 0.16598, 0.147814, 0.12591, 0.100208, 0.0706711, 0.0372745, -1.84193e-09, -0.0791159, -0.159083, -0.423145, -2.32122", \
+					  "2.89369, 0.434072, 0.239556, 0.23259, 0.225553, 0.221942, 0.218254, 0.214477, 0.210592, 0.206577, 0.202402, 0.198026, 0.193393, 0.188418, 0.182972, 0.176845, 0.169668, 0.160777, 0.149199, 0.134138, 0.115264, 0.0924604, 0.0656716, 0.0348604, -2.67222e-09, -0.0752717, -0.152666, -0.412186, -2.31963", \
+					  "2.88171, 0.435419, 0.208928, 0.201122, 0.194714, 0.19144, 0.18811, 0.184714, 0.181237, 0.177665, 0.173974, 0.170138, 0.166116, 0.161851, 0.157259, 0.152205, 0.146459, 0.139593, 0.1308, 0.118975, 0.103385, 0.0837708, 0.0600354, 0.0321245, -2.25813e-08, -0.0708934, -0.14535, -0.39962, -2.3178", \
+					  "2.86995, 0.437468, 0.184687, 0.170173, 0.164356, 0.161414, 0.158432, 0.155403, 0.152318, 0.149165, 0.145929, 0.142591, 0.139124, 0.135491, 0.131636, 0.127476, 0.122873, 0.117576, 0.11108, 0.102378, 0.0902618, 0.0741141, 0.0537389, 0.029052, -5.0121e-07, -0.0659542, -0.13709, -0.385352, -2.31574", \
+					  "2.85861, 0.440495, 0.17168, 0.140573, 0.134899, 0.132282, 0.129641, 0.126969, 0.124261, 0.121508, 0.118701, 0.115827, 0.112869, 0.109802, 0.106593, 0.103191, 0.0995158, 0.0954286, 0.0906588, 0.0845849, 0.0759341, 0.0634837, 0.0467634, 0.0256241, -1.16347e-05, -0.0604449, -0.127861, -0.369326, -2.31343", \
+					  "2.8479, 0.444759, 0.167582, 0.115401, 0.106817, 0.104511, 0.102196, 0.0998649, 0.0975135, 0.0951371, 0.0927293, 0.0902822, 0.0877851, 0.0852237, 0.0825778, 0.0798184, 0.076901, 0.0737523, 0.0702387, 0.0660651, 0.0604265, 0.0517522, 0.0389364, 0.0216475, -0.00023487, -0.0545749, -0.117879, -0.351823, -2.31112", \
+					  "2.83807, 0.450944, 0.168834, 0.100558, 0.0805793, 0.0783825, 0.0762753, 0.0741647, 0.0720464, 0.0699171, 0.0677734, 0.0656109, 0.0634237, 0.061204, 0.0589409, 0.056619, 0.0542156, 0.0516947, 0.0489947, 0.0459928, 0.0423625, 0.0370188, 0.0281555, 0.0148911, -0.0030043, -0.0508679, -0.109859, -0.336207, -2.31147", \
+					  "2.8294, 0.459434, 0.173965, 0.0960077, 0.0565348, 0.0528129, 0.0503265, 0.0478992, 0.045483, 0.0430687, 0.0406526, 0.0382319, 0.0358037, 0.0333642, 0.0309086, 0.0284299, 0.0259182, 0.0233579, 0.020724, 0.0179708, 0.0149954, 0.0114353, 0.00585368, -0.00363471, -0.017666, -0.0593003, -0.114426, -0.335047, -2.32435", \
+					  "2.82217, 0.470764, 0.182619, 0.0973608, 0.0355436, 0.0242722, 0.0197948, 0.016219, 0.0128176, 0.009484, 0.00618631, 0.00291126, -0.000348071, -0.00359612, -0.00683639, -0.0100724, -0.0133083, -0.0165498, -0.0198055, -0.0230901, -0.0264312, -0.0299027, -0.0338903, -0.0401716, -0.0505484, -0.0860555, -0.13763, -0.354139, -2.35334", \
+					  "2.8167, 0.485508, 0.19489, 0.102304, 0.019095, -0.00515269, -0.0155885, -0.0211775, -0.0258182, -0.0301446, -0.0343191, -0.0384028, -0.0424246, -0.0464001, -0.0503387, -0.0542463, -0.0581275, -0.061986, -0.0658257, -0.0696515, -0.0734705, -0.0772968, -0.0811736, -0.0854972, -0.0923168, -0.12074, -0.167887, -0.378951, -2.3857", \
+					  "2.81332, 0.504291, 0.211095, 0.110307, 0.00793378, -0.0293639, -0.0497167, -0.0588393, -0.0649635, -0.070214, -0.0750838, -0.0797436, -0.0842693, -0.0887007, -0.0930609, -0.0973647, -0.101622, -0.105839, -0.110024, -0.114179, -0.118311, -0.122424, -0.126529, -0.130651, -0.135306, -0.155985, -0.197569, -0.401275, -2.41493", \
+					  "2.81238, 0.527762, 0.231525, 0.121468, 0.00216458, -0.046594, -0.0780839, -0.0929368, -0.101114, -0.107418, -0.112981, -0.118166, -0.123126, -0.127938, -0.132647, -0.137278, -0.141852, -0.146379, -0.15087, -0.155333, -0.159774, -0.164199, -0.168615, -0.173031, -0.177496, -0.191553, -0.22643, -0.421186, -2.44136", \
+					  "2.8141, 0.555878, 0.255252, 0.13484, 0.000392897, -0.0583074, -0.100477, -0.123225, -0.134455, -0.1422, -0.148671, -0.154529, -0.160038, -0.165327, -0.170466, -0.175498, -0.18045, -0.185342, -0.190186, -0.194994, -0.199773, -0.204532, -0.209277, -0.214018, -0.218767, -0.229497, -0.255995, -0.439948, -2.46604", \
+					  "2.81781, 0.586536, 0.279508, 0.14808, 6.74048e-05, -0.0672806, -0.119108, -0.151088, -0.166614, -0.176247, -0.183823, -0.190461, -0.196581, -0.202383, -0.20797, -0.213406, -0.21873, -0.223969, -0.229142, -0.234263, -0.239343, -0.244392, -0.24942, -0.254436, -0.259452, -0.269701, -0.287831, -0.458483, -2.48966", \
+					  "2.82223, 0.617517, 0.302418, 0.16003, 1.22604e-05, -0.0748258, -0.135167, -0.17669, -0.197903, -0.209932, -0.218799, -0.226291, -0.23305, -0.239367, -0.245391, -0.251211, -0.256881, -0.262436, -0.267903, -0.2733, -0.278642, -0.28394, -0.289208, -0.294455, -0.299695, -0.31023, -0.323192, -0.477409, -2.51259", \
+					  "2.82678, 0.648103, 0.323377, 0.170595, 2.19446e-06, -0.0813202, -0.149109, -0.199708, -0.227974, -0.243074, -0.253472, -0.261913, -0.269351, -0.276196, -0.282656, -0.288848, -0.294847, -0.300699, -0.306437, -0.312085, -0.317662, -0.323182, -0.32866, -0.334109, -0.339541, -0.350424, -0.361838, -0.497166, -2.53507", \
+					  "2.83136, 0.678129, 0.342193, 0.179893, 3.30039e-07, -0.0869414, -0.161235, -0.220019, -0.256375, -0.27542, -0.28767, -0.297194, -0.305369, -0.312769, -0.319674, -0.32624, -0.332562, -0.3387, -0.344697, -0.350581, -0.356377, -0.362101, -0.367771, -0.373401, -0.379006, -0.39021, -0.401594, -0.518101, -2.55725", \
+					  "2.83593, 0.707543, 0.358863, 0.188066, 3.22624e-08, -0.0918166, -0.171791, -0.237757, -0.282655, -0.306701, -0.321235, -0.332016, -0.341011, -0.349007, -0.356378, -0.363327, -0.369973, -0.376395, -0.382645, -0.388758, -0.394762, -0.40068, -0.40653, -0.412328, -0.418093, -0.429592, -0.441199, -0.540511, -2.57921", \
+					  "2.84049, 0.736322, 0.37351, 0.195243, 4.73118e-09, -0.0960488, -0.180988, -0.253188, -0.306447, -0.336627, -0.354008, -0.366277, -0.376199, -0.384846, -0.392713, -0.400061, -0.407041, -0.41375, -0.420252, -0.426591, -0.4328, -0.438906, -0.444928, -0.450888, -0.456802, -0.468577, -0.480426, -0.564663, -2.60103", \
+					  "2.84505, 0.764452, 0.386328, 0.201536, 4.101e-09, -0.0997241, -0.189005, -0.266607, -0.327556, -0.364877, -0.385824, -0.399878, -0.410864, -0.420234, -0.428637, -0.436407, -0.443735, -0.450739, -0.457497, -0.464063, -0.470476, -0.476767, -0.482959, -0.489075, -0.495135, -0.507172, -0.519253, -0.590809, -2.62277", \
+					  "2.85415, 0.818673, 0.407302, 0.211866, 6.61501e-09, -0.105684, -0.202081, -0.288454, -0.361999, -0.414996, -0.445795, -0.464682, -0.478363, -0.489467, -0.499105, -0.507819, -0.515904, -0.523538, -0.530834, -0.53787, -0.544701, -0.551365, -0.557897, -0.564322, -0.570666, -0.583206, -0.595723, -0.649911, -2.66615", \
+					  "2.86321, 0.869897, 0.42326, 0.219721, 1.07822e-08, -0.110157, -0.211992, -0.305033, -0.387764, -0.454972, -0.499237, -0.525437, -0.542942, -0.556337, -0.567518, -0.57736, -0.586321, -0.594664, -0.602553, -0.610097, -0.61737, -0.624427, -0.631309, -0.638051, -0.644682, -0.657722, -0.670655, -0.717642, -2.70954", \
+					  "2.89005, 0.997989, 0.450847, 0.233014, 3.70632e-08, -0.117627, -0.22902, -0.334007, -0.432269, -0.522965, -0.603478, -0.667373, -0.710134, -0.737712, -0.757302, -0.772664, -0.785562, -0.796893, -0.807154, -0.816647, -0.825564, -0.834036, -0.842155, -0.84999, -0.857593, -0.872279, -0.886524, -0.931086, -2.83763", \
+					  "2.92932, 1.07618, 0.460187, 0.23655, 1.46296e-07, -0.119708, -0.235171, -0.346332, -0.453121, -0.555446, -0.653148, -0.74588, -0.83277, -0.911515, -0.977316, -1.02612, -1.06041, -1.08555, -1.10529, -1.12169, -1.1359, -1.1486, -1.16018, -1.17094, -1.18104, -1.19978, -1.21713, -1.26559, -3.03414");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187681, 0.0318305, 0.0461040, 0.0628126, 0.0872592");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0791456, 0.0976510, 0.1125844, 0.1294277, 0.1543311");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0139886, 0.0207261, 0.0284040, 0.0371979, 0.0485362");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0616281, 0.0767803, 0.0882831, 0.0986147, 0.1099561");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("1.38517");
+						index_3("0.001");
+						index_4("0.6764619, 0.7117517, 0.7550555, 0.8221173, 0.8563486");
+						values("0.277389, 0.443823, 0.554779, 0.443823, 0.277389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.809978");
+						index_3("0.001");
+						index_4("0.3964683, 0.4266815, 0.4676335, 0.5235193, 0.5522842");
+						values("0.386316, 0.618106, 0.772632, 0.618106, 0.386316");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.548326");
+						index_3("0.001");
+						index_4("0.2696824, 0.2965163, 0.3389490, 0.3782717, 0.4045766");
+						values("0.441538, 0.706461, 0.883076, 0.706461, 0.441538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("0.692584");
+						index_3("0.001");
+						index_4("0.3392619, 0.3605676, 0.3978346, 0.4228610, 0.4428935");
+						values("0.165152, 0.264243, 0.330304, 0.264243, 0.165152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.404989");
+						index_3("0.001");
+						index_4("0.2018897, 0.2178392, 0.2469241, 0.2696164, 0.2862348");
+						values("0.220178, 0.352284, 0.440355, 0.352284, 0.220178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.274163");
+						index_3("0.001");
+						index_4("0.1383363, 0.1523308, 0.1728960, 0.1949547, 0.2102382");
+						values("0.246014, 0.393623, 0.492028, 0.393623, 0.246014");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("1.21497");
+						index_3("0.001");
+						index_4("0.5895727, 0.6341014, 0.6974591, 0.7693360, 0.8130766");
+						values("0.519945, 0.831911, 1.03989, 0.831911, 0.519945");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.810568");
+						index_3("0.001");
+						index_4("0.3965359, 0.4223816, 0.4643560, 0.5229260, 0.5606813");
+						values("1.5833, 1.36329, 1.21661, 1.36329, 1.5833");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.435424");
+						index_3("0.001");
+						index_4("0.2138976, 0.2335343, 0.2670167, 0.3142477, 0.3444667");
+						values("1.50941, 1.24506, 1.06882, 1.24506, 1.50941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.27597");
+						index_3("0.001");
+						index_4("0.1362743, 0.1522178, 0.1811945, 0.2181815, 0.2424233");
+						values("1.46891, 1.18026, 0.987829, 1.18026, 1.46891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.405284");
+						index_3("0.001");
+						index_4("0.2019171, 0.2159516, 0.2397500, 0.2705378, 0.2969039");
+						values("1.7359, 1.60745, 1.52181, 1.60745, 1.7359");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.217712");
+						index_3("0.001");
+						index_4("0.1092805, 0.1195171, 0.1322871, 0.1658118, 0.1854123");
+						values("1.70064, 1.55103, 1.45129, 1.55103, 1.70064");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.137985");
+						index_3("0.001");
+						index_4("0.0708324, 0.0794735, 0.0930939, 0.1141431, 0.1314051");
+						values("1.67754, 1.51407, 1.40509, 1.51407, 1.67754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.653136");
+						index_3("0.001");
+						index_4("0.3158493, 0.3447028, 0.4028474, 0.4598864, 0.5026477");
+						values("1.36998, 1.02196, 0.78995, 1.02196, 1.36998");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.036384;
+			capacitance : 0.036900;
+			rise_capacitance : 0.037416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4176429, -0.3575159, -0.3187278, -0.3105062, -0.3061541, -0.3070897, -0.3110751", \
+					  "-0.4790140, -0.4061732, -0.3831168, -0.3713695, -0.3629739, -0.3608524, -0.3678896", \
+					  "-0.4802971, -0.4091637, -0.3784376, -0.3762193, -0.3686707, -0.3741840, -0.3667904", \
+					  "-0.4621348, -0.4078074, -0.3543885, -0.3537521, -0.3582964, -0.3654038, -0.3540621", \
+					  "-0.4441330, -0.3854591, -0.3286425, -0.3446467, -0.3512454, -0.3550826, -0.3375862", \
+					  "-0.4223693, -0.3530143, -0.3210217, -0.3122019, -0.3216115, -0.3042077, -0.3158225", \
+					  "-0.3799515, -0.3228035, -0.2645746, -0.2653855, -0.2990651, -0.3091684, -0.3031069");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4420612, -0.3120531, -0.2180196, -0.1335040, -0.0635391, 0.0041898, 0.0630236", \
+					  "-0.5034325, -0.3648154, -0.2763601, -0.1918446, -0.1190680, -0.0541507, 0.0059508", \
+					  "-0.5062159, -0.3576506, -0.2806868, -0.1929691, -0.1260560, -0.0492321, 0.0048262", \
+					  "-0.4865532, -0.3626174, -0.2614320, -0.1882687, -0.1019487, -0.0220127, 0.0167265", \
+					  "-0.4670256, -0.3387105, -0.2441120, -0.1615412, -0.0869987, -0.0442926, 0.0408318", \
+					  "-0.4483137, -0.3092520, -0.2092910, -0.1306223, -0.0606574, 0.0024939, 0.0734931", \
+					  "-0.4028441, -0.2777784, -0.1774586, -0.1215839, -0.0511454, 0.0045484, 0.0870071");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498151, 0.4836818, 0.4540796, 0.4426732, 0.4368013, 0.4362110, 0.4401964", \
+					  "0.6111867, 0.5358417, 0.5153455, 0.5053925, 0.5042977, 0.4972970, 0.5017279", \
+					  "0.6154633, 0.5354061, 0.5179959, 0.5082484, 0.5069481, 0.5063578, 0.5103432", \
+					  "0.5958334, 0.5267224, 0.4999922, 0.4917706, 0.4904702, 0.4868282, 0.5060724", \
+					  "0.5763057, 0.5104070, 0.4774370, 0.4585099, 0.4648390, 0.4749299, 0.4651824", \
+					  "0.5652234, 0.5100001, 0.4336618, 0.4275910, 0.4369718, 0.4394333, 0.4373152", \
+					  "0.5273830, 0.4658108, 0.4136530, 0.3943285, 0.4067611, 0.4092225, 0.4254150");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4491129, 0.3193438, 0.2235425, 0.1390269, 0.0705879, 0.0028589, -0.0593609", \
+					  "0.5120075, 0.3712344, 0.2849378, 0.1966741, 0.1319832, 0.0585409, -0.0004249", \
+					  "0.4974323, 0.3634133, 0.2863785, 0.2000209, 0.1315819, 0.0544420, 0.0064144", \
+					  "0.5042836, 0.3703434, 0.2670965, 0.1934263, 0.1077649, 0.0457361, -0.0103932", \
+					  "0.4740747, 0.3458069, 0.2508769, 0.1670671, 0.0894728, 0.0254525, -0.0235992", \
+					  "0.4675033, 0.3157590, 0.2085744, 0.1391999, 0.0746242, 0.0039423, -0.0706417", \
+					  "0.4358332, 0.2851261, 0.1875725, 0.1301610, 0.0543804, 0.0001065, -0.0812554");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2112057, 0.3019650, 0.3927244, 0.4124578, 0.4314019, 0.4511353, 0.4708687");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5604494, 0.5991437, 0.6378380, 0.6417467, 0.6454991, 0.6494078, 0.6533165");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00213872";
+				miller_cap_rise : "0.00152684";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01163, 1.68228, 1.5424, 1.47452, 1.39546, 1.35175, 1.30524, 1.25594, 1.20386, 1.14901, 1.0914, 1.03102, 0.967902, 0.902043, 0.833456, 0.762152, 0.688141, 0.611434, 0.532044, 0.44998, 0.365256, 0.277884, 0.187875, 0.095243, -7.83903e-09, -0.189305, -0.372812, -0.950697, -3.91623", \
+					  "4.70936, 1.16004, 1.10736, 1.08606, 1.06041, 1.04515, 1.02757, 1.00695, 0.982563, 0.953944, 0.92092, 0.88345, 0.841526, 0.795159, 0.744369, 0.689181, 0.629625, 0.565732, 0.497536, 0.425071, 0.348374, 0.267481, 0.182429, 0.0932555, -7.85134e-09, -0.187801, -0.371585, -0.984563, -3.92705", \
+					  "4.50492, 0.793437, 0.734481, 0.722799, 0.709923, 0.702891, 0.695348, 0.68717, 0.678183, 0.668132, 0.656639, 0.643111, 0.626638, 0.606022, 0.58019, 0.54859, 0.511046, 0.467534, 0.418083, 0.362743, 0.301576, 0.234649, 0.162029, 0.0837885, -7.93708e-09, -0.17294, -0.346346, -0.961854, -3.927", \
+					  "4.44444, 0.73645, 0.602113, 0.592546, 0.582206, 0.576661, 0.570804, 0.564566, 0.55786, 0.550561, 0.542492, 0.533387, 0.522826, 0.510119, 0.494147, 0.473428, 0.446766, 0.413643, 0.373934, 0.327649, 0.274844, 0.215593, 0.149977, 0.0780835, -7.98978e-09, -0.163765, -0.330656, -0.939342, -3.92503", \
+					  "4.38716, 0.72502, 0.469718, 0.461999, 0.4538, 0.449472, 0.444956, 0.440218, 0.435211, 0.429876, 0.424128, 0.417849, 0.410865, 0.402901, 0.393496, 0.38183, 0.366453, 0.345493, 0.317705, 0.282692, 0.240405, 0.1909, 0.134263, 0.0705947, -8.0597e-09, -0.151683, -0.310024, -0.909308, -3.92174", \
+					  "4.36, 0.729886, 0.404711, 0.397784, 0.390552, 0.38676, 0.382827, 0.378726, 0.374426, 0.369883, 0.365042, 0.359824, 0.354115, 0.347745, 0.340439, 0.331718, 0.320672, 0.305609, 0.284427, 0.255934, 0.219821, 0.176082, 0.124795, 0.0660632, -8.10383e-09, -0.144372, -0.297566, -0.890979, -3.91953", \
+					  "4.33414, 0.740659, 0.342143, 0.335066, 0.328746, 0.325456, 0.32206, 0.318541, 0.314876, 0.311037, 0.306985, 0.302668, 0.298014, 0.292916, 0.287212, 0.280629, 0.272671, 0.262317, 0.247543, 0.226041, 0.196735, 0.159415, 0.114116, 0.060938, -8.23828e-09, -0.136112, -0.283516, -0.870129, -3.91689", \
+					  "4.30989, 0.757181, 0.288443, 0.274577, 0.269116, 0.266297, 0.263402, 0.26042, 0.257334, 0.254125, 0.250769, 0.247231, 0.243465, 0.239408, 0.234961, 0.229972, 0.22418, 0.217071, 0.207469, 0.192923, 0.170981, 0.140752, 0.102122, 0.0551651, -2.84601e-08, -0.126822, -0.267747, -0.846481, -3.91377", \
+					  "4.28765, 0.779444, 0.255866, 0.217402, 0.212509, 0.210137, 0.207714, 0.20523, 0.202677, 0.20004, 0.197305, 0.194449, 0.191446, 0.188255, 0.184822, 0.18106, 0.176834, 0.171896, 0.16572, 0.156909, 0.142479, 0.119968, 0.0887143, 0.0486899, -7.6822e-07, -0.116423, -0.250135, -0.819725, -3.91002", \
+					  "4.26789, 0.807545, 0.245098, 0.166913, 0.159902, 0.157954, 0.155975, 0.153959, 0.151897, 0.149784, 0.147608, 0.145358, 0.143016, 0.14056, 0.137959, 0.135168, 0.132117, 0.128687, 0.124657, 0.119489, 0.111461, 0.0969924, 0.0737956, 0.0414438, -2.14922e-05, -0.104857, -0.230581, -0.789542, -3.90485", \
+					  "4.25117, 0.841657, 0.249928, 0.133804, 0.112372, 0.110755, 0.109183, 0.107591, 0.105975, 0.104329, 0.102648, 0.100924, 0.0991496, 0.0973115, 0.0953937, 0.0933732, 0.0912161, 0.0888687, 0.0862386, 0.0831366, 0.0790146, 0.071686, 0.0570021, 0.033038, -0.000423495, -0.0924375, -0.209377, -0.756016, -3.89695", \
+					  "4.23817, 0.882018, 0.266602, 0.124638, 0.0710149, 0.0686853, 0.0673396, 0.0660236, 0.0647059, 0.0633786, 0.062037, 0.0606765, 0.0592924, 0.0578786, 0.0564275, 0.0549286, 0.0533669, 0.0517201, 0.0499525, 0.0479995, 0.0457153, 0.0425473, 0.0356865, 0.0205924, -0.00415606, -0.0821771, -0.189628, -0.722243, -3.89141", \
+					  "4.22973, 0.929033, 0.293548, 0.132232, 0.0374517, 0.0273155, 0.0251109, 0.0235511, 0.0221167, 0.0207301, 0.0193656, 0.0180113, 0.0166598, 0.0153058, 0.0139443, 0.0125702, 0.0111774, 0.00975759, 0.00829865, 0.00678108, 0.00516828, 0.00336601, 0.0008384, -0.00593594, -0.0215236, -0.0844125, -0.181757, -0.698436, -3.89609", \
+					  "4.22706, 0.983644, 0.330483, 0.150079, 0.0145684, -0.0167108, -0.0260582, -0.0293875, -0.0316984, -0.0336747, -0.0354911, -0.0372157, -0.0388815, -0.0405074, -0.042105, -0.0436826, -0.0452462, -0.0468014, -0.0483532, -0.049908, -0.0514744, -0.0530684, -0.0547369, -0.0569935, -0.0639983, -0.110158, -0.196293, -0.693432, -3.91658", \
+					  "4.23149, 1.0469, 0.377004, 0.175751, 0.00366073, -0.0522398, -0.0799985, -0.0893135, -0.0938493, -0.0970902, -0.0997904, -0.102202, -0.104438, -0.106554, -0.108586, -0.110555, -0.112476, -0.114358, -0.116209, -0.118037, -0.119846, -0.121642, -0.123434, -0.125248, -0.127863, -0.156278, -0.229587, -0.702021, -3.94814", \
+					  "4.24182, 1.11613, 0.428379, 0.205101, 0.000660693, -0.0773873, -0.127945, -0.150682, -0.159908, -0.165397, -0.169522, -0.172982, -0.176056, -0.178882, -0.181535, -0.184062, -0.186494, -0.18885, -0.191146, -0.193394, -0.195603, -0.197781, -0.199936, -0.202076, -0.204272, -0.217864, -0.275715, -0.717177, -3.98528", \
+					  "4.2547, 1.1863, 0.478014, 0.233085, 0.000101713, -0.0970567, -0.168975, -0.211211, -0.229178, -0.238305, -0.244477, -0.249333, -0.253471, -0.257163, -0.260555, -0.263732, -0.266748, -0.26964, -0.272433, -0.275147, -0.277796, -0.280393, -0.282949, -0.285475, -0.287986, -0.294765, -0.333059, -0.7367, -4.02519", \
+					  "4.26851, 1.25535, 0.523071, 0.258084, 1.10232e-05, -0.113534, -0.20413, -0.267286, -0.299237, -0.314109, -0.323138, -0.329776, -0.335191, -0.339878, -0.34409, -0.347969, -0.351603, -0.355049, -0.358348, -0.361529, -0.364614, -0.367622, -0.370567, -0.373463, -0.376326, -0.382227, -0.402067, -0.76134, -4.06688", \
+					  "4.28288, 1.3229, 0.563067, 0.280085, 6.06591e-07, -0.1276, -0.234319, -0.316645, -0.366821, -0.390579, -0.403641, -0.412575, -0.419531, -0.425364, -0.430487, -0.435124, -0.43941, -0.44343, -0.447244, -0.450894, -0.454411, -0.457819, -0.46114, -0.464391, -0.467592, -0.473934, -0.483653, -0.791986, -4.11039", \
+					  "4.29779, 1.38883, 0.598306, 0.299373, 2.25535e-08, -0.139678, -0.260296, -0.35922, -0.428916, -0.465558, -0.484396, -0.496345, -0.505191, -0.512364, -0.518514, -0.523982, -0.528965, -0.533589, -0.537936, -0.542064, -0.546015, -0.549823, -0.553514, -0.557111, -0.560636, -0.567559, -0.574938, -0.828997, -4.15567", \
+					  "4.31328, 1.45302, 0.629292, 0.316264, 2.35631e-09, -0.150076, -0.282686, -0.395827, -0.483683, -0.536887, -0.563999, -0.579984, -0.591194, -0.599958, -0.607284, -0.613676, -0.619418, -0.624686, -0.629593, -0.634216, -0.638613, -0.642826, -0.646889, -0.65083, -0.654676, -0.662182, -0.669672, -0.872475, -4.20255", \
+					  "4.32939, 1.51524, 0.656528, 0.331042, 1.8233e-09, -0.159043, -0.302016, -0.427354, -0.5309, -0.602458, -0.641065, -0.66255, -0.676772, -0.68746, -0.69615, -0.703584, -0.710163, -0.716128, -0.721631, -0.726776, -0.731636, -0.736266, -0.740708, -0.744997, -0.749164, -0.757248, -0.765198, -0.922494, -4.25082", \
+					  "4.34618, 1.57517, 0.680458, 0.34396, 1.94199e-09, -0.166784, -0.318724, -0.454566, -0.571362, -0.66067, -0.714102, -0.743135, -0.76127, -0.774321, -0.784621, -0.793245, -0.800757, -0.807483, -0.813628, -0.819327, -0.824675, -0.82974, -0.834573, -0.839219, -0.843712, -0.852376, -0.860827, -0.979246, -4.3003", \
+					  "4.36371, 1.63237, 0.701464, 0.355236, 2.15328e-09, -0.173471, -0.333179, -0.478097, -0.606089, -0.710992, -0.781511, -0.82076, -0.844064, -0.860071, -0.872296, -0.882297, -0.890861, -0.89843, -0.905274, -0.911568, -0.917434, -0.922955, -0.928198, -0.933213, -0.938042, -0.947295, -0.95625, -1.04314, -4.35088", \
+					  "4.38203, 1.68627, 0.719878, 0.365064, 2.46034e-09, -0.179248, -0.345689, -0.498468, -0.635989, -0.75396, -0.841843, -0.894296, -0.924495, -0.944265, -0.958829, -0.970444, -0.980208, -0.988719, -0.99633, -1.00327, -1.00969, -1.0157, -1.02137, -1.02677, -1.03195, -1.04181, -1.05127, -1.1148, -4.40244", \
+					  "4.4212, 1.78142, 0.750043, 0.381025, 3.44944e-09, -0.188536, -0.365873, -0.53139, -0.684123, -0.821954, -0.939028, -1.02392, -1.07508, -1.10608, -1.12715, -1.143, -1.15576, -1.16654, -1.17596, -1.18438, -1.19204, -1.19913, -1.20574, -1.21198, -1.21791, -1.22905, -1.23957, -1.28125, -4.50826", \
+					  "4.45937, 1.85565, 0.772849, 0.392948, 5.09775e-09, -0.19541, -0.380911, -0.556035, -0.720139, -0.87207, -1.00904, -1.1237, -1.20508, -1.25506, -1.28669, -1.30887, -1.32583, -1.33963, -1.35133, -1.36158, -1.37074, -1.37909, -1.38679, -1.39398, -1.40075, -1.41331, -1.42498, -1.46296, -4.61726", \
+					  "4.52055, 1.9678, 0.810324, 0.412074, 1.59255e-08, -0.206421, -0.405587, -0.597263, -0.781183, -0.957014, -1.12427, -1.28205, -1.42833, -1.55821, -1.66241, -1.73521, -1.78335, -1.81677, -1.84174, -1.86157, -1.87805, -1.8922, -1.90466, -1.91585, -1.92604, -1.9442, -1.96022, -2.00261, -4.94161", \
+					  "4.52107, 1.99322, 0.815887, 0.414124, 6.30387e-08, -0.208166, -0.411457, -0.609775, -0.803022, -0.991091, -1.17387, -1.35122, -1.52299, -1.68895, -1.84876, -2.00176, -2.1466, -2.28038, -2.39747, -2.49127, -2.56061, -2.61101, -2.64889, -2.67869, -2.70311, -2.74175, -2.772, -2.83827, -5.44372");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0317222, 0.0530699, 0.0792968, 0.1091437, 0.1576791");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983167, 0.1204535, 0.1466261, 0.1759831, 0.2263209");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0183664, 0.0278790, 0.0386995, 0.0511559, 0.0688609");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0742943, 0.0901396, 0.1021498, 0.1144247, 0.1318964");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.29652");
+						index_3("0.001");
+						index_4("1.6270724, 1.7176141, 1.8642152, 2.0026402, 2.0956819");
+						values("0.425722, 0.681155, 0.851444, 0.681155, 0.425722");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.89268");
+						index_3("0.001");
+						index_4("0.9354078, 1.0086004, 1.1388459, 1.2416920, 1.3034251");
+						values("0.490672, 0.785075, 0.981343, 0.785075, 0.490672");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.27038");
+						index_3("0.001");
+						index_4("0.6293090, 0.6885694, 0.7788140, 0.8794330, 0.9282573");
+						values("0.51647, 0.826352, 1.03294, 0.826352, 0.51647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.64826");
+						index_3("0.001");
+						index_4("0.8249075, 0.8702452, 0.9501908, 1.0163148, 1.0590527");
+						values("0.242683, 0.388293, 0.485366, 0.388293, 0.242683");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.946338");
+						index_3("0.001");
+						index_4("0.4772639, 0.5121085, 0.5827139, 0.6278674, 0.6610066");
+						values("0.274147, 0.438636, 0.548295, 0.438636, 0.274147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.635191");
+						index_3("0.001");
+						index_4("0.3231901, 0.3513432, 0.3931032, 0.4459413, 0.4718652");
+						values("0.288937, 0.4623, 0.577875, 0.4623, 0.288937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.83901");
+						index_3("0.001");
+						index_4("1.3964453, 1.5087643, 1.6887996, 1.8420056, 1.9353557");
+						values("0.681365, 1.09018, 1.36273, 1.09018, 0.681365");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.09409");
+						index_3("0.001");
+						index_4("0.5457140, 0.5952255, 0.6885760, 0.8043111, 0.8732240");
+						values("1.45467, 1.15748, 0.959349, 1.15748, 1.45467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.620372");
+						index_3("0.001");
+						index_4("0.3119109, 0.3472945, 0.4258049, 0.5016221, 0.5511514");
+						values("1.42852, 1.11563, 0.907036, 1.11563, 1.42852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.411478");
+						index_3("0.001");
+						index_4("0.2083655, 0.2360794, 0.2921845, 0.3573563, 0.3966019");
+						values("1.41795, 1.09873, 0.885906, 1.09873, 1.41795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.547044");
+						index_3("0.001");
+						index_4("0.2786364, 0.3048571, 0.3542074, 0.4114711, 0.4559708");
+						values("1.69675, 1.5448, 1.4435, 1.5448, 1.69675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.310186");
+						index_3("0.001");
+						index_4("0.1605392, 0.1788324, 0.2122522, 0.2585439, 0.2927492");
+						values("1.6897, 1.53352, 1.4294, 1.53352, 1.6897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.205739");
+						index_3("0.001");
+						index_4("0.1083616, 0.1227336, 0.1508469, 0.1849896, 0.2132559");
+						values("1.68795, 1.53072, 1.4259, 1.53072, 1.68795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.930558");
+						index_3("0.001");
+						index_4("0.4622690, 0.5181711, 0.6213466, 0.7369678, 0.8110930");
+						values("1.19058, 0.734927, 0.431158, 0.734927, 1.19058");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.012664;
+			capacitance : 0.012997;
+			rise_capacitance : 0.013330;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.0413111, 16.2959050, 23.5504980, 25.2256580, 26.8338110, 28.5089700, 30.1841300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.3676846, 15.1718310, 22.9759770, 23.4187000, 23.8437150, 24.2864380, 24.7291610");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00164854";
+				miller_cap_rise : "0.00148964";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("18.2291, 8.24311, 2.7424, 2.66667, 2.58832, 2.5383, 2.47682, 2.40101, 2.31321, 2.21851, 2.11908, 2.0153, 1.90712, 1.79436, 1.67671, 1.55378, 1.42487, 1.28878, 1.14295, 0.983635, 0.811221, 0.626831, 0.430349, 0.2215, -1.66175e-08, -0.460214, -0.961228, -5.46471, -15.2005", \
+					  "17.8577, 7.77147, 2.13477, 1.99879, 1.96333, 1.94364, 1.92194, 1.89758, 1.86968, 1.83687, 1.79695, 1.74613, 1.67954, 1.59792, 1.5071, 1.40905, 1.30348, 1.18922, 1.06371, 0.922823, 0.766576, 0.596318, 0.411977, 0.213293, -4.58534e-09, -0.44568, -0.938374, -5.46244, -15.1989", \
+					  "17.69, 7.51084, 1.81979, 1.59625, 1.57085, 1.55756, 1.54339, 1.52802, 1.51113, 1.49229, 1.4709, 1.44606, 1.41631, 1.37903, 1.32943, 1.26209, 1.17993, 1.08677, 0.981059, 0.858474, 0.718552, 0.562871, 0.391396, 0.203861, 1.39767e-09, -0.429035, -0.912175, -5.45998, -15.197", \
+					  "17.6362, 7.42871, 1.72132, 1.46418, 1.44102, 1.42921, 1.41675, 1.40336, 1.38878, 1.37269, 1.35467, 1.33412, 1.31011, 1.28107, 1.24414, 1.19396, 1.12527, 1.04163, 0.944465, 0.829815, 0.69702, 0.547761, 0.38202, 0.199524, -6.24015e-09, -0.421452, -0.900309, -5.4589, -15.1962", \
+					  "17.5818, 7.35138, 1.62994, 1.33356, 1.31218, 1.30163, 1.29062, 1.27891, 1.26625, 1.25241, 1.23708, 1.21985, 1.20008, 1.17679, 1.1483, 1.11138, 1.05992, 0.989122, 0.901949, 0.796433, 0.671857, 0.530037, 0.370977, 0.194392, -6.56314e-09, -0.412538, -0.886441, -5.45765, -15.1953", \
+					  "17.5531, 7.31412, 1.58828, 1.26926, 1.24822, 1.23822, 1.22787, 1.21689, 1.20509, 1.19223, 1.17806, 1.16221, 1.14418, 1.12316, 1.09782, 1.06572, 1.02198, 0.959451, 0.878112, 0.777693, 0.657698, 0.520038, 0.364728, 0.191479, -2.94546e-08, -0.407505, -0.878651, -5.45697, -15.1948", \
+					  "17.5229, 7.27738, 1.54806, 1.21373, 1.18463, 1.17514, 1.16539, 1.1551, 1.14408, 1.13212, 1.119, 1.10441, 1.08791, 1.06886, 1.04618, 1.01801, 0.980618, 0.926886, 0.852308, 0.757411, 0.642351, 0.509177, 0.357926, 0.188298, -4.72325e-06, -0.402046, -0.870235, -5.45624, -15.1943", \
+					  "17.4908, 7.2409, 1.50859, 1.16626, 1.12129, 1.11222, 1.10299, 1.09332, 1.08299, 1.07184, 1.05965, 1.04616, 1.03101, 1.01365, 0.993225, 0.968257, 0.935931, 0.890362, 0.8237, 0.734814, 0.62504, 0.496665, 0.349752, 0.183987, -0.000944097, -0.397333, -0.862775, -5.4581, -15.2017", \
+					  "17.4563, 7.20436, 1.46901, 1.1168, 1.05487, 1.0438, 1.03456, 1.02516, 1.01525, 1.00465, 0.993153, 0.980522, 0.966441, 0.950451, 0.931847, 0.909451, 0.881094, 0.84226, 0.784298, 0.702031, 0.597805, 0.474372, 0.331811, 0.169761, -0.0121474, -0.404534, -0.87033, -5.4823, -15.2684", \
+					  "17.4188, 7.16736, 1.42847, 1.06364, 0.989241, 0.970726, 0.960296, 0.95091, 0.941338, 0.931241, 0.920398, 0.908582, 0.89551, 0.880789, 0.863828, 0.843669, 0.818607, 0.785204, 0.735899, 0.661393, 0.56335, 0.445421, 0.307739, 0.149884, -0.0285773, -0.416463, -0.882648, -5.51217, -15.3469", \
+					  "17.3777, 7.12961, 1.38707, 1.00854, 0.925991, 0.900022, 0.885604, 0.875717, 0.866353, 0.856713, 0.846489, 0.835445, 0.823318, 0.809763, 0.794278, 0.77607, 0.753769, 0.724706, 0.682899, 0.617254, 0.526133, 0.414356, 0.282222, 0.129263, -0.0450229, -0.426913, -0.891904, -5.53757, -15.4134", \
+					  "17.3336, 7.09122, 1.34533, 0.952647, 0.864465, 0.833385, 0.813416, 0.80166, 0.792247, 0.782989, 0.773342, 0.763025, 0.751781, 0.739299, 0.725146, 0.708652, 0.688693, 0.663137, 0.627312, 0.570797, 0.487479, 0.382514, 0.256611, 0.10927, -0.0600686, -0.434317, -0.894655, -5.55637, -15.463", \
+					  "17.2861, 7.05233, 1.30373, 0.896666, 0.804413, 0.769967, 0.745725, 0.730485, 0.720442, 0.711465, 0.702367, 0.692753, 0.682354, 0.67088, 0.657948, 0.642987, 0.625051, 0.60239, 0.571257, 0.522906, 0.448354, 0.350893, 0.231915, 0.0909299, -0.0726714, -0.437572, -0.88948, -5.56723, -15.492", \
+					  "17.2351, 7.01305, 1.26257, 0.841112, 0.745847, 0.709119, 0.682255, 0.664055, 0.652579, 0.64378, 0.635269, 0.626395, 0.616851, 0.606366, 0.594596, 0.581044, 0.564899, 0.544687, 0.517296, 0.475472, 0.410101, 0.320895, 0.209551, 0.075669, -0.0813917, -0.435204, -0.876463, -5.56842, -15.4962", \
+					  "17.1802, 6.9735, 1.22211, 0.786464, 0.688965, 0.650635, 0.622222, 0.602748, 0.590309, 0.581567, 0.573675, 0.565559, 0.556859, 0.547315, 0.53662, 0.524339, 0.509764, 0.49162, 0.467235, 0.430393, 0.373305, 0.293083, 0.190031, 0.0639323, -0.0858744, -0.427157, -0.855933, -5.56132, -15.4799", \
+					  "17.1217, 6.93387, 1.18268, 0.733265, 0.634151, 0.594669, 0.56534, 0.545636, 0.533379, 0.524601, 0.517092, 0.509603, 0.501627, 0.492897, 0.483134, 0.471946, 0.458704, 0.442279, 0.420309, 0.387267, 0.336562, 0.265556, 0.171435, 0.053752, -0.0881726, -0.415911, -0.831061, -5.55114, -15.4551", \
+					  "17.0598, 6.89452, 1.14474, 0.682218, 0.582018, 0.541705, 0.511841, 0.49235, 0.480659, 0.47209, 0.464682, 0.457622, 0.45025, 0.442224, 0.43327, 0.423027, 0.410925, 0.39594, 0.375932, 0.34584, 0.299702, 0.236756, 0.152189, 0.0436202, -0.0897427, -0.403011, -0.803654, -5.54066, -15.428", \
+					  "16.9954, 6.85605, 1.10895, 0.634322, 0.533514, 0.492619, 0.462463, 0.44335, 0.43225, 0.424052, 0.416806, 0.409946, 0.403038, 0.395616, 0.387365, 0.37794, 0.36681, 0.35303, 0.334618, 0.306838, 0.263965, 0.20668, 0.131597, 0.0329153, -0.0910939, -0.388866, -0.774094, -5.53083, -15.401", \
+					  "16.9303, 6.81956, 1.07634, 0.591061, 0.490087, 0.44882, 0.418546, 0.399869, 0.389317, 0.38152, 0.374569, 0.367885, 0.361243, 0.3543, 0.346646, 0.337914, 0.327599, 0.314807, 0.297669, 0.271678, 0.231151, 0.177365, 0.109519, 0.0213498, -0.0923835, -0.373457, -0.742131, -5.52193, -15.3753", \
+					  "16.8682, 6.78688, 1.04853, 0.554664, 0.453911, 0.412457, 0.382203, 0.363989, 0.353943, 0.346536, 0.339914, 0.333494, 0.327035, 0.320382, 0.31319, 0.30502, 0.295357, 0.283339, 0.267172, 0.242503, 0.203609, 0.151924, 0.0878182, 0.00878847, -0.0936567, -0.356623, -0.707385, -5.5141, -15.3514", \
+					  "16.8157, 6.76113, 1.02797, 0.528388, 0.428107, 0.386619, 0.356502, 0.338774, 0.329198, 0.322157, 0.315856, 0.30972, 0.303486, 0.296995, 0.290073, 0.282306, 0.273122, 0.261658, 0.246156, 0.222356, 0.184479, 0.133953, 0.071457, -0.00370835, -0.0949335, -0.338261, -0.670983, -5.50746, -15.3299", \
+					  "16.7938, 6.75163, 1.02093, 0.519978, 0.419697, 0.378107, 0.348078, 0.330741, 0.321495, 0.314687, 0.308577, 0.302602, 0.296492, 0.290061, 0.28314, 0.275467, 0.266465, 0.255218, 0.239964, 0.216457, 0.178899, 0.1287, 0.0665797, -0.00807213, -0.0960012, -0.31923, -0.635515, -5.50202, -15.3108", \
+					  "16.7939, 6.75162, 1.02105, 0.520452, 0.419517, 0.377627, 0.347506, 0.330352, 0.32122, 0.314448, 0.308343, 0.302355, 0.296211, 0.28971, 0.282657, 0.274806, 0.265716, 0.254445, 0.239186, 0.215682, 0.17813, 0.127938, 0.0658247, -0.00881784, -0.0967201, -0.302886, -0.602562, -5.4977, -15.2943", \
+					  "16.7945, 6.75179, 1.02126, 0.520992, 0.419467, 0.377313, 0.347118, 0.33012, 0.321078, 0.314332, 0.308231, 0.302233, 0.296065, 0.289523, 0.282395, 0.274409, 0.265154, 0.253807, 0.238524, 0.215013, 0.17746, 0.127269, 0.0651574, -0.00948236, -0.0973801, -0.295491, -0.572951, -5.49434, -15.2802", \
+					  "16.7951, 6.7516, 1.02157, 0.521467, 0.419423, 0.377042, 0.346791, 0.32993, 0.320964, 0.31424, 0.308143, 0.30214, 0.295959, 0.289392, 0.282221, 0.27416, 0.264771, 0.253276, 0.237929, 0.214399, 0.17684, 0.126647, 0.064536, -0.0101023, -0.0979975, -0.295782, -0.551682, -5.49178, -15.2685", \
+					  "16.796, 6.75185, 1.02187, 0.522255, 0.41935, 0.376603, 0.346271, 0.32964, 0.32079, 0.314104, 0.308016, 0.30201, 0.295815, 0.289222, 0.282009, 0.273879, 0.26437, 0.252662, 0.237041, 0.213328, 0.175701, 0.125482, 0.0633611, -0.0112809, -0.0991764, -0.296961, -0.545764, -5.48965, -15.252", \
+					  "16.7968, 6.75207, 1.02198, 0.522872, 0.419294, 0.376266, 0.345885, 0.329431, 0.320668, 0.314008, 0.30793, 0.301924, 0.295723, 0.28912, 0.281889, 0.273731, 0.264177, 0.252393, 0.23663, 0.212657, 0.17471, 0.12428, 0.0620698, -0.0126126, -0.10053, -0.298346, -0.547141, -5.49026, -15.2437", \
+					  "16.7985, 6.75256, 1.02241, 0.524026, 0.419192, 0.375645, 0.345191, 0.32907, 0.320458, 0.313849, 0.307789, 0.301788, 0.295585, 0.288972, 0.281726, 0.273544, 0.263955, 0.25211, 0.236237, 0.212044, 0.173642, 0.122317, 0.0586728, -0.017974, -0.108305, -0.31079, -0.561235, -5.5006, -15.247", \
+					  "16.7997, 6.7529, 1.02267, 0.524677, 0.419149, 0.375285, 0.344781, 0.328861, 0.320337, 0.313756, 0.307707, 0.30171, 0.295508, 0.288893, 0.281643, 0.273455, 0.263856, 0.251995, 0.23609, 0.211832, 0.173299, 0.121718, 0.0575869, -0.0199585, -0.111914, -0.322431, -0.59084, -5.56118, -15.3229");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0162977, 0.0246761, 0.0336284, 0.0445239, 0.0629605");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189566, 0.0537599, 0.0709619, 0.0856617, 0.1070520");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0809506");
+						index_3("0.001");
+						index_4("0.0746002, 0.1372174, 0.4996453, 0.8620732, 0.9246904");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0779017");
+						index_3("0.001");
+						index_4("0.0705935, 0.1327359, 0.4548361, 0.7769363, 0.8390787");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0731957");
+						index_3("0.001");
+						index_4("0.0643869, 0.1249217, 1.0682589, 2.0115961, 2.0721309");
+						values("2.59521, 4.15233, 5.19041, 4.15233, 2.59521");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0404753");
+						index_3("0.001");
+						index_4("0.0791874, 0.1447697, 0.4694070, 0.7940444, 0.8596266");
+						values("2.59522, 4.15234, 5.19043, 4.15234, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0389508");
+						index_3("0.001");
+						index_4("0.0766899, 0.1420407, 1.0570862, 1.9721316, 2.0374825");
+						values("2.59522, 4.15236, 5.19045, 4.15236, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0365979");
+						index_3("0.001");
+						index_4("0.0729939, 0.1383994, 0.5614711, 0.9845427, 1.0499482");
+						values("2.59524, 4.15238, 5.19048, 4.15238, 2.59524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.116853");
+						index_3("0.001");
+						index_4("0.0734769, 0.1223527, 0.4936337, 0.8649148, 0.9137906");
+						values("2.59521, 4.15234, 5.19043, 4.15234, 2.59521");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006701;
+			capacitance : 0.006861;
+			rise_capacitance : 0.007022;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3300597, 0.2623177, 0.2189672, 0.2076939, 0.1972383, 0.1951221, 0.1991075", \
+					  "0.3944831, 0.3077261, 0.2882137, 0.2779404, 0.2565743, 0.2592497, 0.2620432", \
+					  "0.3972333, 0.3019502, 0.2864255, 0.2677257, 0.2684244, 0.2637431, 0.2481796", \
+					  "0.3776039, 0.3071991, 0.2730055, 0.2445781, 0.2417519, 0.2548946, 0.2527765", \
+					  "0.3580762, 0.2860115, 0.2378269, 0.2514490, 0.2210177, 0.2572403, 0.2225676", \
+					  "0.3439419, 0.2568277, 0.2192034, 0.1926449, 0.1882536, 0.2064446, 0.2267439", \
+					  "0.3106794, 0.2332003, 0.1714332, 0.1671369, 0.1855978, 0.1772889, 0.1812744");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820954, 0.0471345, -0.0544258, -0.1414033, -0.2125286, -0.2650952, -0.3158471", \
+					  "0.2404158, 0.1095182, 0.0008101, -0.0841194, -0.1664645, -0.2392754, -0.3037676", \
+					  "0.2522215, 0.1092516, 0.0122035, -0.0819142, -0.1579206, -0.2334262, -0.3009874", \
+					  "0.2372694, 0.1004031, 0.0017230, -0.0870044, -0.1717612, -0.2453660, -0.3031956", \
+					  "0.2116382, 0.0701943, -0.0268050, -0.1005911, -0.1851854, -0.2727508, -0.3324274", \
+					  "0.1929264, 0.0423271, -0.0307410, -0.1311440, -0.2088624, -0.2868850, -0.3515642", \
+					  "0.1581379, -0.0077203, -0.0624776, -0.1587088, -0.2390836, -0.2777405, -0.3665162");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1093872, -0.0339807, -0.0089744, -0.0068563, -0.0025042, -0.0080174, 0.0063077", \
+					  "-0.1707583, -0.0954671, -0.0611808, -0.0514637, -0.0305727, -0.0373661, -0.0367738", \
+					  "-0.1537308, -0.1085480, -0.0771029, -0.0721677, -0.0387304, -0.0384906, -0.0440019", \
+					  "-0.1599826, -0.0951219, -0.0698102, -0.0418385, 0.3455607, -0.0250645, -0.0443184", \
+					  "-0.1389290, -0.0633872, -0.0219171, -0.0225950, -0.0208678, -0.0159349, -0.0221483", \
+					  "-0.1095360, -0.0370459, 0.0030628, 0.0033914, 0.0109241, 0.0077000, 0.0279851", \
+					  "-0.0777993, 0.0130013, 0.0317478, 0.0380231, 0.0339744, 0.0534314, 0.0419139");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1643647, -0.0294029, 0.0664352, 0.1564663, 0.2262156, 0.2792643, 0.3218196", \
+					  "-0.2242099, -0.0905112, 0.0105051, 0.0971228, 0.1766806, 0.2479733, 0.3136853", \
+					  "-0.2178636, -0.0959782, 0.0075516, 0.0938961, 0.1820920, 0.2550837, 0.3164430", \
+					  "-0.2195376, -0.0886556, 0.0099252, 0.1033922, 0.1803389, 0.2530218, 0.3249540", \
+					  "-0.1984841, -0.0569209, 0.0356674, 0.1174003, 0.1968148, 0.2874320, 0.3410309", \
+					  "-0.1690910, -0.0290537, 0.0440426, 0.1483864, 0.2193611, 0.2939368, 0.3677712", \
+					  "-0.1327768, 0.0194679, 0.0757793, 0.1778018, 0.2501966, 0.2865811, 0.3827232");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427426, 0.0421909, 0.0416391, 0.0417909, 0.0419366, 0.0420884, 0.0422401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541270, 0.0533502, 0.0525735, 0.0530537, 0.0535148, 0.0539950, 0.0544752");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105891";
+				miller_cap_rise : "0.000882002";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90883e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87966e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88777e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89211e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89782e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90972e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18657e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07575e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353344, 0.0656160, 0.1030595, 0.1458410, 0.2139358");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012553, 0.1316349, 0.1689006, 0.2116700, 0.2794220");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189804, 0.0300283, 0.0415407, 0.0542692, 0.0709178");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764922, 0.0933711, 0.1057679, 0.1183760, 0.1343663");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18545");
+						index_3("0.001");
+						index_4("2.0658022, 2.1743704, 2.3216477, 2.5239498, 2.6148987");
+						values("0.381852, 0.610964, 0.763705, 0.610964, 0.381852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41186");
+						index_3("0.001");
+						index_4("1.1898114, 1.2827219, 1.4345620, 1.5608119, 1.6342176");
+						values("0.459968, 0.735949, 0.919936, 0.735949, 0.459968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62561");
+						index_3("0.001");
+						index_4("0.8023583, 0.8794378, 1.0125702, 1.1125277, 1.1692210");
+						values("0.489774, 0.783638, 0.979547, 0.783638, 0.489774");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09272");
+						index_3("0.001");
+						index_4("1.0432648, 1.0992678, 1.1957395, 1.2708285, 1.3191279");
+						values("0.216853, 0.346965, 0.433706, 0.346965, 0.216853");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20593");
+						index_3("0.001");
+						index_4("0.6044990, 0.6490701, 0.7295724, 0.7878050, 0.8255335");
+						values("0.255114, 0.408182, 0.510228, 0.408182, 0.255114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.812803");
+						index_3("0.001");
+						index_4("0.4099026, 0.4479644, 0.5002286, 0.5625673, 0.5921428");
+						values("0.271527, 0.434443, 0.543054, 0.434443, 0.271527");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.6178");
+						index_3("0.001");
+						index_4("1.7751677, 1.9182923, 2.1123600, 2.3269389, 2.4313488");
+						values("0.635991, 1.01759, 1.27198, 1.01759, 0.635991");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20401");
+						index_3("0.001");
+						index_4("0.6002549, 0.6549751, 0.7551825, 0.8979506, 0.9842547");
+						values("1.44551, 1.14281, 0.941012, 1.14281, 1.44551");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682363");
+						index_3("0.001");
+						index_4("0.3436712, 0.3843044, 0.4603746, 0.5604229, 0.6255722");
+						values("1.41858, 1.09972, 0.887154, 1.09972, 1.41858");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452664");
+						index_3("0.001");
+						index_4("0.2297364, 0.2601492, 0.3119187, 0.4001209, 0.4496755");
+						values("1.40747, 1.08195, 0.864935, 1.08195, 1.40747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602005");
+						index_3("0.001");
+						index_4("0.3062411, 0.3354463, 0.3914434, 0.4579357, 0.5148226");
+						values("1.69274, 1.53839, 1.43549, 1.53839, 1.69274");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341182");
+						index_3("0.001");
+						index_4("0.1766096, 0.1974612, 0.2289989, 0.2886036, 0.3324891");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226332");
+						index_3("0.001");
+						index_4("0.1193699, 0.1358753, 0.1655061, 0.2077771, 0.2422361");
+						values("1.67847, 1.51555, 1.40693, 1.51555, 1.67847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02354");
+						index_3("0.001");
+						index_4("0.5101547, 0.5711875, 0.6767979, 0.8211416, 0.9160089");
+						values("1.17305, 0.706874, 0.396092, 0.706874, 1.17305");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017565;
+			capacitance : 0.017619;
+			rise_capacitance : 0.017673;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1334713, 0.2267727, 0.3200742, 0.3385628, 0.3563119, 0.3748005, 0.3932890");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4547570, 0.4933850, 0.5320130, 0.5346143, 0.5371116, 0.5397129, 0.5423142");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000690271";
+				miller_cap_rise : "0.000443326";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.17667, 0.806351, 0.736681, 0.705661, 0.668864, 0.648339, 0.626428, 0.603142, 0.578485, 0.55246, 0.52507, 0.496317, 0.466202, 0.434731, 0.401904, 0.367727, 0.332201, 0.295332, 0.257124, 0.21758, 0.176705, 0.134505, 0.0909836, 0.0461468, -8.48741e-09, -0.0905978, -0.176134, -0.444347, -2.17689", \
+					  "3.09151, 0.62361, 0.579768, 0.564422, 0.547305, 0.537807, 0.527451, 0.515976, 0.503026, 0.488185, 0.471122, 0.45175, 0.430119, 0.406273, 0.380229, 0.351992, 0.321568, 0.288958, 0.254169, 0.217204, 0.178069, 0.136773, 0.0933236, 0.0477291, -1.75804e-09, -0.0946115, -0.184177, -0.46064, -2.18146", \
+					  "3.0232, 0.469605, 0.425632, 0.415073, 0.403841, 0.397894, 0.391665, 0.385091, 0.378083, 0.370519, 0.362219, 0.352913, 0.342191, 0.329476, 0.314174, 0.295986, 0.274862, 0.250801, 0.223798, 0.193849, 0.160955, 0.125116, 0.0863389, 0.0446301, -1.76872e-09, -0.0903012, -0.177366, -0.450171, -2.17986", \
+					  "3.00446, 0.435081, 0.365932, 0.356681, 0.346956, 0.341865, 0.336582, 0.331069, 0.325271, 0.319118, 0.312507, 0.305291, 0.297244, 0.288008, 0.277032, 0.263608, 0.247205, 0.227634, 0.204848, 0.178828, 0.149561, 0.117043, 0.0812742, 0.0422574, -1.77742e-09, -0.0866493, -0.171316, -0.440229, -2.17839", \
+					  "2.98563, 0.429736, 0.303313, 0.295292, 0.286953, 0.282631, 0.278183, 0.273586, 0.268808, 0.263807, 0.258528, 0.25289, 0.246778, 0.240011, 0.2323, 0.223163, 0.211862, 0.197622, 0.180024, 0.158931, 0.134294, 0.106091, 0.07431, 0.038946, -1.79125e-09, -0.081451, -0.16265, -0.425772, -2.17628", \
+					  "2.9759, 0.431061, 0.27133, 0.263899, 0.256219, 0.252258, 0.248198, 0.24402, 0.2397, 0.235207, 0.230501, 0.225522, 0.220189, 0.214377, 0.207889, 0.200396, 0.19133, 0.179846, 0.165165, 0.146926, 0.125014, 0.0993818, 0.0700088, 0.0368829, -1.83283e-09, -0.0781812, -0.157187, -0.416562, -2.17495", \
+					  "2.96609, 0.432713, 0.239298, 0.232348, 0.225311, 0.221699, 0.21801, 0.21423, 0.210342, 0.206323, 0.202143, 0.197759, 0.193115, 0.188124, 0.182656, 0.176495, 0.169265, 0.160289, 0.148605, 0.133456, 0.114545, 0.0917757, 0.0651078, 0.0345198, -2.65972e-09, -0.074417, -0.150893, -0.405871, -2.17342", \
+					  "2.95633, 0.434657, 0.208617, 0.200931, 0.194524, 0.191251, 0.187921, 0.184523, 0.181046, 0.177471, 0.173778, 0.169938, 0.16591, 0.161637, 0.157033, 0.15196, 0.146185, 0.139271, 0.1304, 0.118487, 0.10284, 0.083228, 0.0595728, 0.0318373, -2.24796e-08, -0.0701257, -0.143714, -0.393581, -2.17168", \
+					  "2.94677, 0.437247, 0.184165, 0.170024, 0.164212, 0.161271, 0.158289, 0.155261, 0.152175, 0.149022, 0.145785, 0.142446, 0.138976, 0.135339, 0.131478, 0.127309, 0.122692, 0.117372, 0.110833, 0.102062, 0.0898803, 0.0737093, 0.0533773, 0.0288193, -4.99124e-07, -0.0652791, -0.135602, -0.379583, -2.1697", \
+					  "2.93757, 0.440764, 0.17106, 0.140435, 0.134793, 0.132178, 0.129537, 0.126866, 0.124159, 0.121407, 0.1186, 0.115726, 0.112767, 0.109699, 0.106488, 0.103082, 0.0994006, 0.0953034, 0.0905152, 0.084404, 0.0756962, 0.0632068, 0.0464988, 0.0254453, -1.15911e-05, -0.0598664, -0.12653, -0.363806, -2.1675", \
+					  "2.92893, 0.445471, 0.167107, 0.11519, 0.106743, 0.104439, 0.102125, 0.0997949, 0.0974445, 0.0950689, 0.0926619, 0.0902154, 0.0877187, 0.0851573, 0.0825111, 0.0797507, 0.0768314, 0.0736793, 0.0701591, 0.0659717, 0.0603013, 0.0515864, 0.0387607, 0.0215203, -0.000234099, -0.0540938, -0.116712, -0.346511, -2.1653", \
+					  "2.92106, 0.452056, 0.16862, 0.100281, 0.0805252, 0.0783345, 0.0762285, 0.074119, 0.0720017, 0.0698735, 0.0677308, 0.0655692, 0.0633828, 0.0611637, 0.0589011, 0.0565796, 0.0541762, 0.0516548, 0.0489534, 0.045948, 0.0423083, 0.0369406, 0.0280584, 0.014815, -0.00299607, -0.0504734, -0.108847, -0.331034, -2.16576", \
+					  "2.9142, 0.460903, 0.17405, 0.0958259, 0.0564675, 0.0527784, 0.0502943, 0.0478684, 0.0454533, 0.0430402, 0.0406251, 0.0382055, 0.0357782, 0.0333397, 0.030885, 0.0284072, 0.0258963, 0.0233367, 0.0207034, 0.0179504, 0.0149746, 0.0114108, 0.00582124, -0.00365557, -0.0176297, -0.0589501, -0.113518, -0.329949, -2.17869", \
+					  "2.90861, 0.472553, 0.183011, 0.0973695, 0.0354394, 0.0242305, 0.0197681, 0.0161951, 0.0127951, 0.0094625, 0.0061657, 0.00289147, -0.000367098, -0.00361442, -0.00685401, -0.0100893, -0.0133246, -0.0165654, -0.0198205, -0.0231044, -0.0264447, -0.0299149, -0.0338981, -0.0401593, -0.0504858, -0.0857146, -0.136771, -0.349126, -2.20765", \
+					  "2.90456, 0.487584, 0.195584, 0.102552, 0.0189926, -0.00523989, -0.0156267, -0.0212048, -0.0258427, -0.030168, -0.034342, -0.0384255, -0.0424474, -0.046423, -0.0503618, -0.0542697, -0.0581512, -0.0620101, -0.0658502, -0.0696764, -0.0734958, -0.0773222, -0.0811983, -0.0855086, -0.092277, -0.12043, -0.167088, -0.374053, -2.24", \
+					  "2.90235, 0.506627, 0.21208, 0.110821, 0.00787194, -0.029515, -0.0498051, -0.0588896, -0.0650045, -0.0702524, -0.0751216, -0.0797815, -0.0843079, -0.0887402, -0.0931016, -0.0974066, -0.101665, -0.105884, -0.11007, -0.114227, -0.11836, -0.122475, -0.126581, -0.130704, -0.135332, -0.155747, -0.196859, -0.396496, -2.26926", \
+					  "2.90228, 0.530337, 0.232787, 0.122241, 0.00214285, -0.0468274, -0.0782837, -0.0930419, -0.101191, -0.107486, -0.113046, -0.118231, -0.123191, -0.128004, -0.132714, -0.137347, -0.141922, -0.146451, -0.150944, -0.155409, -0.159852, -0.164279, -0.168697, -0.173115, -0.177578, -0.191436, -0.225833, -0.416517, -2.29573", \
+					  "2.90454, 0.558677, 0.256779, 0.135843, 0.000388142, -0.0586469, -0.100847, -0.12343, -0.134588, -0.14231, -0.148772, -0.154626, -0.160134, -0.165423, -0.170563, -0.175596, -0.180549, -0.185443, -0.190289, -0.195098, -0.19988, -0.20464, -0.209389, -0.214132, -0.218883, -0.229538, -0.255542, -0.435383, -2.32047", \
+					  "2.9085, 0.589555, 0.281313, 0.149293, 6.6461e-05, -0.0677375, -0.119683, -0.151452, -0.166829, -0.176411, -0.183968, -0.190596, -0.196712, -0.202512, -0.208099, -0.213535, -0.21886, -0.2241, -0.229274, -0.234397, -0.239479, -0.24453, -0.249561, -0.25458, -0.259598, -0.269839, -0.287573, -0.454025, -2.34415", \
+					  "2.91305, 0.62078, 0.304531, 0.161441, 1.20676e-05, -0.0754008, -0.135962, -0.177283, -0.198234, -0.210167, -0.218996, -0.22647, -0.23322, -0.239532, -0.245555, -0.251373, -0.257043, -0.262599, -0.268067, -0.273465, -0.278808, -0.284109, -0.289379, -0.294629, -0.299871, -0.310412, -0.323183, -0.473071, -2.36714", \
+					  "2.91771, 0.651637, 0.325828, 0.172192, 2.15657e-06, -0.0820091, -0.150124, -0.200588, -0.228472, -0.243401, -0.253733, -0.262144, -0.269566, -0.276401, -0.282856, -0.289046, -0.295043, -0.300895, -0.306633, -0.312282, -0.31786, -0.323382, -0.328862, -0.334313, -0.339749, -0.350639, -0.362016, -0.492972, -2.38969", \
+					  "2.92239, 0.681952, 0.344997, 0.181665, 3.23885e-07, -0.0877377, -0.162464, -0.221219, -0.257102, -0.275868, -0.28801, -0.297484, -0.305634, -0.31302, -0.319916, -0.326476, -0.332795, -0.338931, -0.344927, -0.350811, -0.356607, -0.362333, -0.368004, -0.373636, -0.379245, -0.390457, -0.401845, -0.514087, -2.41192", \
+					  "2.92705, 0.711663, 0.362016, 0.19, 3.16211e-08, -0.0927127, -0.173223, -0.239282, -0.283685, -0.307309, -0.321672, -0.332377, -0.341333, -0.349307, -0.356664, -0.363604, -0.370245, -0.376663, -0.38291, -0.389022, -0.395027, -0.400945, -0.406796, -0.412596, -0.418363, -0.429871, -0.441488, -0.536724, -2.43393", \
+					  "2.93172, 0.740739, 0.376994, 0.197326, 4.63192e-09, -0.0970366, -0.182609, -0.255025, -0.307847, -0.337447, -0.354567, -0.366722, -0.376586, -0.385201, -0.393048, -0.400382, -0.407354, -0.414057, -0.420555, -0.426892, -0.4331, -0.439205, -0.445228, -0.451189, -0.457106, -0.468888, -0.480748, -0.561163, -2.4558", \
+					  "2.93637, 0.769158, 0.390113, 0.203755, 4.01097e-09, -0.100795, -0.1908, -0.268735, -0.32937, -0.365974, -0.386536, -0.400423, -0.411326, -0.42065, -0.429024, -0.436776, -0.444091, -0.451087, -0.457839, -0.464401, -0.470812, -0.477101, -0.483293, -0.48941, -0.495471, -0.507515, -0.519608, -0.587666, -2.47757", \
+					  "2.94565, 0.823944, 0.411596, 0.21432, 6.45923e-09, -0.106898, -0.204181, -0.291091, -0.364641, -0.416871, -0.446947, -0.465494, -0.479012, -0.490029, -0.499616, -0.508296, -0.516358, -0.523976, -0.531261, -0.538289, -0.545113, -0.551774, -0.558303, -0.564727, -0.571071, -0.583616, -0.596145, -0.647706, -2.52099", \
+					  "2.95489, 0.875741, 0.427951, 0.222363, 1.05151e-08, -0.111486, -0.214341, -0.308086, -0.391106, -0.45784, -0.501087, -0.52665, -0.543852, -0.55709, -0.568181, -0.577966, -0.586888, -0.595204, -0.603074, -0.610604, -0.617867, -0.624916, -0.631793, -0.638531, -0.64516, -0.658201, -0.671145, -0.716458, -2.56437", \
+					  "2.98222, 1.00613, 0.456252, 0.235998, 3.60693e-08, -0.119161, -0.231839, -0.337866, -0.436912, -0.528072, -0.608474, -0.67132, -0.712786, -0.739573, -0.758744, -0.773867, -0.786618, -0.797852, -0.808045, -0.817489, -0.826369, -0.834812, -0.842909, -0.850726, -0.858317, -0.872986, -0.887228, -0.931411, -2.69219", \
+					  "3.02218, 1.08833, 0.465889, 0.239644, 1.4232e-07, -0.121311, -0.238205, -0.350625, -0.458506, -0.561756, -0.660208, -0.753487, -0.840616, -0.919014, -0.983566, -1.03073, -1.06381, -1.0882, -1.10748, -1.12359, -1.1376, -1.15015, -1.16163, -1.1723, -1.18234, -1.20099, -1.21828, -1.26668, -2.88817");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0228926, 0.0436072, 0.0672519, 0.0940876, 0.1346420");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0856721, 0.1097166, 0.1334942, 0.1604934, 0.2009303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0161621, 0.0273083, 0.0396062, 0.0541574, 0.0730294");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0688558, 0.0870830, 0.1014405, 0.1156623, 0.1334311");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("2.14922");
+						index_3("0.001");
+						index_4("1.0481231, 1.1046750, 1.1834837, 1.2757261, 1.3296216");
+						values("0.270624, 0.432999, 0.541249, 0.432999, 0.270624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.25604");
+						index_3("0.001");
+						index_4("0.6140751, 0.6614192, 0.7344527, 0.8109714, 0.8563699");
+						values("0.375419, 0.60067, 0.750838, 0.60067, 0.375419");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.849788");
+						index_3("0.001");
+						index_4("0.4160569, 0.4573707, 0.5156382, 0.5868092, 0.6250592");
+						values("0.426098, 0.681757, 0.852196, 0.681757, 0.426098");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("1.07461");
+						index_3("0.001");
+						index_4("0.5272347, 0.5580942, 0.6083196, 0.6523436, 0.6847180");
+						values("0.162721, 0.260354, 0.325442, 0.260354, 0.162721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("0.628018");
+						index_3("0.001");
+						index_4("0.3109012, 0.3366089, 0.3771298, 0.4171515, 0.4422684");
+						values("0.213747, 0.341995, 0.427493, 0.341995, 0.213747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.424894");
+						index_3("0.001");
+						index_4("0.2120493, 0.2340893, 0.2657118, 0.3012436, 0.3226189");
+						values("0.236323, 0.378116, 0.472645, 0.378116, 0.236323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.88405");
+						index_3("0.001");
+						index_4("0.9125662, 0.9819434, 1.1026785, 1.1946731, 1.2599962");
+						values("0.501606, 0.80257, 1.00321, 0.80257, 0.501606");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("1.23247");
+						index_3("0.001");
+						index_4("0.6012331, 0.6417130, 0.7195259, 0.7972144, 0.8555834");
+						values("1.59766, 1.38626, 1.24532, 1.38626, 1.59766");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.662314");
+						index_3("0.001");
+						index_4("0.3240325, 0.3545086, 0.4202195, 0.4780190, 0.5248205");
+						values("1.52773, 1.27437, 1.10546, 1.27437, 1.52773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.419748");
+						index_3("0.001");
+						index_4("0.2066224, 0.2311568, 0.2695752, 0.3314323, 0.3687501");
+						values("1.49511, 1.22217, 1.04021, 1.22217, 1.49511");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("0.616235");
+						index_3("0.001");
+						index_4("0.3048623, 0.3267665, 0.3730316, 0.4132045, 0.4516120");
+						values("1.74427, 1.62083, 1.53853, 1.62083, 1.74427");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.331157");
+						index_3("0.001");
+						index_4("0.1663651, 0.1825138, 0.2080356, 0.2479897, 0.2796140");
+						values("1.71306, 1.5709, 1.47612, 1.5709, 1.71306");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.209874");
+						index_3("0.001");
+						index_4("0.1072298, 0.1207174, 0.1407527, 0.1726917, 0.1987990");
+						values("1.69912, 1.5486, 1.44825, 1.5486, 1.69912");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.993471");
+						index_3("0.001");
+						index_4("0.4796566, 0.5237412, 0.6158307, 0.7009702, 0.7656274");
+						values("1.39278, 1.05845, 0.835563, 1.05845, 1.39278");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005610;
+			capacitance : 0.005465;
+			fall_capacitance : 0.005320;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139954, -0.0309289, -0.0028709, 0.0053507, 0.0138398, 0.0057155, 0.0228615", \
+					  "-0.1738406, -0.0937966, -0.0562932, -0.0306012, -0.0231941, -0.0148575, -0.0184633", \
+					  "-0.1522355, -0.0990845, -0.0650384, -0.0577528, -0.0302107, -0.0232318, -0.0210801", \
+					  "-0.1615390, -0.0887102, -0.0764602, -0.0506685, -0.0411523, -0.0115293, -0.0015841", \
+					  "-0.1389596, -0.0569754, -0.0358361, -0.0359728, -0.0055338, -0.0031240, -0.0066351", \
+					  "-0.1110925, -0.0291083, -0.0015148, 0.0230415, -0.0127013, 0.0181844, 0.0236717", \
+					  "-0.0778300, 0.0209392, 0.0286960, 0.0507288, -0.0032750, 0.0400010, 0.0460708");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1307486, 0.0056922, 0.1114818, 0.1969341, 0.2670591, 0.3209423, 0.3734768", \
+					  "-0.1890680, -0.0570340, 0.0471262, 0.1430570, 0.2214431, 0.2983273, 0.3675841", \
+					  "-0.1766179, -0.0675504, 0.0380752, 0.1396712, 0.2211503, 0.3054250, 0.3642760", \
+					  "-0.1798182, -0.0556502, 0.0597638, 0.1439433, 0.2444258, 0.3145321, 0.3732930", \
+					  "-0.1602905, -0.0254413, 0.0737564, 0.1622195, 0.2486947, 0.3347342, 0.3849479", \
+					  "-0.1278457, 0.0024258, 0.1032397, 0.1890698, 0.2610602, 0.3595496, 0.4257546", \
+					  "-0.0976349, 0.0509472, 0.1183072, 0.2277540, 0.2871952, 0.3989156, 0.4376548");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3056778, 0.2333136, 0.1960790, 0.1695468, 0.1590912, 0.1569751, 0.1624864", \
+					  "0.3715939, 0.2802476, 0.2574720, 0.2345677, 0.2233961, 0.2229457, 0.2208276", \
+					  "0.3774290, 0.2791041, 0.2631741, 0.2274867, 0.2226920, 0.2065046, 0.2156806", \
+					  "0.3547478, 0.2842688, 0.2579103, 0.2125347, 0.2081826, 0.1969112, 0.2131036", \
+					  "0.3352201, 0.2616408, 0.2224356, 0.1960588, 0.2011869, 0.1773835, 0.1798430", \
+					  "0.3226118, 0.2320690, 0.2044460, 0.1852560, 0.1347522, 0.1519571, 0.1489241", \
+					  "0.2878233, 0.2063855, 0.1600055, 0.1581125, 0.1351546, 0.1411014, 0.1376422");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1500057, 0.0135644, -0.0950405, -0.1846791, -0.2574487, -0.3216326, -0.3670861", \
+					  "0.2052693, 0.0717532, -0.0345619, -0.1258801, -0.2113395, -0.2879919, -0.3544288", \
+					  "0.2140232, 0.0838886, -0.0304745, -0.1201060, -0.2086993, -0.2859856, -0.3539795", \
+					  "0.1990713, 0.0689366, -0.0468665, -0.1338398, -0.2271759, -0.2946528, -0.3661689", \
+					  "0.1795436, 0.0417795, -0.0665462, -0.1455702, -0.2370653, -0.3215789, -0.3766312", \
+					  "0.1455729, 0.0108606, -0.0690693, -0.1764253, -0.2517951, -0.3402908, -0.4141252", \
+					  "0.1184139, -0.0346090, -0.1019418, -0.2119875, -0.2603963, -0.3537169, -0.4199219");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0509861, 0.0504034, 0.0498208, 0.0501465, 0.0504593, 0.0507850, 0.0511108");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0612016, 0.0602466, 0.0592917, 0.0598489, 0.0603839, 0.0609411, 0.0614984");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105361";
+				miller_cap_rise : "0.000927197";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("4.45141, 0.663676, 0.580556, 0.559376, 0.533446, 0.518643, 0.502601, 0.485317, 0.466786, 0.447006, 0.425976, 0.403695, 0.380162, 0.355376, 0.329336, 0.302043, 0.273497, 0.243697, 0.212643, 0.180335, 0.146774, 0.111959, 0.0758918, 0.0385719, -3.94795e-09, -0.0766062, -0.150153, -0.391706, -3.01796", \
+					  "4.32148, 0.487919, 0.403175, 0.396055, 0.387559, 0.382563, 0.376868, 0.370253, 0.362447, 0.353181, 0.342271, 0.329619, 0.315181, 0.298937, 0.280877, 0.260997, 0.239293, 0.215765, 0.190411, 0.163233, 0.13423, 0.103404, 0.0707561, 0.0362875, -1.88058e-09, -0.0733136, -0.144805, -0.386361, -3.01808", \
+					  "4.24239, 0.375445, 0.265369, 0.261462, 0.257126, 0.254748, 0.252191, 0.249413, 0.246359, 0.242947, 0.239057, 0.234498, 0.228968, 0.222024, 0.213209, 0.202252, 0.189038, 0.173527, 0.155703, 0.135558, 0.11309, 0.0882995, 0.0611863, 0.0317523, -1.88853e-09, -0.0659976, -0.1323, -0.367502, -3.01479", \
+					  "4.22369, 0.363232, 0.217487, 0.214325, 0.210878, 0.209017, 0.207044, 0.204934, 0.202657, 0.200171, 0.197418, 0.19431, 0.190708, 0.186377, 0.180911, 0.173732, 0.164365, 0.152593, 0.138341, 0.121582, 0.102306, 0.0805098, 0.0561925, 0.0293552, -1.89282e-09, -0.0620765, -0.12558, -0.35736, -3.013", \
+					  "4.20752, 0.36777, 0.170038, 0.167524, 0.164831, 0.163398, 0.161895, 0.16031, 0.158626, 0.156822, 0.154869, 0.152726, 0.150333, 0.147597, 0.144356, 0.140305, 0.134865, 0.127323, 0.11726, 0.10453, 0.0890867, 0.0709157, 0.0500113, 0.0263724, -1.89843e-09, -0.0571793, -0.11719, -0.34483, -3.01077", \
+					  "4.20042, 0.37484, 0.146929, 0.144639, 0.142284, 0.141039, 0.13974, 0.138379, 0.136942, 0.135416, 0.13378, 0.132006, 0.130054, 0.127866, 0.125345, 0.122317, 0.118422, 0.112959, 0.105177, 0.0947103, 0.0814462, 0.065351, 0.0464134, 0.0246299, -1.90995e-09, -0.054313, -0.112283, -0.337588, -3.00947", \
+					  "4.19406, 0.385036, 0.125169, 0.122354, 0.120314, 0.119244, 0.118133, 0.116974, 0.11576, 0.114479, 0.113119, 0.11166, 0.110076, 0.10833, 0.106363, 0.104079, 0.101286, 0.0975486, 0.092027, 0.0839636, 0.0730575, 0.0592256, 0.0424432, 0.0227021, -2.17721e-09, -0.0511388, -0.106852, -0.329656, -3.00802", \
+					  "4.18851, 0.398448, 0.108344, 0.100907, 0.0991545, 0.098246, 0.0973075, 0.0963344, 0.0953209, 0.0942597, 0.0931416, 0.0919541, 0.0906805, 0.0892971, 0.0877689, 0.0860406, 0.0840143, 0.0814796, 0.0778926, 0.0722674, 0.0638822, 0.0525046, 0.038075, 0.0205755, -1.04491e-08, -0.047634, -0.100859, -0.321013, -3.00643", \
+					  "4.18392, 0.415214, 0.101803, 0.0807107, 0.0790695, 0.0783122, 0.0775336, 0.0767305, 0.0758992, 0.0750347, 0.074131, 0.07318, 0.0721711, 0.0710899, 0.0699154, 0.0686164, 0.0671415, 0.0653938, 0.0631357, 0.0596791, 0.0539011, 0.0451596, 0.0332854, 0.0182365, -2.65781e-07, -0.0437761, -0.0942653, -0.311645, -3.00468", \
+					  "4.18043, 0.43548, 0.105298, 0.0633164, 0.0603621, 0.0597446, 0.0591142, 0.0584674, 0.0578018, 0.0571142, 0.0564008, 0.0556567, 0.0548754, 0.0540483, 0.0531633, 0.0522031, 0.0511408, 0.0499307, 0.0484789, 0.0465108, 0.0431488, 0.0371715, 0.0280496, 0.0156664, -7.55301e-06, -0.0395502, -0.0870415, -0.301558, -3.00276", \
+					  "4.1782, 0.459399, 0.11598, 0.0536802, 0.0433726, 0.042849, 0.0423499, 0.0418416, 0.0413219, 0.0407888, 0.0402399, 0.0396724, 0.0390826, 0.0384657, 0.037815, 0.0371213, 0.0363712, 0.0355431, 0.0345986, 0.0334495, 0.0317707, 0.0284508, 0.0222357, 0.0127255, -0.000157356, -0.0350808, -0.0793061, -0.290934, -3.00085", \
+					  "4.1775, 0.487142, 0.13198, 0.0550461, 0.0284701, 0.0275809, 0.0271388, 0.0267067, 0.0262721, 0.0258316, 0.0253833, 0.0249254, 0.0244558, 0.0239718, 0.0234702, 0.0229465, 0.0223942, 0.021804, 0.0211602, 0.0204337, 0.019545, 0.018104, 0.0147342, 0.00823687, -0.00166279, -0.0316362, -0.0723861, -0.281264, -3.00038", \
+					  "4.1786, 0.518931, 0.152326, 0.0641716, 0.016129, 0.0118632, 0.0110412, 0.0104609, 0.00992663, 0.00940883, 0.00889762, 0.00838819, 0.00787757, 0.00736341, 0.00684356, 0.00631565, 0.00577677, 0.00522302, 0.00464861, 0.00404402, 0.00338992, 0.00262124, 0.00127085, -0.0022959, -0.00912542, -0.0339398, -0.0711306, -0.277438, -3.00589", \
+					  "4.18192, 0.553983, 0.176614, 0.0776021, 0.00711093, -0.00708086, -0.0108005, -0.0121651, -0.0131394, -0.0139817, -0.0147606, -0.0155032, -0.0162231, -0.0169281, -0.0176232, -0.0183119, -0.0189971, -0.0196812, -0.020367, -0.0210577, -0.0217585, -0.0224796, -0.0232677, -0.0246779, -0.0285103, -0.0478538, -0.081283, -0.284373, -3.02129", \
+					  "4.18798, 0.594886, 0.204699, 0.0939334, 0.00210085, -0.0249059, -0.0366919, -0.0404465, -0.0423997, -0.0438444, -0.0450688, -0.0461736, -0.0472041, -0.0481846, -0.0491294, -0.0500477, -0.0509458, -0.051828, -0.0526981, -0.0535588, -0.0544131, -0.055264, -0.0561171, -0.057009, -0.0586759, -0.0721515, -0.101376, -0.299987, -3.04419", \
+					  "4.19664, 0.64, 0.235372, 0.111948, 0.00041927, -0.0390115, -0.061888, -0.0708994, -0.074699, -0.0771025, -0.0789672, -0.0805591, -0.0819891, -0.083313, -0.0845625, -0.0857571, -0.0869099, -0.0880297, -0.0891233, -0.0901956, -0.0912508, -0.0922928, -0.0933253, -0.0943534, -0.0954823, -0.103319, -0.12771, -0.320611, -3.07121", \
+					  "4.20664, 0.687076, 0.266064, 0.129595, 6.9603e-05, -0.0507256, -0.0849881, -0.102215, -0.109165, -0.112984, -0.115705, -0.117908, -0.119817, -0.12154, -0.123135, -0.124637, -0.126069, -0.127446, -0.12878, -0.130078, -0.131348, -0.132595, -0.133825, -0.135042, -0.136263, -0.14039, -0.158721, -0.344421, -3.10062", \
+					  "4.21715, 0.734579, 0.295146, 0.145863, 8.79657e-06, -0.061029, -0.105956, -0.133108, -0.144958, -0.150795, -0.154617, -0.157554, -0.160013, -0.162177, -0.164143, -0.165969, -0.167689, -0.169327, -0.170902, -0.172424, -0.173904, -0.17535, -0.176769, -0.178168, -0.179555, -0.182603, -0.194089, -0.370564, -3.13153", \
+					  "4.22797, 0.781841, 0.321982, 0.1606, 5.99931e-07, -0.0702135, -0.12487, -0.162273, -0.180958, -0.189628, -0.194861, -0.198675, -0.201759, -0.204408, -0.206772, -0.208935, -0.210951, -0.212854, -0.214668, -0.21641, -0.218095, -0.219732, -0.221332, -0.222903, -0.224454, -0.227569, -0.233863, -0.398551, -3.16341", \
+					  "4.23905, 0.828418, 0.346356, 0.173867, 2.55141e-08, -0.0784062, -0.141856, -0.188986, -0.216109, -0.228702, -0.23575, -0.240619, -0.244419, -0.247605, -0.250396, -0.252916, -0.255238, -0.25741, -0.259466, -0.261427, -0.263314, -0.265138, -0.266912, -0.268648, -0.270355, -0.273731, -0.277815, -0.42808, -3.19594", \
+					  "4.2504, 0.87392, 0.368283, 0.185765, 2.56723e-09, -0.0857, -0.157059, -0.21307, -0.249484, -0.267345, -0.276749, -0.282898, -0.287528, -0.291312, -0.294569, -0.297468, -0.300112, -0.302562, -0.304864, -0.307048, -0.309135, -0.311145, -0.313091, -0.314987, -0.316846, -0.320499, -0.324265, -0.458972, -3.22886", \
+					  "4.26204, 0.917998, 0.387897, 0.196404, 1.8055e-09, -0.0921786, -0.170629, -0.234629, -0.280365, -0.304945, -0.317423, -0.325147, -0.330744, -0.335204, -0.338971, -0.342279, -0.345262, -0.348004, -0.35056, -0.35297, -0.355262, -0.357458, -0.359575, -0.361631, -0.363637, -0.367564, -0.371488, -0.491142, -3.26204", \
+					  "4.27397, 0.960366, 0.405383, 0.205888, 1.78442e-09, -0.0979196, -0.182711, -0.253859, -0.308348, -0.340898, -0.357385, -0.367066, -0.373808, -0.379037, -0.38337, -0.387122, -0.390467, -0.393515, -0.396336, -0.39898, -0.401481, -0.403866, -0.406156, -0.408371, -0.410526, -0.41472, -0.418875, -0.524584, -3.29538", \
+					  "4.28619, 1.00082, 0.420931, 0.214314, 1.79611e-09, -0.102995, -0.193441, -0.270975, -0.333359, -0.374616, -0.396252, -0.408398, -0.416511, -0.422624, -0.427591, -0.431827, -0.435563, -0.438936, -0.442035, -0.444922, -0.447639, -0.450218, -0.452684, -0.45506, -0.457363, -0.461825, -0.466216, -0.559378, -3.3288", \
+					  "4.2987, 1.03923, 0.434722, 0.221775, 1.82122e-09, -0.10747, -0.202949, -0.286178, -0.355553, -0.405585, -0.43362, -0.448896, -0.45867, -0.465813, -0.471494, -0.476266, -0.480425, -0.484146, -0.48754, -0.490681, -0.493622, -0.496402, -0.499049, -0.501589, -0.504043, -0.508772, -0.513398, -0.595707, -3.36227", \
+					  "4.32448, 1.10945, 0.457673, 0.234142, 1.92566e-09, -0.114852, -0.218754, -0.311575, -0.392515, -0.458222, -0.502055, -0.526326, -0.540681, -0.550483, -0.557916, -0.563952, -0.569083, -0.573586, -0.577629, -0.581325, -0.584748, -0.587953, -0.590981, -0.593864, -0.59663, -0.601906, -0.606999, -0.673652, -3.42934", \
+					  "4.34378, 1.16961, 0.475364, 0.243599, 2.13506e-09, -0.120478, -0.230945, -0.331339, -0.421258, -0.498999, -0.559213, -0.596854, -0.618379, -0.632061, -0.641875, -0.649537, -0.655865, -0.6613, -0.666099, -0.670426, -0.674389, -0.678064, -0.681508, -0.684761, -0.68786, -0.693712, -0.699284, -0.756385, -3.49658", \
+					  "4.37739, 1.27358, 0.505328, 0.25926, 3.874e-09, -0.129867, -0.251994, -0.366387, -0.473002, -0.571636, -0.661585, -0.74057, -0.803048, -0.844731, -0.87066, -0.887946, -0.900586, -0.91051, -0.918703, -0.925715, -0.931877, -0.937401, -0.94243, -0.947067, -0.951385, -0.959287, -0.966499, -1.00612, -3.69295", \
+					  "4.37852, 1.30004, 0.510631, 0.261293, 1.35382e-08, -0.131725, -0.258187, -0.379401, -0.495383, -0.606142, -0.711668, -0.811908, -0.906699, -0.995599, -1.07742, -1.14924, -1.20641, -1.24712, -1.27525, -1.29557, -1.31114, -1.32369, -1.3342, -1.34327, -1.35126, -1.36496, -1.37654, -1.40956, -3.99419");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0390268, 0.0744387, 0.1158425, 0.1638199, 0.2406579");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1057621, 0.1409824, 0.1827031, 0.2309465, 0.3076041");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0192064, 0.0317233, 0.0447680, 0.0589268, 0.0774501");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0760389, 0.0943573, 0.1082363, 0.1224014, 0.1402771");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("4.74746");
+						index_3("0.001");
+						index_4("2.3451883, 2.4703095, 2.6937046, 2.8596870, 2.9651851");
+						values("0.381941, 0.611105, 0.763881, 0.611105, 0.381941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("2.73577");
+						index_3("0.001");
+						index_4("1.3511926, 1.4575654, 1.6172485, 1.7740496, 1.8553276");
+						values("0.458705, 0.733928, 0.917409, 0.733928, 0.458705");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("1.84396");
+						index_3("0.001");
+						index_4("0.9103644, 0.9970951, 1.1578431, 1.2618087, 1.3263384");
+						values("0.486621, 0.778593, 0.973241, 0.778593, 0.486621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("2.37373");
+						index_3("0.001");
+						index_4("1.1827553, 1.2466217, 1.3438088, 1.4404469, 1.4953811");
+						values("0.214985, 0.343976, 0.42997, 0.343976, 0.214985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("1.36789");
+						index_3("0.001");
+						index_4("0.6854897, 0.7366534, 0.8165338, 0.8931785, 0.9384387");
+						values("0.253692, 0.405908, 0.507385, 0.405908, 0.253692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("0.92198");
+						index_3("0.001");
+						index_4("0.4646343, 0.5077459, 0.5655864, 0.6313863, 0.6702139");
+						values("0.26751, 0.428016, 0.535019, 0.428016, 0.26751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("4.10366");
+						index_3("0.001");
+						index_4("2.0151981, 2.1771308, 2.4000158, 2.6394372, 2.7580227");
+						values("0.636213, 1.01794, 1.27243, 1.01794, 0.636213");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("1.31855");
+						index_3("0.001");
+						index_4("0.6572295, 0.7177996, 0.8426499, 0.9838303, 1.0791376");
+						values("1.46024, 1.16638, 0.970472, 1.16638, 1.46024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.747186");
+						index_3("0.001");
+						index_4("0.3752106, 0.4187244, 0.5113102, 0.6150679, 0.6842712");
+						values("1.43276, 1.12242, 0.915523, 1.12242, 1.43276");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.495704");
+						index_3("0.001");
+						index_4("0.2509885, 0.2852453, 0.3432237, 0.4385687, 0.4938894");
+						values("1.42252, 1.10603, 0.895042, 1.10603, 1.42252");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("0.659274");
+						index_3("0.001");
+						index_4("0.3339764, 0.3649225, 0.4141748, 0.5027577, 0.5659715");
+						values("1.69867, 1.54788, 1.44735, 1.54788, 1.69867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.373593");
+						index_3("0.001");
+						index_4("0.1928007, 0.2153678, 0.2532432, 0.3161886, 0.3653091");
+						values("1.68965, 1.53344, 1.4293, 1.53344, 1.68965");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.247852");
+						index_3("0.001");
+						index_4("0.1298786, 0.1476266, 0.1831662, 0.2279326, 0.2663150");
+						values("1.6868, 1.52888, 1.4236, 1.52888, 1.6868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("1.12078");
+						index_3("0.001");
+						index_4("0.5571199, 0.6256442, 0.7484080, 0.9027555, 1.0054695");
+						values("1.1842, 0.724726, 0.418407, 0.724726, 1.1842");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005769;
+			capacitance : 0.005540;
+			fall_capacitance : 0.005310;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("1");
+				} 
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1071929, 0.1071764, 0.1071600, 0.1070779, 0.1069991, 0.1069171, 0.1068350");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1016934, -0.1011249, -0.1005564, -0.1005259, -0.1004967, -0.1004662, -0.1004358");
+				}
+			}*/
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2797057, 0.3380255, 0.3453534, 0.3272498, 0.3077221, 0.2951138, 0.2603253", \
+					  "0.2073874, 0.2547905, 0.2513539, 0.2579696, 0.2385118, 0.1755906, 0.1803924", \
+					  "0.1686132, 0.2330458, 0.2341908, 0.2222822, 0.1966808, 0.1736407, 0.1500568", \
+					  "0.1558140, 0.2248363, 0.2183314, 0.1967786, 0.1899552, 0.1468293, 0.1403721", \
+					  "0.1484101, 0.2098030, 0.2139793, 0.1929238, 0.1802983, 0.1423223, 0.1229476", \
+					  "0.1493457, 0.2076869, 0.1969892, 0.1831488, 0.1590729, 0.1342576, 0.1366462", \
+					  "0.1594347, 0.2101464, 0.2004392, 0.1918796, 0.1661101, 0.1412947, 0.1376303");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139648, -0.1738101, -0.1567826, -0.1630344, -0.1404549, -0.1125877, -0.0793252", \
+					  "-0.0370324, -0.0970234, -0.1070228, -0.0951226, -0.0633878, -0.0370466, 0.0130007", \
+					  "-0.0135520, -0.0632206, -0.0771028, -0.0731150, -0.0249704, 0.0015370, 0.0286960", \
+					  "-0.0114339, -0.0529159, -0.0735835, -0.0448581, -0.0240826, 0.0003557, 0.0346973", \
+					  "-0.0070818, -0.0335661, -0.0704956, -0.0590268, -0.0208681, -0.0024108, -0.0297926", \
+					  "-0.0141210, -0.0373661, -0.0400165, -0.0357456, -0.0159349, -0.0007521, 0.0251892", \
+					  "0.0017301, -0.0382997, -0.0485796, -0.0443183, -0.0251532, 0.0144875, 0.0419119");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4176429, -0.4790140, -0.4802971, -0.4621348, -0.4441330, -0.4223693, -0.3799515", \
+					  "-0.3575159, -0.4061732, -0.4091637, -0.4078074, -0.3854591, -0.3530143, -0.3228035", \
+					  "-0.3187278, -0.3831168, -0.3784376, -0.3543885, -0.3286425, -0.3210217, -0.2645746", \
+					  "-0.3105062, -0.3713695, -0.3762193, -0.3537521, -0.3446467, -0.3122019, -0.2653855", \
+					  "-0.3061541, -0.3629739, -0.3686707, -0.3582964, -0.3512454, -0.3216115, -0.2990651", \
+					  "-0.3070897, -0.3608524, -0.3741840, -0.3654038, -0.3550826, -0.3042077, -0.3091684", \
+					  "-0.3110751, -0.3678896, -0.3667904, -0.3540621, -0.3375862, -0.3158225, -0.3031069");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5498151, 0.6111867, 0.6154633, 0.5958334, 0.5763057, 0.5652234, 0.5273830", \
+					  "0.4836818, 0.5358417, 0.5354061, 0.5267224, 0.5104070, 0.5100001, 0.4658108", \
+					  "0.4540796, 0.5153455, 0.5179959, 0.4999922, 0.4774370, 0.4336618, 0.4136530", \
+					  "0.4426732, 0.5053925, 0.5082484, 0.4917706, 0.4585099, 0.4275910, 0.3943285", \
+					  "0.4368013, 0.5042977, 0.5069481, 0.4904702, 0.4648390, 0.4369718, 0.4067611", \
+					  "0.4362110, 0.4972970, 0.5063578, 0.4868282, 0.4749299, 0.4394333, 0.4092225", \
+					  "0.4401964, 0.5017279, 0.5103432, 0.5060724, 0.4651824, 0.4373152, 0.4254150");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3300597, 0.3944831, 0.3972333, 0.3776039, 0.3580762, 0.3439419, 0.3106794", \
+					  "0.2623177, 0.3077261, 0.3019502, 0.3071991, 0.2860115, 0.2568277, 0.2332003", \
+					  "0.2189672, 0.2882137, 0.2864255, 0.2730055, 0.2378269, 0.2192034, 0.1714332", \
+					  "0.2076939, 0.2779404, 0.2677257, 0.2445781, 0.2514490, 0.1926449, 0.1671369", \
+					  "0.1972383, 0.2565743, 0.2684244, 0.2417519, 0.2210177, 0.1882536, 0.1855978", \
+					  "0.1951221, 0.2592497, 0.2637431, 0.2548946, 0.2572403, 0.2064446, 0.1772889", \
+					  "0.1991075, 0.2620432, 0.2481796, 0.2527765, 0.2225676, 0.2267439, 0.1812744");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1093872, -0.1707583, -0.1537308, -0.1599826, -0.1389290, -0.1095360, -0.0777993", \
+					  "-0.0339807, -0.0954671, -0.1085480, -0.0951219, -0.0633872, -0.0370459, 0.0130013", \
+					  "-0.0089744, -0.0611808, -0.0771029, -0.0698102, -0.0219171, 0.0030628, 0.0317478", \
+					  "-0.0068563, -0.0514637, -0.0721677, -0.0418385, -0.0225950, 0.0033914, 0.0380231", \
+					  "-0.0025042, -0.0305727, -0.0387304, 0.3455607, -0.0208678, 0.0109241, 0.0339744", \
+					  "-0.0080174, -0.0373661, -0.0384906, -0.0250645, -0.0159349, 0.0077000, 0.0534314", \
+					  "0.0063077, -0.0367738, -0.0440019, -0.0443184, -0.0221483, 0.0279851, 0.0419139");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139954, -0.1738406, -0.1522355, -0.1615390, -0.1389596, -0.1110925, -0.0778300", \
+					  "-0.0309289, -0.0937966, -0.0990845, -0.0887102, -0.0569754, -0.0291083, 0.0209392", \
+					  "-0.0028709, -0.0562932, -0.0650384, -0.0764602, -0.0358361, -0.0015148, 0.0286960", \
+					  "0.0053507, -0.0306012, -0.0577528, -0.0506685, -0.0359728, 0.0230415, 0.0507288", \
+					  "0.0138398, -0.0231941, -0.0302107, -0.0411523, -0.0055338, -0.0127013, -0.0032750", \
+					  "0.0057155, -0.0148575, -0.0232318, -0.0115293, -0.0031240, 0.0181844, 0.0400010", \
+					  "0.0228615, -0.0184633, -0.0210801, -0.0015841, -0.0066351, 0.0236717, 0.0460708");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3056778, 0.3715939, 0.3774290, 0.3547478, 0.3352201, 0.3226118, 0.2878233", \
+					  "0.2333136, 0.2802476, 0.2791041, 0.2842688, 0.2616408, 0.2320690, 0.2063855", \
+					  "0.1960790, 0.2574720, 0.2631741, 0.2579103, 0.2224356, 0.2044460, 0.1600055", \
+					  "0.1695468, 0.2345677, 0.2274867, 0.2125347, 0.1960588, 0.1852560, 0.1581125", \
+					  "0.1590912, 0.2233961, 0.2226920, 0.2081826, 0.2011869, 0.1347522, 0.1351546", \
+					  "0.1569751, 0.2229457, 0.2065046, 0.1969112, 0.1773835, 0.1519571, 0.1411014", \
+					  "0.1624864, 0.2208276, 0.2156806, 0.2131036, 0.1798430, 0.1489241, 0.1376422");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0956636, -0.1524571, -0.1400072, -0.1432073, -0.1267314, -0.0942866, -0.0640758", \
+					  "-0.0126184, -0.0753460, -0.0905160, -0.0740381, -0.0453551, -0.0159621, 0.0325593", \
+					  "0.0122167, -0.0398489, -0.0605432, -0.0599826, -0.0152587, 0.0183216, 0.0575196", \
+					  "0.0253194, -0.0137196, -0.0194525, -0.0096794, 0.0119999, -0.0009296, 0.0694052", \
+					  "0.0205315, -0.0061192, -0.0100892, -0.0350945, 0.0036369, -0.0139744, 0.0136946", \
+					  "0.0544025, 0.0038327, -0.0079730, 0.0156763, 0.0153844, 0.0343195, 0.0238613", \
+					  "0.0515230, 0.0013731, -0.0081985, -0.0001965, 0.0435387, 0.0143937, 0.0460526");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2751423, 0.3349880, 0.3423167, 0.3226865, 0.3031588, 0.2890245, 0.2557620", \
+					  "0.1953368, 0.2478500, 0.2440996, 0.2461108, 0.2294492, 0.1697726, 0.1700002", \
+					  "0.1609839, 0.2193863, 0.2281245, 0.2101923, 0.1951994, 0.1617092, 0.1350172", \
+					  "0.1375035, 0.1971935, 0.2014991, 0.2014083, 0.1655412, 0.1407258, 0.1212889", \
+					  "0.1270479, 0.1884407, 0.1909331, 0.1746133, 0.1489821, 0.1151796, 0.1061629", \
+					  "0.1264576, 0.1847987, 0.1843974, 0.1672585, 0.1377106, 0.1098435, 0.1084850", \
+					  "0.1334948, 0.1781030, 0.1766754, 0.1658014, 0.1310149, 0.1016219, 0.1124305");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4161244, -0.4774955, -0.4787786, -0.4606163, -0.4426145, -0.4208509, -0.3784330", \
+					  "-0.3492507, -0.4048890, -0.4046609, -0.4047781, -0.3824802, -0.3500354, -0.3198246", \
+					  "-0.3236217, -0.3845993, -0.3843217, -0.3591457, -0.3255649, -0.3054625, -0.2538937", \
+					  "-0.3074544, -0.3714145, -0.3776005, -0.3445693, -0.3461725, -0.3137918, -0.2578423", \
+					  "-0.3076800, -0.3658987, -0.3564637, -0.3445635, -0.3527419, -0.3185309, -0.2530384", \
+					  "-0.3101414, -0.3641070, -0.3741840, -0.3715031, -0.3168688, -0.1653528, -0.3061021", \
+					  "-0.3126010, -0.3680305, -0.3684383, -0.3525362, -0.3345344, -0.3112449, -0.2800285");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5635576, 0.6249293, 0.6261535, 0.6080501, 0.5885224, 0.5789658, 0.5395997", \
+					  "0.4960949, 0.5536486, 0.5508123, 0.5406739, 0.5195187, 0.5191887, 0.4768252", \
+					  "0.4664253, 0.5306043, 0.5317288, 0.5154251, 0.4961810, 0.4411878, 0.4274994", \
+					  "0.4548862, 0.5193309, 0.5250331, 0.5055688, 0.4768205, 0.4459016, 0.4034837", \
+					  "0.4520600, 0.5119271, 0.5191551, 0.5057290, 0.4816237, 0.4507048, 0.4189681", \
+					  "0.4514697, 0.5048005, 0.5167989, 0.5036129, 0.4886645, 0.4516404, 0.4214296", \
+					  "0.4539292, 0.5148031, 0.5193702, 0.5243829, 0.4957000, 0.4571517, 0.4345703");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1164387, 0.1778102, 0.1607259, 0.1670345, 0.1444551, 0.1165899, 0.0848532", \
+					  "0.0410317, 0.1026062, 0.1157435, 0.1038432, 0.0721085, 0.0457672, -0.0042800", \
+					  "0.0160254, 0.0732326, 0.0826165, 0.0755057, 0.0273456, 0.0024630, -0.0307995", \
+					  "0.0108556, 0.0569872, 0.0776058, 0.0474996, 0.0281251, 0.0036938, -0.0288965", \
+					  "0.0065035, 0.0475991, 0.0450484, 0.0452016, 0.0264411, 0.0079532, 0.0337104", \
+					  "0.0181203, 0.0428919, 0.0455424, 0.0305904, 0.0207044, -0.0222168, 0.0353822", \
+					  "0.0022692, 0.0438256, 0.0541054, 0.0514687, 0.0291581, 0.0090205, -0.0348582");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0971803, -0.1570256, -0.1399981, -0.1477758, -0.1251963, -0.0973291, -0.0655925", \
+					  "-0.0263513, -0.0888814, -0.0994931, -0.0845411, -0.0543322, -0.0264651, 0.0220563", \
+					  "0.0001809, -0.0519800, -0.0740951, -0.0632246, -0.0129105, 0.0137440, 0.0424289", \
+					  "0.0022990, -0.0306047, -0.0663983, -0.0359123, -0.0151181, 0.0064576, 0.0445203", \
+					  "0.0066511, -0.0231941, -0.0375448, -0.0367043, -0.0148830, -0.0038581, -0.0205533", \
+					  "-0.0034398, -0.0206353, -0.0278095, -0.0190734, -0.0159275, 0.0181836, -0.0293402", \
+					  "0.0153077, -0.0276186, -0.0348466, -0.0279684, -0.0066407, -0.0030174, 0.0470298");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2903870, 0.3517586, 0.3575614, 0.3379311, 0.3199293, 0.3057951, 0.2710067", \
+					  "0.2167194, 0.2625852, 0.2625753, 0.2691688, 0.2460805, 0.1849902, 0.1881843", \
+					  "0.1808203, 0.2467908, 0.2418118, 0.2504172, 0.2011993, 0.1816046, 0.1584799", \
+					  "0.1725987, 0.2339915, 0.2259608, 0.2155865, 0.1991105, 0.1762141, 0.1505920", \
+					  "0.1621431, 0.2186547, 0.2226899, 0.2097085, 0.1832588, 0.1707501, 0.1290511", \
+					  "0.1630787, 0.2198939, 0.2174785, 0.2162974, 0.1728058, 0.1534140, 0.1425583", \
+					  "0.1701159, 0.2208276, 0.2234780, 0.2009380, 0.1813689, 0.1504500, 0.1463680");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1124389, -0.1753359, -0.1567825, -0.1615085, -0.1435067, -0.1156395, -0.0823769", \
+					  "-0.0370324, -0.1037286, -0.1085488, -0.0935969, -0.0618622, -0.0355209, 0.0145264", \
+					  "-0.0135520, -0.0692222, -0.0785950, -0.0731147, -0.0280285, -0.0030407, 0.0271701", \
+					  "-0.0114339, -0.0529159, -0.0811010, -0.0463695, -0.0270542, 0.0003558, 0.0346976", \
+					  "-0.0070818, -0.0380022, -0.0751202, -0.0590268, -0.0237812, -0.0024108, -0.0313320", \
+					  "-0.0125950, -0.0405197, -0.0415424, -0.0357456, -0.0159349, -0.0007527, 0.0251892", \
+					  "0.0032560, -0.0428774, -0.0531572, -0.0459212, -0.0266130, 0.0144875, 0.0389393");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0401464, 0.0902616, 0.0801597, 0.0720220, 0.0589593, 0.0311387, -0.0005980", \
+					  "-0.0319955, 0.0328425, 0.0379332, 0.0214553, -0.0072277, -0.0366207, -0.0805644", \
+					  "-0.0508464, 0.0011243, 0.0195824, 0.0049240, -0.0468701, -0.0723050, -0.1116711", \
+					  "-0.0630536, -0.0086232, -0.0170660, -0.0343604, -0.0629655, -0.0910794, -0.1207755", \
+					  "-0.0726757, -0.0155827, -0.0225338, -0.0435874, -0.0555606, -0.0922486, -0.1242293", \
+					  "-0.0566480, -0.0178888, -0.0184135, -0.0273610, -0.0682830, -0.0792919, -0.1247429", \
+					  "-0.0727984, -0.0356707, -0.0160850, -0.0249335, -0.0749788, -0.0982683, -0.1029943");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1911957, 0.1328897, 0.1317651, 0.1482449, 0.1769279, 0.2154762, 0.2578940", \
+					  "0.2622777, 0.2039572, 0.1965283, 0.2130062, 0.2355856, 0.2649787, 0.2982412", \
+					  "0.2986574, 0.2339628, 0.2393988, 0.2608314, 0.2757939, 0.3051869, 0.3384494", \
+					  "0.3006326, 0.2484051, 0.2564661, 0.2830027, 0.3089867, 0.3383798, 0.3701165", \
+					  "0.2934695, 0.2575190, 0.2670089, 0.3455944, 0.3133627, 0.3229729, 0.3562079", \
+					  "0.3002102, 0.2756194, 0.2624624, 0.2648525, 0.3052328, 0.3236182, 0.3553617", \
+					  "0.2925064, 0.2625650, 0.2464527, 0.2654447, 0.2849724, 0.3311501, 0.3561143");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1109123, -0.1738093, -0.1552560, -0.1599819, -0.1389283, -0.1095353, -0.0777986", \
+					  "-0.0385583, -0.0969710, -0.1070890, -0.0936629, -0.0619282, -0.0355869, 0.0144603", \
+					  "-0.0120261, -0.0652548, -0.0785798, -0.0730436, -0.0265090, -0.0015148, 0.0317478", \
+					  "-0.0083822, -0.0514637, -0.0735814, -0.0449284, -0.0240668, 0.0003823, 0.0364499", \
+					  "-0.0040301, -0.0335661, -0.0705168, 0.3455767, -0.0208976, 0.0109363, 0.0325273", \
+					  "-0.0095433, -0.0388919, -0.0400165, -0.0265904, -0.0159316, -0.0007468, 0.0579320", \
+					  "0.0063077, -0.0382997, -0.0485796, -0.0443127, -0.0236529, 0.0279863, 0.0404254");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3529474, 0.4064645, 0.4159108, 0.4004915, 0.3824897, 0.3683555, 0.3335670", \
+					  "0.2778699, 0.3278714, 0.3234929, 0.3274976, 0.3063761, 0.2782602, 0.2475418", \
+					  "0.2525366, 0.3093518, 0.3105473, 0.2819817, 0.2752695, 0.2286226, 0.2156406", \
+					  "0.2321080, 0.2881486, 0.3022548, 0.2842510, 0.2514600, 0.2536408, 0.2188524", \
+					  "0.2247041, 0.2901778, 0.2856180, 0.2831144, 0.2512159, 0.2111418, 0.2017354", \
+					  "0.2225880, 0.2840833, 0.2827541, 0.2854121, 0.2857209, 0.2142007, 0.2108583", \
+					  "0.2265734, 0.2879662, 0.2749328, 0.2726129, 0.2363005, 0.2450545, 0.2048541");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00162598";
+				miller_cap_rise : "0.00152105";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("21.4307, 9.35042, 2.72779, 2.6579, 2.57692, 2.52473, 2.4604, 2.38197, 2.29302, 2.1981, 2.09871, 1.99512, 1.88723, 1.77485, 1.6577, 1.53535, 1.40711, 1.27174, 1.12658, 0.968272, 0.797654, 0.615664, 0.422204, 0.217057, -1.95654e-08, -0.450179, -0.938422, -5.00708, -13.9069", \
+					  "21.0786, 8.9595, 2.11254, 1.99557, 1.95979, 1.93981, 1.91772, 1.89285, 1.86427, 1.83052, 1.78921, 1.73633, 1.66744, 1.58472, 1.49361, 1.39549, 1.28997, 1.17582, 1.05039, 0.909874, 0.75482, 0.586412, 0.4046, 0.209193, -8.12665e-09, -0.436214, -0.916671, -5.0049, -13.9053", \
+					  "20.9096, 8.74013, 1.81432, 1.59432, 1.56891, 1.55553, 1.54122, 1.52568, 1.50856, 1.48943, 1.46767, 1.44232, 1.41182, 1.37339, 1.322, 1.25294, 1.17011, 1.07659, 0.970519, 0.847798, 0.708554, 0.55423, 0.384818, 0.200134, -3.04021e-09, -0.420234, -0.891668, -5.00253, -13.9036", \
+					  "20.8593, 8.67089, 1.7243, 1.46252, 1.43943, 1.42756, 1.41501, 1.40149, 1.38675, 1.37046, 1.35218, 1.3313, 1.30682, 1.27708, 1.23906, 1.18714, 1.117, 1.03273, 0.935047, 0.820074, 0.687758, 0.539659, 0.375789, 0.195962, -1.05663e-08, -0.412944, -0.880322, -5.00149, -13.9029", \
+					  "20.8113, 8.60696, 1.64288, 1.33212, 1.31088, 1.3003, 1.28923, 1.27742, 1.26465, 1.25067, 1.23517, 1.2177, 1.19763, 1.17391, 1.14477, 1.10679, 1.05368, 0.981653, 0.893757, 0.787723, 0.663415, 0.522543, 0.365141, 0.191021, -1.08401e-08, -0.404367, -0.867045, -5.00029, -13.902", \
+					  "20.7871, 8.57646, 1.60679, 1.26784, 1.24704, 1.23703, 1.22663, 1.21558, 1.20367, 1.19069, 1.17637, 1.16034, 1.14206, 1.1207, 1.09485, 1.06195, 1.01684, 0.952799, 0.870576, 0.769538, 0.649701, 0.512874, 0.359109, 0.188213, -4.10679e-08, -0.39952, -0.85958, -4.99963, -13.9016", \
+					  "20.7624, 8.54653, 1.57231, 1.21189, 1.18356, 1.17407, 1.16428, 1.15393, 1.14283, 1.13077, 1.11752, 1.10278, 1.08608, 1.06675, 1.04368, 1.01489, 0.976453, 0.921161, 0.84546, 0.749835, 0.63482, 0.502364, 0.352539, 0.185145, -4.69983e-06, -0.394261, -0.851508, -4.99894, -13.9011", \
+					  "20.7368, 8.51692, 1.53861, 1.16441, 1.12032, 1.11126, 1.102, 1.09228, 1.08189, 1.07065, 1.05836, 1.04474, 1.02942, 1.01184, 0.991097, 0.965656, 0.932547, 0.885631, 0.817585, 0.727844, 0.618, 0.490225, 0.344613, 0.180958, -0.000940402, -0.389754, -0.8444, -5.00087, -13.9087", \
+					  "20.71, 8.48732, 1.50478, 1.11506, 1.05382, 1.04292, 1.03367, 1.02423, 1.01428, 1.00361, 0.992022, 0.979283, 0.965065, 0.948895, 0.930041, 0.907277, 0.878332, 0.838455, 0.778998, 0.695712, 0.591301, 0.468349, 0.326963, 0.166895, -0.0121032, -0.397124, -0.852218, -5.02557, -13.9775", \
+					  "20.6811, 8.45726, 1.46986, 1.06202, 0.987988, 0.969845, 0.959492, 0.950089, 0.940477, 0.930325, 0.919412, 0.907508, 0.894325, 0.879458, 0.862297, 0.841851, 0.816342, 0.782162, 0.731494, 0.655767, 0.557418, 0.439849, 0.303211, 0.147204, -0.0284809, -0.409233, -0.864809, -5.05612, -14.0588", \
+					  "20.6509, 8.42644, 1.43397, 1.00706, 0.924654, 0.899011, 0.884837, 0.874981, 0.865593, 0.855911, 0.845632, 0.834517, 0.822299, 0.808626, 0.792982, 0.774548, 0.751903, 0.722259, 0.679366, 0.612357, 0.520795, 0.409255, 0.278031, 0.126775, -0.04488, -0.419895, -0.874301, -5.08217, -14.1281", \
+					  "20.6189, 8.395, 1.39768, 0.951306, 0.863118, 0.832275, 0.812597, 0.800982, 0.791574, 0.782289, 0.772599, 0.762225, 0.750908, 0.738331, 0.72405, 0.707377, 0.68715, 0.661154, 0.624507, 0.56667, 0.482752, 0.377902, 0.252769, 0.106979, -0.0598852, -0.427543, -0.876987, -5.1015, -14.1801", \
+					  "20.5848, 8.36314, 1.36151, 0.89547, 0.8031, 0.768824, 0.744823, 0.729816, 0.71984, 0.710854, 0.701726, 0.692068, 0.681609, 0.670059, 0.657025, 0.641921, 0.623773, 0.600771, 0.569013, 0.519549, 0.44425, 0.34678, 0.228432, 0.0888408, -0.0724536, -0.431067, -0.872562, -5.11269, -14.2108", \
+					  "20.5486, 8.33103, 1.32572, 0.840064, 0.744592, 0.707986, 0.681327, 0.663355, 0.652027, 0.64325, 0.63472, 0.625811, 0.616221, 0.605673, 0.593821, 0.580154, 0.563841, 0.54336, 0.515483, 0.472778, 0.406631, 0.317289, 0.206434, 0.0737833, -0.0811471, -0.428994, -0.860355, -5.11396, -14.2154", \
+					  "20.5102, 8.29885, 1.29059, 0.785567, 0.687784, 0.649539, 0.621311, 0.602065, 0.589793, 0.581108, 0.573209, 0.565067, 0.556329, 0.546734, 0.535972, 0.523597, 0.508886, 0.490526, 0.465754, 0.428209, 0.37046, 0.289983, 0.187282, 0.062248, -0.0856139, -0.421267, -0.840689, -5.10674, -14.1986", \
+					  "20.4697, 8.26685, 1.25642, 0.732519, 0.633051, 0.593623, 0.56447, 0.545011, 0.532911, 0.524196, 0.516696, 0.509188, 0.501182, 0.492411, 0.482592, 0.471327, 0.457975, 0.441375, 0.41909, 0.385471, 0.334259, 0.262959, 0.169055, 0.0522687, -0.087905, -0.410367, -0.816727, -5.09638, -14.1728", \
+					  "20.4273, 8.23536, 1.2236, 0.681624, 0.581004, 0.540717, 0.511022, 0.491789, 0.480246, 0.471727, 0.464338, 0.45727, 0.449875, 0.441816, 0.432817, 0.422511, 0.410317, 0.395187, 0.37492, 0.344345, 0.297809, 0.234646, 0.15018, 0.0423396, -0.0894741, -0.397837, -0.790275, -5.08572, -14.1447", \
+					  "20.3838, 8.20488, 1.19268, 0.633876, 0.532587, 0.491691, 0.461697, 0.44285, 0.431888, 0.423733, 0.416501, 0.409644, 0.402721, 0.395273, 0.386985, 0.377507, 0.366302, 0.3524, 0.333771, 0.305579, 0.262377, 0.204977, 0.129955, 0.0318391, -0.0908294, -0.384085, -0.761713, -5.07576, -14.1167", \
+					  "20.3402, 8.1767, 1.16457, 0.590755, 0.489242, 0.447948, 0.417829, 0.39942, 0.388999, 0.381241, 0.374301, 0.367619, 0.360971, 0.354009, 0.346324, 0.337548, 0.327169, 0.314273, 0.29695, 0.270603, 0.229793, 0.175948, 0.108217, 0.0204774, -0.0921279, -0.369089, -0.730827, -5.06678, -14.0901", \
+					  "20.2991, 8.15138, 1.14064, 0.554486, 0.453139, 0.411636, 0.381531, 0.363584, 0.35366, 0.346289, 0.339678, 0.333258, 0.326793, 0.32013, 0.312913, 0.304705, 0.294986, 0.282878, 0.266547, 0.241563, 0.202418, 0.150703, 0.0867544, 0.00811328, -0.0934148, -0.352689, -0.697229, -5.05891, -14.0654", \
+					  "20.2649, 8.13171, 1.123, 0.528313, 0.427394, 0.385838, 0.355864, 0.338402, 0.328942, 0.321935, 0.315643, 0.309506, 0.303266, 0.296764, 0.289825, 0.282025, 0.272789, 0.261243, 0.245592, 0.221502, 0.183393, 0.132853, 0.0705261, -0.00423509, -0.0947106, -0.334771, -0.661896, -5.05226, -14.0432", \
+					  "20.2513, 8.12451, 1.11702, 0.51995, 0.419004, 0.377338, 0.347454, 0.330386, 0.321252, 0.314476, 0.308373, 0.302397, 0.29628, 0.289836, 0.282898, 0.275196, 0.266145, 0.254818, 0.239418, 0.215628, 0.177842, 0.127631, 0.0656821, -0.00856538, -0.095791, -0.316165, -0.627382, -5.04683, -14.0235", \
+					  "20.252, 8.12472, 1.11718, 0.520432, 0.41882, 0.376852, 0.346883, 0.330001, 0.320979, 0.314237, 0.30814, 0.30215, 0.295997, 0.289483, 0.282409, 0.274531, 0.265394, 0.254044, 0.23864, 0.214854, 0.177074, 0.12687, 0.0649286, -0.00930939, -0.0965084, -0.300222, -0.595223, -5.04254, -14.0064", \
+					  "20.2529, 8.12505, 1.1174, 0.520978, 0.418765, 0.376533, 0.346496, 0.329773, 0.320838, 0.314122, 0.308028, 0.302027, 0.295851, 0.289294, 0.282145, 0.274129, 0.264829, 0.253405, 0.237978, 0.214185, 0.176405, 0.126202, 0.0642624, -0.00997262, -0.0971668, -0.293249, -0.566318, -5.03922, -13.9919", \
+					  "20.2537, 8.12535, 1.1176, 0.521458, 0.418717, 0.376257, 0.346169, 0.329587, 0.320724, 0.31403, 0.30794, 0.301934, 0.295744, 0.289162, 0.281969, 0.273877, 0.264441, 0.252871, 0.237383, 0.213572, 0.175785, 0.125581, 0.063642, -0.0105914, -0.0977829, -0.293582, -0.545887, -5.03671, -13.9798", \
+					  "20.2551, 8.12588, 1.11793, 0.522255, 0.418638, 0.37581, 0.345651, 0.329301, 0.320553, 0.313894, 0.307813, 0.301803, 0.295599, 0.288991, 0.281756, 0.273593, 0.264036, 0.25225, 0.236488, 0.212498, 0.174646, 0.124418, 0.0624688, -0.0117678, -0.0989593, -0.294758, -0.540562, -5.03466, -13.9626", \
+					  "20.2563, 8.12632, 1.11818, 0.522879, 0.418577, 0.375467, 0.345266, 0.329096, 0.320431, 0.313799, 0.307727, 0.301717, 0.295507, 0.288889, 0.281635, 0.273445, 0.263842, 0.251978, 0.236071, 0.211819, 0.173649, 0.123214, 0.0611782, -0.0130978, -0.100311, -0.296141, -0.541939, -5.03527, -13.9538", \
+					  "20.2589, 8.12723, 1.11865, 0.524046, 0.418465, 0.374835, 0.344576, 0.328742, 0.320224, 0.31364, 0.307586, 0.301581, 0.295368, 0.288741, 0.281472, 0.273257, 0.263618, 0.251693, 0.235674, 0.211197, 0.172564, 0.121223, 0.057744, -0.0185018, -0.108126, -0.308585, -0.556002, -5.04572, -13.9567", \
+					  "20.2607, 8.12693, 1.11892, 0.524704, 0.418417, 0.374469, 0.344168, 0.328536, 0.320103, 0.313547, 0.307504, 0.301504, 0.295291, 0.288662, 0.281389, 0.273168, 0.263519, 0.251577, 0.235526, 0.210983, 0.172217, 0.120615, 0.056643, -0.0205125, -0.111779, -0.320342, -0.585754, -5.10764, -14.0334");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0159726, 0.0242777, 0.0331560, 0.0441382, 0.0629835");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189514, 0.0532626, 0.0702823, 0.0851913, 0.1070699");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0796957");
+						index_3("0.001");
+						index_4("0.0735107, 0.1359225, 2628.6068500, 5257.0777900, 5257.1402000");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0766943");
+						index_3("0.001");
+						index_4("0.0697621, 0.1318378, 0.4570813, 0.7823249, 0.8444005");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0720435");
+						index_3("0.001");
+						index_4("0.0636548, 0.1237456, 1.0516934, 1.9796413, 2.0397320");
+						values("2.59428, 4.15085, 5.18856, 4.15085, 2.59428");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0398479");
+						index_3("0.001");
+						index_4("0.0782737, 0.1432864, 0.5312179, 0.9191494, 0.9841621");
+						values("2.59429, 4.15087, 5.18858, 4.15087, 2.59429");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0383472");
+						index_3("0.001");
+						index_4("0.0757886, 0.1408463, 4.5231952, 8.9055440, 8.9706017");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0360218");
+						index_3("0.001");
+						index_4("0.0721336, 0.1368017, 0.4727479, 0.8086942, 0.8733622");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.115042");
+						index_3("0.001");
+						index_4("0.0725006, 0.1208456, 4385.1090200, 8770.0971900, 8770.1455400");
+						values("2.59424, 4.15079, 5.18849, 4.15079, 2.59424");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024267;
+			capacitance : 0.025004;
+			rise_capacitance : 0.025261;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4161244, -0.3492507, -0.3236217, -0.3074544, -0.3076800, -0.3101414, -0.3126010", \
+					  "-0.4774955, -0.4048890, -0.3845993, -0.3714145, -0.3658987, -0.3641070, -0.3680305", \
+					  "-0.4787786, -0.4046609, -0.3843217, -0.3776005, -0.3564637, -0.3741840, -0.3684383", \
+					  "-0.4606163, -0.4047781, -0.3591457, -0.3445693, -0.3445635, -0.3715031, -0.3525362", \
+					  "-0.4426145, -0.3824802, -0.3255649, -0.3461725, -0.3527419, -0.3168688, -0.3345344", \
+					  "-0.4208509, -0.3500354, -0.3054625, -0.3137918, -0.3185309, -0.1653528, -0.3112449", \
+					  "-0.3784330, -0.3198246, -0.2538937, -0.2578423, -0.2530384, -0.3061021, -0.2800285");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4435548, -0.3120807, -0.2210713, -0.1350299, -0.0635391, 0.0041898, 0.0663694", \
+					  "-0.5049260, -0.3648154, -0.2810867, -0.1918446, -0.1188506, -0.0572025, 0.0059508", \
+					  "-0.5024537, -0.3591414, -0.2793200, -0.1990188, -0.1245301, -0.0490517, 0.0048791", \
+					  "-0.4895727, -0.3644734, -0.2629015, -0.1883245, -0.1034746, -0.0418492, 0.0353285", \
+					  "-0.4853038, -0.3387834, -0.2441524, -0.1630671, -0.0869987, -0.0159404, 0.0435358", \
+					  "-0.4498073, -0.3115982, -0.2106399, -0.1321481, -0.0621833, 0.0005660, 0.0279724", \
+					  "-0.4058636, -0.2768418, -0.1791466, -0.1289941, -0.0512866, 0.0017338, 0.0757380");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5635576, 0.4960949, 0.4664253, 0.4548862, 0.4520600, 0.4514697, 0.4539292", \
+					  "0.6249293, 0.5536486, 0.5306043, 0.5193309, 0.5119271, 0.5048005, 0.5148031", \
+					  "0.6261535, 0.5508123, 0.5317288, 0.5250331, 0.5191551, 0.5167989, 0.5193702", \
+					  "0.6080501, 0.5406739, 0.5154251, 0.5055688, 0.5057290, 0.5036129, 0.5243829", \
+					  "0.5885224, 0.5195187, 0.4961810, 0.4768205, 0.4816237, 0.4886645, 0.4957000", \
+					  "0.5789658, 0.5191887, 0.4411878, 0.4459016, 0.4507048, 0.4516404, 0.4571517", \
+					  "0.5395997, 0.4768252, 0.4274994, 0.4034837, 0.4189681, 0.4214296, 0.4345703");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4506049, 0.3194301, 0.2265997, 0.1420811, 0.0705903, 0.0028614, -0.0594564", \
+					  "0.5119765, 0.3748038, 0.2866930, 0.1971272, 0.1335091, 0.0627284, 0.0011010", \
+					  "0.5147269, 0.3697950, 0.2894102, 0.2060977, 0.1331077, 0.0767728, 0.0021889", \
+					  "0.4950972, 0.3737189, 0.2699703, 0.1938095, 0.1120522, 0.0439950, -0.0285854", \
+					  "0.4786213, 0.3474069, 0.2508794, 0.1685929, 0.0940504, 0.0229758, -0.0356227", \
+					  "0.4583836, 0.3185299, 0.2161686, 0.1391999, 0.0677092, 0.0076096, -0.0662840", \
+					  "0.4296987, 0.2854618, 0.1863572, 0.1359568, 0.0566963, 0.0038462, -0.0596381");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0022228";
+				miller_cap_rise : "0.00154151";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01711, 1.68236, 1.54252, 1.47466, 1.39562, 1.3519, 1.3054, 1.2561, 1.20403, 1.14918, 1.09156, 1.03119, 0.968063, 0.902199, 0.833606, 0.762295, 0.688275, 0.611557, 0.532154, 0.450077, 0.365337, 0.277947, 0.187919, 0.095266, -7.17188e-09, -0.18935, -0.372899, -0.950937, -3.91994", \
+					  "4.71451, 1.1601, 1.10739, 1.08609, 1.06045, 1.04519, 1.02762, 1.00701, 0.98263, 0.954019, 0.921004, 0.88354, 0.841622, 0.795259, 0.744471, 0.689283, 0.629725, 0.565828, 0.497626, 0.425153, 0.348445, 0.267538, 0.18247, 0.0932775, -6.6988e-09, -0.187846, -0.371672, -0.984834, -3.93077", \
+					  "4.50985, 0.793562, 0.734492, 0.72281, 0.709935, 0.702904, 0.695362, 0.687186, 0.678199, 0.668151, 0.65666, 0.643135, 0.626668, 0.606058, 0.580232, 0.548639, 0.511099, 0.46759, 0.418139, 0.362798, 0.301626, 0.234691, 0.16206, 0.0838061, -6.51141e-09, -0.172979, -0.346424, -0.962222, -3.93072", \
+					  "4.4493, 0.736613, 0.60212, 0.592553, 0.582214, 0.57667, 0.570812, 0.564576, 0.55787, 0.550572, 0.542504, 0.5334, 0.522841, 0.510138, 0.49417, 0.473456, 0.446801, 0.413682, 0.373976, 0.327691, 0.274884, 0.215627, 0.150004, 0.0780986, -7.86408e-09, -0.1638, -0.330728, -0.9397, -3.92874", \
+					  "4.39195, 0.725187, 0.469722, 0.462004, 0.453805, 0.449477, 0.444962, 0.440224, 0.435217, 0.429882, 0.424134, 0.417856, 0.410873, 0.402909, 0.393506, 0.381843, 0.366469, 0.345514, 0.317731, 0.28272, 0.240434, 0.190926, 0.134284, 0.0706069, -9.29303e-09, -0.151713, -0.310089, -0.909651, -3.92546", \
+					  "4.36476, 0.730054, 0.404715, 0.397788, 0.390555, 0.386764, 0.382831, 0.37873, 0.37443, 0.369888, 0.365047, 0.359829, 0.354121, 0.347752, 0.340446, 0.331726, 0.320683, 0.305623, 0.284445, 0.255955, 0.219843, 0.176104, 0.124813, 0.0660738, -9.21533e-09, -0.1444, -0.297626, -0.891312, -3.92324", \
+					  "4.33887, 0.74083, 0.342149, 0.335069, 0.328749, 0.325459, 0.322063, 0.318544, 0.314879, 0.31104, 0.306988, 0.302671, 0.298017, 0.29292, 0.287216, 0.280634, 0.272678, 0.262325, 0.247555, 0.226056, 0.196752, 0.159432, 0.114131, 0.060947, -8.53641e-09, -0.136137, -0.283572, -0.870449, -3.9206", \
+					  "4.31459, 0.757357, 0.288459, 0.274579, 0.269118, 0.266299, 0.263404, 0.260422, 0.257336, 0.254128, 0.250771, 0.247233, 0.243468, 0.23941, 0.234963, 0.229975, 0.224183, 0.217076, 0.207475, 0.192932, 0.170992, 0.140764, 0.102133, 0.0551724, -2.65099e-08, -0.126844, -0.267798, -0.846787, -3.91748", \
+					  "4.29233, 0.77963, 0.255898, 0.217404, 0.21251, 0.210139, 0.207715, 0.205232, 0.202678, 0.200041, 0.197306, 0.194451, 0.191448, 0.188257, 0.184823, 0.181062, 0.176836, 0.171898, 0.165723, 0.156913, 0.142485, 0.119976, 0.0887225, 0.0486955, -7.65301e-07, -0.116442, -0.250181, -0.820015, -3.91373", \
+					  "4.27254, 0.807743, 0.24514, 0.166917, 0.159903, 0.157955, 0.155976, 0.153959, 0.151898, 0.149785, 0.147609, 0.145358, 0.143017, 0.140561, 0.13796, 0.135169, 0.132118, 0.128688, 0.124658, 0.119491, 0.111464, 0.0969971, 0.0738009, 0.0414477, -2.14968e-05, -0.104873, -0.230621, -0.789814, -3.90856", \
+					  "4.2558, 0.841872, 0.249977, 0.133815, 0.112373, 0.110756, 0.109183, 0.107592, 0.105975, 0.104329, 0.102648, 0.100925, 0.09915, 0.0973119, 0.0953942, 0.0933737, 0.0912166, 0.0888692, 0.0862392, 0.0831373, 0.0790156, 0.071688, 0.0570049, 0.0330404, -0.000423536, -0.0924501, -0.209411, -0.756269, -3.90066", \
+					  "4.24278, 0.882257, 0.26666, 0.124655, 0.0710158, 0.0686855, 0.0673398, 0.0660238, 0.0647061, 0.0633789, 0.0620372, 0.0606767, 0.0592926, 0.0578788, 0.0564277, 0.0549288, 0.0533671, 0.0517203, 0.0499527, 0.0479997, 0.0457156, 0.0425478, 0.0356875, 0.0205935, -0.00415638, -0.0821871, -0.189658, -0.722478, -3.8951", \
+					  "4.23432, 0.929303, 0.293615, 0.132255, 0.0374536, 0.0273158, 0.025111, 0.0235512, 0.0221168, 0.0207302, 0.0193657, 0.0180114, 0.0166599, 0.0153058, 0.0139443, 0.0125703, 0.0111775, 0.00975762, 0.00829868, 0.00678111, 0.0051683, 0.00336602, 0.000838408, -0.0059361, -0.0215248, -0.0844215, -0.181783, -0.698658, -3.8998", \
+					  "4.23165, 0.983951, 0.330564, 0.150111, 0.0145701, -0.0167115, -0.0260586, -0.0293877, -0.0316986, -0.0336748, -0.0354913, -0.0372159, -0.0388817, -0.0405076, -0.0421052, -0.0436828, -0.0452464, -0.0468015, -0.0483534, -0.0499082, -0.0514747, -0.0530686, -0.0547372, -0.056994, -0.0640002, -0.110168, -0.196319, -0.693648, -3.92031", \
+					  "4.23607, 1.04725, 0.377102, 0.175795, 0.00366139, -0.0522454, -0.0800016, -0.0893148, -0.0938502, -0.0970909, -0.0997911, -0.102203, -0.104438, -0.106555, -0.108587, -0.110556, -0.112476, -0.114358, -0.11621, -0.118037, -0.119846, -0.121643, -0.123435, -0.125248, -0.127865, -0.156289, -0.229614, -0.702237, -3.95191", \
+					  "4.24641, 1.11652, 0.428501, 0.20516, 0.000660848, -0.0774002, -0.127956, -0.150687, -0.159911, -0.165399, -0.169523, -0.172983, -0.176057, -0.178883, -0.181536, -0.184063, -0.186495, -0.188851, -0.191147, -0.193395, -0.195604, -0.197782, -0.199937, -0.202077, -0.204273, -0.217873, -0.275744, -0.717395, -3.98908", \
+					  "4.2593, 1.18672, 0.478161, 0.233159, 0.000101742, -0.097078, -0.169, -0.211226, -0.229185, -0.23831, -0.244481, -0.249336, -0.253473, -0.257165, -0.260557, -0.263734, -0.26675, -0.269642, -0.272435, -0.275149, -0.277798, -0.280395, -0.282951, -0.285477, -0.287988, -0.29477, -0.333087, -0.736921, -4.02903", \
+					  "4.27311, 1.25581, 0.523245, 0.258173, 1.10268e-05, -0.113564, -0.204171, -0.267319, -0.299253, -0.314117, -0.323144, -0.329781, -0.335195, -0.339882, -0.344094, -0.347972, -0.351606, -0.355052, -0.358351, -0.361532, -0.364617, -0.367625, -0.370569, -0.373466, -0.376329, -0.38223, -0.402089, -0.761566, -4.07077", \
+					  "4.28749, 1.32338, 0.563269, 0.280187, 6.06806e-07, -0.127638, -0.234377, -0.3167, -0.366853, -0.390595, -0.403652, -0.412584, -0.419537, -0.42537, -0.430493, -0.435129, -0.439415, -0.443435, -0.447249, -0.450898, -0.454415, -0.457823, -0.461144, -0.464395, -0.467595, -0.473938, -0.483666, -0.792218, -4.11432", \
+					  "4.3024, 1.38934, 0.598533, 0.299489, 2.25621e-08, -0.139725, -0.26037, -0.3593, -0.428974, -0.465588, -0.484414, -0.496357, -0.505201, -0.512372, -0.518522, -0.523989, -0.528972, -0.533595, -0.537942, -0.542069, -0.546021, -0.549828, -0.553519, -0.557116, -0.560642, -0.567564, -0.574945, -0.829236, -4.15964", \
+					  "4.3179, 1.45356, 0.629542, 0.316392, 2.35727e-09, -0.150131, -0.282776, -0.395931, -0.483772, -0.536938, -0.564028, -0.580004, -0.591208, -0.59997, -0.607294, -0.613685, -0.619427, -0.624694, -0.6296, -0.634224, -0.638621, -0.642833, -0.646896, -0.650837, -0.654683, -0.662188, -0.669679, -0.872719, -4.20657", \
+					  "4.33401, 1.51581, 0.6568, 0.331182, 1.82409e-09, -0.159105, -0.302121, -0.427481, -0.531023, -0.60254, -0.64111, -0.662579, -0.676793, -0.687477, -0.696165, -0.703597, -0.710175, -0.716138, -0.72164, -0.726785, -0.731645, -0.736275, -0.740716, -0.745005, -0.749172, -0.757255, -0.765206, -0.922739, -4.25488", \
+					  "4.35081, 1.57577, 0.680749, 0.344111, 1.94286e-09, -0.166852, -0.318843, -0.454715, -0.571517, -0.660791, -0.714173, -0.743177, -0.7613, -0.774344, -0.784639, -0.793261, -0.800771, -0.807496, -0.813641, -0.819339, -0.824686, -0.82975, -0.834584, -0.839229, -0.843722, -0.852385, -0.860837, -0.979483, -4.30442", \
+					  "4.36834, 1.63299, 0.701773, 0.355397, 2.15428e-09, -0.173545, -0.33331, -0.478266, -0.606273, -0.711156, -0.781617, -0.820821, -0.844105, -0.860102, -0.87232, -0.882318, -0.890879, -0.898446, -0.905289, -0.911583, -0.917447, -0.922968, -0.92821, -0.933224, -0.938053, -0.947306, -0.956261, -1.04336, -4.35504", \
+					  "4.38666, 1.68693, 0.720203, 0.365233, 2.46151e-09, -0.179328, -0.345832, -0.498655, -0.636201, -0.754164, -0.841993, -0.894385, -0.924552, -0.944306, -0.958861, -0.970471, -0.980231, -0.988739, -0.996349, -1.00329, -1.0097, -1.01571, -1.02138, -1.02678, -1.03196, -1.04182, -1.05128, -1.115, -4.40665", \
+					  "4.42582, 1.78217, 0.750395, 0.381209, 3.45117e-09, -0.188625, -0.366035, -0.531609, -0.68438, -0.822228, -0.939277, -1.02409, -1.07519, -1.10615, -1.1272, -1.14304, -1.1558, -1.16657, -1.17598, -1.1844, -1.19207, -1.19915, -1.20576, -1.212, -1.21792, -1.22907, -1.23959, -1.28137, -4.51257", \
+					  "4.46397, 1.85649, 0.773222, 0.393144, 5.10038e-09, -0.195506, -0.381088, -0.556278, -0.720433, -0.872396, -1.00937, -1.12399, -1.20527, -1.25519, -1.28677, -1.30893, -1.32588, -1.33967, -1.35137, -1.36161, -1.37077, -1.37912, -1.38682, -1.39401, -1.40077, -1.41333, -1.425, -1.46304, -4.62166", \
+					  "4.52509, 1.9688, 0.810734, 0.412289, 1.59341e-08, -0.206529, -0.405791, -0.597552, -0.781545, -0.957438, -1.12474, -1.28255, -1.42885, -1.55868, -1.66279, -1.73547, -1.78353, -1.8169, -1.84185, -1.86166, -1.87812, -1.89226, -1.90472, -1.9159, -1.92609, -1.94424, -1.96026, -2.00266, -4.94631", \
+					  "4.52562, 1.99426, 0.816305, 0.414342, 6.3073e-08, -0.208276, -0.41167, -0.610083, -0.803417, -0.991565, -1.17441, -1.35183, -1.52365, -1.68966, -1.84951, -2.00253, -2.14738, -2.28112, -2.39813, -2.49179, -2.561, -2.6113, -2.64912, -2.67887, -2.70327, -2.74187, -2.77209, -2.83834, -5.44888");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0304603, 0.0518305, 0.0776891, 0.1074331, 0.1570794");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983785, 0.1202205, 0.1462639, 0.1754744, 0.2246294");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0172819, 0.0267097, 0.0373712, 0.0499793, 0.0671783");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0739815, 0.0893091, 0.1014146, 0.1135868, 0.1307363");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.22209");
+						index_3("0.001");
+						index_4("1.5909915, 1.6787157, 1.8154563, 1.9619742, 2.0449702");
+						values("0.417406, 0.66785, 0.834813, 0.66785, 0.417406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.84997");
+						index_3("0.001");
+						index_4("0.9135602, 0.9841852, 1.1217075, 1.2145285, 1.2730751");
+						values("0.482147, 0.771436, 0.964295, 0.771436, 0.482147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.24172");
+						index_3("0.001");
+						index_4("0.6152101, 0.6733525, 0.7757975, 0.8585185, 0.9069337");
+						values("0.510985, 0.817575, 1.02197, 0.817575, 0.510985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.61105");
+						index_3("0.001");
+						index_4("0.8049242, 0.8484635, 0.9381564, 0.9935651, 1.0371441");
+						values("0.236648, 0.378637, 0.473297, 0.378637, 0.236648");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.924983");
+						index_3("0.001");
+						index_4("0.4659618, 0.5004545, 0.5507125, 0.6105068, 0.6493366");
+						values("0.272136, 0.435418, 0.544272, 0.435418, 0.272136");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.620862");
+						index_3("0.001");
+						index_4("0.3154982, 0.3432858, 0.3910316, 0.4352390, 0.4612088");
+						values("0.285407, 0.456652, 0.570815, 0.456652, 0.285407");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.77495");
+						index_3("0.001");
+						index_4("1.3643705, 1.4724073, 1.6674503, 1.8020846, 1.8916683");
+						values("0.668986, 1.07038, 1.33797, 1.07038, 0.668986");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.04796");
+						index_3("0.001");
+						index_4("0.5222598, 0.5700165, 0.6724899, 0.7698112, 0.8368874");
+						values("1.47168, 1.18468, 0.993353, 1.18468, 1.47168");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.594221");
+						index_3("0.001");
+						index_4("0.2981735, 0.3322131, 0.4045287, 0.4801872, 0.5278848");
+						values("1.44468, 1.14148, 0.939351, 1.14148, 1.44468");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.394134");
+						index_3("0.001");
+						index_4("0.1989923, 0.2254991, 0.2827964, 0.3422248, 0.3807349");
+						values("1.43706, 1.1293, 0.924124, 1.1293, 1.43706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.523981");
+						index_3("0.001");
+						index_4("0.2659828, 0.2910641, 0.3428308, 0.3940390, 0.4376436");
+						values("1.70592, 1.55948, 1.46185, 1.55948, 1.70592");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.297111");
+						index_3("0.001");
+						index_4("0.1531702, 0.1705757, 0.2036629, 0.2460766, 0.2810545");
+						values("1.70073, 1.55118, 1.45147, 1.55118, 1.70073");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.197067");
+						index_3("0.001");
+						index_4("0.1031254, 0.1167346, 0.1462145, 0.1772025, 0.2050037");
+						values("1.69987, 1.54979, 1.44974, 1.54979, 1.69987");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.891332");
+						index_3("0.001");
+						index_4("0.4423186, 0.4950347, 0.5921485, 0.7066810, 0.7780227");
+						values("1.21772, 0.778357, 0.485447, 0.778357, 1.21772");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006928;
+			capacitance : 0.006769;
+			fall_capacitance : 0.006611;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1164387, 0.0410317, 0.0160254, 0.0108556, 0.0065035, 0.0181203, 0.0022692", \
+					  "0.1778102, 0.1026062, 0.0732326, 0.0569872, 0.0475991, 0.0428919, 0.0438256", \
+					  "0.1607259, 0.1157435, 0.0826165, 0.0776058, 0.0450484, 0.0455424, 0.0541054", \
+					  "0.1670345, 0.1038432, 0.0755057, 0.0474996, 0.0452016, 0.0305904, 0.0514687", \
+					  "0.1444551, 0.0721085, 0.0273456, 0.0281251, 0.0264411, 0.0207044, 0.0291581", \
+					  "0.1165899, 0.0457672, 0.0024630, 0.0036938, 0.0079532, -0.0222168, 0.0090205", \
+					  "0.0848532, -0.0042800, -0.0307995, -0.0288965, 0.0337104, 0.0353822, -0.0348582");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3758918, 0.2411418, 0.1350425, 0.0490010, -0.0257201, -0.1039516, -0.1661476", \
+					  "0.4339299, 0.2876494, 0.1949109, 0.1056294, 0.0389046, -0.0423262, -0.1131646", \
+					  "0.4414352, 0.2846328, 0.2006131, 0.1191491, 0.0356815, -0.0353155, -0.1089885", \
+					  "0.4234315, 0.2902863, 0.1886561, 0.0950760, 0.0408979, -0.0529106, -0.1262434", \
+					  "0.4069555, 0.2676531, 0.1732816, 0.0970512, 0.0081903, -0.0692420, -0.1466951", \
+					  "0.3790884, 0.2380278, 0.1273606, 0.0555149, -0.0323422, -0.0646139, -0.1673843", \
+					  "0.3595588, 0.2095374, 0.1079355, 0.0220140, -0.0594725, -0.0991985, -0.1720917");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0971803, -0.0263513, 0.0001809, 0.0022990, 0.0066511, -0.0034398, 0.0153077", \
+					  "-0.1570256, -0.0888814, -0.0519800, -0.0306047, -0.0231941, -0.0206353, -0.0276186", \
+					  "-0.1399981, -0.0994931, -0.0740951, -0.0663983, -0.0375448, -0.0278095, -0.0348466", \
+					  "-0.1477758, -0.0845411, -0.0632246, -0.0359123, -0.0367043, -0.0190734, -0.0279684", \
+					  "-0.1251963, -0.0543322, -0.0129105, -0.0151181, -0.0148830, -0.0159275, -0.0066407", \
+					  "-0.0973291, -0.0264651, 0.0137440, 0.0064576, -0.0038581, 0.0181836, -0.0030174", \
+					  "-0.0655925, 0.0220563, 0.0424289, 0.0445203, -0.0205533, -0.0293402, 0.0470298");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1735238, -0.0370327, 0.0615400, 0.1486640, 0.2214202, 0.2750083, 0.5260000", \
+					  "-0.2333689, -0.1069126, 0.0028757, 0.0884446, 0.1690766, 0.2403439, 0.3092820", \
+					  "-0.2316359, -0.1051954, -0.0036645, 0.0923702, 0.1653869, 0.2471702, 0.3074841", \
+					  "-0.2332744, -0.0948210, 0.0024598, 0.0974411, 0.1772871, 0.2569858, 0.3101857", \
+					  "-0.2106949, -0.0630865, 0.0325461, 0.1089824, 0.1937631, 0.2798026, 0.3410342", \
+					  "-0.1858795, -0.0367450, 0.0363698, 0.1382168, 0.2163876, 0.2939368, 0.3570900", \
+					  "-0.1495652, 0.0133022, 0.0681065, 0.1643706, 0.2460803, 0.2865261, 0.3811973");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425391, 0.0419784, 0.0414177, 0.0416125, 0.0417995, 0.0419943, 0.0421891");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0542222, 0.0534303, 0.0526384, 0.0532766, 0.0538892, 0.0545274, 0.0551655");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.00106108";
+				miller_cap_rise : "0.000886848";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91031e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87956e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88767e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89202e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89777e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90965e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18651e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350737, 0.0654616, 0.1027410, 0.1450915, 0.2127594");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1010410, 0.1314012, 0.1686430, 0.2113895, 0.2791158");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187521, 0.0298075, 0.0414291, 0.0542067, 0.0707932");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765266, 0.0931006, 0.1055895, 0.1181801, 0.1342002");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.17149");
+						index_3("0.001");
+						index_4("2.0590590, 2.1673015, 2.3797730, 2.5153611, 2.6060170");
+						values("0.380783, 0.609252, 0.761565, 0.609252, 0.380783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40381");
+						index_3("0.001");
+						index_4("1.1858234, 1.2782055, 1.4297597, 1.5552256, 1.6286839");
+						values("0.45867, 0.733873, 0.917341, 0.733873, 0.45867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62017");
+						index_3("0.001");
+						index_4("0.7996104, 0.8765000, 1.0065325, 1.1086776, 1.1649543");
+						values("0.48896, 0.782335, 0.977919, 0.782335, 0.48896");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08575");
+						index_3("0.001");
+						index_4("1.0398455, 1.0957502, 1.1857852, 1.2667720, 1.3140762");
+						values("0.216714, 0.346743, 0.433428, 0.346743, 0.216714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20191");
+						index_3("0.001");
+						index_4("0.6026064, 0.6473462, 0.7205378, 0.7859579, 0.8225236");
+						values("0.255554, 0.408887, 0.511108, 0.408887, 0.255554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.810086");
+						index_3("0.001");
+						index_4("0.4083568, 0.4461370, 0.5194575, 0.5607781, 0.5900958");
+						values("0.270321, 0.432513, 0.540642, 0.432513, 0.270321");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.60572");
+						index_3("0.001");
+						index_4("1.7694516, 1.9126245, 2.1239663, 2.3177255, 2.4221926");
+						values("0.636225, 1.01796, 1.27245, 1.01796, 0.636225");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.2001");
+						index_3("0.001");
+						index_4("0.5989375, 0.6552179, 0.7635679, 0.8940352, 0.9822799");
+						values("1.4467, 1.14471, 0.943393, 1.14471, 1.4467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.680148");
+						index_3("0.001");
+						index_4("0.3424121, 0.3830317, 0.4609468, 0.5584630, 0.6243192");
+						values("1.41986, 1.10178, 0.88972, 1.10178, 1.41986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451194");
+						index_3("0.001");
+						index_4("0.2288319, 0.2604873, 0.3271507, 0.3985948, 0.4485543");
+						values("1.40787, 1.0826, 0.865745, 1.0826, 1.40787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.600051");
+						index_3("0.001");
+						index_4("0.3050185, 0.3339131, 0.3827462, 0.4570060, 0.5130212");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340074");
+						index_3("0.001");
+						index_4("0.1757125, 0.1971397, 0.2403617, 0.2874011, 0.3311760");
+						values("1.67968, 1.51749, 1.40936, 1.51749, 1.67968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225597");
+						index_3("0.001");
+						index_4("0.1188404, 0.1355336, 0.1668261, 0.2068097, 0.2414106");
+						values("1.67873, 1.51596, 1.40746, 1.51596, 1.67873");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02022");
+						index_3("0.001");
+						index_4("0.5084140, 0.5701794, 0.6653996, 0.8184802, 0.9132013");
+						values("1.17399, 0.708378, 0.397972, 0.708378, 1.17399");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.018312;
+			capacitance : 0.019257;
+			rise_capacitance : 0.020202;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6754388, 0.8967032, 1.1179677, 1.3825258, 1.6365017, 1.9010598, 2.1656179");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.1619740, 29.7756410, 45.3893070, 45.7833310, 46.1615940, 46.5556180, 46.9496420");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00154325";
+				miller_cap_rise : "0.000815969";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("12.1771, 5.6796, 2.30777, 2.25715, 2.19128, 2.1491, 2.09729, 2.03215, 1.95278, 1.86424, 1.77048, 1.67254, 1.57058, 1.46457, 1.35443, 1.24004, 1.1213, 0.998094, 0.870297, 0.737788, 0.600438, 0.458118, 0.310696, 0.158036, -1.80068e-08, -0.32192, -0.649267, -5.54981, -15.3887", \
+					  "11.8187, 5.2342, 1.61124, 1.57708, 1.5502, 1.53479, 1.51763, 1.49826, 1.47598, 1.44975, 1.41784, 1.3773, 1.32329, 1.25281, 1.17123, 1.08286, 0.988476, 0.888056, 0.781441, 0.668438, 0.548836, 0.422418, 0.288959, 0.148232, -7.6572e-09, -0.30461, -0.620521, -5.54636, -15.3855", \
+					  "11.6551, 4.98952, 1.26485, 1.17046, 1.15324, 1.14382, 1.13362, 1.12246, 1.11011, 1.09626, 1.08046, 1.06203, 1.03987, 1.01204, 0.974919, 0.922515, 0.853954, 0.775571, 0.689412, 0.595515, 0.493633, 0.383466, 0.264693, 0.136984, -5.56146e-09, -0.284412, -0.587821, -5.5426, -15.382", \
+					  "11.6001, 4.91219, 1.16587, 1.03731, 1.02224, 1.01422, 1.00563, 0.996303, 0.986082, 0.974742, 0.961981, 0.947353, 0.930174, 0.909308, 0.882702, 0.846321, 0.793863, 0.726101, 0.648805, 0.563136, 0.468944, 0.365903, 0.253652, 0.131814, -5.2942e-09, -0.275217, -0.573072, -5.54095, -15.3805", \
+					  "11.5431, 4.83835, 1.07631, 0.905725, 0.892355, 0.88552, 0.878293, 0.870529, 0.862092, 0.852822, 0.84251, 0.83086, 0.817434, 0.801542, 0.782008, 0.756616, 0.720827, 0.668318, 0.601692, 0.525483, 0.440128, 0.345321, 0.240655, 0.125697, -5.23002e-09, -0.264411, -0.555876, -5.53905, -15.3787", \
+					  "11.5128, 4.80289, 1.03608, 0.840836, 0.82791, 0.821598, 0.81498, 0.807911, 0.800264, 0.7919, 0.782645, 0.772256, 0.760381, 0.746476, 0.729641, 0.708237, 0.678926, 0.635318, 0.575283, 0.504371, 0.423931, 0.333717, 0.233303, 0.122225, -2.33737e-08, -0.258311, -0.546237, -5.53799, -15.3778", \
+					  "11.4808, 4.76807, 0.997564, 0.784097, 0.763851, 0.758016, 0.751964, 0.745541, 0.738626, 0.731097, 0.722807, 0.713558, 0.703064, 0.690897, 0.676364, 0.658243, 0.634123, 0.598853, 0.546654, 0.48154, 0.406385, 0.32112, 0.225303, 0.118434, -4.68577e-06, -0.251694, -0.535836, -5.53687, -15.3767", \
+					  "11.4468, 4.73301, 0.960231, 0.737892, 0.70007, 0.694621, 0.689056, 0.683201, 0.676933, 0.670145, 0.66271, 0.654464, 0.645179, 0.634515, 0.621935, 0.606525, 0.586545, 0.558242, 0.514815, 0.45616, 0.386663, 0.306684, 0.215776, 0.113401, -0.00100809, -0.24581, -0.526383, -5.537, -15.3783", \
+					  "11.4103, 4.69787, 0.923807, 0.69524, 0.632196, 0.623981, 0.618085, 0.612269, 0.606226, 0.599802, 0.592868, 0.585279, 0.576842, 0.567285, 0.556191, 0.542871, 0.526063, 0.503136, 0.468289, 0.416904, 0.353245, 0.278569, 0.192424, 0.0941829, -0.0168232, -0.257359, -0.539206, -5.55299, -15.4096", \
+					  "11.3711, 4.6631, 0.888123, 0.653034, 0.5639, 0.544554, 0.536325, 0.529962, 0.523869, 0.517653, 0.511116, 0.5041, 0.49643, 0.487879, 0.478119, 0.466625, 0.452479, 0.433849, 0.406551, 0.363733, 0.306626, 0.237804, 0.156896, 0.063163, -0.0441988, -0.280776, -0.565395, -5.57736, -15.4543", \
+					  "11.3289, 4.62823, 0.853067, 0.61024, 0.498361, 0.465133, 0.450877, 0.443189, 0.436817, 0.430715, 0.424523, 0.418038, 0.411082, 0.403459, 0.394903, 0.385016, 0.373122, 0.357942, 0.336652, 0.302928, 0.253347, 0.191079, 0.116112, 0.0275957, -0.0754176, -0.306853, -0.593407, -5.60266, -15.4995", \
+					  "11.2834, 4.59335, 0.818562, 0.566796, 0.435355, 0.389196, 0.365334, 0.354908, 0.347909, 0.341789, 0.335871, 0.329856, 0.323548, 0.316763, 0.309284, 0.3008, 0.290815, 0.27843, 0.261766, 0.23627, 0.195362, 0.14039, 0.0721018, -0.0104282, -0.108298, -0.333113, -0.620019, -5.62714, -15.5424", \
+					  "11.2344, 4.55806, 0.784554, 0.522942, 0.374664, 0.317135, 0.282226, 0.266795, 0.258594, 0.252266, 0.246522, 0.240905, 0.235172, 0.229137, 0.222612, 0.215354, 0.206996, 0.196903, 0.183822, 0.164818, 0.133281, 0.086433, 0.0255966, -0.0501344, -0.142012, -0.358598, -0.640545, -5.65023, -15.5822", \
+					  "11.1816, 4.52248, 0.751017, 0.479014, 0.316205, 0.248753, 0.203182, 0.180193, 0.16984, 0.163019, 0.157321, 0.152016, 0.146779, 0.141406, 0.135723, 0.129536, 0.122569, 0.114375, 0.104114, 0.0899483, 0.0671374, 0.0293746, -0.0231963, -0.0912701, -0.176253, -0.382909, -0.656966, -5.67157, -15.6186", \
+					  "11.1249, 4.48664, 0.717978, 0.435415, 0.260047, 0.183932, 0.128783, 0.096507, 0.0825188, 0.074774, 0.0689497, 0.0638537, 0.0590276, 0.054229, 0.0492847, 0.0440285, 0.0382539, 0.0316444, 0.0236369, 0.0130794, -0.00288095, -0.0308713, -0.0743101, -0.133815, -0.210937, -0.405866, -0.670198, -5.69064, -15.6514", \
+					  "11.0643, 4.45052, 0.685566, 0.392657, 0.206433, 0.122751, 0.059147, 0.0172084, -0.00237576, -0.0116988, -0.0178856, -0.0228973, -0.0274049, -0.0317178, -0.0360241, -0.0404744, -0.0452299, -0.0505145, -0.0567051, -0.0645275, -0.0756178, -0.0944981, -0.127902, -0.177866, -0.246088, -0.427377, -0.680068, -5.70812, -15.6805", \
+					  "11.0003, 4.41471, 0.654095, 0.351425, 0.155838, 0.0655513, -0.00550361, -0.0564462, -0.0835525, -0.0954382, -0.102317, -0.1074, -0.111694, -0.115614, -0.11938, -0.123143, -0.127034, -0.131216, -0.135938, -0.141662, -0.149333, -0.161352, -0.184196, -0.22359, -0.281785, -0.447383, -0.686413, -5.72407, -15.7067", \
+					  "10.9336, 4.37996, 0.624172, 0.312692, 0.109062, 0.0130257, -0.0645926, -0.123491, -0.159297, -0.175129, -0.183167, -0.188522, -0.192724, -0.19635, -0.199677, -0.202867, -0.20604, -0.209315, -0.212863, -0.216977, -0.222219, -0.229812, -0.243374, -0.271201, -0.318144, -0.465833, -0.68903, -5.73852, -15.7299", \
+					  "10.8662, 4.34669, 0.596832, 0.277897, 0.0673846, -0.033627, -0.117014, -0.182837, -0.227448, -0.248894, -0.258758, -0.264646, -0.268902, -0.272348, -0.275342, -0.278076, -0.28067, -0.283225, -0.285857, -0.288758, -0.29228, -0.297101, -0.304743, -0.320921, -0.355312, -0.482675, -0.687593, -5.75148, -15.7504", \
+					  "10.802, 4.31691, 0.573735, 0.249194, 0.0327855, -0.0724994, -0.160935, -0.232779, -0.285466, -0.314056, -0.326672, -0.333443, -0.337933, -0.341325, -0.344103, -0.346505, -0.348667, -0.350682, -0.352638, -0.354671, -0.35702, -0.36012, -0.364747, -0.372756, -0.393458, -0.49786, -0.681901, -5.76316, -15.7685", \
+					  "10.7478, 4.29362, 0.557426, 0.229725, 0.00814532, -0.100786, -0.193615, -0.2707, -0.330392, -0.366993, -0.383545, -0.391659, -0.396602, -0.400088, -0.402779, -0.404981, -0.406859, -0.408514, -0.410027, -0.411502, -0.413125, -0.415229, -0.418376, -0.423432, -0.432802, -0.511441, -0.673758, -5.77396, -15.7846", \
+					  "10.7259, 4.2856, 0.554439, 0.227016, 0.000118859, -0.112071, -0.208838, -0.290585, -0.356318, -0.400968, -0.422829, -0.432973, -0.438728, -0.442569, -0.445408, -0.447647, -0.449495, -0.451071, -0.452464, -0.453768, -0.455146, -0.456898, -0.459533, -0.463838, -0.470909, -0.524472, -0.666285, -5.78418, -15.799", \
+					  "10.7271, 4.2869, 0.558537, 0.232469, 9.34718e-08, -0.115289, -0.215761, -0.30185, -0.373003, -0.425296, -0.453855, -0.466968, -0.474007, -0.478517, -0.48176, -0.484271, -0.486313, -0.488037, -0.489538, -0.490914, -0.49231, -0.493997, -0.496451, -0.500428, -0.50701, -0.540994, -0.661284, -5.79402, -15.8119", \
+					  "10.7287, 4.28826, 0.562531, 0.237539, 1.36237e-08, -0.118079, -0.221914, -0.311946, -0.38792, -0.447046, -0.483199, -0.500289, -0.509015, -0.514365, -0.5181, -0.520934, -0.523206, -0.525101, -0.526733, -0.5282, -0.529636, -0.531281, -0.533575, -0.537231, -0.543306, -0.568817, -0.659643, -5.80357, -15.8236", \
+					  "10.7302, 4.2895, 0.566306, 0.24214, 1.86068e-08, -0.12059, -0.227474, -0.321094, -0.401387, -0.466478, -0.510502, -0.532758, -0.543715, -0.550126, -0.554457, -0.55767, -0.560207, -0.562298, -0.56408, -0.565656, -0.567149, -0.568774, -0.570928, -0.574278, -0.579839, -0.603515, -0.666512, -5.81291, -15.8341", \
+					  "10.7327, 4.29169, 0.573233, 0.250108, 4.83137e-08, -0.124889, -0.237064, -0.336941, -0.424669, -0.499496, -0.557829, -0.593716, -0.611538, -0.621063, -0.627032, -0.631237, -0.63444, -0.637011, -0.639156, -0.641005, -0.642674, -0.644331, -0.646288, -0.649102, -0.653673, -0.67374, -0.722105, -5.8329, -15.8525", \
+					  "10.7349, 4.29355, 0.579383, 0.256675, 1.38187e-07, -0.128383, -0.244941, -0.350052, -0.443949, -0.526408, -0.595719, -0.646643, -0.675627, -0.690459, -0.699012, -0.70467, -0.708795, -0.712006, -0.714623, -0.716831, -0.718759, -0.720549, -0.722438, -0.724861, -0.728576, -0.745023, -0.786828, -5.8545, -15.8697", \
+					  "10.7396, 4.29761, 0.593671, 0.270122, 1.63417e-06, -0.135379, -0.261099, -0.377433, -0.484625, -0.582846, -0.672066, -0.7517, -0.819567, -0.870515, -0.901539, -0.918827, -0.929301, -0.936393, -0.941621, -0.945713, -0.949056, -0.951879, -0.954349, -0.956653, -0.959112, -0.967289, -0.98947, -5.91713, -15.9036", \
+					  "10.7438, 4.30139, 0.606475, 0.279587, 1.8203e-05, -0.140058, -0.272957, -0.39884, -0.517865, -0.630184, -0.735934, -0.835226, -0.928111, -1.0145, -1.09397, -1.16523, -1.22516, -1.26936, -1.29777, -1.31566, -1.32767, -1.33639, -1.3431, -1.34851, -1.35303, -1.36038, -1.36766, -6.03886, -15.9457");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0316994, 0.0646630, 0.1001519, 0.1420163, 0.2108869");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0859116, 0.1220550, 0.1574995, 0.1992861, 0.2684138");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0486724, 0.0986454, 0.1506015, 0.2044972, 0.2789506");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011118, 0.1511087, 0.2030708, 0.2568744, 0.3311447");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.57708");
+						index_3("0.001");
+						index_4("0.6797956, 0.7725763, 0.8610425, 0.9660979, 1.0603680");
+						values("0.308509, 0.493614, 0.617018, 0.493614, 0.308509");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.13557");
+						index_3("0.001");
+						index_4("0.5010688, 0.5711706, 0.6439829, 0.7400819, 0.8129757");
+						values("0.436357, 0.698172, 0.872714, 0.698172, 0.436357");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.792844");
+						index_3("0.001");
+						index_4("0.3658036, 0.4173047, 0.4872219, 0.5697140, 0.6273965");
+						values("0.664496, 1.06319, 1.32899, 1.06319, 0.664496");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.788539");
+						index_3("0.001");
+						index_4("0.3510572, 0.3978250, 0.4567759, 0.5197734, 0.5780236");
+						values("0.251247, 0.401996, 0.502494, 0.401996, 0.251247");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("0.567785");
+						index_3("0.001");
+						index_4("0.2580685, 0.2934418, 0.3450243, 0.4015334, 0.4481567");
+						values("0.321447, 0.514315, 0.642894, 0.514315, 0.321447");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.396422");
+						index_3("0.001");
+						index_4("0.1861744, 0.2131907, 0.2525284, 0.3058397, 0.3461879");
+						values("0.413114, 0.660983, 0.826229, 0.660983, 0.413114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.70335");
+						index_3("0.001");
+						index_4("0.7563919, 0.8539765, 0.9614985, 1.0717013, 1.1641208");
+						values("0.517783, 0.828452, 1.03557, 0.828452, 0.517783");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.58352");
+						index_3("0.001");
+						index_4("0.6555302, 0.7708117, 0.8818958, 0.9885288, 1.1036263");
+						values("5.24621, 5.09394, 4.99243, 5.09394, 5.24621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.2522");
+						index_3("0.001");
+						index_4("0.5299328, 0.6218892, 0.6988492, 0.8093631, 0.9035159");
+						values("5.17301, 4.97681, 4.84601, 4.97681, 5.17301");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("1.02568");
+						index_3("0.001");
+						index_4("0.4473883, 0.5187549, 0.5954613, 0.6884405, 0.7677928");
+						values("5.08676, 4.83882, 4.67352, 4.83882, 5.08676");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.791759");
+						index_3("0.001");
+						index_4("0.3467616, 0.4020875, 0.4554105, 0.5396252, 0.6062598");
+						values("5.28614, 5.15782, 5.07227, 5.15782, 5.28614");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.626101");
+						index_3("0.001");
+						index_4("0.2818602, 0.3238834, 0.3668111, 0.4481485, 0.5053058");
+						values("5.24389, 5.09023, 4.98778, 5.09023, 5.24389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.512841");
+						index_3("0.001");
+						index_4("0.2367369, 0.2720566, 0.3236647, 0.3832080, 0.4332102");
+						values("5.19721, 5.01554, 4.89443, 5.01554, 5.19721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.8783");
+						index_3("0.001");
+						index_4("0.7865449, 0.9159386, 1.0142665, 1.1597079, 1.2892799");
+						values("5.1363, 4.91808, 4.77261, 4.91808, 5.1363");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033266;
+			capacitance : 0.033204;
+			fall_capacitance : 0.033142;
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1505141, 1.3466635, 1.5428128, 1.8090585, 2.0646545, 2.3309002, 2.5971460");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0595048, 0.1144765, 0.2884578, 0.5451894, 0.7916516, 1.0483831, 1.3051147");
+				}
+			}*/
+			/* Copied from enable_switch_h. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007164;
+			capacitance : 0.007016;
+			fall_capacitance : 0.006867;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0401464, -0.0319955, -0.0508464, -0.0630536, -0.0726757, -0.0566480, -0.0727984", \
+					  "0.0902616, 0.0328425, 0.0011243, -0.0086232, -0.0155827, -0.0178888, -0.0356707", \
+					  "0.0801597, 0.0379332, 0.0195824, -0.0170660, -0.0225338, -0.0184135, -0.0160850", \
+					  "0.0720220, 0.0214553, 0.0049240, -0.0343604, -0.0435874, -0.0273610, -0.0249335", \
+					  "0.0589593, -0.0072277, -0.0468701, -0.0629655, -0.0555606, -0.0682830, -0.0749788", \
+					  "0.0311387, -0.0366207, -0.0723050, -0.0910794, -0.0922486, -0.0792919, -0.0982683", \
+					  "-0.0005980, -0.0805644, -0.1116711, -0.1207755, -0.1242293, -0.1247429, -0.1029943");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1185473, -0.2478959, -0.3474880, -0.4373644, -0.5174178, -0.5754468, -0.5665770", \
+					  "-0.0601774, -0.1945865, -0.3022970, -0.3856196, -0.4757778, -0.5348121, -0.6055960", \
+					  "-0.0621046, -0.1943780, -0.2870327, -0.3691607, -0.4613042, -0.5473068, -0.5973486", \
+					  "-0.0785825, -0.2093298, -0.2931116, -0.3867180, -0.4844452, -0.5335889, -0.6046530", \
+					  "-0.1133690, -0.2334351, -0.3156910, -0.3829299, -0.4858240, -0.5886463, -0.6911289", \
+					  "-0.1549690, -0.2628284, -0.3535224, -0.4310965, -0.4864510, -0.5444175, -0.6601135", \
+					  "-0.1973869, -0.2945649, -0.3844501, -0.4471113, -0.5440846, -0.6351483, -0.6808472");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1911957, 0.2622777, 0.2986574, 0.3006326, 0.2934695, 0.3002102, 0.2925064", \
+					  "0.1328897, 0.2039572, 0.2339628, 0.2484051, 0.2575190, 0.2756194, 0.2625650", \
+					  "0.1317651, 0.1965283, 0.2393988, 0.2564661, 0.2670089, 0.2624624, 0.2464527", \
+					  "0.1482449, 0.2130062, 0.2608314, 0.2830027, 0.3455944, 0.2648525, 0.2654447", \
+					  "0.1769279, 0.2355856, 0.2757939, 0.3089867, 0.3133627, 0.3052328, 0.2849724", \
+					  "0.2154762, 0.2649787, 0.3051869, 0.3383798, 0.3229729, 0.3236182, 0.3311501", \
+					  "0.2578940, 0.2982412, 0.3384494, 0.3701165, 0.3562079, 0.3553617, 0.3561143");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1317050, 0.2595736, 0.3600694, 0.4445283, 0.5280430, 0.6053859, 0.5778068", \
+					  "0.0733328, 0.2041783, 0.3079092, 0.3986706, 0.4851782, 0.5444344, 0.6077775", \
+					  "0.0752600, 0.2075912, 0.3028605, 0.3840441, 0.4675598, 0.5418857, 0.6090743", \
+					  "0.0932637, 0.2225432, 0.3093873, 0.3924787, 0.4884267, 0.5319768, 0.6122867", \
+					  "0.1265243, 0.2451226, 0.3273890, 0.3917202, 0.4925593, 0.5843781, 0.6232602", \
+					  "0.1681244, 0.2745159, 0.3654228, 0.4398442, 0.4952292, 0.5534251, 0.6662523", \
+					  "0.2105422, 0.3062523, 0.3885187, 0.4559016, 0.5483282, 0.6164135, 0.6865923");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538743, 0.0532279, 0.0525816, 0.0531560, 0.0537075, 0.0542819, 0.0548563");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425163, 0.0419438, 0.0413713, 0.0415476, 0.0417168, 0.0418931, 0.0420694");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010608";
+				miller_cap_rise : "0.000886029";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91021e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87946e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88757e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89193e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89766e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90955e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18642e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350821, 0.0657941, 0.1022187, 0.1447492, 0.2126969");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1009548, 0.1311494, 0.1681711, 0.2107468, 0.2780543");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187224, 0.0297188, 0.0412698, 0.0539439, 0.0706486");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764808, 0.0929814, 0.1054426, 0.1179760, 0.1338955");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.14851");
+						index_3("0.001");
+						index_4("2.0478413, 2.1556098, 2.3652763, 2.5012057, 2.5916527");
+						values("0.381059, 0.609695, 0.762119, 0.609695, 0.381059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.39057");
+						index_3("0.001");
+						index_4("1.1792953, 1.2711502, 1.4212456, 1.5468995, 1.6197027");
+						values("0.458689, 0.733903, 0.917379, 0.733903, 0.458689");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.61125");
+						index_3("0.001");
+						index_4("0.7952109, 0.8716752, 1.0009855, 1.1026178, 1.1585993");
+						values("0.488951, 0.782322, 0.977902, 0.782322, 0.488951");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.07426");
+						index_3("0.001");
+						index_4("1.0341715, 1.0897499, 1.1794266, 1.2598811, 1.3068872");
+						values("0.216802, 0.346883, 0.433604, 0.346883, 0.216802");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.19528");
+						index_3("0.001");
+						index_4("0.5993252, 0.6437974, 0.7167144, 0.7817090, 0.8180361");
+						values("0.255627, 0.409004, 0.511255, 0.409004, 0.255627");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.805624");
+						index_3("0.001");
+						index_4("0.4061695, 0.4437759, 0.5163736, 0.5576870, 0.5868630");
+						values("0.270549, 0.432878, 0.541098, 0.432878, 0.270549");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.58585");
+						index_3("0.001");
+						index_4("1.7593805, 1.9016832, 2.0978316, 2.3058563, 2.4095018");
+						values("0.635312, 1.0165, 1.27062, 1.0165, 0.635312");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.19451");
+						index_3("0.001");
+						index_4("0.5961584, 0.6521914, 0.7615254, 0.8900306, 0.9780552");
+						values("1.44662, 1.14459, 0.943243, 1.14459, 1.44662");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.676981");
+						index_3("0.001");
+						index_4("0.3408502, 0.3812831, 0.4587501, 0.5558889, 0.6213966");
+						values("1.41974, 1.10158, 0.889471, 1.10158, 1.41974");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.449093");
+						index_3("0.001");
+						index_4("0.2277744, 0.2592268, 0.3267760, 0.3969427, 0.4462580");
+						values("1.40811, 1.08297, 0.866211, 1.08297, 1.40811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.597257");
+						index_3("0.001");
+						index_4("0.3036300, 0.3323696, 0.3807082, 0.4549682, 0.5107107");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.338491");
+						index_3("0.001");
+						index_4("0.1749450, 0.1962463, 0.2393608, 0.2860893, 0.3296606");
+						values("1.67983, 1.51773, 1.40967, 1.51773, 1.67983");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.224546");
+						index_3("0.001");
+						index_4("0.1183265, 0.1349369, 0.1660168, 0.2058748, 0.2403051");
+						values("1.67884, 1.51614, 1.40767, 1.51614, 1.67884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.01547");
+						index_3("0.001");
+						index_4("0.5060721, 0.5675516, 0.6621571, 0.8146583, 0.9089627");
+						values("1.17369, 0.707897, 0.397372, 0.707897, 1.17369");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014331;
+			capacitance : 0.014243;
+			fall_capacitance : 0.014156;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1109123, -0.0385583, -0.0120261, -0.0083822, -0.0040301, -0.0095433, 0.0063077", \
+					  "-0.1738093, -0.0969710, -0.0652548, -0.0514637, -0.0335661, -0.0388919, -0.0382997", \
+					  "-0.1552560, -0.1070890, -0.0785798, -0.0735814, -0.0705168, -0.0400165, -0.0485796", \
+					  "-0.1599819, -0.0936629, -0.0730436, -0.0449284, 0.3455767, -0.0265904, -0.0443127", \
+					  "-0.1389283, -0.0619282, -0.0265090, -0.0240668, -0.0208976, -0.0159316, -0.0236529", \
+					  "-0.1095353, -0.0355869, -0.0015148, 0.0003823, 0.0109363, -0.0007468, 0.0279863", \
+					  "-0.0777986, 0.0144603, 0.0317478, 0.0364499, 0.0325273, 0.0579320, 0.0404254");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1704713, -0.0324548, 0.0627294, 0.1531035, 0.2216715, 0.2749536, 0.3178540", \
+					  "-0.2272648, -0.0969138, 0.0044016, 0.0915676, 0.1720986, 0.2418698, 0.3107602", \
+					  "-0.2209182, -0.1006093, -0.0022186, 0.0838787, 0.1653869, 0.2455697, 0.3073723", \
+					  "-0.2256443, -0.0917610, 0.0024487, 0.1003631, 0.1803389, 0.2529242, 0.3101527", \
+					  "-0.2030648, -0.0600263, 0.0340908, 0.1131977, 0.1937631, 0.2828543, 0.3250987", \
+					  "-0.1767236, -0.0336850, 0.0409448, 0.1440534, 0.2150355, 0.2906870, 0.3647194", \
+					  "-0.1404093, 0.0163624, 0.0726815, 0.1718139, 0.2474592, 0.2848626, 0.3811973");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529474, 0.2778699, 0.2525366, 0.2321080, 0.2247041, 0.2225880, 0.2265734", \
+					  "0.4064645, 0.3278714, 0.3093518, 0.2881486, 0.2901778, 0.2840833, 0.2879662", \
+					  "0.4159108, 0.3234929, 0.3105473, 0.3022548, 0.2856180, 0.2827541, 0.2749328", \
+					  "0.4004915, 0.3274976, 0.2819817, 0.2842510, 0.2831144, 0.2854121, 0.2726129", \
+					  "0.3824897, 0.3063761, 0.2752695, 0.2514600, 0.2512159, 0.2857209, 0.2363005", \
+					  "0.3683555, 0.2782602, 0.2286226, 0.2536408, 0.2111418, 0.2142007, 0.2450545", \
+					  "0.3335670, 0.2475418, 0.2156406, 0.2188524, 0.2017354, 0.2108583, 0.2048541");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1866800, 0.0501848, -0.0521293, -0.1392266, -0.2109619, -0.2702690, -0.3149049", \
+					  "0.2419447, 0.1142799, 0.0040888, -0.0796567, -0.1615592, -0.2343908, -0.3008948", \
+					  "0.2506975, 0.1124819, 0.0138669, -0.0798087, -0.1550435, -0.2293191, -0.2973581", \
+					  "0.2418501, 0.1036336, -0.0010066, -0.0736175, -0.1748130, -0.2471694, -0.3015513", \
+					  "0.2177448, 0.0718986, -0.0203287, -0.0962982, -0.1788541, -0.2498626, -0.3291990", \
+					  "0.1975070, 0.0425058, -0.0290426, -0.1265337, -0.2017687, -0.2838333, -0.3358114", \
+					  "0.1672962, -0.0044899, -0.0607793, -0.1494329, -0.2335787, -0.2747253, -0.3573609");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540300, 0.0534522, 0.0528744, 0.0534399, 0.0539828, 0.0545483, 0.0551138");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425349, 0.0420523, 0.0415697, 0.0417382, 0.0419001, 0.0420686, 0.0422372");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105772";
+				miller_cap_rise : "0.000879112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91034e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87958e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88771e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89205e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.8978e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90967e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18655e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.07571e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0351589, 0.0657407, 0.1029582, 0.1461699, 0.2139529");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011281, 0.1315191, 0.1688047, 0.2115821, 0.2793841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0188319, 0.0298939, 0.0415029, 0.0541900, 0.0707188");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765226, 0.0930895, 0.1056684, 0.1182703, 0.1343198");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18105");
+						index_3("0.001");
+						index_4("2.0636615, 2.1720643, 2.3861157, 2.5212828, 2.6120700");
+						values("0.381132, 0.60981, 0.762263, 0.60981, 0.381132");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40932");
+						index_3("0.001");
+						index_4("1.1884893, 1.2812180, 1.4337142, 1.5587600, 1.6325015");
+						values("0.459293, 0.734869, 0.918586, 0.734869, 0.459293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62388");
+						index_3("0.001");
+						index_4("0.8014507, 0.8784834, 1.0099447, 1.1112400, 1.1677317");
+						values("0.489342, 0.782947, 0.978684, 0.782947, 0.489342");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09052");
+						index_3("0.001");
+						index_4("1.0422029, 1.0982436, 1.1908686, 1.2700943, 1.3173047");
+						values("0.216851, 0.346961, 0.433701, 0.346961, 0.216851");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20466");
+						index_3("0.001");
+						index_4("0.6039753, 0.6487676, 0.7240098, 0.7874055, 0.8245302");
+						values("0.255642, 0.409027, 0.511283, 0.409027, 0.255642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811942");
+						index_3("0.001");
+						index_4("0.4092828, 0.4470649, 0.5210579, 0.5621788, 0.5915178");
+						values("0.270545, 0.432873, 0.541091, 0.432873, 0.270545");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.61398");
+						index_3("0.001");
+						index_4("1.7752440, 1.9168060, 2.1318016, 2.3229860, 2.4277155");
+						values("0.636978, 1.01917, 1.27396, 1.01917, 0.636978");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20269");
+						index_3("0.001");
+						index_4("0.5997272, 0.6567946, 0.7721224, 0.8970489, 0.9863844");
+						values("1.44491, 1.14185, 0.939814, 1.14185, 1.44491");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681615");
+						index_3("0.001");
+						index_4("0.3431984, 0.3839359, 0.4621048, 0.5596959, 0.6257108");
+						values("1.41934, 1.10094, 0.888679, 1.10094, 1.41934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452167");
+						index_3("0.001");
+						index_4("0.2293100, 0.2607167, 0.3310155, 0.4000566, 0.4492468");
+						values("1.40857, 1.08371, 0.867131, 1.08371, 1.40857");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.601345");
+						index_3("0.001");
+						index_4("0.3057683, 0.3349303, 0.3874826, 0.4574514, 0.5140895");
+						values("1.69303, 1.53884, 1.43606, 1.53884, 1.69303");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340807");
+						index_3("0.001");
+						index_4("0.1761879, 0.1974909, 0.2417938, 0.2880984, 0.3319271");
+						values("1.6804, 1.51863, 1.41079, 1.51863, 1.6804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226083");
+						index_3("0.001");
+						index_4("0.1191871, 0.1359437, 0.1682226, 0.2072662, 0.2419556");
+						values("1.67878, 1.51604, 1.40756, 1.51604, 1.67878");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02242");
+						index_3("0.001");
+						index_4("0.5099870, 0.5725161, 0.6803337, 0.8212983, 0.9148504");
+						values("1.16912, 0.700595, 0.388244, 0.700595, 1.16912");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.994940;
+			max_transition : 1.506563;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("2.2686592, 2.4279444, 2.9471070, 7.7861743, 8.5020622, 8.4999120, 8.4945708", \
+					  "6.2044194, 6.2053704, 6.2032293, 6.2228655, 6.2070835, 6.0595812, 6.0216970", \
+					  "6.4219901, 6.4229876, 6.4237821, 6.4250619, 6.4277620, 6.4287612, 6.2929423", \
+					  "6.6011415, 6.5751567, 6.5925390, 6.5736240, 6.6055343, 6.6076281, 6.6142187", \
+					  "6.7680883, 6.8284848, 6.7757145, 6.8018282, 6.8283235, 6.8217918, 6.6872081", \
+					  "6.9737749, 6.9720241, 6.9603021, 6.8482481, 6.9660801, 6.9643644, 6.9049150", \
+					  "7.1362551, 7.0734555, 7.1476982, 7.1088044, 7.1800274, 7.1571295, 7.1402736");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("4.5554573, 5.0475307, 5.8010183, 6.2674507, 6.4275180, 6.4339703, 6.4352774", \
+					  "9.1136040, 9.1136751, 9.1136864, 9.1178957, 9.1210468, 9.1219549, 9.2918850", \
+					  "9.1453321, 9.1459418, 9.1474502, 9.1515736, 9.1563241, 9.1440076, 9.1360461", \
+					  "9.1175860, 9.1160387, 9.1137748, 9.1860703, 9.1901946, 9.1901495, 9.1382821", \
+					  "9.1199626, 9.1174143, 9.1173909, 9.1176268, 9.2012196, 9.0865379, 9.1460346", \
+					  "9.1308367, 9.1313996, 9.0751616, 9.0736888, 9.0752709, 9.2462401, 9.2152761", \
+					  "9.1813319, 9.1822270, 9.1828836, 9.2741361, 9.2787904, 9.0652477, 9.2695773");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("11.6081038, 11.7494979, 11.8764994, 11.9313214, 11.9069960, 11.8873028, 11.8790889", \
+					  "47.3653030, 47.3779590, 47.3766410, 47.3754260, 47.3603620, 47.3767030, 46.6068820", \
+					  "85.9997350, 85.9946210, 86.0275320, 85.9889870, 85.9899930, 85.9634590, 85.9235250", \
+					  "123.6849900, 123.6575000, 123.6603200, 123.6672000, 123.6829500, 123.6086500, 123.5640900", \
+					  "160.9476900, 160.9192100, 160.9166800, 160.9194000, 160.9961900, 160.8950300, 160.8438000", \
+					  "197.7349300, 197.8450700, 197.7526300, 197.7536300, 197.6632100, 197.9724000, 197.6427400", \
+					  "234.4708600, 234.4815700, 234.4837900, 234.4776000, 234.1808400, 234.2500600, 234.3417100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.3009335, 10.3307185, 10.3713755, 10.4180865, 10.4588695, 10.4789495, 10.4933145", \
+					  "36.8535860, 36.8529170, 36.8531280, 36.8603970, 36.8487320, 36.8478030, 37.3836240", \
+					  "56.0528850, 56.0527010, 56.0491970, 56.0603370, 56.0632550, 56.0453840, 56.0352670", \
+					  "75.4726560, 75.4743070, 75.4966020, 75.5043460, 75.5855790, 75.4789700, 75.4565300", \
+					  "95.0098610, 94.9943870, 94.9853320, 95.0021800, 95.0605430, 95.0138580, 94.9518800", \
+					  "114.7188200, 114.6714900, 114.7317900, 114.7111900, 114.8400100, 114.7140600, 114.6655500", \
+					  "134.3410700, 134.3361100, 134.3115300, 134.4255000, 134.4540600, 134.4139800, 134.1844100");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("9.7685786, 9.9140054, 10.0454712, 10.0963477, 10.0672211, 10.0426385, 10.0046967", \
+					  "13.7340450, 13.7503940, 13.7489200, 13.7505990, 13.7414270, 13.7343700, 13.0962660", \
+					  "25.7103700, 25.6884050, 25.6840920, 25.6868550, 25.6942540, 25.6636760, 25.6276820", \
+					  "37.0157000, 37.0497520, 37.0485730, 37.0407520, 36.9477640, 37.0310280, 36.9517750", \
+					  "48.2104110, 48.1819620, 48.2676330, 48.2265170, 48.1070840, 48.2503450, 48.0925160", \
+					  "59.1720010, 59.2192680, 59.2090540, 59.1626540, 59.1100220, 59.1594760, 59.1388950", \
+					  "69.9964250, 69.9145320, 70.0299260, 69.9224080, 69.9992630, 69.9711400, 69.9626880");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.4265505, 10.4645445, 10.5213375, 10.5946585, 10.6513075, 10.6882675, 10.7076845", \
+					  "29.6422780, 29.6431070, 29.6466500, 29.6538870, 29.6505460, 29.6468650, 30.2187440", \
+					  "40.8281980, 40.8297770, 40.8347490, 40.8367370, 40.8377570, 40.8274980, 40.8304470", \
+					  "52.0440500, 52.0620460, 52.0760410, 52.0656920, 52.0649150, 52.0470020, 52.0155030", \
+					  "63.3582980, 63.3754230, 63.3578400, 63.3753740, 63.3453910, 63.3564100, 63.3082480", \
+					  "74.7790510, 74.7438850, 74.7872670, 74.7500260, 74.7586440, 74.7461880, 74.7143110", \
+					  "86.0841470, 86.0526030, 86.1934200, 86.0598880, 86.0833490, 86.0653150, 86.0914740");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("17.1656609, 17.5268669, 18.1875019, 18.8584129, 19.3453079, 19.5909429, 19.5915229", \
+					  "17.1704126, 17.5314856, 18.1898106, 18.8601726, 19.3411836, 19.5865476, 19.6081276", \
+					  "17.1660453, 17.5296783, 18.1882123, 18.8569803, 19.3484353, 19.5797603, 19.5987243", \
+					  "17.1628575, 17.5606285, 18.1871415, 18.8607965, 19.3417195, 19.5894565, 19.5919895", \
+					  "17.1713065, 17.5302155, 18.1794995, 18.8673595, 19.3436745, 19.5782355, 19.5900155", \
+					  "17.1645697, 17.5318997, 18.1979897, 18.8601927, 19.3453997, 19.5898507, 19.5945147", \
+					  "17.1522099, 17.5274179, 18.2044759, 18.8544469, 19.3549079, 19.5938029, 19.6082449");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("23.3171838, 23.3684758, 23.4860798, 23.5890628, 23.7059298, 23.7698508, 23.7514038", \
+					  "23.3308157, 23.3545367, 23.4822297, 23.6037417, 23.7115287, 23.7546087, 23.8005037", \
+					  "23.3205326, 23.4049356, 23.4818916, 23.6183586, 23.6858246, 23.7165206, 23.7952316", \
+					  "23.3432064, 23.4178494, 23.5153794, 23.6449424, 23.7495354, 23.7905464, 23.7785104", \
+					  "23.3564798, 23.4253868, 23.4981118, 23.6152138, 23.7414408, 23.8237228, 23.7974538", \
+					  "23.3657526, 23.4328336, 23.5312676, 23.6968436, 23.7324296, 23.8110606, 23.8544516", \
+					  "23.4028185, 23.4513295, 23.5498485, 23.6498885, 23.8121265, 23.8696445, 23.8570575");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.9490249, 0.9567178, 0.9752326, 1.0188744, 1.1207016, 1.3655769, 1.9573831", \
+					  "2.5447549, 2.5527489, 2.5713325, 2.6144114, 2.7165905, 2.9613769, 3.5528152", \
+					  "3.8200381, 3.8280308, 3.8466150, 3.8896908, 3.9918659, 4.2366453, 4.8276192", \
+					  "4.1059018, 4.1140149, 4.1324215, 4.1754893, 4.2776538, 4.5223856, 5.1138653", \
+					  "5.7576162, 5.7638738, 5.7823488, 5.8254299, 5.9276348, 6.1723728, 6.7638517", \
+					  "7.1847892, 7.1847895, 7.1930191, 7.2361277, 7.3383819, 7.5830684, 8.1745064", \
+					  "8.2747258, 8.3286675, 8.3448883, 8.3879641, 8.4889580, 8.7348058, 9.3256523", \
+					  "9.9599733, 9.9599739, 10.0065590, 10.0496070, 10.1517560, 10.3964880, 10.9872800", \
+					  "31.3219810, 31.6686160, 31.6831790, 31.7266570, 31.8284740, 32.0732600, 32.6649570", \
+					  "45.0252490, 45.0252514, 45.0701590, 45.1275850, 45.2153410, 45.4604250, 46.0579240", \
+					  "102.0216400, 102.5135200, 102.5135232, 102.7125200, 102.7125206, 103.4684000, 104.3585400", \
+					  "308.2670300, 309.2837300, 309.4430100, 309.4430390, 309.4572800, 309.4572907, 310.2166800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0520710, 0.0611997, 0.0855264, 0.1444683, 0.2899262, 0.6433701, 1.5047014", \
+					  "0.0515268, 0.0615479, 0.0856202, 0.1444293, 0.2898377, 0.6438478, 1.5111776", \
+					  "0.0515255, 0.0615709, 0.0856008, 0.1445784, 0.2898364, 0.6438434, 1.5061026", \
+					  "0.0523043, 0.0619980, 0.0855051, 0.1449469, 0.2899686, 0.6434423, 1.4999858", \
+					  "0.0517178, 0.0612366, 0.0855827, 0.1447089, 0.2899302, 0.6436458, 1.4975222", \
+					  "0.0516452, 0.0615365, 0.0855088, 0.1447231, 0.2898302, 0.6436382, 1.4980712", \
+					  "0.0517628, 0.0613490, 0.0853895, 0.1449612, 0.2899826, 0.6440114, 1.5025001", \
+					  "0.0515933, 0.0621264, 0.0854010, 0.1449653, 0.2899797, 0.6438465, 1.5003359", \
+					  "0.0516616, 0.0613577, 0.0856135, 0.1445139, 0.2898411, 0.6438877, 1.5030438", \
+					  "0.0517577, 0.0620567, 0.0855633, 0.1446917, 0.2899853, 0.6438334, 1.4997865", \
+					  "0.0515878, 0.0619780, 0.0856073, 0.1445658, 0.2899814, 0.6437190, 1.4966981", \
+					  "0.0516777, 0.0617567, 0.0856067, 0.1449781, 0.2898304, 0.6439291, 1.4994828");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.8280914, 0.8385104, 0.8610818, 0.9076653, 0.9968219, 1.1775594, 1.5856115", \
+					  "2.1778885, 2.1882673, 2.2111337, 2.2574869, 2.3464737, 2.5272484, 2.9354832", \
+					  "3.0166170, 3.0270171, 3.0499234, 3.0962382, 3.1852672, 3.3670391, 3.7738523", \
+					  "3.1914653, 3.2018326, 3.2247622, 3.2710803, 3.3601006, 3.5407914, 3.9486525", \
+					  "4.1727359, 4.1824451, 4.2120707, 4.2610475, 4.3410089, 4.5215156, 4.9295910", \
+					  "4.9481095, 4.9583546, 4.9583548, 4.9803890, 5.1166062, 5.2966258, 5.7053873", \
+					  "5.4869629, 5.4974407, 5.5177818, 5.5657301, 5.6556657, 5.8365091, 6.2443668", \
+					  "6.1606484, 6.2295546, 6.2513252, 6.2978445, 6.3878538, 6.5687615, 6.9766064", \
+					  "14.5626620, 14.5626627, 14.5894400, 14.6332900, 14.7157560, 14.8954210, 15.3026660", \
+					  "19.2938630, 19.2938643, 19.3272400, 19.3749660, 19.3804490, 19.5615130, 19.9716490", \
+					  "38.3845130, 38.3945990, 38.4076100, 38.4539480, 38.5048790, 38.7347930, 39.1144360", \
+					  "104.8334100, 104.8641900, 104.8798000, 104.9254300, 105.0187700, 105.1928800, 105.6062600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0621352, 0.0706408, 0.0904403, 0.1304879, 0.2156733, 0.4205142, 0.9414423", \
+					  "0.0625978, 0.0708332, 0.0904994, 0.1303880, 0.2157789, 0.4239963, 0.9493921", \
+					  "0.0625306, 0.0709595, 0.0904571, 0.1303793, 0.2173423, 0.4226323, 0.9454847", \
+					  "0.0625512, 0.0707153, 0.0904536, 0.1303758, 0.2173017, 0.4229443, 0.9449732", \
+					  "0.0622613, 0.0712072, 0.0906169, 0.1303807, 0.2173295, 0.4238255, 0.9449839", \
+					  "0.0621411, 0.0710230, 0.0906064, 0.1304434, 0.2174325, 0.4211132, 0.9439816", \
+					  "0.0621553, 0.0710976, 0.0906222, 0.1304813, 0.2174393, 0.4209281, 0.9453315", \
+					  "0.0626316, 0.0712186, 0.0904863, 0.1304013, 0.2170362, 0.4228205, 0.9460912", \
+					  "0.0622192, 0.0713731, 0.0907454, 0.1305182, 0.2173966, 0.4237702, 0.9461772", \
+					  "0.0626048, 0.0715170, 0.0904020, 0.1305430, 0.2171328, 0.4237265, 0.9465297", \
+					  "0.0624263, 0.0715253, 0.0906343, 0.1304798, 0.2172297, 0.4211598, 0.9466554", \
+					  "0.0623573, 0.0712521, 0.0903530, 0.1305874, 0.2162517, 0.4228014, 0.9464560");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6477564, 0.6557559, 0.6740473, 0.7169307, 0.8182712, 1.0619248, 1.6511325", \
+					  "1.0618364, 1.0698872, 1.0884582, 1.1310556, 1.2325276, 1.4760186, 2.0673778", \
+					  "1.2268213, 1.2349498, 1.2533599, 1.2959420, 1.3972531, 1.6407297, 2.2321924", \
+					  "1.2625143, 1.2706149, 1.2891721, 1.3317687, 1.4332249, 1.6767213, 2.2679525", \
+					  "1.4377860, 1.4493484, 1.4643808, 1.5069923, 1.6084710, 1.8519026, 2.4431324", \
+					  "1.5639318, 1.5697480, 1.5927494, 1.6315446, 1.7331657, 1.9805120, 2.5715179", \
+					  "1.6547952, 1.6580504, 1.6762290, 1.7245905, 1.8259160, 2.0689552, 2.6601172", \
+					  "1.7685120, 1.7778663, 1.7956296, 1.8386934, 1.9393045, 2.1821589, 2.7738742", \
+					  "3.0024674, 3.0159404, 3.0285601, 3.0980232, 3.1785601, 3.4164434, 4.0080721", \
+					  "3.7101431, 3.7210244, 3.7451460, 3.7820591, 3.8754977, 4.1248649, 4.7156079", \
+					  "6.4659456, 6.4681158, 6.4975718, 6.5401786, 6.6294943, 6.8826012, 7.4706632", \
+					  "15.8869060, 15.8869062, 15.8922880, 15.9393720, 16.0422400, 16.3018700, 16.8623000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0520758, 0.0610670, 0.0850124, 0.1435677, 0.2889829, 0.6401568, 1.4970705", \
+					  "0.0513720, 0.0609858, 0.0850223, 0.1445809, 0.2898066, 0.6416026, 1.5041718", \
+					  "0.0513694, 0.0609840, 0.0850053, 0.1445855, 0.2898382, 0.6415563, 1.5004060", \
+					  "0.0513494, 0.0609857, 0.0849921, 0.1446259, 0.2897919, 0.6415521, 1.4989567", \
+					  "0.0511702, 0.0610102, 0.0849403, 0.1444283, 0.2898601, 0.6413082, 1.5014663", \
+					  "0.0513761, 0.0610032, 0.0849516, 0.1446027, 0.2897876, 0.6413326, 1.4949401", \
+					  "0.0513713, 0.0609837, 0.0850178, 0.1446230, 0.2898208, 0.6415172, 1.4979995", \
+					  "0.0513674, 0.0609872, 0.0849663, 0.1445987, 0.2898041, 0.6415992, 1.4995087", \
+					  "0.0513226, 0.0610264, 0.0849764, 0.1444817, 0.2898501, 0.6415975, 1.5000574", \
+					  "0.0513389, 0.0609836, 0.0850585, 0.1446013, 0.2895000, 0.6413589, 1.4977501", \
+					  "0.0513827, 0.0610216, 0.0849963, 0.1446194, 0.2898423, 0.6415105, 1.4993834", \
+					  "0.0513516, 0.0609838, 0.0847408, 0.1445766, 0.2898087, 0.6416049, 1.4993835");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.7807773, 0.7909079, 0.8119206, 0.8555160, 0.9417512, 1.1189568, 1.5236206", \
+					  "2.1544480, 2.1641438, 2.1858002, 2.2294184, 2.3153273, 2.4926523, 2.8975671", \
+					  "3.4106056, 3.4146901, 3.4419569, 3.4855763, 3.5714856, 3.7488848, 4.1531094", \
+					  "3.6977694, 3.7112320, 3.7290704, 3.7726120, 3.8585051, 4.0361194, 4.4427875", \
+					  "5.4918079, 5.4998099, 5.5231038, 5.5666534, 5.6443117, 5.8301612, 6.2659522", \
+					  "7.0141866, 7.0254558, 7.0440711, 7.0783459, 7.1696111, 7.3524715, 7.7584454", \
+					  "8.1953502, 8.1953512, 8.2182021, 8.2605505, 8.3549931, 8.5337506, 8.9261709", \
+					  "9.8769029, 9.8917496, 9.9177173, 9.9515551, 10.0427990, 10.1970540, 10.6190580", \
+					  "34.5272610, 34.5369990, 34.5601020, 34.5925950, 34.6415410, 34.8655330, 35.2332210", \
+					  "50.9783290, 51.0018840, 51.0235910, 51.0529330, 51.1540460, 51.3006600, 51.7261110", \
+					  "124.7203300, 124.7324700, 124.7512800, 124.7953200, 124.8816500, 125.0577000, 125.4732600", \
+					  "410.3078200, 410.3623900, 410.3669700, 410.4275000, 410.4817000, 410.6892700, 411.0498300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0591228, 0.0676566, 0.0866051, 0.1260151, 0.2093909, 0.4146919, 0.9326083", \
+					  "0.0607321, 0.0681103, 0.0861243, 0.1262318, 0.2125846, 0.4197164, 0.9453995", \
+					  "0.0607210, 0.0681099, 0.0861035, 0.1262306, 0.2129626, 0.4202680, 0.9514592", \
+					  "0.0600108, 0.0679618, 0.0864518, 0.1264930, 0.2132028, 0.4199731, 0.9516460", \
+					  "0.0599907, 0.0680398, 0.0863825, 0.1265276, 0.2132747, 0.4198463, 0.9501842", \
+					  "0.0606839, 0.0681217, 0.0860993, 0.1261047, 0.2145575, 0.4202870, 0.9427779", \
+					  "0.0599661, 0.0679521, 0.0863786, 0.1272354, 0.2134506, 0.4196242, 0.9494044", \
+					  "0.0600276, 0.0680600, 0.0861144, 0.1262309, 0.2129657, 0.4202753, 0.9428116", \
+					  "0.0605842, 0.0681034, 0.0863325, 0.1265312, 0.2129582, 0.4202869, 0.9514381", \
+					  "0.0607279, 0.0680109, 0.0871125, 0.1272157, 0.2132204, 0.4195095, 0.9491570", \
+					  "0.0596993, 0.0681098, 0.0871326, 0.1265786, 0.2129150, 0.4186592, 0.9430311", \
+					  "0.0604592, 0.0680942, 0.0870562, 0.1265343, 0.2133215, 0.4203564, 0.9441194");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6372382, 0.6451702, 0.6638251, 0.7063002, 0.8074494, 1.0509841, 1.6385761", \
+					  "0.0687560, 0.0768368, 0.0953874, 0.1379821, 0.2393759, 0.4829113, 1.0741852", \
+					  "-0.7908659, -0.7830127, -0.7642180, -0.7216089, -0.6201929, -0.3778303, 0.2146247", \
+					  "-1.0074022, -0.9992928, -0.9807627, -0.9374212, -0.8367045, -0.5932190, -0.0019071", \
+					  "-2.4044551, -2.3966007, -2.3778650, -2.3354918, -2.2337319, -1.9897330, -1.3992376", \
+					  "-3.6560277, -3.6547743, -3.6294425, -3.5891925, -3.4866421, -3.2419210, -2.6510345", \
+					  "-4.6498112, -4.6423905, -4.6229034, -4.5817257, -4.4776001, -4.2344706, -3.6432330", \
+					  "-6.0968075, -6.0886132, -6.0702878, -6.0282348, -5.9264664, -5.6839906, -5.0899422", \
+					  "-28.4134000, -28.4133996, -28.3995640, -28.3517710, -28.2401390, -27.9974040, -27.4369110", \
+					  "-43.7400270, -43.7400264, -43.7117650, -43.6691000, -43.5643770, -43.3370530, -42.7297060", \
+					  "-113.3149000, -113.3148994, -113.2940900, -113.2473900, -113.1501400, -112.9013100, -112.3119300", \
+					  "-386.0890300, -386.0654700, -386.0654449, -386.0216400, -385.9095200, -385.6589500, -385.0890100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0509649, 0.0611363, 0.0849657, 0.1441772, 0.2889995, 0.6408362, 1.4974048", \
+					  "0.0513697, 0.0609960, 0.0849704, 0.1446067, 0.2898026, 0.6416210, 1.4965390", \
+					  "0.0513580, 0.0609967, 0.0849834, 0.1446161, 0.2898268, 0.6415980, 1.4994884", \
+					  "0.0513425, 0.0609928, 0.0850048, 0.1445778, 0.2897976, 0.6415398, 1.4994032", \
+					  "0.0514200, 0.0609976, 0.0849760, 0.1445960, 0.2898456, 0.6415901, 1.4960522", \
+					  "0.0514021, 0.0610015, 0.0850069, 0.1444749, 0.2898433, 0.6420174, 1.5018804", \
+					  "0.0512214, 0.0610200, 0.0850167, 0.1444786, 0.2897401, 0.6411892, 1.4977740", \
+					  "0.0514206, 0.0610194, 0.0849810, 0.1446230, 0.2898409, 0.6414489, 1.4960485", \
+					  "0.0512381, 0.0610058, 0.0851586, 0.1446082, 0.2898613, 0.6411874, 1.4962315", \
+					  "0.0514285, 0.0609938, 0.0849704, 0.1444692, 0.2898417, 0.6420164, 1.4968399", \
+					  "0.0512803, 0.0609927, 0.0850306, 0.1444622, 0.2897212, 0.6415399, 1.4994008", \
+					  "0.0513855, 0.0610293, 0.0849537, 0.1445613, 0.2898790, 0.6416646, 1.5003428");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.8939131, 0.9039272, 0.9255737, 0.9684119, 1.0550294, 1.2322292, 1.6366837", \
+					  "2.7629090, 2.7726068, 2.7942595, 2.8378824, 2.9237925, 3.1011904, 3.5053769", \
+					  "4.5309401, 4.5406159, 4.5622714, 4.6058499, 4.6917794, 4.8689199, 5.2740492", \
+					  "4.9437716, 4.9534643, 4.9751212, 5.0187401, 5.1046496, 5.2820517, 5.6868483", \
+					  "7.4855482, 7.4953697, 7.5166584, 7.5602671, 7.6467215, 7.8240001, 8.2286791", \
+					  "9.6541912, 9.6641619, 9.6857251, 9.7336148, 9.8152961, 9.9927053, 10.3972050", \
+					  "11.3387470, 11.3465270, 11.3681880, 11.4108190, 11.4974750, 11.6751550, 12.0796740", \
+					  "13.7494840, 13.7604490, 13.7740450, 13.8271560, 13.9085700, 14.0799630, 14.4828840", \
+					  "48.9913390, 48.9998020, 49.0208190, 49.0653030, 49.1529020, 49.3281860, 49.7324320", \
+					  "72.5409710, 72.5493980, 72.5718790, 72.6154920, 72.7015820, 72.8802830, 73.2844610", \
+					  "177.9927600, 178.0004600, 178.0207300, 178.0652200, 178.1514800, 178.3270300, 178.7335700", \
+					  "586.2637500, 586.2709100, 586.2917800, 586.3356600, 586.4207000, 586.6018000, 587.0020300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0593293, 0.0673704, 0.0860349, 0.1252192, 0.2101502, 0.4156024, 0.9326163", \
+					  "0.0607097, 0.0681088, 0.0860946, 0.1262287, 0.2129498, 0.4202722, 0.9424009", \
+					  "0.0607692, 0.0681149, 0.0860140, 0.1262451, 0.2134115, 0.4183897, 0.9403532", \
+					  "0.0607107, 0.0681090, 0.0860962, 0.1262291, 0.2129604, 0.4166314, 0.9431397", \
+					  "0.0595731, 0.0674498, 0.0870984, 0.1264889, 0.2134100, 0.4198651, 0.9409941", \
+					  "0.0606780, 0.0681027, 0.0860294, 0.1261472, 0.2129795, 0.4199426, 0.9401655", \
+					  "0.0606351, 0.0680921, 0.0859140, 0.1261806, 0.2131927, 0.4198586, 0.9402102", \
+					  "0.0606697, 0.0678338, 0.0860633, 0.1262210, 0.2129637, 0.4199228, 0.9434270", \
+					  "0.0596483, 0.0680551, 0.0859184, 0.1266814, 0.2130369, 0.4190610, 0.9401280", \
+					  "0.0604733, 0.0681117, 0.0862659, 0.1262320, 0.2117909, 0.4180838, 0.9343016", \
+					  "0.0600182, 0.0680967, 0.0861975, 0.1271057, 0.2115944, 0.4174230, 0.9370157", \
+					  "0.0599497, 0.0680838, 0.0862706, 0.1262639, 0.2137098, 0.4183066, 0.9389567");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("2.5563007, 2.5644453, 2.5828180, 2.6259046, 2.7272413, 2.9715326, 3.5642616", \
+					  "2.6906710, 2.6981961, 2.7159468, 2.7587925, 2.8613558, 3.1047167, 3.6959966", \
+					  "2.7985954, 2.8066096, 2.8250715, 2.8680609, 2.9694942, 3.2137084, 3.8061665", \
+					  "2.8856229, 2.8897320, 2.9120888, 2.9551164, 3.0564968, 3.3007095, 3.8914134", \
+					  "2.9594551, 2.9674372, 2.9859542, 3.0289173, 3.1303726, 3.3745511, 3.9665875", \
+					  "3.0248120, 3.0331577, 3.0513136, 3.0941971, 3.1956491, 3.4397831, 4.0315995", \
+					  "3.1008214, 3.1088441, 3.1272995, 3.1702876, 3.2717331, 3.5159431, 4.1085140");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0513581, 0.0610808, 0.0849709, 0.1449689, 0.2900702, 0.6411141, 1.4978198", \
+					  "0.0513619, 0.0610572, 0.0853545, 0.1448509, 0.2903857, 0.6426604, 1.5011422", \
+					  "0.0512557, 0.0611337, 0.0850044, 0.1449921, 0.2906663, 0.6414350, 1.4988255", \
+					  "0.0513441, 0.0611557, 0.0849748, 0.1450988, 0.2904127, 0.6428054, 1.5000599", \
+					  "0.0512494, 0.0611557, 0.0848920, 0.1449351, 0.2906564, 0.6405745, 1.5014381", \
+					  "0.0512346, 0.0610937, 0.0849218, 0.1450964, 0.2907739, 0.6428722, 1.4980835", \
+					  "0.0512521, 0.0611198, 0.0849254, 0.1450290, 0.2906200, 0.6417749, 1.4975888");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("1.9841424, 1.9937394, 2.0151139, 2.0586750, 2.1437385, 2.3200342, 2.7211842", \
+					  "2.0577968, 2.0673916, 2.0887647, 2.1323270, 2.2173923, 2.3936821, 2.7948539", \
+					  "2.0853022, 2.0950288, 2.1163788, 2.1599498, 2.2450088, 2.4213438, 2.8224838", \
+					  "2.1020405, 2.1117845, 2.1334949, 2.1766959, 2.2617421, 2.4380400, 2.8392004", \
+					  "2.1084068, 2.1180018, 2.1392907, 2.1828515, 2.2679174, 2.4442890, 2.8453822", \
+					  "2.1121758, 2.1217650, 2.1431548, 2.1867212, 2.2717852, 2.4480333, 2.8492155", \
+					  "2.1110889, 2.1208171, 2.1420976, 2.1856292, 2.2710289, 2.4469040, 2.8480911");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0604816, 0.0673007, 0.0847807, 0.1242252, 0.2107575, 0.4152254, 0.9378427", \
+					  "0.0600371, 0.0672891, 0.0847911, 0.1242049, 0.2108137, 0.4150727, 0.9378122", \
+					  "0.0593607, 0.0674276, 0.0846717, 0.1242621, 0.2106046, 0.4150887, 0.9378219", \
+					  "0.0593580, 0.0674180, 0.0847820, 0.1241336, 0.2106123, 0.4155839, 0.9378458", \
+					  "0.0600493, 0.0672624, 0.0847761, 0.1242072, 0.2108253, 0.4150579, 0.9378187", \
+					  "0.0603325, 0.0674243, 0.0849444, 0.1239968, 0.2110246, 0.4146724, 0.9380627", \
+					  "0.0591268, 0.0667683, 0.0848171, 0.1237745, 0.2112308, 0.4137932, 0.9383188");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.316900;
+			max_transition : 3.762950;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("8.2657759, 8.1607024, 7.8903167, 7.4960830, 6.9661263, 6.3023335, 4.8699502", \
+					  "6.1400182, 6.0065182, 5.6893604, 5.2624420, 4.6058292, 4.1095560, 2.1589037", \
+					  "6.3403540, 6.2216963, 5.9242047, 5.4948359, 5.0794226, 4.1117309, 3.5322749", \
+					  "6.5315891, 6.4160608, 6.0864907, 5.6865567, 5.2191680, 4.9740398, 2.6565835", \
+					  "6.7113836, 6.6175837, 6.2710076, 5.8871579, 5.3826639, 5.0037704, 2.6623064", \
+					  "6.8783252, 6.7553285, 6.4587927, 5.9578466, 5.6010513, 5.2698419, 3.6399408", \
+					  "7.0898591, 6.8838091, 6.6381479, 6.2964330, 5.7547443, 5.3542904, 5.0890481");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("5.9660070, 5.8983345, 5.8220969, 5.7096990, 5.5285262, 5.4260639, 5.3803383", \
+					  "9.0575568, 8.9565742, 8.7220118, 8.4280664, 8.2163460, 8.2684875, 8.2244116", \
+					  "9.0838795, 8.9746821, 8.7576361, 8.4335412, 8.2365339, 8.1151266, 8.2340532", \
+					  "9.1118319, 8.9818855, 8.7880345, 8.4156978, 8.2669887, 8.1219337, 8.1774292", \
+					  "9.1229004, 8.9686123, 8.7826656, 8.4140568, 8.1544918, 8.1207645, 8.0952467", \
+					  "9.0382745, 8.9558066, 8.6594395, 8.4259735, 8.3106664, 8.1262115, 8.1217186", \
+					  "9.1751242, 9.0454476, 8.8763068, 8.5748839, 8.1745843, 8.1299516, 8.1177695");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.9249407, 9.8060826, 9.5112294, 9.0242129, 8.4061622, 7.6853219, 6.0369121", \
+					  "13.6707750, 13.5382570, 13.2289120, 12.7419040, 12.2540670, 11.5696600, 9.6024412", \
+					  "25.6340630, 25.5178780, 25.1431430, 24.6906020, 24.2237190, 23.7954970, 21.6800190", \
+					  "36.9871390, 36.8932800, 36.4966630, 36.0686650, 35.5399560, 35.1561310, 34.1416440", \
+					  "48.1698080, 47.9541580, 47.7339760, 47.2477890, 46.7180150, 46.3349360, 45.9221760", \
+					  "59.1497200, 58.8968180, 58.6709010, 58.1944110, 57.7295950, 57.2679020, 56.8951830", \
+					  "69.9520030, 69.8848200, 69.5026050, 68.9904520, 68.5030990, 68.0991790, 67.7227720");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.6463395, 9.5986655, 9.6250745, 9.7708315, 9.6977995, 9.6077645, 9.5688525", \
+					  "29.5934180, 29.4853830, 29.2478820, 28.9277390, 28.6839980, 29.1204910, 29.0934190", \
+					  "40.7744670, 40.6693360, 40.5340070, 40.1113310, 39.8639280, 39.7300140, 40.2234500", \
+					  "52.0066520, 51.9021870, 51.7819990, 51.3318620, 51.1088880, 50.9112750, 51.3837760", \
+					  "63.3064780, 63.2169460, 63.0832680, 62.6722980, 62.4449170, 62.1954990, 62.3577230", \
+					  "74.6748150, 74.5769420, 74.4621930, 73.9993410, 73.7874690, 73.5724610, 73.4739840", \
+					  "86.0636910, 85.9061020, 85.7871900, 85.3987510, 85.2552330, 84.9522470, 84.7712390");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("11.7530621, 11.6308450, 11.3405991, 10.8593451, 10.2512213, 9.5313151, 7.8806375", \
+					  "47.2949630, 47.1657540, 46.8535520, 46.3755210, 45.8853610, 44.4364130, 43.1733360", \
+					  "85.9505520, 85.8111150, 85.4552960, 85.0101730, 84.5020370, 84.1120990, 81.8975930", \
+					  "123.5879200, 123.4568000, 123.1077900, 122.6383000, 122.1569700, 121.7034800, 119.7143500", \
+					  "160.8328900, 160.6832000, 160.4140300, 159.9078000, 159.4788900, 158.9812900, 158.4594300", \
+					  "197.5919700, 197.5420000, 197.2927700, 196.8011900, 196.2955900, 195.9746400, 195.3698700", \
+					  "234.4667000, 234.0913600, 233.8058400, 233.2558300, 233.0391200, 232.3116700, 231.7875200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.7093275, 9.6398725, 9.5996105, 9.6231655, 9.4978855, 9.3904845, 9.3440605", \
+					  "36.7946820, 36.6927740, 36.4474530, 36.1362750, 35.8847670, 36.2734110, 36.2595890", \
+					  "55.9813860, 55.8918330, 55.6460570, 55.3413810, 55.0889890, 54.9103020, 55.4288140", \
+					  "75.3363340, 75.3490260, 75.0674520, 74.7316790, 74.5329010, 74.3351010, 74.6965540", \
+					  "94.9673120, 94.8611330, 94.6066700, 94.3195170, 94.0445850, 93.9093180, 93.7351170", \
+					  "114.7657000, 114.5447300, 114.2690200, 114.1895700, 113.7154100, 113.6576700, 113.3729600", \
+					  "134.4083300, 134.2711600, 134.0047700, 133.7182900, 133.4470500, 133.2517200, 132.9611000");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("23.2331618, 23.1401998, 22.8958118, 22.5337078, 22.1199478, 21.5173318, 19.7745118", \
+					  "23.2610767, 23.1390867, 22.8960647, 22.5394397, 22.1230037, 21.4871117, 19.7336007", \
+					  "23.2671816, 23.1662036, 22.9086506, 22.5474696, 22.1258326, 21.5227666, 19.7433316", \
+					  "23.2784944, 23.1685294, 22.9222824, 22.5726144, 22.1398944, 21.5379484, 19.9180314", \
+					  "23.2898478, 23.1683908, 22.9404288, 22.5784878, 22.1526768, 21.5218108, 19.8913058", \
+					  "23.3116656, 23.1944236, 22.9508946, 22.5965216, 22.1706906, 21.5428216, 19.9519376", \
+					  "23.3088625, 23.2027285, 22.9708845, 22.6094735, 22.1712025, 21.5933905, 19.8295365");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("18.6521019, 18.4776219, 18.0507859, 17.3942989, 16.5620099, 15.6754989, 15.2234419", \
+					  "18.6590726, 18.4931086, 18.0588536, 17.3988416, 16.5597906, 15.6391066, 15.1790456", \
+					  "18.6602063, 18.4717993, 18.0480603, 17.4041983, 16.5559113, 15.6675963, 15.2026683", \
+					  "18.6551035, 18.4766595, 18.0482855, 17.4028045, 16.5588135, 15.6759185, 15.2482105", \
+					  "18.6477275, 18.4701395, 18.0409335, 17.3967255, 16.5519775, 15.6748175, 15.2037605", \
+					  "18.6583337, 18.5008947, 18.0366417, 17.3999737, 16.5540737, 15.6765227, 15.2417977", \
+					  "18.6500809, 18.4753719, 18.0451669, 17.3894539, 16.5570759, 15.6743429, 15.2058989");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("1.3079935, 1.3167403, 1.3404301, 1.4012955, 1.5722940, 2.1008755, 3.7885468", \
+					  "2.9041158, 2.9126190, 2.9363283, 2.9971481, 3.1681300, 3.6988874, 5.3846354", \
+					  "4.1792405, 4.1881019, 4.2117705, 4.2726507, 4.4434687, 4.9729190, 6.6690076", \
+					  "4.4654098, 4.4740065, 4.4976995, 4.5586579, 4.7292983, 5.2581868, 6.9503101", \
+					  "6.1145462, 6.1233938, 6.1470757, 6.2079444, 6.3787682, 6.8804402, 8.5977421", \
+					  "7.5256734, 7.5340463, 7.5578521, 7.6188016, 7.7897804, 8.3676062, 10.0102960", \
+					  "8.6774614, 8.6859178, 8.7096836, 8.7705274, 8.9414973, 9.4192494, 11.1598960", \
+					  "10.3396000, 10.3475300, 10.3712540, 10.4321610, 10.6031710, 11.1134910, 12.8229640", \
+					  "32.0162140, 32.0252590, 32.0484440, 32.1099980, 32.2810330, 32.2810345, 34.5010700", \
+					  "45.4085340, 45.4182040, 45.4407320, 45.5551650, 45.7304450, 46.2127580, 47.8910070", \
+					  "102.7687900, 103.4557400, 103.7209600, 103.7209664, 103.9863900, 103.9863930, 104.9418300", \
+					  "309.6045900, 309.6045990, 309.6373900, 309.6374054, 309.9503700, 310.6335100, 311.5765700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0742272, 0.0811161, 0.1031828, 0.1720868, 0.4083431, 1.1996178, 3.7627630", \
+					  "0.0737005, 0.0822820, 0.1037083, 0.1720478, 0.4075342, 1.2045408, 3.7384384", \
+					  "0.0749599, 0.0818698, 0.1036745, 0.1718536, 0.4076627, 1.1997379, 3.7589003", \
+					  "0.0746710, 0.0822553, 0.1031731, 0.1717352, 0.4080371, 1.1992534, 3.7491983", \
+					  "0.0749705, 0.0818618, 0.1036848, 0.1718602, 0.4076930, 1.2019503, 3.7497987", \
+					  "0.0737614, 0.0822893, 0.1036773, 0.1718989, 0.4079785, 1.1993853, 3.7509107", \
+					  "0.0736112, 0.0822908, 0.1032006, 0.1719964, 0.4076181, 1.1993370, 3.7443186", \
+					  "0.0736235, 0.0822867, 0.1031976, 0.1719660, 0.4077113, 1.2035437, 3.7513535", \
+					  "0.0737652, 0.0811361, 0.1032081, 0.1718764, 0.4080644, 1.2035596, 3.7432919", \
+					  "0.0736203, 0.0822854, 0.1031969, 0.1718738, 0.4075653, 1.1981746, 3.7508130", \
+					  "0.0741007, 0.0819722, 0.1036882, 0.1717561, 0.4076875, 1.1993452, 3.7518340", \
+					  "0.0748964, 0.0822864, 0.1032812, 0.1719744, 0.4077029, 1.2029163, 3.7552343");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.9294713, 0.9377984, 0.9621138, 1.0241041, 1.1936933, 1.7064445, 3.3349794", \
+					  "2.2796127, 2.2879967, 2.3116864, 2.3739679, 2.5440390, 3.0570522, 4.6841548", \
+					  "3.1184870, 3.1268665, 3.1505609, 3.2128538, 3.3829211, 3.8958756, 5.5247584", \
+					  "3.2936123, 3.3020131, 3.3257510, 3.3879741, 3.5580430, 4.0708863, 5.6982401", \
+					  "4.2746269, 4.2827670, 4.3068944, 4.3684762, 4.5392889, 5.0515765, 6.6832704", \
+					  "5.0502029, 5.0579692, 5.0823408, 5.1438862, 5.2283085, 5.8271690, 7.4565776", \
+					  "5.5895053, 5.5979843, 5.6216054, 5.6839169, 5.8426348, 6.3646715, 7.9967704", \
+					  "6.3220362, 6.3306529, 6.3542185, 6.4166854, 6.5833023, 7.0733548, 8.7263841", \
+					  "14.6523240, 14.6601300, 14.6828660, 14.7468820, 14.9316360, 15.4445980, 17.0583420", \
+					  "19.3170720, 19.3261620, 19.3510220, 19.4115350, 19.6645030, 20.1635700, 21.7221330", \
+					  "38.4683750, 38.5064350, 38.5165610, 38.5469430, 38.7625080, 39.2409550, 40.8869880", \
+					  "104.9613900, 104.9756900, 104.9964200, 105.0595100, 105.2326000, 105.7214900, 107.3639700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0650115, 0.0711310, 0.0909639, 0.1506283, 0.3480443, 1.0076313, 3.1525964", \
+					  "0.0649496, 0.0713672, 0.0913000, 0.1498808, 0.3479249, 1.0126594, 3.1389689", \
+					  "0.0649383, 0.0713862, 0.0913596, 0.1507615, 0.3475503, 1.0133593, 3.1452823", \
+					  "0.0648807, 0.0713945, 0.0905705, 0.1507581, 0.3476208, 1.0132474, 3.1477148", \
+					  "0.0649968, 0.0711783, 0.0910509, 0.1512853, 0.3477219, 1.0118869, 3.1496215", \
+					  "0.0650052, 0.0713360, 0.0911652, 0.1506937, 0.3475609, 1.0102875, 3.1572982", \
+					  "0.0650396, 0.0713400, 0.0910911, 0.1506556, 0.3472780, 1.0117325, 3.1485237", \
+					  "0.0651194, 0.0716406, 0.0910496, 0.1509029, 0.3477554, 1.0098886, 3.1529488", \
+					  "0.0650030, 0.0713463, 0.0911500, 0.1503296, 0.3473473, 1.0134376, 3.1550620", \
+					  "0.0651152, 0.0713211, 0.0905967, 0.1505904, 0.3475851, 1.0129537, 3.1720522", \
+					  "0.0649574, 0.0713851, 0.0913595, 0.1510673, 0.3477835, 1.0127749, 3.1475322", \
+					  "0.0647182, 0.0713338, 0.0905164, 0.1509189, 0.3477887, 1.0087189, 3.1476863");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6678352, 0.6766784, 0.7012103, 0.7622305, 0.9332138, 1.4625954, 3.1453995", \
+					  "0.0994353, 0.1084424, 0.1328140, 0.1941043, 0.3650416, 0.8935111, 2.5782094", \
+					  "-0.7601395, -0.7523195, -0.7267525, -0.6654646, -0.4945256, 0.0339400, 1.7166100", \
+					  "-0.9766280, -0.9676667, -0.9432393, -0.8819576, -0.7110174, -0.1825266, 1.5015722", \
+					  "-2.3734950, -2.3649429, -2.3401123, -2.2788140, -2.1078785, -1.5793299, 0.1042817", \
+					  "-3.6250805, -3.6183088, -3.5928489, -3.5303669, -3.3594727, -2.8308778, -1.1406343", \
+					  "-4.6193058, -4.6105209, -4.5850399, -4.5231081, -4.3525321, -3.8239523, -2.1334603", \
+					  "-6.0669922, -6.0566996, -6.0307803, -5.9718368, -5.8002616, -5.2717564, -3.5886885", \
+					  "-28.3801050, -28.3801031, -28.3575970, -28.2887940, -28.1296800, -27.6011180, -25.8999100", \
+					  "-43.7049970, -43.6959660, -43.6873650, -43.6384230, -43.4378290, -42.9124430, -41.2234870", \
+					  "-113.2921300, -113.2921257, -113.2509300, -113.1887400, -113.0183400, -112.4964700, -110.8040600", \
+					  "-386.0613700, -386.0345700, -386.0345611, -385.9575200, -385.8550000, -385.2747500, -383.6050000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0768169, 0.0842433, 0.1057112, 0.1730434, 0.4089190, 1.2022950, 3.7476533", \
+					  "0.0766918, 0.0842944, 0.1058485, 0.1736454, 0.4090498, 1.2018241, 3.7416621", \
+					  "0.0766831, 0.0843011, 0.1061029, 0.1736494, 0.4090575, 1.2018398, 3.7489469", \
+					  "0.0766716, 0.0842982, 0.1060894, 0.1736432, 0.4090364, 1.2018215, 3.7398036", \
+					  "0.0767592, 0.0842935, 0.1058588, 0.1736219, 0.4089678, 1.2013250, 3.7395864", \
+					  "0.0767655, 0.0843114, 0.1058456, 0.1736199, 0.4088801, 1.2011135, 3.7510008", \
+					  "0.0766935, 0.0843160, 0.1060916, 0.1736544, 0.4089733, 1.2013395, 3.7460737", \
+					  "0.0767635, 0.0843158, 0.1058598, 0.1736220, 0.4089724, 1.2013702, 3.7487293", \
+					  "0.0767578, 0.0842849, 0.1059999, 0.1736577, 0.4088014, 1.2010383, 3.7432497", \
+					  "0.0769058, 0.0844068, 0.1060394, 0.1736725, 0.4090915, 1.2016116, 3.7545269", \
+					  "0.0770646, 0.0843404, 0.1061043, 0.1736511, 0.4090540, 1.2019010, 3.7397800", \
+					  "0.0767587, 0.0843024, 0.1061555, 0.1736221, 0.4090332, 1.2013858, 3.7408219");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6752985, 0.6839139, 0.7083397, 0.7718370, 0.9438714, 1.4569729, 3.0839289", \
+					  "2.5437326, 2.5523654, 2.5768061, 2.6402946, 2.8122968, 3.3252474, 4.9505364", \
+					  "4.3118749, 4.3205395, 4.3449968, 4.4085144, 4.5804769, 5.0936713, 6.7196479", \
+					  "4.7247606, 4.7333959, 4.7578514, 4.8212807, 4.9933169, 5.5062532, 7.1335533", \
+					  "7.2660042, 7.2747062, 7.2992212, 7.3629667, 7.5346627, 8.0476790, 9.6734283", \
+					  "9.4351202, 9.4437818, 9.4682218, 9.5334904, 9.7035997, 10.2167320, 11.8426850", \
+					  "11.1175250, 11.1262010, 11.1506400, 11.2163840, 11.3861110, 11.8991380, 13.5272900", \
+					  "13.5224620, 13.5404740, 13.5555960, 13.6276010, 13.7913940, 14.3127300, 15.9398200", \
+					  "48.7702000, 48.7809900, 48.8035110, 48.8657650, 49.0380320, 49.5524510, 51.1767300", \
+					  "72.3207380, 72.3291150, 72.3539200, 72.4191200, 72.5905170, 73.1018660, 74.7273250", \
+					  "177.7695600, 177.7782200, 177.8031600, 177.8660300, 178.0383300, 178.5506200, 180.1774200", \
+					  "586.0380300, 586.0481500, 586.0734400, 586.1391500, 586.3069000, 586.8204800, 588.4487000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0674095, 0.0742443, 0.0932676, 0.1534616, 0.3497878, 1.0098756, 3.1475778", \
+					  "0.0678200, 0.0741961, 0.0935649, 0.1536364, 0.3499019, 1.0095331, 3.1402156", \
+					  "0.0676531, 0.0740926, 0.0933829, 0.1538168, 0.3497928, 1.0095917, 3.1386518", \
+					  "0.0678092, 0.0741494, 0.0934371, 0.1532985, 0.3496353, 1.0090818, 3.1430993", \
+					  "0.0675023, 0.0742485, 0.0936018, 0.1536843, 0.3501575, 1.0077538, 3.1402541", \
+					  "0.0678273, 0.0740677, 0.0934175, 0.1535314, 0.3499062, 1.0088862, 3.1357932", \
+					  "0.0678371, 0.0741511, 0.0934150, 0.1537827, 0.3497430, 1.0084068, 3.1445078", \
+					  "0.0676710, 0.0739938, 0.0934095, 0.1533653, 0.3499022, 1.0077095, 3.1479206", \
+					  "0.0675937, 0.0740174, 0.0936361, 0.1538989, 0.3497309, 1.0077583, 3.1482830", \
+					  "0.0678905, 0.0742312, 0.0933702, 0.1536311, 0.3495362, 1.0082817, 3.1393241", \
+					  "0.0677218, 0.0743320, 0.0935024, 0.1534764, 0.3500348, 1.0075480, 3.1453813", \
+					  "0.0676234, 0.0741338, 0.0935253, 0.1534122, 0.3496227, 1.0068645, 3.1461231");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6783241, 0.6873695, 0.7116522, 0.7727998, 0.9438887, 1.4736071, 3.1611056", \
+					  "1.0925115, 1.1015533, 1.1259148, 1.1871942, 1.3581416, 1.8865298, 3.5802976", \
+					  "1.2574167, 1.2665574, 1.2908840, 1.3519657, 1.5230517, 2.0514479, 3.7354648", \
+					  "1.2931907, 1.3016733, 1.3260500, 1.3878655, 1.5587910, 2.0872486, 3.7714090", \
+					  "1.4683448, 1.4773452, 1.4999925, 1.5630263, 1.7339118, 2.2606270, 3.9531729", \
+					  "1.5927135, 1.6036367, 1.6259807, 1.6878282, 1.8602492, 2.3912383, 4.0791122", \
+					  "1.6859650, 1.6947835, 1.7138082, 1.7722810, 1.9514044, 2.4747371, 4.1656416", \
+					  "1.8033277, 1.8086314, 1.8356696, 1.8974717, 2.0647772, 2.5938993, 4.2727621", \
+					  "3.0304079, 3.0712062, 3.0740104, 3.1542984, 3.2987317, 3.8267203, 5.5112976", \
+					  "3.7117958, 3.7603223, 3.7771208, 3.8448040, 4.0065586, 4.5319726, 6.1923280", \
+					  "6.5016872, 6.5036971, 6.5240724, 6.5963599, 6.7639108, 7.2966913, 8.9542604", \
+					  "15.8908480, 15.9123720, 15.9296010, 16.0048880, 16.1479470, 16.6841650, 18.3652760");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0765315, 0.0841980, 0.1053487, 0.1731416, 0.4087973, 1.2032443, 3.7421204", \
+					  "0.0769035, 0.0843421, 0.1060179, 0.1736792, 0.4091192, 1.2019183, 3.7461620", \
+					  "0.0766908, 0.0842983, 0.1058520, 0.1736756, 0.4090787, 1.2018101, 3.7680952", \
+					  "0.0766796, 0.0843045, 0.1061542, 0.1736534, 0.4090643, 1.2018140, 3.7592218", \
+					  "0.0767938, 0.0843657, 0.1060987, 0.1735465, 0.4084207, 1.2018982, 3.7441161", \
+					  "0.0767020, 0.0842952, 0.1058601, 0.1736544, 0.4090587, 1.2013376, 3.7473220", \
+					  "0.0767007, 0.0842810, 0.1058509, 0.1736484, 0.4090876, 1.2016647, 3.7446770", \
+					  "0.0766817, 0.0844852, 0.1060973, 0.1736627, 0.4090703, 1.2010136, 3.7540837", \
+					  "0.0766890, 0.0843129, 0.1060958, 0.1735923, 0.4090791, 1.2018383, 3.7538124", \
+					  "0.0767721, 0.0843091, 0.1060985, 0.1736317, 0.4090868, 1.2001483, 3.7452308", \
+					  "0.0766767, 0.0843308, 0.1058535, 0.1736525, 0.4090803, 1.2013005, 3.7451984", \
+					  "0.0767703, 0.0842935, 0.1060964, 0.1736506, 0.4089099, 1.2017513, 3.7518735");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.5622613, 0.5708119, 0.5953549, 0.6588194, 0.8307520, 1.3436303, 2.9710756", \
+					  "1.9355448, 1.9441802, 1.9686479, 2.0320934, 2.2041342, 2.7170328, 4.3431410", \
+					  "3.1916523, 3.2004108, 3.2247480, 3.2881962, 3.4602448, 3.9733079, 5.5992602", \
+					  "3.4786918, 3.5129408, 3.5129411, 3.5756573, 3.7476648, 4.2607033, 5.8870291", \
+					  "5.2782121, 5.2800218, 5.3061950, 5.3696967, 5.5409299, 6.0546332, 7.6834992", \
+					  "6.7960810, 6.8045325, 6.8283459, 6.8917663, 7.0623360, 7.5664142, 9.1935313", \
+					  "7.9732478, 7.9869436, 8.0097928, 8.0732159, 8.2264293, 8.7432106, 10.3759390", \
+					  "9.6575690, 9.6834132, 9.6926875, 9.7361834, 9.9270974, 10.4389470, 12.0718310", \
+					  "34.3094650, 34.3180200, 34.3413400, 34.4039960, 34.5800510, 35.0902030, 36.6292490", \
+					  "50.7709750, 50.7709752, 50.7957660, 50.8701530, 51.0277760, 51.5405250, 53.1702360", \
+					  "124.4534800, 124.5142000, 124.5509500, 124.6028800, 124.7825000, 125.2829400, 126.9297800", \
+					  "410.1047200, 410.1419800, 410.1652600, 410.2045400, 410.3790200, 410.9161900, 412.5055600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0675790, 0.0742222, 0.0934379, 0.1532628, 0.3496689, 1.0091808, 3.1459764", \
+					  "0.0678340, 0.0741891, 0.0934818, 0.1533388, 0.3496507, 1.0101608, 3.1340877", \
+					  "0.0678378, 0.0741858, 0.0934760, 0.1533745, 0.3496565, 1.0098470, 3.1490073", \
+					  "0.0676262, 0.0741528, 0.0934490, 0.1538872, 0.3500137, 1.0098737, 3.1477236", \
+					  "0.0676308, 0.0741710, 0.0934622, 0.1538981, 0.3497701, 1.0096488, 3.1559990", \
+					  "0.0678442, 0.0741690, 0.0934728, 0.1537210, 0.3498931, 1.0097631, 3.1446885", \
+					  "0.0676052, 0.0741717, 0.0934711, 0.1537767, 0.3498186, 1.0104767, 3.1456135", \
+					  "0.0678497, 0.0740354, 0.0934691, 0.1535833, 0.3499092, 1.0098520, 3.1442038", \
+					  "0.0676871, 0.0741734, 0.0934530, 0.1537599, 0.3498785, 1.0097513, 3.1370058", \
+					  "0.0678536, 0.0741926, 0.0934580, 0.1534021, 0.3498859, 1.0105212, 3.1523792", \
+					  "0.0676298, 0.0740948, 0.0934309, 0.1537924, 0.3497208, 1.0097003, 3.1458167", \
+					  "0.0676475, 0.0740397, 0.0934560, 0.1536222, 0.3498592, 1.0097027, 3.1479361");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("2.5178382, 2.5268239, 2.5512898, 2.6125249, 2.7836393, 3.3135201, 5.0064255", \
+					  "2.6527118, 2.6618581, 2.6861178, 2.7472720, 2.9172227, 3.4484573, 5.1409477", \
+					  "2.7587381, 2.7678850, 2.7923190, 2.8534159, 3.0241174, 3.5545713, 5.2468057", \
+					  "2.8469265, 2.8559217, 2.8805198, 2.9417309, 3.1072154, 3.6431704, 5.3347819", \
+					  "2.9216427, 2.9306417, 2.9550997, 3.0163623, 3.1863574, 3.7179080, 5.4097802", \
+					  "2.9864624, 2.9954499, 3.0200080, 3.0811620, 3.2600229, 3.7827088, 5.4742708", \
+					  "3.0608331, 3.0698606, 3.0942957, 3.1555123, 3.3256080, 3.8563841, 5.5493232");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.0767708, 0.0842894, 0.1057046, 0.1734467, 0.4094770, 1.2016488, 3.7561052", \
+					  "0.0766723, 0.0842168, 0.1057152, 0.1732846, 0.4083456, 1.2017638, 3.7568810", \
+					  "0.0768055, 0.0843631, 0.1057900, 0.1734300, 0.4092000, 1.2029868, 3.7551873", \
+					  "0.0766600, 0.0842826, 0.1057913, 0.1731966, 0.4090206, 1.2043896, 3.7455030", \
+					  "0.0766847, 0.0842802, 0.1056201, 0.1734127, 0.4095393, 1.2046701, 3.7490628", \
+					  "0.0766276, 0.0842995, 0.1057594, 0.1733802, 0.4094167, 1.2043890, 3.7448227", \
+					  "0.0768025, 0.0843544, 0.1057991, 0.1733362, 0.4095833, 1.2011381, 3.7570342");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("1.9956523, 2.0066468, 2.0371287, 2.1119559, 2.2969926, 2.8154890, 4.4408317", \
+					  "2.0694149, 2.0799897, 2.1104549, 2.1856503, 2.3704205, 2.8888534, 4.5145243", \
+					  "2.0981683, 2.1074780, 2.1379938, 2.2138771, 2.3978730, 2.9163474, 4.5411128", \
+					  "2.1136879, 2.1246458, 2.1551783, 2.2298656, 2.4151556, 2.9335496, 4.5581432", \
+					  "2.1194884, 2.1303939, 2.1608486, 2.2355655, 2.4208244, 2.9392513, 4.5647726", \
+					  "2.1236362, 2.1344537, 2.1647524, 2.2397375, 2.4247069, 2.9431955, 4.5686757", \
+					  "2.1225146, 2.1331366, 2.1635229, 2.2390818, 2.4235394, 2.9423848, 4.5677968");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.1354806, 0.1447282, 0.1664549, 0.2229365, 0.4008890, 1.0274827, 3.1727008", \
+					  "0.1357976, 0.1446759, 0.1664068, 0.2229879, 0.3995242, 1.0284195, 3.1600551", \
+					  "0.1354417, 0.1450493, 0.1664994, 0.2230790, 0.3998106, 1.0283074, 3.1585119", \
+					  "0.1358460, 0.1444543, 0.1663190, 0.2229222, 0.4000898, 1.0285720, 3.1583782", \
+					  "0.1354668, 0.1446800, 0.1664198, 0.2227390, 0.3995639, 1.0283681, 3.1594063", \
+					  "0.1359183, 0.1445530, 0.1666604, 0.2229864, 0.4009232, 1.0275032, 3.1737177", \
+					  "0.1359895, 0.1450497, 0.1665955, 0.2232009, 0.3997118, 1.0286354, 3.1521368");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047768;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("52.7381386, 53.0555966, 54.8498626, 64.3245296, 90.9074806, 148.8680906, 177.5881406", \
+					  "52.7075733, 53.0297943, 54.8155183, 64.2989363, 90.9331933, 148.8460063, 177.4203963", \
+					  "52.6859140, 53.0074100, 54.7934850, 64.2735650, 90.8600620, 148.7878320, 177.4040520", \
+					  "52.7120783, 53.0037023, 54.8006953, 64.2727923, 90.8177043, 148.8675433, 177.5488833", \
+					  "52.7052999, 53.0202779, 54.8287619, 64.3103259, 90.9253419, 148.8668509, 177.4448509", \
+					  "52.7667182, 53.1127982, 54.7717182, 64.4803822, 90.9007342, 148.8584522, 177.5022822", \
+					  "52.8709175, 53.1549605, 54.8125065, 64.3578185, 90.9715025, 148.8664135, 177.4932335");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.3661933, 68.4083213, 68.8195893, 69.2073693, 69.0244673, 58.1345603, -126.3398657", \
+					  "69.1243750, 69.4541730, 68.8497890, 69.7570010, 69.0078430, 59.4355350, -123.7242650", \
+					  "68.9301846, 68.9525346, 68.8821946, 69.2836856, 68.6098916, 59.4667196, -127.5037444", \
+					  "68.6866232, 69.2045002, 68.8357672, 68.1969242, 68.9922602, 59.2324562, -128.1988378", \
+					  "68.9281231, 68.9377891, 68.9242231, 68.9689241, 68.9438191, 59.0273551, -127.3992119", \
+					  "68.8878647, 68.8886207, 68.7245297, 69.0030497, 68.8135697, 58.7296917, -127.0243153", \
+					  "68.8982463, 68.8753063, 68.9327673, 69.0183023, 68.9782933, 58.7276733, -127.2749087");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.7086063, 70.7753793, 71.0835283, 71.4258793, 71.2847983, 65.8906963, -10.8447117", \
+					  "70.6907190, 70.7119800, 70.9918250, 71.3328030, 71.1575950, 64.4311290, -15.5288890", \
+					  "70.5987386, 70.6399896, 70.8509806, 71.2080566, 71.0983946, 66.1209526, -15.4336164", \
+					  "70.5364832, 70.5896212, 70.8345922, 71.3123002, 71.0687802, 64.8626052, -5.8463091", \
+					  "70.5475431, 70.6184381, 70.8751141, 71.2998301, 71.6842241, 65.2114091, -11.5603119", \
+					  "70.5445807, 70.5814337, 70.8305537, 71.3052437, 71.0313997, 65.5482307, -6.2553756", \
+					  "70.5197963, 70.5735243, 70.8295553, 71.2642973, 71.1249093, 65.0237893, -1.4588408");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.4971396, 39.5262726, 39.7239926, 40.6856646, 43.6083446, 43.3635126, 43.5669186", \
+					  "39.3486653, 39.3906243, 39.7689433, 40.5189653, 42.5199443, 43.8989213, 44.0961423", \
+					  "39.4186960, 39.4516010, 39.7156080, 40.5836080, 42.2807400, 43.1672500, 43.5163010", \
+					  "39.4708193, 39.4476223, 39.5891453, 40.4712653, 42.8849323, 41.3901833, 43.8648773", \
+					  "39.4884149, 39.5395779, 39.6581289, 40.2724509, 43.2466689, 42.2588649, 43.3686649", \
+					  "39.5047742, 39.5368492, 39.6452522, 40.5237092, 42.5452662, 43.4011852, 43.4314662", \
+					  "39.5039955, 39.5428905, 39.7401355, 40.6343445, 42.5305465, 43.4898345, 43.4161365");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7277112, 19.7610872, 19.9563142, 20.8991572, 22.8196842, 23.7699082, 23.9710632", \
+					  "21.2644276, 21.2998096, 21.5200516, 22.5427486, 24.5672006, 25.5633956, 25.7704276", \
+					  "21.2669430, 21.3058650, 21.5217150, 22.5432780, 24.5695530, 25.5639820, 25.7738970", \
+					  "21.2616945, 21.3013555, 21.5155055, 22.5412975, 24.5728565, 25.5639595, 25.7789405", \
+					  "21.2666130, 21.3013100, 21.5209000, 22.5429600, 24.5740820, 25.5679280, 25.7791960", \
+					  "21.2707254, 21.3092484, 21.5272204, 22.5494924, 24.5776934, 25.5710524, 25.7827064", \
+					  "21.2718868, 21.3050958, 21.5233158, 22.5465078, 24.5736608, 25.5707328, 25.7785928");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.4800549, 63.5608939, 63.7906819, 64.2172089, 64.0673569, 59.6540879, -22.1620181", \
+					  "63.4428306, 63.5710686, 63.7829966, 64.1306416, 64.2168926, 59.5121626, -17.5555814", \
+					  "63.4639973, 63.5879263, 63.7935533, 64.2117123, 64.1393533, 62.1665213, -17.5123877", \
+					  "63.5311027, 63.6563227, 63.8621437, 64.2243817, 64.1501707, 57.8457377, -14.9609933", \
+					  "63.5080382, 63.5805842, 63.8270262, 64.2216552, 65.6781012, 58.4714632, -8.9829419", \
+					  "63.5647076, 63.6083116, 63.8357346, 64.2488756, 65.2174826, 58.5053386, -14.5498294", \
+					  "63.5643740, 63.6286290, 63.8335830, 64.2475500, 64.2788510, 58.6103510, -14.8818330");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5037652, 14.5035152, 14.5033672, 14.5027172, 14.5022782, 14.5024462, 14.5020612", \
+					  "14.9102916, 14.9099506, 14.9074866, 14.9029526, 14.8993406, 14.8984266, 14.8980466", \
+					  "14.9201800, 14.9196560, 14.9169930, 14.9091800, 14.9030930, 14.9014850, 14.9012190", \
+					  "14.9223505, 14.9178785, 14.9198375, 14.9081745, 14.9023195, 14.9019905, 14.9007035", \
+					  "14.9225320, 14.9221280, 14.9220150, 14.9097140, 14.9022910, 14.8997200, 14.9010530", \
+					  "14.9268854, 14.9262844, 14.9112574, 14.9000734, 14.9071714, 14.8920624, 14.9048244", \
+					  "14.9242148, 14.9226218, 14.9159448, 14.9109058, 14.9036648, 14.9024608, 14.9014528");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2638789, 26.3688919, 26.4559909, 26.3273439, 24.6657579, 26.1687259, 25.6692749", \
+					  "26.2277316, 26.3256156, 26.4788246, 26.7811906, 26.4154686, 26.2782676, 25.8831946", \
+					  "26.4603513, 26.3300853, 26.1839643, 26.1148183, 27.1315973, 23.5525323, 26.2535453", \
+					  "26.3562027, 26.3598757, 26.2908247, 26.2104617, 25.7435747, 27.5650627, 26.8392517", \
+					  "26.2430572, 26.6327962, 26.5028422, 26.3878812, 29.0961172, 26.1315322, 25.6101242", \
+					  "26.3840566, 26.4218776, 26.3327976, 26.3257686, 24.2551816, 26.3772986, 25.8928126", \
+					  "26.4006090, 26.4469580, 26.3997660, 26.3926610, 26.4287710, 26.4253130, 26.2450910");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("88.0132756, 88.8017976, 95.6777416, 125.0155206, 152.4085206, 160.5060306, 165.4443006", \
+					  "88.3399693, 89.1016243, 95.5700953, 124.9916963, 152.4286663, 160.4471963, 166.1760163", \
+					  "87.9467880, 88.9679360, 95.5030280, 124.7611020, 152.3800520, 160.4074820, 165.8915820", \
+					  "87.7016003, 88.8730443, 95.5171323, 125.0662833, 152.4164333, 160.3908433, 166.1350133", \
+					  "88.0228449, 89.1021549, 95.5161699, 124.9891409, 152.4720309, 160.3848109, 166.1223509", \
+					  "87.8480512, 89.0762152, 95.0161512, 124.9948822, 152.4832222, 160.4135622, 166.2577922", \
+					  "88.0665345, 89.2448275, 95.3780195, 125.0373635, 152.5079135, 160.4220735, 166.2897835");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.4185243, 19.4190133, 19.4248303, 19.4271353, 19.4061043, 19.3999003, 19.3988563", \
+					  "20.6313530, 20.6341250, 20.6195530, 20.5742890, 20.5504470, 20.4909340, 20.4650860", \
+					  "20.6663746, 20.6563526, 20.6506876, 20.5985586, 20.5462846, 20.5024376, 20.5125066", \
+					  "20.7206392, 20.7254812, 20.6966482, 20.6543362, 20.6119132, 20.5701952, 20.5490112", \
+					  "20.7690771, 20.7492751, 20.7319751, 20.6874291, 20.6153061, 20.5873421, 20.5734041", \
+					  "20.7669387, 20.7091867, 20.6810057, 20.6506547, 20.6022247, 20.5533877, 20.5395517", \
+					  "20.6979393, 20.6780833, 20.6796573, 20.6288623, 20.5640953, 20.5310143, 20.5165753");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4923172, 14.4922562, 14.4918902, 14.4911722, 14.4907042, 14.4906602, 14.4905752", \
+					  "14.9095786, 14.9095446, 14.9075786, 14.9023036, 14.8986366, 14.8976386, 14.8972586", \
+					  "14.9188770, 14.9189520, 14.9161270, 14.9079430, 14.9025450, 14.9008650, 14.9000900", \
+					  "14.9228725, 14.9205325, 14.9188255, 14.9097115, 14.9030185, 14.9012655, 14.9015045", \
+					  "14.9256890, 14.9207490, 14.9182540, 14.9132650, 14.9019130, 14.9005160, 14.9039740", \
+					  "14.9145204, 14.9133174, 14.9225174, 14.9014334, 14.9070224, 14.9046634, 14.8922544", \
+					  "14.9195338, 14.9230968, 14.9196558, 14.9069868, 14.9035848, 14.9019698, 14.8974288");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.1175729, 26.4841929, 26.4421059, 26.3071869, 23.8441649, 26.5711419, 27.4960239", \
+					  "26.3661156, 26.3558866, 26.3512826, 26.3231036, 26.2327936, 26.4633926, 25.4065386", \
+					  "26.3373553, 26.4523623, 26.3335843, 26.4434163, 25.6940833, 26.3880753, 24.3657083", \
+					  "26.3586387, 26.3290907, 26.3794867, 26.5917157, 26.0108417, 28.3572967, 26.8990707", \
+					  "26.2272992, 26.2389232, 26.8571492, 25.9991572, 27.4988752, 26.5160852, 26.0093642", \
+					  "26.2994236, 26.3837876, 26.2075666, 26.4831916, 25.9860286, 26.2370006, 26.2908416", \
+					  "26.4338430, 26.4048610, 26.3995960, 26.3527600, 26.4376390, 26.4317320, 26.2664650");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("59.2946809, 59.2130449, 59.1663809, 58.4866749, 56.7596429, 43.9988329, -139.4478041", \
+					  "59.3343886, 59.3257286, 59.1458376, 58.8179056, 56.7777736, 44.6405726, -143.9135794", \
+					  "59.3036933, 59.2673473, 59.1714453, 58.7543623, 56.8890173, 44.5658473, -142.5154447", \
+					  "59.2616707, 59.2908837, 59.1746987, 58.5453207, 56.9916777, 43.9567677, -144.2422933", \
+					  "59.2160892, 59.5860572, 59.8101352, 58.2957632, 57.4487322, 45.3005332, -139.1813428", \
+					  "59.3321556, 59.3154266, 59.2596936, 58.8855416, 56.9174206, 44.6980596, -140.8603414", \
+					  "59.4022870, 59.3605080, 59.2847210, 58.9557280, 57.0818970, 44.5038050, -140.5751400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.3323052, 14.3325062, 14.3343162, 14.3387252, 14.3416952, 14.3424872, 14.3430312", \
+					  "14.3946306, 14.3955796, 14.3999076, 14.4107206, 14.4198256, 14.4224076, 14.4228356", \
+					  "14.3910360, 14.3920350, 14.3966780, 14.4107110, 14.4218320, 14.4250480, 14.4251630", \
+					  "14.3834395, 14.3858555, 14.3960855, 14.4052085, 14.4154105, 14.4194915, 14.4198825", \
+					  "14.3891290, 14.3894380, 14.3971430, 14.4101750, 14.4226930, 14.4258610, 14.4264980", \
+					  "14.3939084, 14.3931214, 14.3999704, 14.4130784, 14.4256554, 14.4291104, 14.4296854", \
+					  "14.3927278, 14.3952268, 14.3977418, 14.4129658, 14.4270898, 14.4300138, 14.4313498");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("48.7223126, 49.0135346, 50.5552146, 59.1460056, 102.8293306, 160.4292306, 172.7964806", \
+					  "48.7205293, 49.0890993, 50.5369243, 59.0546733, 103.3134063, 160.3858763, 172.7400563", \
+					  "48.7762770, 48.9596100, 50.5894620, 59.0149240, 103.2641020, 160.3788020, 172.6980220", \
+					  "48.7143453, 48.9799453, 50.6000693, 59.0402693, 103.3219733, 160.3258933, 172.6589633", \
+					  "48.7309069, 49.0042229, 50.6127899, 59.1237319, 103.3537409, 160.2855209, 172.6606709", \
+					  "48.7996582, 49.0672332, 50.5482152, 59.1377672, 102.9577122, 160.3636422, 172.8015022", \
+					  "48.7759675, 49.0170975, 50.5799545, 59.1394375, 103.2756435, 160.3852535, 172.7333235");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.0666933, 20.0729193, 20.0725633, 20.0702023, 20.0626343, 20.0398503, 20.0428113", \
+					  "21.2849130, 21.2607260, 21.2353030, 21.2085120, 21.1529940, 21.1092200, 21.1057890", \
+					  "21.2832266, 21.2777756, 21.2646096, 21.2189446, 21.1739166, 21.1303606, 21.0983836", \
+					  "21.3455642, 21.3364332, 21.3346762, 21.2693962, 21.1995722, 21.1472532, 21.1572502", \
+					  "21.3337291, 21.3624101, 21.3440561, 21.3152151, 21.2628611, 21.2168491, 21.2190091", \
+					  "21.2816797, 21.3194777, 21.3143397, 21.2621187, 21.1954247, 21.1758527, 21.1534767", \
+					  "21.2975883, 21.2938413, 21.2625923, 21.2236713, 21.1646443, 21.1463233, 21.1164033");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.5883393, 66.5106173, 67.4974043, 65.6250123, 60.5238573, 52.8494163, -133.2736257", \
+					  "66.2469130, 66.3065250, 66.4340750, 65.9691350, 65.8069730, 51.6722860, -137.5963950", \
+					  "66.2514176, 66.3939556, 66.3761366, 65.9017806, 64.0014526, 51.8213076, -134.3021544", \
+					  "65.8641862, 66.5808492, 65.7880592, 66.1789062, 63.6777892, 52.3497642, -138.4789878", \
+					  "66.2761961, 66.2754261, 66.2445411, 65.7813211, 63.9380041, 51.7485771, -134.0261019", \
+					  "66.2700067, 66.2887557, 66.1899877, 65.8207067, 63.9803317, 51.4773987, -133.4838353", \
+					  "66.2850173, 66.2547703, 66.1994183, 65.7926813, 64.0114603, 51.5688403, -134.0037187");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0885696, 32.3835796, 32.1946136, 32.2256366, 33.8536226, 21.1531086, 31.4452956", \
+					  "32.0613343, 32.5135283, 32.0598253, 32.2745223, 35.1325443, 32.2083313, 32.6143893", \
+					  "32.1914780, 32.1139460, 32.2085990, 31.7051580, 31.9569570, 31.9428850, 32.0675840", \
+					  "32.1334833, 32.0629533, 31.9779453, 32.4554103, 31.9541583, 32.2673003, 31.5423563", \
+					  "32.1926669, 32.2008859, 32.1879349, 31.7421799, 32.0924659, 32.1804239, 31.8917929", \
+					  "32.2162122, 32.2140962, 32.2098802, 32.1588902, 32.2540602, 32.2474542, 32.0744162", \
+					  "32.2434725, 32.2439345, 32.2550435, 32.2535935, 32.2368425, 32.2621485, 32.0429045");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.7100263, 66.3849533, 66.3267503, 67.0103283, 64.1036533, 52.9163553, -122.6314757", \
+					  "66.2743490, 66.3340030, 66.3691340, 66.1751230, 65.5419000, 51.6967490, -136.0819450", \
+					  "66.3470356, 66.3871276, 66.2548726, 65.8408216, 63.9966656, 52.0251196, -136.1497544", \
+					  "65.8176742, 66.0611672, 66.4444932, 65.2369932, 63.7604662, 39.4106522, -131.7947278", \
+					  "66.3004261, 66.2923361, 66.2064251, 65.8675781, 64.1187271, 51.6156971, -134.4451419", \
+					  "66.2740107, 66.2457347, 66.1757077, 65.8262647, 63.7553937, 51.5866967, -135.0988553", \
+					  "66.2706323, 66.2141083, 66.1941453, 65.8615153, 63.9808253, 51.6944723, -134.9808787");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0939926, 32.3718706, 32.1299076, 32.2263066, 33.8604616, 32.3305876, 32.7402526", \
+					  "32.1955923, 32.2831783, 32.0419853, 32.3862343, 35.0963623, 24.7370353, 32.1133593", \
+					  "32.1929520, 32.1128880, 32.2056680, 31.6132600, 31.9362790, 32.1076630, 31.9379270", \
+					  "32.0962043, 31.9571803, 31.9742713, 31.4736643, 31.9234993, 31.9190443, 32.7383883", \
+					  "32.1932669, 32.2155169, 32.1901479, 31.6542709, 31.9538169, 32.1806909, 32.2278989", \
+					  "32.2196922, 32.2158722, 32.2043152, 32.0415572, 32.2538942, 32.2486482, 32.0667622", \
+					  "32.2340675, 32.2343245, 32.2216985, 32.2590165, 32.2365515, 32.2487885, 32.0423625");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.2088873, 70.3299363, 70.7271193, 71.0075543, 71.5182223, 65.2053003, -0.7081994", \
+					  "70.1925970, 70.1808980, 70.4874160, 71.0364290, 71.1898890, 65.4246260, -12.1796820", \
+					  "70.1513596, 70.1495356, 70.3973436, 70.7834936, 70.7461136, 65.1233746, -7.9675142", \
+					  "70.0844632, 70.1439642, 70.4158302, 70.9404522, 70.9657232, 66.7030502, -8.3182571", \
+					  "70.1000401, 70.1573201, 70.4257581, 70.8567121, 70.8724291, 65.0576841, -9.9976421", \
+					  "70.0725907, 70.1259087, 70.3796157, 70.7904957, 70.6567137, 65.1979277, -6.1777424", \
+					  "70.0799393, 70.1121433, 70.3654333, 70.8282503, 70.7983373, 64.7013323, -11.4646807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0309026, 24.0683046, 24.2860436, 25.3062656, 27.3267916, 28.3125566, 28.5198326", \
+					  "25.8697753, 25.8997563, 26.1262353, 27.1501853, 29.1689193, 30.1633873, 30.3664543", \
+					  "25.8688600, 25.9076240, 26.1242750, 27.1493950, 29.1782110, 30.1662020, 30.3746810", \
+					  "25.8710813, 25.9199853, 26.1283273, 27.1538313, 29.1919683, 30.1734123, 30.3913213", \
+					  "25.9021629, 25.9413139, 26.1575799, 27.1809089, 29.2082349, 30.1999759, 30.4061209", \
+					  "25.9266352, 25.9639352, 26.1820722, 27.2056562, 29.2308952, 30.2215302, 30.4297562", \
+					  "25.9337405, 25.9815495, 26.1900845, 27.2128515, 29.2494095, 30.2299555, 30.4493425");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7699102, 19.8028502, 19.9971392, 20.9387702, 22.8578352, 23.8073522, 24.0075152", \
+					  "21.3140826, 21.3479256, 21.5698836, 22.5883996, 24.6156446, 25.6094176, 25.8174716", \
+					  "21.3155880, 21.3549700, 21.5712070, 22.5898470, 24.6172110, 25.6124150, 25.8216970", \
+					  "21.3095785, 21.3465405, 21.5650595, 22.5862655, 24.6154755, 25.6106345, 25.8248505", \
+					  "21.3136640, 21.3548240, 21.5660470, 22.5892550, 24.6198650, 25.6140850, 25.8290920", \
+					  "21.3188574, 21.3565784, 21.5738594, 22.5926124, 24.6215864, 25.6174034, 25.8288714", \
+					  "21.3204348, 21.3543678, 21.5736138, 22.5949928, 24.6221338, 25.6175198, 25.8264638");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3794129, 85.4259309, 85.5354689, 85.3033629, 86.2116289, 79.5553559, 1.5492866", \
+					  "85.3829056, 85.3982126, 85.5325776, 85.3107346, 86.2909436, 78.7651996, 0.1806388", \
+					  "85.3848173, 85.4018033, 85.5438913, 85.3479503, 86.2990163, 79.1981893, -0.6417952", \
+					  "85.4252977, 85.4123637, 85.5499617, 85.3430027, 86.3193257, 79.7536337, 1.2385109", \
+					  "85.4660222, 85.4613872, 85.5800982, 85.3612932, 86.3705142, 79.1287792, 5.3207847", \
+					  "85.4528516, 85.4692906, 85.5920326, 85.3926776, 86.3349796, 78.8445686, 1.2810157", \
+					  "85.4653210, 85.4930490, 85.6015940, 85.3514530, 86.4316450, 79.7391030, 13.2154400");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.2699933, 95.3728493, 95.5571593, 95.7341713, 98.1965553, 93.0520593, 9.2858181", \
+					  "95.2082600, 95.2578450, 95.4442100, 95.6809720, 98.1989720, 93.4943600, 27.4563030", \
+					  "95.1130806, 95.1340146, 95.2978766, 95.5675636, 98.0437016, 94.7521816, 9.8681156", \
+					  "95.0900782, 95.1181882, 95.3395392, 95.5810472, 98.3226252, 94.7281942, 17.1228782", \
+					  "95.0994591, 95.1243351, 95.3476841, 95.5754251, 98.3542171, 93.4707691, 16.3039141", \
+					  "94.9758367, 95.1274097, 95.3449307, 95.5167717, 98.2505777, 93.6533527, 11.6569497", \
+					  "95.0568213, 95.1182863, 95.3040543, 95.5079533, 98.2135463, 94.6904403, 16.4806873");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.4134016, 60.7324516, 62.5266766, 73.2234876, 101.4822606, 160.0945406, 188.9238106", \
+					  "60.2114973, 60.6600343, 62.5841343, 73.0484093, 101.4640163, 160.0699163, 188.9034463", \
+					  "60.3535060, 60.6664990, 62.5042920, 73.1679010, 101.4294920, 160.0340120, 188.8093420", \
+					  "60.3606923, 60.6821853, 62.4497163, 73.0174013, 101.4444933, 160.0490433, 188.6590533", \
+					  "60.3853339, 60.6938579, 62.5959479, 73.0929679, 101.4005509, 160.0888709, 188.9422509", \
+					  "60.4049272, 60.6715962, 62.6068392, 73.2089422, 101.5632922, 160.1198122, 188.9325922", \
+					  "60.4111775, 60.6926185, 62.5792345, 73.1728435, 101.6115735, 160.1401835, 188.9081235");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.8822922, 14.8390072, 14.8531802, 14.8854702, 14.8848122, 14.8687902, 14.8719012", \
+					  "16.4677426, 16.5106766, 16.4824616, 16.4496836, 16.4288536, 16.3818646, 16.3375756", \
+					  "16.6826280, 16.6805820, 16.6592400, 16.6186650, 16.5682210, 16.5232620, 16.5193400", \
+					  "16.6685215, 16.6833325, 16.6715835, 16.6073115, 16.5689785, 16.5248415, 16.5200605", \
+					  "16.6809220, 16.6806760, 16.6653100, 16.6168240, 16.5641580, 16.5347390, 16.5170760", \
+					  "16.6855064, 16.6842274, 16.6679354, 16.6165334, 16.5685544, 16.5351074, 16.5217864", \
+					  "16.6675078, 16.6763298, 16.6604128, 16.5965918, 16.5636318, 16.5272408, 16.5198168");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.3338499, 44.6321389, 46.2387259, 54.6520059, 98.9608399, 155.9790159, 168.2017659", \
+					  "44.3518076, 44.6103156, 46.2415106, 54.6692176, 98.9518156, 155.9462106, 168.2438206", \
+					  "44.3594493, 44.5915553, 46.2582463, 54.6879203, 98.7925503, 155.9976353, 168.3675253", \
+					  "44.3794997, 44.6609787, 46.2792087, 54.6981377, 98.9837437, 156.0643767, 168.2692267", \
+					  "44.3649172, 44.7123472, 46.2986512, 54.7588422, 98.9360462, 156.0044372, 168.3352872", \
+					  "44.3731536, 44.6714256, 46.2524456, 54.7252406, 98.4167806, 156.0881686, 168.3879786", \
+					  "44.5017310, 44.7528840, 46.1803640, 54.7487460, 98.4667470, 156.1122100, 168.4282100");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8807312, 15.8607472, 15.8800332, 15.8761032, 15.8642562, 15.8278502, 15.8372622", \
+					  "16.5708666, 16.5701416, 16.5509366, 16.5078226, 16.4573596, 16.4165786, 16.4063386", \
+					  "16.5573890, 16.5674180, 16.4374260, 16.5180440, 16.4667500, 16.4188350, 16.4092750", \
+					  "16.5659875, 16.5710095, 16.5410245, 16.5026975, 16.4517595, 16.4115085, 16.3955295", \
+					  "16.5702760, 16.5626400, 16.5508040, 16.5046730, 16.4516400, 16.4144510, 16.4026050", \
+					  "16.5580044, 16.5533424, 16.5244544, 16.4905804, 16.4386794, 16.4030134, 16.3838514", \
+					  "16.5700848, 16.5671348, 16.5410508, 16.5045128, 16.4502508, 16.4016058, 16.3958588");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.3737469, 29.5068559, 30.1052869, 31.6936799, 35.7218739, 48.6425179, 71.4089769", \
+					  "29.4855046, 29.5144016, 30.1060086, 31.6666346, 35.7429366, 48.5371256, 71.4054896", \
+					  "29.4933833, 29.5298953, 30.0985553, 31.6787843, 35.6811863, 48.6465653, 71.4810633", \
+					  "29.4991007, 29.5842477, 30.1329057, 31.7178737, 35.7622157, 48.6891487, 71.4107447", \
+					  "29.5396512, 29.6157052, 30.1085362, 31.7348262, 35.7658962, 48.7264142, 71.4199112", \
+					  "29.5397236, 29.6207876, 30.1162276, 31.7428706, 35.8181186, 48.7471616, 71.4305266", \
+					  "29.5619170, 29.6514740, 30.1136740, 31.7762290, 35.8090730, 48.6325170, 71.4777800");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.3671129, 84.5276839, 91.1403949, 120.7061759, 148.0712159, 156.0561859, 161.7194259", \
+					  "83.3805426, 84.3986166, 91.1323696, 120.7057106, 148.0821306, 156.0656606, 161.7750606", \
+					  "83.6603283, 84.5292993, 91.1221443, 120.4334653, 148.1337153, 156.0589853, 161.8329453", \
+					  "83.3364267, 84.5211257, 91.1144627, 120.7507467, 148.0737167, 156.1081867, 161.9225067", \
+					  "83.5310842, 84.6839262, 91.2075892, 120.7644572, 148.1011172, 156.0180972, 161.9781172", \
+					  "83.6059546, 84.7798696, 91.3438206, 120.6754686, 148.1246186, 156.1186686, 161.8401786", \
+					  "83.7915210, 84.8269630, 91.0854640, 120.6880600, 148.1658300, 156.1800600, 161.9711600");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.2947722, 14.2936052, 14.2971682, 14.2926752, 14.2923372, 14.2887682, 14.2905482", \
+					  "15.8570416, 15.8639636, 15.8665966, 15.8223866, 15.7915646, 15.7458826, 15.7391146", \
+					  "16.0712880, 16.0688340, 16.0515890, 16.0097650, 15.9525570, 15.9171960, 15.9049080", \
+					  "16.0752445, 16.0782595, 16.0620465, 16.0166615, 15.9567105, 15.9215205, 15.9134385", \
+					  "16.0823260, 16.0787440, 16.0505050, 16.0171130, 15.9624240, 15.9155860, 15.9168670", \
+					  "16.0843324, 16.0964564, 16.0705954, 16.0093244, 15.9715824, 15.9288144, 15.9207744", \
+					  "16.0816968, 16.0723428, 16.0644588, 16.0102498, 15.9576208, 15.9298338, 15.9102828");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4924852, 14.4924302, 14.4921352, 14.4914392, 14.4909852, 14.4907912, 14.4907212", \
+					  "14.9094766, 14.9095076, 14.9075616, 14.9024926, 14.8983956, 14.8974996, 14.8974136", \
+					  "14.9188840, 14.9189600, 14.9160840, 14.9078940, 14.9019340, 14.9009140, 14.9006160", \
+					  "14.9248085, 14.9229305, 14.9174435, 14.9115535, 14.9030715, 14.9010725, 14.9003425", \
+					  "14.9252760, 14.9207120, 14.9176190, 14.9132060, 14.9049900, 14.8997030, 14.9039690", \
+					  "14.9144294, 14.9129394, 14.9097704, 14.9013954, 14.8939024, 14.9047374, 14.8922754", \
+					  "14.9196368, 14.9227398, 14.9199328, 14.9069588, 14.8995278, 14.9017338, 14.8974838");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.3188019, 26.3768239, 26.4241159, 26.7210009, 26.8533029, 26.5361629, 23.6061419", \
+					  "26.3170316, 26.3277306, 26.4010846, 26.5423396, 26.1279556, 26.3814506, 25.3444216", \
+					  "26.2018423, 26.3275453, 26.2857213, 26.4589233, 26.2714893, 20.0619573, 17.2136173", \
+					  "26.3701897, 26.3389407, 26.3516337, 26.1862437, 26.4699887, 26.3655377, 25.4504237", \
+					  "26.3244402, 26.3377562, 26.0045812, 26.8501482, 28.4970932, 26.4951232, 25.9627032", \
+					  "26.3881216, 26.3827036, 26.4050156, 26.2837446, 26.0303836, 26.3350336, 26.3204516", \
+					  "26.4279870, 26.4153090, 26.4153370, 26.3496810, 26.4260960, 26.4230010, 26.2903310");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.1333223, 92.1592733, 92.2930483, 92.1266053, 92.7396563, 87.1692653, 7.2161568", \
+					  "92.0415980, 92.0644270, 92.1878150, 92.0191720, 92.6499590, 86.4891370, 7.5020060", \
+					  "91.9457946, 91.9354346, 92.1111486, 91.9050076, 92.5497906, 85.4155596, 12.6017556", \
+					  "91.9270252, 91.9752642, 92.1063122, 91.9097402, 92.5348652, 87.0265082, 9.0387546", \
+					  "91.9455511, 91.9970731, 92.1260111, 91.9170601, 92.4703811, 87.0064191, 7.5656742", \
+					  "91.9063687, 91.9272747, 92.0646237, 91.8528837, 92.4718877, 85.3078067, 6.9737752", \
+					  "91.9058763, 91.9370463, 92.0817913, 91.8380903, 92.7678743, 85.3078003, 8.2852235");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0833206, 24.1205436, 24.3383076, 25.3573986, 27.3772216, 28.3611206, 28.5686696", \
+					  "25.9152143, 25.9529453, 26.1712563, 27.1950763, 29.2214573, 30.2119113, 30.4183403", \
+					  "25.9221420, 25.9573270, 26.1830560, 27.1950280, 29.2167040, 30.2067460, 30.4151520", \
+					  "25.9376533, 25.9702493, 26.2009063, 27.2171523, 29.2446453, 30.2347043, 30.4414723", \
+					  "25.9466629, 25.9867439, 26.2021139, 27.2271859, 29.2536609, 30.2404859, 30.4475179", \
+					  "25.9718352, 26.0098352, 26.2268662, 27.2503082, 29.2770112, 30.2693212, 30.4780812", \
+					  "25.9770445, 26.0269575, 26.2321895, 27.2542225, 29.2807695, 30.2728995, 30.4961935");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3770259, 85.3817369, 85.5728409, 85.3104189, 86.2750709, 80.3715779, 2.9014952", \
+					  "85.3734826, 85.3752036, 85.5183186, 85.3147866, 86.2321916, 80.5216136, 3.0825871", \
+					  "85.3877663, 85.4306273, 85.5432593, 85.2947983, 86.2967403, 79.0453463, 2.8537996", \
+					  "85.4613597, 85.4004287, 85.5879877, 85.3681557, 86.2846657, 80.4311257, -0.5167946", \
+					  "85.4654672, 85.4877762, 85.5830402, 85.3404632, 86.2953452, 78.8509802, 0.0249191", \
+					  "85.4418886, 85.5121236, 85.6015876, 85.3758926, 86.4106416, 80.3969586, 0.2672022", \
+					  "85.4668750, 85.4895310, 85.6154780, 85.3586210, 86.4422480, 79.0680490, 6.6920802");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7698872, 19.8005812, 19.9974922, 20.9400812, 22.8569802, 23.8069772, 24.0079642", \
+					  "21.3138586, 21.3503646, 21.5697396, 22.5881936, 24.6154726, 25.6076806, 25.8192866", \
+					  "21.3160600, 21.3520820, 21.5703650, 22.5893230, 24.6173200, 25.6127480, 25.8223670", \
+					  "21.3094205, 21.3464465, 21.5648825, 22.5860265, 24.6158935, 25.6162495, 25.8234325", \
+					  "21.3111580, 21.3545810, 21.5688850, 22.5889500, 24.6202710, 25.6189980, 25.8269530", \
+					  "21.3187374, 21.3564974, 21.5736814, 22.5924964, 24.6215534, 25.6186574, 25.8275924", \
+					  "21.3185698, 21.3540658, 21.5731098, 22.5943188, 24.6245308, 25.6163438, 25.8296468");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5036642, 14.5034382, 14.5032792, 14.5030122, 14.5023912, 14.5019642, 14.5019472", \
+					  "14.9103456, 14.9100646, 14.9080336, 14.9032906, 14.8993786, 14.8977826, 14.8980376", \
+					  "14.9201540, 14.9196260, 14.9167590, 14.9090650, 14.9030320, 14.9016950, 14.9013890", \
+					  "14.9240615, 14.9200675, 14.9176095, 14.9115975, 14.9043875, 14.9001865, 14.9017095", \
+					  "14.9261990, 14.9219040, 14.9184140, 14.9043760, 14.9028930, 14.9038470, 14.9043160", \
+					  "14.9154564, 14.9266304, 14.9228724, 14.9006424, 14.8925754, 14.8929434, 14.8925984", \
+					  "14.9200078, 14.9230468, 14.9199638, 14.9118768, 14.9045458, 14.8982788, 14.8977878");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2562849, 26.3500929, 26.3946239, 26.3158169, 25.5839629, 26.1699059, 25.6957569", \
+					  "26.2546426, 26.2667356, 26.2258466, 26.2035726, 25.7995556, 26.2274246, 26.4421546", \
+					  "26.3353853, 26.1568173, 26.3408733, 26.1295343, 26.3269753, 21.9266283, 26.1576903", \
+					  "26.3694507, 26.2634727, 26.6693937, 26.4064597, 26.3709687, 26.5720007, 27.0363917", \
+					  "26.9268802, 26.4604442, 26.6015832, 26.4475502, 24.9460112, 26.2260792, 26.9775372", \
+					  "26.3631256, 26.3848236, 26.4270386, 26.3872076, 25.1001016, 26.4004036, 26.2899446", \
+					  "26.3852660, 26.3644680, 26.4093370, 26.3645960, 26.4350630, 26.4482670, 26.2185330");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8835862, 15.8906152, 15.8908772, 15.8681382, 15.8772342, 15.8556742, 15.8396822", \
+					  "16.5708096, 16.5680426, 16.5530456, 16.4847456, 16.4548986, 16.4162536, 16.4044236", \
+					  "16.5711320, 16.5461880, 16.5555330, 16.5010260, 16.4421290, 16.4114940, 16.3983900", \
+					  "16.5757035, 16.5607245, 16.5599265, 16.5080755, 16.4551005, 16.4072685, 16.3869305", \
+					  "16.5711750, 16.5658740, 16.5484990, 16.5028080, 16.4605320, 16.4133250, 16.3981850", \
+					  "16.5583684, 16.5595324, 16.5396854, 16.4938274, 16.4411424, 16.4049354, 16.3854544", \
+					  "16.5676268, 16.5678098, 16.5498618, 16.5019958, 16.4484138, 16.4098798, 16.3959038");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.4180209, 29.4962399, 30.1232969, 31.6471719, 35.7233589, 48.6748529, 71.3263349", \
+					  "29.4151026, 29.5151126, 30.0757056, 31.6623536, 35.7394046, 48.6155696, 71.3946786", \
+					  "29.4563883, 29.5309533, 30.0472173, 31.6767653, 35.7387973, 48.6285213, 71.4759703", \
+					  "29.4814647, 29.5785887, 30.0748137, 31.7148487, 35.7644077, 48.6567657, 71.4022647", \
+					  "29.5612592, 29.6334582, 30.0332462, 31.7390272, 35.8695532, 48.7209192, 71.4571662", \
+					  "29.5455276, 29.6206696, 30.0996786, 31.7578386, 35.7831186, 48.7461206, 71.4343506", \
+					  "29.5554780, 29.6490630, 30.1124390, 31.7939270, 35.8265660, 48.7254630, 71.4503510");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.4973293, 92.4869423, 92.6419013, 92.4396463, 93.1385593, 86.0137243, 4.4429303", \
+					  "92.4059800, 92.4673550, 92.5401440, 92.3833390, 93.0528240, 86.3476110, 7.1924411", \
+					  "92.3395276, 92.3348446, 92.4408286, 92.2874446, 93.1272386, 87.4024356, 6.4549981", \
+					  "92.3054382, 92.3344562, 92.4697992, 92.2428702, 92.9044102, 85.7462262, 5.8247938", \
+					  "92.3096211, 92.2983251, 92.4549981, 92.2279091, 92.8433261, 87.4344171, 6.2247785", \
+					  "92.2631597, 92.3043767, 92.4237117, 92.2284927, 92.8063077, 85.6866007, 6.8568991", \
+					  "92.2755803, 92.3215993, 92.4722433, 92.2022023, 93.1347963, 85.7048163, 16.1900153");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.5764656, 39.5966646, 39.7596516, 40.7119526, 43.1837256, 43.4223646, 43.3944426", \
+					  "39.5204483, 39.5539353, 39.7238893, 40.6625343, 42.5584383, 44.7125103, 43.6818583", \
+					  "39.2190120, 39.6531300, 39.8963420, 40.4003110, 42.4946350, 43.3641170, 43.5105830", \
+					  "39.5906543, 39.4451213, 39.9938173, 40.5236123, 39.7313953, 45.4139713, 43.0955793", \
+					  "39.5638439, 39.5378369, 39.7609469, 40.5363159, 42.6520399, 43.3922569, 43.3398479", \
+					  "39.5037822, 39.5820982, 39.7426462, 40.5794112, 42.5670642, 43.4308902, 43.5257802", \
+					  "39.5462975, 39.5791255, 39.7679955, 40.6895535, 42.5229165, 43.5336885, 43.4610105");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.3883226, 35.4732996, 35.9406376, 37.5738246, 41.6281396, 54.5498596, 77.1998076", \
+					  "35.3368393, 35.4306463, 35.9423103, 37.5262443, 41.6040483, 54.4633773, 77.1137063", \
+					  "35.3215750, 35.4126410, 35.8757150, 37.5248950, 41.5669990, 54.6093200, 77.1993220", \
+					  "35.3232063, 35.4073373, 35.8855273, 37.5306313, 41.5671393, 54.5045853, 77.2145853", \
+					  "35.3564389, 35.4376549, 35.8966039, 37.5458469, 41.6271549, 54.5680049, 77.2609809", \
+					  "35.3706162, 35.4615992, 35.9069682, 37.5679522, 41.6136432, 54.4805902, 77.2697012", \
+					  "35.3727755, 35.4608265, 35.9233175, 37.5670755, 41.6446295, 54.4922075, 77.2853805");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.0733723, 69.0071683, 69.1500403, 68.9387023, 71.0970313, 59.3667413, -125.5936357", \
+					  "69.0253150, 69.4006190, 69.1573480, 68.6521270, 70.9837000, 61.8718570, -131.3088550", \
+					  "68.8665196, 68.3554976, 69.1278936, 69.1860276, 68.8314226, 56.1309436, -99.3967304", \
+					  "68.5084182, 69.1000072, 69.5572322, 69.5822332, 68.8920282, 58.5416822, -124.4615578", \
+					  "68.8335951, 68.8441831, 68.8387921, 68.9202341, 69.0064081, 58.8218531, -126.8062719", \
+					  "68.8034387, 68.8024677, 68.8946927, 68.9058297, 68.9437917, 58.8330257, -126.4935353", \
+					  "68.8110243, 68.7769333, 68.7997063, 68.9066003, 68.8323543, 58.5038803, -125.6113487");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.7148816, 42.8386786, 43.4967906, 46.0944706, 52.0012066, 65.7646006, 88.6624226", \
+					  "42.6936143, 42.7786403, 43.4942173, 46.0631553, 51.9588243, 65.5567223, 88.5275973", \
+					  "42.6558430, 42.7771630, 43.4746950, 46.0508250, 51.9711280, 65.8517950, 88.5106560", \
+					  "42.6631133, 42.8081293, 43.4502263, 46.0419763, 51.9534873, 65.7726893, 88.5924563", \
+					  "42.6892259, 42.8031099, 43.4683939, 46.0609899, 51.9631859, 65.7167919, 88.6361389", \
+					  "42.7187902, 42.8311792, 43.5065112, 46.0916612, 51.9817832, 65.6156552, 88.6140082", \
+					  "42.7167515, 42.8558905, 43.5101655, 46.1080565, 51.9984865, 65.7113265, 88.6727365");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.3441503, 73.3938953, 73.7472583, 74.7741043, 77.3167503, 73.9050353, -0.4514574", \
+					  "73.2370090, 73.3499950, 73.6305060, 74.5551070, 76.3815000, 75.1719950, -10.2834711", \
+					  "73.1528826, 73.1792296, 73.5608406, 74.5970396, 77.8738426, 76.0596896, -10.2287939", \
+					  "73.1759902, 73.1350852, 73.6743272, 74.3911712, 77.2402252, 72.2786872, 1.6187098", \
+					  "73.1464091, 73.2105171, 73.5812831, 74.4591081, 76.0062461, 73.0990371, -3.8915694", \
+					  "73.1032427, 73.1737277, 73.5262727, 74.3840787, 76.4353137, 74.0076097, 5.1470975", \
+					  "73.1206533, 73.1818123, 73.5105353, 74.3816093, 77.3664023, 74.7326763, 1.8606246");
+				}
+			}*/
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("56.0923819, 56.3982483, 57.8400492, 64.4127294, 78.3889912, 100.0822147, 111.9612854", \
+					  "57.0828765, 57.3065841, 58.7804228, 65.4461344, 79.8383552, 101.1659088, 113.1482745", \
+					  "57.1388810, 57.3286265, 58.7479617, 65.3533625, 79.9119709, 99.8419427, 112.2555956", \
+					  "57.1191650, 57.3575732, 58.8090144, 65.4208103, 79.8585687, 101.5621958, 113.4903530", \
+					  "57.1823082, 57.4185060, 58.8435576, 65.4686296, 80.4052188, 101.2144375, 113.3768343", \
+					  "57.1785072, 57.4342867, 58.8096961, 65.4724920, 79.5320332, 101.2394753, 113.3668753", \
+					  "57.2246663, 57.4590229, 58.7988315, 65.4745451, 79.9678610, 101.2762204, 113.4204233");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.4301119, 83.3942193, 83.6539343, 83.5613501, 82.3832559, 74.6634034, -45.2998591", \
+					  "84.2847994, 84.2461309, 84.2408226, 83.9883821, 84.0175901, 75.4578827, -46.3907766", \
+					  "84.2605567, 84.2464864, 84.3389303, 84.2538415, 84.6212700, 75.0258309, -44.6272807", \
+					  "84.3280659, 84.3330889, 84.3727248, 84.1978154, 83.7432868, 74.6404983, -46.2543844", \
+					  "84.3660579, 84.4443810, 84.4883832, 84.4174646, 84.2213488, 76.4945309, -44.0403977", \
+					  "84.3220728, 84.3438893, 84.4092335, 84.2811354, 83.9927854, 75.0784568, -45.0559125", \
+					  "84.3547013, 84.3653246, 84.4230577, 84.2929957, 83.9372696, 74.9743381, -43.5319814");
+				}
+			}*/
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.5601205, 86.9970990, 89.2465734, 100.3151772, 125.0778510, 157.8592672, 179.8083957", \
+					  "87.1535088, 87.6139678, 89.8058891, 100.8617721, 125.8999701, 159.2835319, 180.6952938", \
+					  "87.0921738, 87.4979625, 89.8457042, 100.5733287, 124.7558935, 160.1706843, 180.3892007", \
+					  "87.0958219, 87.4262426, 89.7612966, 100.7107149, 124.4086383, 160.2182023, 180.4804916", \
+					  "87.1961781, 87.5805256, 89.8459200, 100.6227193, 125.0248155, 160.0605915, 180.4581811", \
+					  "87.2086154, 87.6238846, 89.7612392, 100.8735199, 124.9380964, 160.2784572, 180.5640234", \
+					  "87.2754570, 87.6725005, 89.8650575, 100.9476962, 125.0111308, 160.3424622, 180.5484218");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("134.2309063, 134.0535448, 134.5568654, 134.6311184, 134.7353816, 122.3238174, -76.5760664", \
+					  "134.3938968, 134.5704452, 134.6578530, 134.8906682, 135.9457660, 122.9392398, -80.4969795", \
+					  "134.2743570, 134.2327154, 134.5359022, 134.7297374, 135.0997859, 122.6585137, -76.7410914", \
+					  "134.0104306, 134.3841696, 134.5828794, 134.5827284, 135.0284431, 120.4328791, -76.9645466", \
+					  "134.2649617, 134.3112380, 134.5168102, 134.6461244, 135.0193249, 122.3829015, -79.3732452", \
+					  "134.1916197, 134.2490624, 134.4249952, 134.5910984, 134.8238227, 121.9595969, -77.0343182", \
+					  "134.2031858, 134.2229091, 134.4386499, 134.5756726, 135.1562564, 122.0555098, -75.0574745");
+				}
+			}*/
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3469892, 1.3528225, 1.3572524, 1.3572525, 1.3572526, 1.3572527, 1.7029130", \
+					  "1.4074173, 1.4087872, 1.4148206, 1.4199175, 1.4199176, 1.4199177, 1.6762531", \
+					  "1.4307686, 1.4373259, 1.4373261, 1.4373262, 1.4412168, 1.4412169, 1.6278524", \
+					  "1.4414747, 1.4415921, 1.4415922, 1.4415923, 1.4415924, 1.4415926, 1.6057726", \
+					  "1.4455419, 1.4455420, 1.4466807, 1.4466808, 1.4466809, 1.4466810, 1.6134821", \
+					  "1.4476748, 1.4486949, 1.4486951, 1.4486952, 1.4486953, 1.4486954, 1.6071682", \
+					  "1.4358226, 1.4369789, 1.4369790, 1.4369792, 1.4419874, 1.4419875, 1.5813895");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2590898, 7.4408528, 8.5774854, 15.5527360, 58.4124250, 321.1066800, 1938.9006000", \
+					  "7.3331150, 7.5091950, 8.6643928, 15.6154310, 58.4589300, 321.7640500, 1938.9729000", \
+					  "7.3542159, 7.5374409, 8.6678824, 15.6537020, 58.6064530, 321.5095000, 1939.0807000", \
+					  "7.3667938, 7.5582767, 8.7022283, 15.6616210, 58.5884190, 321.8617100, 1940.7644000", \
+					  "7.3689588, 7.5575493, 8.6871292, 15.6693660, 58.5173580, 321.8559400, 1939.5600000", \
+					  "7.3869137, 7.5697342, 8.6824334, 15.6918830, 58.5228190, 321.1978000, 1938.7369000", \
+					  "7.3787478, 7.5630968, 8.7039176, 15.6774180, 58.4960700, 321.3089600, 1941.6968000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.9165230, 12.2416150, 14.4397410, 28.2405550, 113.4156400, 637.7800900, 3872.9950000", \
+					  "11.8783470, 12.2437790, 14.4427670, 28.2288860, 113.4976100, 637.9816600, 3872.9963000", \
+					  "11.8859000, 12.2289460, 14.4671610, 28.2304460, 113.4888800, 637.7689500, 3872.9381000", \
+					  "11.8653620, 12.2365710, 14.4408660, 28.1783430, 113.4786700, 638.0581700, 3872.6284000", \
+					  "11.8703810, 12.2268200, 14.4561650, 28.1698810, 113.4221500, 638.0528800, 3871.9345000", \
+					  "11.8673760, 12.2350140, 14.4435570, 28.1696440, 113.0510200, 637.3157900, 3871.5566000", \
+					  "11.8729260, 12.2211700, 14.4380990, 28.1862460, 113.4981000, 637.1572000, 3871.0241000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7199236, 1.7288538, 1.7794294, 2.0312536, 3.0073473, 5.6919078, 14.7472770", \
+					  "1.8524834, 1.8614116, 1.9119886, 2.1638003, 3.1390683, 5.8247513, 14.8807380", \
+					  "1.9497060, 1.9586281, 2.0092019, 2.2610218, 3.2370557, 5.9215672, 14.9769530", \
+					  "2.0308999, 2.0401714, 2.0907478, 2.3425812, 3.3181755, 6.0035954, 15.0581330", \
+					  "2.1012634, 2.1101167, 2.1606594, 2.4123366, 3.3882717, 6.0735950, 15.1292970", \
+					  "2.1697761, 2.1782727, 2.2291049, 2.4808613, 3.4563175, 6.1439458, 15.1968590", \
+					  "2.2331659, 2.2416786, 2.2931206, 2.5444589, 3.5214587, 6.2064869, 15.2625750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4434239, 0.4471207, 0.4768253, 0.6521141, 1.4608955, 3.3918028, 13.2035280", \
+					  "0.4434625, 0.4471351, 0.4768685, 0.6521253, 1.4630006, 3.3921630, 13.2170100", \
+					  "0.4434613, 0.4471322, 0.4768581, 0.6521238, 1.4610112, 3.3916896, 13.2047640", \
+					  "0.4443048, 0.4471056, 0.4767861, 0.6520995, 1.4592690, 3.3920222, 13.2171330", \
+					  "0.4445826, 0.4476586, 0.4779015, 0.6527163, 1.4627753, 3.3926397, 13.2146550", \
+					  "0.4423484, 0.4493805, 0.4743449, 0.6556404, 1.4587961, 3.3906415, 13.2262540", \
+					  "0.4453098, 0.4497931, 0.4763069, 0.6523998, 1.4632513, 3.3856031, 13.2056980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9282354, 2.9293528, 2.9293529, 2.9293532, 2.9293534, 2.9293536, 2.9293539", \
+					  "2.9688929, 2.9927019, 2.9927022, 2.9927024, 2.9927027, 2.9927029, 2.9927031", \
+					  "2.9997980, 3.0006098, 3.0006100, 3.0006103, 3.0006105, 3.0006108, 3.0006110", \
+					  "3.0348459, 3.0348460, 3.0348462, 3.0348465, 3.0348467, 3.0348470, 3.0348472", \
+					  "3.0330400, 3.0426006, 3.0426008, 3.0426010, 3.0426013, 3.0426015, 3.0426018", \
+					  "3.0417175, 3.0427478, 3.0427479, 3.0427481, 3.0427484, 3.0427486, 3.0427489", \
+					  "3.0374965, 3.0378526, 3.0378527, 3.0378529, 3.0378532, 3.0378534, 3.0378536");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6562652, 2.6592710, 2.6653528, 2.6653530, 2.6653532, 2.6653535, 2.7509068", \
+					  "2.7393241, 2.7495470, 2.7495472, 2.7495474, 2.7495477, 2.7495479, 2.7495481", \
+					  "2.7780216, 2.7780217, 2.7780220, 2.7780222, 2.7780225, 2.7780227, 2.7780229", \
+					  "2.7925338, 2.7936389, 2.7936391, 2.7936394, 2.7936396, 2.7936398, 2.7936401", \
+					  "2.7902884, 2.7902886, 2.7902889, 2.7902891, 2.7902893, 2.7902896, 2.7902898", \
+					  "2.7948228, 2.7948229, 2.7948231, 2.7948233, 2.7948236, 2.7948238, 2.7948241", \
+					  "2.7926743, 2.7926745, 2.7926747, 2.7926749, 2.7926752, 2.7926754, 2.7926757");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8428987, 1.8671586, 2.0152480, 2.9052813, 8.0116322, 16.2219620, 35.6784400", \
+					  "1.9118398, 1.9359161, 2.0839712, 2.9748641, 8.0789260, 16.2938050, 35.7447870", \
+					  "1.9380597, 1.9622932, 2.1103748, 3.0004563, 8.1056859, 16.3189070, 35.7680610", \
+					  "1.9500512, 1.9743005, 2.1223341, 3.0132419, 8.1171363, 16.3271920, 35.8038610", \
+					  "1.9546880, 1.9791229, 2.1272364, 3.0180840, 8.1226643, 16.3322940, 35.8042410", \
+					  "1.9544264, 1.9790238, 2.1270874, 3.0176601, 8.1222380, 16.3330880, 35.8088240", \
+					  "1.9507904, 1.9752122, 2.1232509, 3.0141327, 8.1145659, 16.3298090, 35.7979460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5083065, 1.5521144, 1.8299767, 3.5887660, 7.9236484, 10.2984470, 28.2117250", \
+					  "1.5077055, 1.5499899, 1.8299386, 3.5890244, 7.9229370, 10.3017430, 28.2160780", \
+					  "1.5036606, 1.5505105, 1.8301137, 3.5900161, 7.9235694, 10.2888670, 28.2027310", \
+					  "1.5041006, 1.5501235, 1.8300726, 3.5910963, 7.9230071, 10.3102910, 28.1937780", \
+					  "1.5050036, 1.5504347, 1.8308358, 3.5874874, 7.9223428, 10.3066540, 28.1984360", \
+					  "1.5066910, 1.5518701, 1.8302527, 3.5862815, 7.9237337, 10.3008740, 28.1919180", \
+					  "1.5063711, 1.5518933, 1.8304740, 3.5820698, 7.9215781, 10.3115740, 28.2270530");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5092288, 7.6968423, 8.8619485, 15.9368550, 59.0939240, 322.9114000, 1945.7968000", \
+					  "7.6462090, 7.8278317, 8.9871968, 16.0866480, 59.1393780, 322.4263000, 1945.3462000", \
+					  "7.7427149, 7.9343891, 9.0873717, 16.1915600, 59.2050750, 322.5975700, 1945.4412000", \
+					  "7.8206241, 8.0336777, 9.1610817, 16.2422320, 59.2122690, 322.8171900, 1945.8278000", \
+					  "7.9160714, 8.0928437, 9.2546468, 16.3091600, 59.3188500, 322.9077700, 1946.2493000", \
+					  "7.9515221, 8.1689899, 9.3036796, 16.3969920, 59.3640760, 323.1849600, 1946.0178000", \
+					  "8.0192475, 8.2353084, 9.3578976, 16.4397240, 59.3736370, 323.2155200, 1947.3198000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6965320, 12.0630060, 14.2834500, 28.0835180, 113.3125300, 639.1290400, 3887.3545000", \
+					  "11.7085990, 12.0648220, 14.2838420, 28.1070120, 113.6187500, 639.7813800, 3885.5187000", \
+					  "11.6876400, 12.0415690, 14.2800150, 28.0923590, 113.6942600, 639.6752500, 3885.4769000", \
+					  "11.6847610, 12.0489460, 14.2591720, 28.0236940, 113.3746700, 640.3765300, 3885.0008000", \
+					  "11.6987760, 12.0620300, 14.2926600, 28.0239260, 113.3964700, 639.8598800, 3885.3377000", \
+					  "11.6810600, 12.0614350, 14.2798720, 28.0577360, 113.2823800, 640.1119800, 3885.4619000", \
+					  "11.6696200, 12.0579060, 14.2764920, 28.0311530, 113.2355800, 640.1440400, 3885.4191000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9283849, 2.9297220, 2.9297222, 2.9297225, 2.9297227, 2.9297230, 2.9297232", \
+					  "2.9785623, 2.9930971, 2.9930972, 2.9930975, 2.9930977, 2.9930980, 2.9930982", \
+					  "2.9987295, 3.0006124, 3.0006127, 3.0006129, 3.0006131, 3.0006134, 3.0006136", \
+					  "3.0348450, 3.0366021, 3.0366022, 3.0366024, 3.0366027, 3.0366029, 3.0366031", \
+					  "3.0357974, 3.0386860, 3.0386862, 3.0386864, 3.0386867, 3.0386869, 3.0386872", \
+					  "3.0419387, 3.0435767, 3.0435769, 3.0435771, 3.0435774, 3.0435776, 3.0435778", \
+					  "3.0372842, 3.0397482, 3.0397484, 3.0397486, 3.0397488, 3.0397491, 3.0397493");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3518359, 1.3543897, 1.3551054, 1.3551055, 1.3551056, 1.3568551, 1.6992309", \
+					  "1.4100212, 1.4106245, 1.4166520, 1.4166521, 1.4166522, 1.4166523, 1.6590612", \
+					  "1.4322834, 1.4396818, 1.4396819, 1.4396820, 1.4396821, 1.4396822, 1.6362868", \
+					  "1.4465673, 1.4474062, 1.4474063, 1.4474302, 1.4474304, 1.4474305, 1.6204100", \
+					  "1.4458142, 1.4479912, 1.4479914, 1.4479915, 1.4479916, 1.4479917, 1.6135064", \
+					  "1.4455422, 1.4464749, 1.4492013, 1.4492015, 1.4492016, 1.4492017, 1.6055702", \
+					  "1.4373651, 1.4394499, 1.4424950, 1.4424951, 1.4424952, 1.4424953, 1.5956583");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9792721, 2.0041178, 2.1546143, 3.0764240, 7.5600539, 27.1791140, 67.5328170", \
+					  "2.1126789, 2.1373001, 2.2874584, 3.2062735, 7.6925302, 27.3120710, 67.6528420", \
+					  "2.2096258, 2.2347730, 2.3859155, 3.3058412, 7.7898141, 27.4061110, 67.7637930", \
+					  "2.2919582, 2.3162980, 2.4673896, 3.3873084, 7.8713391, 27.4887540, 67.8319050", \
+					  "2.3624425, 2.3861708, 2.5367128, 3.4556513, 7.9413685, 27.5604390, 67.9251710", \
+					  "2.4299982, 2.4549140, 2.6061919, 3.5284242, 8.0098310, 27.6293710, 67.9763520", \
+					  "2.4949254, 2.5191578, 2.6697309, 3.5927570, 8.0722753, 27.6918510, 68.0427950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5228059, 1.5686933, 1.8521636, 3.2730267, 8.5847365, 31.0370960, 38.0546790", \
+					  "1.5230422, 1.5676203, 1.8516235, 3.2718241, 8.5702165, 31.0614790, 38.0302230", \
+					  "1.5216078, 1.5690464, 1.8534412, 3.2726977, 8.5701561, 31.0444650, 38.0423800", \
+					  "1.5227921, 1.5691737, 1.8533787, 3.2727510, 8.5706935, 31.0424540, 38.0133290", \
+					  "1.5220201, 1.5697805, 1.8531013, 3.2726837, 8.5681338, 31.0715540, 38.1555270", \
+					  "1.5217674, 1.5674743, 1.8516658, 3.2708458, 8.5678478, 31.0734940, 38.1091890", \
+					  "1.5186769, 1.5652161, 1.8528872, 3.2740508, 8.5681160, 31.0657240, 38.1096400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3547160, 1.3547161, 1.3547162, 1.3616683, 1.3616685, 1.3616686, 1.7052170", \
+					  "1.4104934, 1.4148771, 1.4148772, 1.4148773, 1.4191833, 1.4191834, 1.6711692", \
+					  "1.4333759, 1.4333761, 1.4333762, 1.4338591, 1.4338592, 1.4350321, 1.6135340", \
+					  "1.4469056, 1.4474562, 1.4474564, 1.4474911, 1.4474912, 1.4474913, 1.6205575", \
+					  "1.4460632, 1.4474666, 1.4477277, 1.4477278, 1.4477279, 1.4477280, 1.6133747", \
+					  "1.4455733, 1.4466098, 1.4466100, 1.4466101, 1.4466102, 1.4466103, 1.6056566", \
+					  "1.4374670, 1.4399582, 1.4399583, 1.4399584, 1.4451937, 1.4451938, 1.5955014");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7054771, 1.7140145, 1.7653137, 2.0199660, 3.0794352, 8.6146228, 36.1075260", \
+					  "1.8371873, 1.8464557, 1.8976709, 2.1524438, 3.2107621, 8.7471559, 36.2428450", \
+					  "1.9351778, 1.9434083, 1.9944897, 2.2496511, 3.3092322, 8.8444390, 36.3414260", \
+					  "2.0160731, 2.0249140, 2.0767117, 2.3311635, 3.3907925, 8.9257304, 36.4146790", \
+					  "2.0866111, 2.0949027, 2.1464255, 2.4012270, 3.4607128, 8.9962775, 36.4930950", \
+					  "2.1549473, 2.1630655, 2.2134610, 2.4692248, 3.5290253, 9.0624521, 36.5317870", \
+					  "2.2183717, 2.2277900, 2.2787860, 2.5341329, 3.5921679, 9.1292325, 36.6241540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4478750, 0.4515369, 0.4793512, 0.6618651, 1.7590037, 8.6974801, 30.0345100", \
+					  "0.4496461, 0.4542076, 0.4796487, 0.6606012, 1.7578273, 8.6953822, 30.0289390", \
+					  "0.4502797, 0.4534930, 0.4826016, 0.6581288, 1.7593120, 8.7050260, 30.0342540", \
+					  "0.4495993, 0.4532143, 0.4823387, 0.6606048, 1.7583158, 8.7059870, 30.0626370", \
+					  "0.4485374, 0.4523020, 0.4818360, 0.6616530, 1.7590445, 8.6972438, 30.0371530", \
+					  "0.4501192, 0.4549756, 0.4800543, 0.6621706, 1.7591915, 8.7013401, 30.0328150", \
+					  "0.4478650, 0.4516225, 0.4804005, 0.6610159, 1.7604326, 8.7029042, 30.0683320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1206379, 7.3064070, 8.4274649, 15.2998450, 57.8581800, 320.4129100, 1938.0243000", \
+					  "7.2534285, 7.4329569, 8.5676293, 15.4685700, 57.9983670, 320.5367100, 1938.1330000", \
+					  "7.3502466, 7.5341129, 8.6649576, 15.5748550, 58.0932640, 320.6205300, 1938.7878000", \
+					  "7.4387017, 7.6142275, 8.7405336, 15.6570690, 58.2430530, 320.5424300, 1938.3353000", \
+					  "7.5102351, 7.6929085, 8.7970262, 15.7031380, 58.2539670, 320.6653500, 1939.0075000", \
+					  "7.5783575, 7.7425923, 8.8696650, 15.7660660, 58.3255830, 321.3431800, 1938.4800000", \
+					  "7.6219111, 7.8266202, 8.9388861, 15.8409050, 58.3719450, 320.7463500, 1938.0786000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8752830, 12.2283560, 14.4654910, 28.1885470, 113.5050700, 638.0868700, 3873.2937000", \
+					  "11.8767270, 12.2172330, 14.4543180, 28.1684360, 113.4465700, 638.0560600, 3873.2196000", \
+					  "11.8752750, 12.2335630, 14.4553610, 28.1363360, 113.4582600, 638.0444100, 3872.4634000", \
+					  "11.8757460, 12.2373610, 14.4527050, 28.1635250, 113.4841600, 637.7969700, 3873.2742000", \
+					  "11.8715490, 12.2329960, 14.4596060, 28.1746320, 113.0985800, 637.8261300, 3872.3792000", \
+					  "11.8714020, 12.2322760, 14.4603130, 28.1876820, 113.4253300, 638.1328600, 3874.2369000", \
+					  "11.8596380, 12.2330990, 14.4599990, 28.2024870, 113.5172200, 637.4164600, 3872.6866000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8866737, 1.8957869, 1.9464090, 2.1979931, 3.1741350, 5.8604743, 14.9163930", \
+					  "2.0186417, 2.0277191, 2.0785513, 2.3287958, 3.3065035, 5.9914016, 15.0472830", \
+					  "2.1169677, 2.1254136, 2.1740607, 2.4281577, 3.4036263, 6.0897452, 15.1442010", \
+					  "2.1971631, 2.2053892, 2.2569244, 2.5085549, 3.4846217, 6.1692234, 15.2262430", \
+					  "2.2680322, 2.2775291, 2.3274693, 2.5772193, 3.5559309, 6.2388903, 15.2948680", \
+					  "2.3270994, 2.3426840, 2.3972171, 2.6481835, 3.6241576, 6.3110210, 15.3666220", \
+					  "2.4012472, 2.4097893, 2.4614566, 2.7134017, 3.6887786, 6.3752177, 15.4274980");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4467424, 0.4486350, 0.4780455, 0.6530549, 1.4637396, 3.3915093, 13.2257580", \
+					  "0.4469194, 0.4505578, 0.4781011, 0.6529785, 1.4636555, 3.3913536, 13.2084710", \
+					  "0.4465281, 0.4486352, 0.4779900, 0.6525352, 1.4638538, 3.3921763, 13.2027590", \
+					  "0.4470413, 0.4506338, 0.4780810, 0.6525356, 1.4601116, 3.3852516, 13.2065990", \
+					  "0.4456029, 0.4494007, 0.4780068, 0.6522473, 1.4606205, 3.3923608, 13.1993400", \
+					  "0.4450494, 0.4484834, 0.4774686, 0.6550437, 1.4612909, 3.3862408, 13.2130790", \
+					  "0.4445003, 0.4506218, 0.4762337, 0.6561311, 1.4631125, 3.3884289, 13.2102040");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0838309, 7.2551862, 8.3791738, 15.3087340, 57.8124040, 320.4640400, 1938.2358000", \
+					  "7.1537807, 7.3412716, 8.4568397, 15.3726810, 57.8372120, 320.4120300, 1937.8513000", \
+					  "7.1814190, 7.3619573, 8.4907557, 15.4031880, 57.8814120, 320.4235700, 1939.3863000", \
+					  "7.1927886, 7.3743012, 8.4925649, 15.4142960, 57.9276610, 320.4272900, 1938.7858000", \
+					  "7.1885631, 7.3662600, 8.5080432, 15.3966550, 58.1143170, 320.4220900, 1940.2571000", \
+					  "7.1879609, 7.3844797, 8.5007095, 15.3770330, 57.9218560, 320.2598600, 1940.3476000", \
+					  "7.1974817, 7.3674715, 8.4951548, 15.4003710, 57.9260370, 320.3103600, 1940.6668000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8770050, 12.2320150, 14.4479890, 28.1456710, 113.4509100, 638.1633900, 3874.8053000", \
+					  "11.8534440, 12.2345700, 14.4470200, 28.1916110, 113.2649400, 637.9935900, 3873.2464000", \
+					  "11.8494790, 12.2362980, 14.4528660, 28.1610700, 113.4554400, 637.5353200, 3876.3351000", \
+					  "11.8587930, 12.2326220, 14.4642660, 28.1676070, 113.4869200, 637.5350900, 3873.8422000", \
+					  "11.8657640, 12.2326780, 14.4343950, 28.1875220, 113.3721000, 637.5284400, 3871.8308000", \
+					  "11.8667050, 12.2361170, 14.4374370, 28.1706230, 113.4988400, 637.4907000, 3871.3601000", \
+					  "11.8733650, 12.2212140, 14.4504660, 28.1981830, 113.4854100, 637.4552300, 3873.3215000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2269457, 7.4336461, 8.5349070, 15.4616000, 58.2451140, 321.3184000, 1944.2032000", \
+					  "7.3597448, 7.5666877, 8.6675485, 15.5936770, 58.3789190, 321.8119400, 1944.5060000", \
+					  "7.4568431, 7.6638500, 8.7647267, 15.6913110, 58.4790280, 321.6391600, 1944.6038000", \
+					  "7.5386435, 7.7449673, 8.8451415, 15.7574130, 58.5572870, 322.2971300, 1944.5586000", \
+					  "7.6087847, 7.8162419, 8.9164116, 15.8441190, 58.6258050, 321.9462000, 1948.0251000", \
+					  "7.6769595, 7.8868494, 8.9838146, 15.9119310, 58.7069490, 322.4770600, 1944.5449000", \
+					  "7.7413222, 7.9206890, 9.0610417, 15.9779640, 58.6352510, 322.2714300, 1948.0592000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7012680, 12.0638210, 14.2952090, 28.0585300, 113.6685300, 640.0939600, 3887.7183000", \
+					  "11.7015170, 12.0632770, 14.2925730, 28.0338210, 113.6659900, 639.7801300, 3886.7420000", \
+					  "11.7014110, 12.0633410, 14.2946990, 28.0578030, 113.6603600, 640.2045800, 3885.0012000", \
+					  "11.7016050, 12.0636200, 14.2947040, 28.0068110, 113.6670300, 639.1861100, 3885.1699000", \
+					  "11.6968360, 12.0633090, 14.2934110, 28.0293990, 113.6692200, 639.8870500, 3889.0857000", \
+					  "11.6944480, 12.0585460, 14.2812990, 28.0315540, 113.6418400, 639.9581000, 3886.9862000", \
+					  "11.7019460, 12.0598660, 14.2900280, 28.0783540, 113.2752700, 639.8689700, 3885.4218000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3994554, 1.4011694, 1.4011695, 1.4011696, 1.4011698, 1.4011699, 1.5692339", \
+					  "1.4505324, 1.4592050, 1.4592051, 1.4592053, 1.4651707, 1.4651709, 1.6123404", \
+					  "1.4948344, 1.4948345, 1.5023681, 1.5023682, 1.5023683, 1.5023684, 1.6080125", \
+					  "1.4854111, 1.4854112, 1.4927849, 1.4948034, 1.4948035, 1.5077839, 1.6529590", \
+					  "1.4929082, 1.4929083, 1.4929085, 1.4939154, 1.4939155, 1.4966625, 1.6244973", \
+					  "1.4985718, 1.4985719, 1.4985720, 1.4985721, 1.4985722, 1.4985723, 1.6171925", \
+					  "1.4880454, 1.4880456, 1.4956313, 1.4993189, 1.4993191, 1.5014865, 1.6281705");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6681353, 2.6681356, 2.6681358, 2.6681361, 2.6681363, 2.6681365, 2.6681368", \
+					  "2.7478437, 2.7513638, 2.7513639, 2.7513642, 2.7513644, 2.7513646, 2.7513649", \
+					  "2.7782971, 2.7782974, 2.7782976, 2.7782978, 2.7782981, 2.7782983, 2.7782985", \
+					  "2.7924627, 2.7938805, 2.7938806, 2.7938809, 2.7938811, 2.7938813, 2.7938816", \
+					  "2.7907332, 2.7913576, 2.7913577, 2.7913579, 2.7913582, 2.7913584, 2.7913586", \
+					  "2.7949205, 2.7952676, 2.7952678, 2.7952680, 2.7952682, 2.7952685, 2.7952687", \
+					  "2.7927223, 2.7928095, 2.7928097, 2.7928099, 2.7928101, 2.7928104, 2.7928106");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894926, 1.9137809, 2.0618096, 2.9532228, 8.0765679, 16.3167360, 35.7604580", \
+					  "2.0213364, 2.0453632, 2.1943036, 3.0837555, 8.2076603, 16.4471070, 35.9018170", \
+					  "2.1177287, 2.1401407, 2.2917375, 3.1788590, 8.3046459, 16.5409390, 35.9993140", \
+					  "2.2010258, 2.2243838, 2.3708611, 3.2625087, 8.3854967, 16.6228170, 36.0841420", \
+					  "2.2710844, 2.2955509, 2.4432194, 3.3335574, 8.4536582, 16.6900430, 36.1611720", \
+					  "2.3390858, 2.3573203, 2.5070988, 3.4017755, 8.5174738, 16.7571040, 36.2217990", \
+					  "2.3982156, 2.4269955, 2.5760993, 3.4672925, 8.5852365, 16.8181950, 36.2850760");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5042783, 1.5485407, 1.8287769, 3.5681633, 7.9614058, 10.2820990, 28.2121080", \
+					  "1.5043786, 1.5459898, 1.8297162, 3.5718868, 7.9641138, 10.2844120, 28.2090580", \
+					  "1.5018097, 1.5461721, 1.8296002, 3.5683423, 7.9624343, 10.3021180, 28.2091430", \
+					  "1.5015107, 1.5469606, 1.8295969, 3.5728600, 7.9632381, 10.3079230, 28.2066170", \
+					  "1.5036651, 1.5484023, 1.8291695, 3.5738470, 7.9674276, 10.3182010, 28.2166200", \
+					  "1.5040039, 1.5483198, 1.8283899, 3.5766072, 7.9773823, 10.3146530, 28.2056800", \
+					  "1.5014039, 1.5485031, 1.8293788, 3.5716026, 7.9656459, 10.3097550, 28.2068980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5941954, 1.6040964, 1.6114887, 1.6114888, 1.6114889, 1.6125603, 1.7702370", \
+					  "1.6562560, 1.6591053, 1.6591054, 1.6832475, 1.6832476, 1.6832911, 1.7745634", \
+					  "1.6978549, 1.6980748, 1.6980749, 1.6980750, 1.6980752, 1.6980753, 1.8011639", \
+					  "1.6915073, 1.7089637, 1.7089639, 1.7089640, 1.7089641, 1.7102950, 1.8005750", \
+					  "1.6945962, 1.6994803, 1.7094760, 1.7108189, 1.7146264, 1.7201107, 1.8400695", \
+					  "1.7100840, 1.7100841, 1.7100842, 1.7159807, 1.7159808, 1.7159809, 1.8120365", \
+					  "1.7134221, 1.7134222, 1.7134223, 1.7134224, 1.7134225, 1.7161028, 1.8109327");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0839579, 7.2556645, 8.3791818, 15.2945280, 57.8007690, 320.3049900, 1937.9920000", \
+					  "7.1518929, 7.3416255, 8.4586027, 15.3593460, 57.8441720, 320.3759600, 1937.8954000", \
+					  "7.1681598, 7.3620465, 8.4809635, 15.3996570, 57.9035660, 320.6544900, 1940.5823000", \
+					  "7.1950410, 7.3766775, 8.4961131, 15.3792930, 57.9028520, 320.5082900, 1939.3240000", \
+					  "7.1884960, 7.3856281, 8.5059151, 15.3939370, 57.8837600, 320.7354700, 1937.9675000", \
+					  "7.2017525, 7.3754509, 8.5006446, 15.3823620, 57.9221720, 320.2408400, 1938.2812000", \
+					  "7.1981243, 7.3671380, 8.4893870, 15.4089700, 57.9157950, 320.4249300, 1940.1343000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8768240, 12.2320910, 14.4406260, 28.1991440, 113.3493200, 638.0430500, 3873.6148000", \
+					  "11.8599810, 12.2351180, 14.4420200, 28.1949610, 113.2808000, 637.8896300, 3873.0132000", \
+					  "11.8723650, 12.2364020, 14.4551620, 28.1899550, 113.4364000, 637.9916000, 3873.9786000", \
+					  "11.8674850, 12.2022220, 14.4641210, 28.1882060, 113.3611100, 638.0656100, 3876.2978000", \
+					  "11.8676790, 12.2350250, 14.4435470, 28.1887740, 113.2436400, 637.7765100, 3873.2269000", \
+					  "11.8734050, 12.2111870, 14.4456020, 28.1592580, 113.4954000, 637.3322800, 3874.7353000", \
+					  "11.8741600, 12.2218430, 14.4661800, 28.1998050, 113.4935700, 638.0680000, 3873.5666000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2271167, 7.4336088, 8.5340446, 15.4618130, 58.2460590, 321.3066300, 1944.2377000", \
+					  "7.3599140, 7.5657356, 8.6675778, 15.5944400, 58.3797190, 321.5148800, 1944.9701000", \
+					  "7.4569906, 7.6637248, 8.7647782, 15.6917930, 58.4789140, 321.6100900, 1944.6049000", \
+					  "7.5640392, 7.7339477, 8.8450944, 15.7735280, 58.5582570, 321.7643900, 1944.6018000", \
+					  "7.6089215, 7.8148057, 8.9163930, 15.8449430, 58.6090860, 322.4097000, 1947.4730000", \
+					  "7.6769071, 7.8868556, 8.9839629, 15.9121800, 58.7069910, 322.4766700, 1947.3075000", \
+					  "7.7437878, 7.9232905, 9.0436938, 15.9679450, 58.6265510, 322.5797500, 1944.2007000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7014420, 12.0638660, 14.2961510, 28.0603400, 113.6688800, 640.0716200, 3887.7274000", \
+					  "11.7013070, 12.0651430, 14.2948960, 28.0594480, 113.6665300, 640.1725200, 3885.7297000", \
+					  "11.7016140, 12.0633710, 14.2948140, 28.0584640, 113.6627100, 640.1704100, 3884.1690000", \
+					  "11.6992800, 12.0618750, 14.2950180, 28.0569490, 113.6671800, 640.2641500, 3885.0148000", \
+					  "11.6979520, 12.0647960, 14.2935730, 28.0283280, 113.6930200, 639.5864000, 3887.8698000", \
+					  "11.6936940, 12.0586330, 14.2820830, 28.0326140, 113.6436700, 639.9535600, 3883.6356000", \
+					  "11.6952640, 12.0615700, 14.2702980, 28.0715420, 113.2476500, 639.6747900, 3886.2026000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8400601, 1.8643702, 2.0123880, 2.9023215, 8.0251006, 16.2613570, 35.7244720", \
+					  "1.9092115, 1.9337418, 2.0813924, 2.9717799, 8.0942497, 16.3320680, 35.7912630", \
+					  "1.9347173, 1.9594153, 2.1076474, 2.9975495, 8.1222309, 16.3546850, 35.8222040", \
+					  "1.9473454, 1.9716799, 2.1194830, 3.0100429, 8.1323419, 16.3753600, 35.8344540", \
+					  "1.9522601, 1.9764037, 2.1246473, 3.0156067, 8.1371594, 16.3714930, 35.8526930", \
+					  "1.9516009, 1.9762654, 2.1241517, 3.0149527, 8.1388150, 16.3708420, 35.8479080", \
+					  "1.9482886, 1.9724089, 2.1203437, 3.0115046, 8.1333225, 16.3678080, 35.8309040");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5072028, 1.5482461, 1.8290849, 3.5880905, 7.9624254, 10.3141720, 28.2269690", \
+					  "1.5057595, 1.5504046, 1.8291231, 3.5906074, 7.9632008, 10.3115340, 28.2086640", \
+					  "1.5056427, 1.5502567, 1.8292146, 3.5900032, 7.9649451, 10.3117350, 28.2054750", \
+					  "1.5060350, 1.5491697, 1.8291103, 3.5903476, 7.9637141, 10.2849720, 28.2067220", \
+					  "1.5035634, 1.5495811, 1.8298276, 3.5869319, 7.9641316, 10.3126230, 28.2102430", \
+					  "1.5041550, 1.5496505, 1.8293267, 3.5817340, 7.9617077, 10.3102400, 28.1964870", \
+					  "1.5061377, 1.5511032, 1.8299093, 3.5839594, 7.9636431, 10.3177680, 28.2315770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3850969, 1.3872126, 1.3954852, 1.3954853, 1.3954854, 1.3954855, 1.5682059", \
+					  "1.4545365, 1.4612395, 1.4612396, 1.4612397, 1.4612398, 1.4612399, 1.5846344", \
+					  "1.4891411, 1.4891412, 1.4891413, 1.4891415, 1.4891416, 1.4891417, 1.5931839", \
+					  "1.4708849, 1.4890043, 1.4890044, 1.4890045, 1.4890046, 1.4904514, 1.5938455", \
+					  "1.4876802, 1.4876803, 1.4890833, 1.4890834, 1.4890835, 1.4936538, 1.5931330", \
+					  "1.4931674, 1.4931675, 1.4931676, 1.4931677, 1.4931679, 1.4960528, 1.5895882", \
+					  "1.4677515, 1.4709978, 1.4709979, 1.4709980, 1.4748638, 1.4853515, 1.5706415");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8274708, 1.8409865, 1.9130282, 2.1781479, 3.1012464, 7.6770963, 25.6200870", \
+					  "1.8968342, 1.9102271, 1.9820510, 2.2473872, 3.1703198, 7.7489632, 25.7096320", \
+					  "1.9229343, 1.9363156, 2.0083191, 2.2734108, 3.1973284, 7.7748199, 25.7231040", \
+					  "1.9348902, 1.9484105, 2.0201767, 2.2852173, 3.2093435, 7.7880591, 25.7404840", \
+					  "1.9394752, 1.9530388, 2.0248916, 2.2898825, 3.2138932, 7.7908089, 25.7471150", \
+					  "1.9395601, 1.9529081, 2.0247160, 2.2898980, 3.2139316, 7.7911784, 25.7557050", \
+					  "1.9357747, 1.9488859, 2.0210702, 2.2861602, 3.2102170, 7.7865829, 25.7398870");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7593118, 0.7619307, 0.7713578, 0.7585229, 1.7324295, 7.0719057, 27.2780020", \
+					  "0.7592811, 0.7616159, 0.7713762, 0.7583367, 1.7335271, 7.0785005, 27.3503870", \
+					  "0.7594070, 0.7615411, 0.7717386, 0.7580623, 1.7326707, 7.0824879, 27.3142910", \
+					  "0.7594799, 0.7621507, 0.7717099, 0.7591710, 1.7359982, 7.0805073, 27.3469830", \
+					  "0.7595045, 0.7615576, 0.7718374, 0.7592849, 1.7351108, 7.0815700, 27.3454020", \
+					  "0.7595248, 0.7617673, 0.7720940, 0.7590071, 1.7351610, 7.0816188, 27.3548220", \
+					  "0.7593266, 0.7613738, 0.7713462, 0.7600980, 1.7380672, 7.0776194, 27.3381350");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7355442, 1.7441285, 1.7952611, 2.0490678, 3.0249399, 5.7012088, 14.7540050", \
+					  "1.8682551, 1.8767996, 1.9277717, 2.1817628, 3.1574567, 5.8334626, 14.8866510", \
+					  "1.9654065, 1.9738611, 2.0249875, 2.2788984, 3.2547658, 5.9308660, 14.9837670", \
+					  "2.0469386, 2.0555315, 2.1066815, 2.3605955, 3.3364156, 6.0121832, 15.0652720", \
+					  "2.1169959, 2.1256030, 2.1765902, 2.4303226, 3.4065704, 6.0828165, 15.1343510", \
+					  "2.1846736, 2.1933746, 2.2452229, 2.4983819, 3.4741447, 6.1522289, 15.2034810", \
+					  "2.2484696, 2.2569067, 2.3081124, 2.5616211, 3.5376664, 6.2171512, 15.2678940");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4246750, 0.4293011, 0.4574120, 0.6419931, 1.4489108, 3.3851526, 13.2040900", \
+					  "0.4226850, 0.4291386, 0.4579237, 0.6388554, 1.4486161, 3.3852559, 13.2098000", \
+					  "0.4246624, 0.4293043, 0.4576721, 0.6419737, 1.4488420, 3.3850176, 13.1993700", \
+					  "0.4246673, 0.4293286, 0.4573892, 0.6389018, 1.4489894, 3.3849958, 13.2079570", \
+					  "0.4246786, 0.4293101, 0.4580184, 0.6398025, 1.4499097, 3.3864624, 13.2261940", \
+					  "0.4246526, 0.4288951, 0.4580379, 0.6418468, 1.4487034, 3.3821659, 13.2257160", \
+					  "0.4247489, 0.4292313, 0.4594527, 0.6397672, 1.4535693, 3.3815437, 13.2101760");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4123067, 1.4176776, 1.4176778, 1.4176779, 1.4176780, 1.4181054, 1.6037869", \
+					  "1.4878764, 1.4878765, 1.4878766, 1.4878768, 1.4878769, 1.4878770, 1.6281089", \
+					  "1.5128780, 1.5132051, 1.5179694, 1.5179695, 1.5179696, 1.5179697, 1.6373245", \
+					  "1.5301084, 1.5301086, 1.5301087, 1.5301088, 1.5301089, 1.5301090, 1.6454246", \
+					  "1.5123722, 1.5174088, 1.5174090, 1.5180158, 1.5180159, 1.5180160, 1.6338737", \
+					  "1.5113256, 1.5154579, 1.5166773, 1.5166774, 1.5166775, 1.5192753, 1.6276439", \
+					  "1.5159265, 1.5159266, 1.5159267, 1.5159268, 1.5181719, 1.5206931, 1.6289254");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4028001, 1.4028002, 1.4028003, 1.4028004, 1.4028006, 1.4028007, 1.6001241", \
+					  "1.4524848, 1.4723761, 1.4723762, 1.4723763, 1.4723765, 1.4723766, 1.6285702", \
+					  "1.4894364, 1.4894365, 1.4894366, 1.4894367, 1.4894369, 1.4973013, 1.5794581", \
+					  "1.5124797, 1.5124798, 1.5139009, 1.5139010, 1.5139011, 1.5139012, 1.6011447", \
+					  "1.4934145, 1.4934146, 1.4934148, 1.4934149, 1.4934150, 1.4982064, 1.6241719", \
+					  "1.4938885, 1.4938886, 1.4947589, 1.4986498, 1.4986499, 1.4986501, 1.6336346", \
+					  "1.4860824, 1.4860825, 1.4878735, 1.4878737, 1.4990217, 1.5013327, 1.6118752");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6754130, 2.6754131, 2.6754133, 2.6754135, 2.6754138, 2.6754140, 2.7465512", \
+					  "2.7465934, 2.7521321, 2.7521323, 2.7521326, 2.7521328, 2.7521331, 2.7521333", \
+					  "2.7800995, 2.7822782, 2.7822785, 2.7822787, 2.7822789, 2.7822792, 2.7822794", \
+					  "2.7925797, 2.7943938, 2.7943939, 2.7943942, 2.7943944, 2.7943947, 2.7943949", \
+					  "2.7914455, 2.7942899, 2.7942900, 2.7942902, 2.7942905, 2.7942907, 2.7942910", \
+					  "2.7949787, 2.7967750, 2.7967753, 2.7967755, 2.7967757, 2.7967760, 2.7967762", \
+					  "2.7925235, 2.7925236, 2.7925238, 2.7925240, 2.7925243, 2.7925245, 2.7925247");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8623585, 1.8761959, 1.9497237, 2.2181137, 3.1353073, 7.7095059, 25.6712160", \
+					  "1.9928281, 2.0081852, 2.0813320, 2.3500808, 3.2667486, 7.8417139, 25.8035030", \
+					  "2.0920223, 2.1058510, 2.1750095, 2.4475670, 3.3656702, 7.9405309, 25.9004780", \
+					  "2.1718589, 2.1866395, 2.2601381, 2.5249991, 3.4456373, 8.0206570, 25.9742340", \
+					  "2.2415119, 2.2580293, 2.3301059, 2.5983065, 3.5130976, 8.0890690, 26.0541190", \
+					  "2.3122599, 2.3258726, 2.3999089, 2.6665672, 3.5860284, 8.1613587, 26.1185260", \
+					  "2.3766238, 2.3855944, 2.4557492, 2.7324942, 3.6464283, 8.2254452, 26.1851940");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784656, 0.7816162, 0.7932876, 0.7838810, 1.7364897, 7.0819226, 27.3430810", \
+					  "0.7781895, 0.7810957, 0.7932760, 0.7854158, 1.7379602, 7.0881510, 27.3432570", \
+					  "0.7781859, 0.7812400, 0.7931102, 0.7854139, 1.7375859, 7.0866131, 27.3511080", \
+					  "0.7782988, 0.7812493, 0.7931123, 0.7854823, 1.7381943, 7.0865762, 27.3452050", \
+					  "0.7782638, 0.7810346, 0.7933168, 0.7851626, 1.7377156, 7.0867361, 27.3395670", \
+					  "0.7784592, 0.7811058, 0.7931052, 0.7854661, 1.7372266, 7.0829325, 27.3512910", \
+					  "0.7785505, 0.7811039, 0.7931851, 0.7835839, 1.7382267, 7.0855877, 27.3519150");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3733402, 7.5581710, 8.6814431, 15.6051850, 58.4345800, 322.1715900, 1944.6456000", \
+					  "7.5087785, 7.6881141, 8.8122416, 15.7356050, 58.5568150, 321.9790600, 1945.0049000", \
+					  "7.6112139, 7.7838669, 8.9109520, 15.8316100, 58.6613850, 322.3887000, 1944.5492000", \
+					  "7.6850144, 7.8654239, 8.9981116, 15.9160490, 58.7278240, 322.1109900, 1945.0274000", \
+					  "7.7562117, 7.9394491, 9.0770333, 15.9978380, 58.7902810, 322.5595700, 1944.5695000", \
+					  "7.8235045, 8.0082163, 9.1290673, 16.0586480, 58.8554800, 322.3036600, 1945.1495000", \
+					  "7.8839797, 8.0723721, 9.1970422, 16.1085990, 58.9196070, 322.6179500, 1945.4151000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6978880, 12.0643770, 14.2959730, 28.0475620, 113.5717000, 640.0254600, 3886.6533000", \
+					  "11.7036480, 12.0603810, 14.2960120, 28.0453280, 113.6065100, 639.8369100, 3886.4114000", \
+					  "11.6922390, 12.0543090, 14.2958080, 28.0640510, 113.5725600, 640.0759400, 3886.1372000", \
+					  "11.7020110, 12.0555960, 14.2837700, 28.0781570, 113.6314000, 640.4386000, 3885.0762000", \
+					  "11.6945720, 12.0631080, 14.2939210, 28.0595350, 113.6492800, 639.6000500, 3885.6924000", \
+					  "11.6981150, 12.0510500, 14.2964370, 28.0372760, 113.6579300, 640.2604500, 3886.3218000", \
+					  "11.7011430, 12.0572610, 14.2972660, 28.0323730, 113.6595300, 639.0889100, 3886.6069000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9284046, 2.9296925, 2.9296927, 2.9296929, 2.9296932, 2.9296934, 2.9296936", \
+					  "2.9762457, 3.0018363, 3.0018365, 3.0018367, 3.0018369, 3.0018372, 3.0018374", \
+					  "2.9986154, 2.9993785, 2.9993786, 2.9993789, 2.9993791, 2.9993793, 2.9993796", \
+					  "3.0352802, 3.0366354, 3.0366356, 3.0366358, 3.0366360, 3.0366363, 3.0366365", \
+					  "3.0357938, 3.0385219, 3.0385222, 3.0385224, 3.0385227, 3.0385229, 3.0385231", \
+					  "3.0419192, 3.0436569, 3.0436570, 3.0436572, 3.0436575, 3.0436577, 3.0436579", \
+					  "3.0373930, 3.0399547, 3.0399548, 3.0399551, 3.0399553, 3.0399555, 3.0399558");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3587638, 1.3587639, 1.3587640, 1.3587642, 1.3587643, 1.3592582, 1.6960786", \
+					  "1.4105873, 1.4110237, 1.4133172, 1.4133173, 1.4133174, 1.4188111, 1.6357113", \
+					  "1.4303650, 1.4340569, 1.4340570, 1.4403981, 1.4403982, 1.4403983, 1.6357725", \
+					  "1.4411140, 1.4415884, 1.4415885, 1.4415886, 1.4415887, 1.4415889, 1.6048387", \
+					  "1.4445535, 1.4462982, 1.4462984, 1.4462985, 1.4462986, 1.4462987, 1.6102679", \
+					  "1.4439197, 1.4492145, 1.4492146, 1.4492147, 1.4492148, 1.4492149, 1.6043983", \
+					  "1.4362851, 1.4372418, 1.4372419, 1.4435284, 1.4435285, 1.4468315, 1.6002608");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1490074, 2.1731823, 2.3245095, 3.2442644, 7.7286515, 27.3478090, 67.6887170", \
+					  "2.2809290, 2.3051481, 2.4564331, 3.3760486, 7.8603790, 27.4768670, 67.8384280", \
+					  "2.3786439, 2.4025792, 2.5543483, 3.4699008, 7.9574320, 27.5770000, 67.9169080", \
+					  "2.4548368, 2.4830911, 2.6339149, 3.5544541, 8.0383815, 27.6586700, 68.0212370", \
+					  "2.5225511, 2.5547890, 2.7055016, 3.6252676, 8.1100540, 27.7276340, 68.0910400", \
+					  "2.5989133, 2.6221199, 2.7748830, 3.6951220, 8.1784313, 27.7958350, 68.1565240", \
+					  "2.6553586, 2.6833562, 2.8386091, 3.7619605, 8.2338882, 27.8560230, 68.2029580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5226870, 1.5694890, 1.8532849, 3.2729157, 8.5705798, 31.0612050, 38.0291960", \
+					  "1.5226612, 1.5692591, 1.8532872, 3.2728673, 8.5700922, 31.0496300, 38.0403480", \
+					  "1.5217783, 1.5694801, 1.8524566, 3.2727152, 8.5688745, 31.0547890, 38.0312560", \
+					  "1.5229977, 1.5693802, 1.8513960, 3.2728835, 8.5726901, 31.0605550, 38.0284070", \
+					  "1.5232081, 1.5686337, 1.8535713, 3.2728575, 8.5756140, 31.0718060, 38.1499240", \
+					  "1.5234172, 1.5675110, 1.8517446, 3.2709677, 8.5774969, 31.0417430, 38.0011290", \
+					  "1.5208439, 1.5695903, 1.8511435, 3.2703411, 8.5848928, 31.0375760, 38.0215430");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4062876, 1.4062877, 1.4062878, 1.4062879, 1.4062880, 1.4062881, 1.5801207", \
+					  "1.4509406, 1.4708724, 1.4708725, 1.4708726, 1.4708728, 1.4715157, 1.6219094", \
+					  "1.4771923, 1.4894208, 1.4894209, 1.4985463, 1.4985464, 1.4985465, 1.6491238", \
+					  "1.5014567, 1.5014568, 1.5014570, 1.5014571, 1.5014572, 1.5014573, 1.6028809", \
+					  "1.4898406, 1.4899944, 1.4913233, 1.4913235, 1.4913236, 1.4934483, 1.6242732", \
+					  "1.4966720, 1.4966721, 1.4966723, 1.4966724, 1.4966725, 1.4985398, 1.6167521", \
+					  "1.4841716, 1.5060635, 1.5060636, 1.5060637, 1.5060638, 1.5060639, 1.5814772");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3609284, 1.3609285, 1.3609286, 1.3609288, 1.3609289, 1.3609290, 1.7014522", \
+					  "1.4074962, 1.4131659, 1.4177126, 1.4177127, 1.4177128, 1.4177129, 1.6620332", \
+					  "1.4305941, 1.4358205, 1.4358206, 1.4358207, 1.4358208, 1.4358209, 1.6339324", \
+					  "1.4412848, 1.4414165, 1.4414166, 1.4414167, 1.4414169, 1.4414170, 1.6049523", \
+					  "1.4427604, 1.4455952, 1.4462705, 1.4462706, 1.4462707, 1.4462708, 1.6140254", \
+					  "1.4479451, 1.4479452, 1.4479453, 1.4479455, 1.4479456, 1.4479457, 1.6062736", \
+					  "1.4362088, 1.4374241, 1.4377101, 1.4377102, 1.4377103, 1.4377104, 1.6029728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9286795, 2.9298362, 2.9298364, 2.9298367, 2.9298369, 2.9298372, 2.9298374", \
+					  "2.9689413, 3.0010943, 3.0010945, 3.0010947, 3.0010950, 3.0010952, 3.0010955", \
+					  "2.9991998, 2.9999538, 2.9999539, 2.9999542, 2.9999544, 2.9999546, 2.9999549", \
+					  "3.0316141, 3.0316142, 3.0316145, 3.0316147, 3.0316149, 3.0316152, 3.0316154", \
+					  "3.0330436, 3.0369642, 3.0369643, 3.0369646, 3.0369648, 3.0369651, 3.0369653", \
+					  "3.0417284, 3.0433847, 3.0433850, 3.0433852, 3.0433854, 3.0433857, 3.0433859", \
+					  "3.0355314, 3.0378378, 3.0378379, 3.0378382, 3.0378384, 3.0378386, 3.0378389");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6575762, 2.6725802, 2.6725804, 2.6725806, 2.6725809, 2.6725811, 2.7459282", \
+					  "2.7392961, 2.7392964, 2.7450147, 2.7450148, 2.7450151, 2.7450153, 2.7450156", \
+					  "2.7781342, 2.7813560, 2.7813563, 2.7813565, 2.7813567, 2.7813570, 2.7813572", \
+					  "2.7926528, 2.7946152, 2.7946154, 2.7946157, 2.7946159, 2.7946162, 2.7946164", \
+					  "2.7923039, 2.7948717, 2.7948720, 2.7948722, 2.7948725, 2.7948727, 2.7948729", \
+					  "2.7947480, 2.7963787, 2.7963788, 2.7963790, 2.7963793, 2.7963795, 2.7963797", \
+					  "2.7925358, 2.7925360, 2.8059808, 2.8059809, 2.8059811, 2.8059813, 2.8059816");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8128771, 1.8266378, 1.9005124, 2.1687363, 3.0865968, 7.6620328, 25.6236670", \
+					  "1.8821675, 1.8959694, 1.9693625, 2.2378115, 3.1558860, 7.7304838, 25.6911360", \
+					  "1.9079796, 1.9219195, 1.9953372, 2.2637106, 3.1816672, 7.7566487, 25.7159560", \
+					  "1.9201742, 1.9342996, 2.0076572, 2.2757394, 3.1931544, 7.7677571, 25.7281930", \
+					  "1.9250719, 1.9389386, 2.0124853, 2.2804831, 3.1987810, 7.7728917, 25.7337010", \
+					  "1.9243824, 1.9382653, 2.0120867, 2.2804989, 3.1979892, 7.7741609, 25.7313940", \
+					  "1.9212485, 1.9346216, 2.0086814, 2.2766264, 3.1948049, 7.7678850, 25.7274360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7785584, 0.7816680, 0.7931645, 0.7854794, 1.7339006, 7.0802253, 27.3406790", \
+					  "0.7785035, 0.7815885, 0.7930755, 0.7854207, 1.7357691, 7.0874515, 27.3401390", \
+					  "0.7784558, 0.7815705, 0.7930326, 0.7855782, 1.7344629, 7.0876371, 27.3542470", \
+					  "0.7782852, 0.7810603, 0.7932267, 0.7852766, 1.7328818, 7.0833135, 27.3521720", \
+					  "0.7785738, 0.7811318, 0.7930930, 0.7852463, 1.7359029, 7.0847519, 27.3415600", \
+					  "0.7788464, 0.7811182, 0.7930069, 0.7845753, 1.7348291, 7.0887332, 27.3385150", \
+					  "0.7783305, 0.7807306, 0.7932921, 0.7843499, 1.7388958, 7.0862289, 27.3434480");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.6260993, 1.6430560, 1.6430561, 1.6430563, 1.6430564, 1.6430565, 1.7912555", \
+					  "1.6975262, 1.6975263, 1.6975264, 1.6999703, 1.6999704, 1.7002231, 1.8341967", \
+					  "1.6998206, 1.7228210, 1.7228211, 1.7228212, 1.7256198, 1.7272912, 1.8476444", \
+					  "1.7343551, 1.7343552, 1.7343553, 1.7343554, 1.7359425, 1.7397189, 1.8545606", \
+					  "1.7524621, 1.7524623, 1.7524624, 1.7571055, 1.7571056, 1.7571057, 1.8657775", \
+					  "1.7363743, 1.7384616, 1.7410066, 1.7464499, 1.7464501, 1.7464502, 1.8550114", \
+					  "1.7420945, 1.7420946, 1.7420947, 1.7420949, 1.7420950, 1.7420951, 1.8426850");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8620553, 1.8761648, 1.9498472, 2.2179658, 3.1358687, 7.7096587, 25.6702290", \
+					  "1.9928037, 2.0075746, 2.0812729, 2.3495559, 3.2679575, 7.8422158, 25.8016350", \
+					  "2.0918862, 2.1052338, 2.1795017, 2.4475268, 3.3654162, 7.9406623, 25.9013110", \
+					  "2.1715811, 2.1860471, 2.2600848, 2.5268854, 3.4429950, 8.0205051, 25.9821270", \
+					  "2.2440452, 2.2579072, 2.3315245, 2.5927802, 3.5155745, 8.0905380, 26.0546890", \
+					  "2.3103794, 2.3257718, 2.3994748, 2.6677587, 3.5847789, 8.1617291, 26.1183150", \
+					  "2.3765987, 2.3841014, 2.4529616, 2.7249036, 3.6463004, 8.2203231, 26.1827640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784332, 0.7812155, 0.7933298, 0.7854480, 1.7354660, 7.0848150, 27.3473880", \
+					  "0.7784091, 0.7814592, 0.7931257, 0.7853058, 1.7380777, 7.0805397, 27.3480260", \
+					  "0.7784839, 0.7815964, 0.7931210, 0.7850218, 1.7356798, 7.0884396, 27.3545150", \
+					  "0.7782158, 0.7812120, 0.7932881, 0.7854493, 1.7370986, 7.0808510, 27.3482520", \
+					  "0.7782419, 0.7812456, 0.7931738, 0.7850724, 1.7380407, 7.0890369, 27.3372190", \
+					  "0.7784705, 0.7812955, 0.7930914, 0.7851361, 1.7371372, 7.0797861, 27.3557890", \
+					  "0.7786316, 0.7811580, 0.7931655, 0.7836964, 1.7376379, 7.0860833, 27.3486180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8867214, 1.8957147, 1.9461537, 2.1982716, 3.1748510, 5.8597185, 14.9155230", \
+					  "2.0186430, 2.0281217, 2.0785507, 2.3307039, 3.3065719, 5.9915704, 15.0481350", \
+					  "2.1128943, 2.1254283, 2.1760278, 2.4284139, 3.4004524, 6.0890684, 15.1452770", \
+					  "2.1976752, 2.2049296, 2.2567712, 2.5071687, 3.4845449, 6.1712022, 15.2230960", \
+					  "2.2679323, 2.2774853, 2.3277273, 2.5795670, 3.5560510, 6.2416703, 15.2955340", \
+					  "2.3364377, 2.3445064, 2.3965980, 2.6454412, 3.6189423, 6.3096128, 15.3685720", \
+					  "2.3975644, 2.4055427, 2.4541131, 2.7122957, 3.6888548, 6.3719674, 15.4289360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4449251, 0.4486117, 0.4784777, 0.6534934, 1.4611755, 3.3842373, 13.2131910", \
+					  "0.4451146, 0.4485649, 0.4779068, 0.6535315, 1.4606485, 3.3837200, 13.2275460", \
+					  "0.4452229, 0.4486041, 0.4778938, 0.6526198, 1.4610088, 3.3853731, 13.2136040", \
+					  "0.4451054, 0.4487259, 0.4788903, 0.6526130, 1.4598993, 3.3889873, 13.2134160", \
+					  "0.4456177, 0.4491783, 0.4781008, 0.6528623, 1.4593211, 3.3915351, 13.2173290", \
+					  "0.4466150, 0.4510916, 0.4786511, 0.6552083, 1.4609371, 3.3922056, 13.2170240", \
+					  "0.4443444, 0.4492721, 0.4782673, 0.6531857, 1.4611845, 3.3924872, 13.2315580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894790, 1.9137651, 2.0617759, 2.9531466, 8.0765510, 16.3198100, 35.7689100", \
+					  "2.0212767, 2.0461521, 2.1924942, 3.0846292, 8.2082678, 16.4435720, 35.9064490", \
+					  "2.1169517, 2.1434852, 2.2914466, 3.1823384, 8.3027736, 16.5405220, 36.0010010", \
+					  "2.1999480, 2.2252202, 2.3715681, 3.2616350, 8.3854879, 16.6233910, 36.0895530", \
+					  "2.2709918, 2.2941753, 2.4372688, 3.3333503, 8.4536707, 16.6836770, 36.1619890", \
+					  "2.3390763, 2.3638975, 2.5114180, 3.3985346, 8.5239549, 16.7579850, 36.2206730", \
+					  "2.3971212, 2.4279663, 2.5760678, 3.4666612, 8.5825365, 16.8211450, 36.2869130");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5044002, 1.5486706, 1.8291243, 3.5665177, 7.9613747, 10.2925140, 28.2291110", \
+					  "1.5045051, 1.5469069, 1.8267172, 3.5724985, 7.9612086, 10.2771580, 28.2194550", \
+					  "1.5043924, 1.5465601, 1.8296931, 3.5733152, 7.9603870, 10.3135050, 28.2076440", \
+					  "1.5040733, 1.5473116, 1.8297194, 3.5690693, 7.9626101, 10.3119260, 28.2106840", \
+					  "1.5038869, 1.5496726, 1.8292916, 3.5741574, 7.9674178, 10.3269220, 28.2066850", \
+					  "1.5024363, 1.5489955, 1.8277387, 3.5688192, 7.9623232, 10.3170680, 28.2065220", \
+					  "1.5014189, 1.5483488, 1.8294531, 3.5714365, 7.9648839, 10.3222320, 28.1986400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1599826, 2.1837687, 2.3345279, 3.2587646, 8.4143004, 16.3855150, 31.8838890", \
+					  "2.2916513, 2.3145663, 2.4669471, 3.3902543, 8.5465768, 16.5161480, 32.0167080", \
+					  "2.3859681, 2.4131908, 2.5650750, 3.4842700, 8.6441840, 16.6145710, 32.1139700", \
+					  "2.4698394, 2.4933902, 2.6445373, 3.5658045, 8.7251060, 16.6930270, 32.1953950", \
+					  "2.5410569, 2.5617086, 2.7136966, 3.6410892, 8.7941752, 16.7659110, 32.2660010", \
+					  "2.6107243, 2.6343097, 2.7856726, 3.7070569, 8.8649372, 16.8306770, 32.3329420", \
+					  "2.6737390, 2.6997486, 2.8498757, 3.7738817, 8.9289571, 16.9037370, 32.3918560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5191024, 1.5642918, 1.8531222, 3.6350205, 8.7151886, 9.4374375, 19.4425900", \
+					  "1.5191055, 1.5644088, 1.8526883, 3.6336998, 8.7175493, 9.4392769, 19.4350670", \
+					  "1.5167929, 1.5636986, 1.8533606, 3.6342906, 8.7151921, 9.4392405, 19.4704320", \
+					  "1.5167319, 1.5633266, 1.8531046, 3.6453034, 8.7149674, 9.4390362, 19.4308820", \
+					  "1.5191601, 1.5639806, 1.8526600, 3.6373835, 8.7161887, 9.4411199, 19.4705980", \
+					  "1.5184844, 1.5628824, 1.8507775, 3.6453138, 8.7182901, 9.4360217, 19.4687060", \
+					  "1.5141409, 1.5636528, 1.8489267, 3.6388692, 8.7173957, 9.4352979, 19.4664120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4013850, 1.4013851, 1.4014299, 1.4029121, 1.4029122, 1.4029124, 1.5884261", \
+					  "1.4666349, 1.4666350, 1.4678078, 1.4726291, 1.4726292, 1.4726293, 1.6274962", \
+					  "1.4917915, 1.4969777, 1.5003602, 1.5003604, 1.5003605, 1.5003606, 1.6234941", \
+					  "1.5097810, 1.5097811, 1.5116387, 1.5116388, 1.5116389, 1.5116390, 1.6228981", \
+					  "1.4910034, 1.4914298, 1.4914299, 1.4914300, 1.4914302, 1.4945388, 1.6236710", \
+					  "1.4844745, 1.4844746, 1.4945176, 1.4964883, 1.4964884, 1.4964886, 1.6127217", \
+					  "1.4993393, 1.4993395, 1.4993396, 1.5060110, 1.5060111, 1.5060112, 1.5814434");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3526742, 1.3526744, 1.3599077, 1.3599079, 1.3599080, 1.3599081, 1.7075340", \
+					  "1.4103606, 1.4106671, 1.4108523, 1.4118365, 1.4129866, 1.4205473, 1.6593499", \
+					  "1.4325000, 1.4339097, 1.4339098, 1.4347056, 1.4347057, 1.4347058, 1.6345386", \
+					  "1.4475906, 1.4476026, 1.4476027, 1.4477064, 1.4477065, 1.4477066, 1.6207888", \
+					  "1.4474744, 1.4481162, 1.4481163, 1.4481164, 1.4481165, 1.4481167, 1.6135213", \
+					  "1.4466898, 1.4497366, 1.4497367, 1.4497368, 1.4497369, 1.4497371, 1.6083821", \
+					  "1.4441746, 1.4441748, 1.4441749, 1.4441750, 1.4441751, 1.4441752, 1.5953610");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2525256, 1.2525257, 1.2525258, 1.2575558, 1.2575560, 1.2575561, 1.6630410", \
+					  "1.3684938, 1.3684939, 1.3700376, 1.3700377, 1.3700378, 1.3700379, 1.6128551", \
+					  "1.4583480, 1.4589490, 1.4590572, 1.4590573, 1.4590574, 1.4590576, 1.6495927", \
+					  "1.5357803, 1.5366844, 1.5366845, 1.5366846, 1.5366847, 1.5366849, 1.6945318", \
+					  "1.6049095, 1.6050488, 1.6050489, 1.6050490, 1.6050491, 1.6050492, 1.7519106", \
+					  "1.6713911, 1.6720164, 1.6720165, 1.6720166, 1.6720167, 1.6720168, 1.8161047", \
+					  "1.7350427, 1.7357000, 1.7357001, 1.7357002, 1.7357004, 1.7357005, 1.8799080");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8731192, 1.8819026, 1.9337387, 2.1880904, 3.2478042, 8.7829015, 36.2785250", \
+					  "2.0053101, 2.0141229, 2.0655158, 2.3200692, 3.3800216, 8.9150962, 36.4116560", \
+					  "2.1027085, 2.1111866, 2.1595294, 2.4171776, 3.4774235, 9.0112406, 36.5068970", \
+					  "2.1839732, 2.1921445, 2.2442677, 2.4978313, 3.5552796, 9.0939114, 36.5896790", \
+					  "2.2537423, 2.2601565, 2.3111830, 2.5694513, 3.6285737, 9.1577954, 36.6552510", \
+					  "2.3241824, 2.3297504, 2.3833739, 2.6339451, 3.6974899, 9.2326822, 36.7147980", \
+					  "2.3867248, 2.3964324, 2.4483859, 2.7024272, 3.7610994, 9.2892867, 36.7898070");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4498067, 0.4533270, 0.4824080, 0.6579264, 1.7588353, 8.7046317, 30.1164180", \
+					  "0.4497698, 0.4532826, 0.4823773, 0.6579349, 1.7586277, 8.7085770, 30.0248320", \
+					  "0.4498045, 0.4542698, 0.4818044, 0.6588046, 1.7613400, 8.7163814, 30.0191230", \
+					  "0.4497573, 0.4532678, 0.4829005, 0.6586069, 1.7591675, 8.6933456, 30.0141740", \
+					  "0.4479960, 0.4544434, 0.4825095, 0.6626074, 1.7602465, 8.6992848, 30.0324460", \
+					  "0.4481942, 0.4522210, 0.4823763, 0.6628892, 1.7598232, 8.7175538, 29.9825230", \
+					  "0.4499795, 0.4542583, 0.4796565, 0.6591944, 1.7590204, 8.7092618, 30.0599550");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2520106, 1.2520107, 1.2524565, 1.2527143, 1.2555544, 1.2555545, 1.6523570", \
+					  "1.3692685, 1.3692686, 1.3692688, 1.3692689, 1.3692690, 1.3692691, 1.5945827", \
+					  "1.4606543, 1.4606544, 1.4606545, 1.4606546, 1.4606547, 1.4606548, 1.6442949", \
+					  "1.5362281, 1.5366258, 1.5366259, 1.5366260, 1.5366261, 1.5366262, 1.6946827", \
+					  "1.6054205, 1.6054206, 1.6054207, 1.6054208, 1.6054209, 1.6054211, 1.7519202", \
+					  "1.6722161, 1.6722162, 1.6722163, 1.6722164, 1.6722165, 1.6722166, 1.8164287", \
+					  "1.7358984, 1.7358985, 1.7358986, 1.7358987, 1.7358988, 1.7358990, 1.8772335");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1189938, 7.2995832, 8.4176728, 15.3040780, 57.8736350, 320.4868300, 1938.4959000", \
+					  "7.2617707, 7.4330679, 8.5610434, 15.4405080, 58.0109930, 320.5457200, 1938.1978000", \
+					  "7.3557449, 7.5291640, 8.6472740, 15.5865790, 58.0452380, 320.6157300, 1938.2344000", \
+					  "7.4383593, 7.6057889, 8.7441565, 15.6642180, 58.1542490, 320.7049100, 1938.3333000", \
+					  "7.5022983, 7.6916997, 8.8055954, 15.6955360, 58.2473730, 320.6137400, 1938.1903000", \
+					  "7.5767366, 7.7614466, 8.8745846, 15.7982870, 58.3201330, 320.6139600, 1939.4702000", \
+					  "7.6279294, 7.8152924, 8.9352969, 15.8200370, 58.3824650, 320.7067300, 1938.0345000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8676760, 12.2274960, 14.4411250, 28.1765580, 113.0666100, 638.8702300, 3874.3055000", \
+					  "11.8750870, 12.2165630, 14.4492200, 28.1893640, 113.1197500, 638.0876700, 3873.7517000", \
+					  "11.8686780, 12.2274400, 14.4410970, 28.1510580, 113.4723200, 637.9256100, 3873.1919000", \
+					  "11.8751850, 12.2372150, 14.4601150, 28.1435320, 113.3602200, 638.0820400, 3873.3610000", \
+					  "11.8710610, 12.2329100, 14.4640420, 28.1870280, 113.4243100, 637.4261300, 3874.1737000", \
+					  "11.8704840, 12.2328290, 14.4550450, 28.1371510, 113.5168500, 637.3747400, 3872.1291000", \
+					  "11.8721760, 12.2328200, 14.4624650, 28.1644060, 113.5174400, 637.3856500, 3872.1982000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3769293, 7.5562898, 8.6746628, 15.6077640, 58.3009230, 322.1759400, 1944.8207000", \
+					  "7.5066353, 7.6866522, 8.8267811, 15.7469490, 58.4249410, 322.2490600, 1944.7673000", \
+					  "7.6336804, 7.8157329, 8.9102716, 15.8400470, 58.6610010, 322.0188200, 1945.1561000", \
+					  "7.6864026, 7.8915887, 8.9933563, 15.9192940, 58.6049720, 321.7461400, 1944.9894000", \
+					  "7.7577624, 7.9586178, 9.0625658, 15.9931750, 58.7936240, 322.5601100, 1946.4981000", \
+					  "7.8230764, 8.0222167, 9.1562056, 16.0917080, 58.8333470, 322.5274300, 1947.4362000", \
+					  "7.8850153, 8.0945810, 9.1965644, 16.1241300, 58.8165110, 322.1645100, 1944.7893000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7034790, 12.0609770, 14.2618250, 28.0786130, 113.5456900, 639.9190500, 3884.5355000", \
+					  "11.6829020, 12.0606460, 14.2904200, 28.0858220, 113.4947700, 639.1478000, 3886.6401000", \
+					  "11.6982160, 12.0575860, 14.2959770, 28.0696230, 113.5802800, 640.4184800, 3886.8557000", \
+					  "11.7025780, 12.0654300, 14.2974340, 28.0757890, 113.6085400, 640.1354000, 3885.9275000", \
+					  "11.7031480, 12.0601310, 14.2785870, 28.0401600, 113.6250300, 639.6567200, 3883.3041000", \
+					  "11.6980960, 12.0649110, 14.3008100, 28.0836310, 113.6807400, 638.9888700, 3885.4901000", \
+					  "11.7004540, 12.0665660, 14.2969240, 28.0813400, 113.3983900, 639.8629500, 3888.0953000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8121006, 1.8256895, 1.8985842, 2.1661224, 3.0858309, 7.6712006, 25.6441570", \
+					  "1.8807162, 1.8946567, 1.9672175, 2.2350182, 3.1545095, 7.7401623, 25.7123190", \
+					  "1.9072008, 1.9209314, 1.9937636, 2.2613171, 3.1810292, 7.7670821, 25.7390540", \
+					  "1.9191770, 1.9328938, 2.0054076, 2.2733497, 3.1930540, 7.7772606, 25.7511110", \
+					  "1.9240023, 1.9374626, 2.0102384, 2.2778228, 3.1973588, 7.7818001, 25.7523070", \
+					  "1.9239730, 1.9372648, 2.0101094, 2.2777757, 3.1979972, 7.7840117, 25.7531310", \
+					  "1.9201458, 1.9336062, 2.0064166, 2.2741802, 3.1939813, 7.7770208, 25.7374990");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7734202, 0.7768170, 0.7882450, 0.7814910, 1.7395616, 7.0982580, 27.3498980", \
+					  "0.7733055, 0.7764114, 0.7882084, 0.7818011, 1.7390790, 7.1006845, 27.3465570", \
+					  "0.7733908, 0.7763650, 0.7882205, 0.7818597, 1.7419597, 7.0949322, 27.3443560", \
+					  "0.7738124, 0.7762041, 0.7883163, 0.7801252, 1.7378915, 7.0988686, 27.3431680", \
+					  "0.7736242, 0.7760578, 0.7880117, 0.7815326, 1.7381026, 7.0958230, 27.3428690", \
+					  "0.7733784, 0.7763961, 0.7881984, 0.7809174, 1.7398679, 7.1006228, 27.3282530", \
+					  "0.7734465, 0.7759373, 0.7879202, 0.7806988, 1.7434667, 7.0978312, 27.3183180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5083229, 7.6977669, 8.8639940, 15.9299670, 59.0940420, 322.8873600, 1945.6902000", \
+					  "7.6476381, 7.8325328, 9.0003853, 16.1063730, 59.1260370, 322.6007300, 1945.3025000", \
+					  "7.7328375, 7.9479809, 9.0803756, 16.1530910, 59.2994030, 322.4667500, 1945.4142000", \
+					  "7.8168449, 8.0096569, 9.1750856, 16.2478210, 59.3595080, 322.7897000, 1945.9103000", \
+					  "7.8828855, 8.1049736, 9.2346149, 16.3080910, 59.4878670, 323.3139700, 1945.2898000", \
+					  "7.9506570, 8.1416722, 9.2964498, 16.3944180, 59.3272170, 322.9761200, 1946.2541000", \
+					  "8.0188665, 8.2343004, 9.3599315, 16.4376780, 59.4286040, 323.2107400, 1946.8571000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6907920, 12.0596410, 14.2871910, 28.0419400, 113.3350900, 639.0514500, 3885.8066000", \
+					  "11.6914520, 12.0595030, 14.2695780, 28.0804850, 113.6917300, 639.8393000, 3885.4100000", \
+					  "11.7076360, 12.0630730, 14.2874940, 28.0800500, 113.6117300, 639.7207200, 3885.5196000", \
+					  "11.6687080, 12.0495870, 14.2929240, 28.0738860, 113.6445700, 640.3632300, 3885.8839000", \
+					  "11.6788220, 12.0551350, 14.2762590, 28.0257380, 113.2073000, 639.1790600, 3884.1686000", \
+					  "11.6822510, 12.0374120, 14.2759350, 28.0364160, 113.2033600, 639.8743700, 3886.0794000", \
+					  "11.6670640, 12.0567090, 14.2701600, 28.0276100, 113.2879100, 640.1495500, 3886.0171000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8895172, 1.9137998, 2.0618312, 2.9529255, 8.0761406, 16.3188840, 35.7744840", \
+					  "2.0210653, 2.0453002, 2.1939561, 3.0850669, 8.2059879, 16.4453230, 35.9004030", \
+					  "2.1151594, 2.1434141, 2.2907012, 3.1825493, 8.3054932, 16.5402360, 36.0027530", \
+					  "2.1994389, 2.2246391, 2.3727271, 3.2607683, 8.3862544, 16.6229950, 36.0817080", \
+					  "2.2712812, 2.2952815, 2.4419597, 3.3316725, 8.4538412, 16.6932100, 36.1608700", \
+					  "2.3391551, 2.3578541, 2.5117108, 3.4029661, 8.5197982, 16.7580950, 36.2322120", \
+					  "2.3998386, 2.4286626, 2.5749967, 3.4668100, 8.5896881, 16.8188060, 36.2789120");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5043041, 1.5485302, 1.8290242, 3.5742136, 7.9733908, 10.2898040, 28.2051290", \
+					  "1.5038658, 1.5460219, 1.8267514, 3.5684344, 7.9632860, 10.2856420, 28.1761870", \
+					  "1.5042350, 1.5484789, 1.8290566, 3.5738366, 7.9643510, 10.3117890, 28.2076580", \
+					  "1.5035703, 1.5462024, 1.8296108, 3.5736374, 7.9611162, 10.3148960, 28.2215210", \
+					  "1.5037254, 1.5485381, 1.8291703, 3.5701770, 7.9674027, 10.3125760, 28.2183720", \
+					  "1.5039793, 1.5483165, 1.8285912, 3.5726075, 7.9773592, 10.3159670, 28.2135100", \
+					  "1.5015786, 1.5483839, 1.8293878, 3.5711540, 7.9665252, 10.3103410, 28.2105630");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3760735, 7.5576789, 8.6810710, 15.6073790, 58.2963080, 322.1704800, 1944.9644000", \
+					  "7.5359239, 7.6884179, 8.8130729, 15.7480590, 58.5565130, 322.3065100, 1944.9508000", \
+					  "7.6308266, 7.7867766, 8.9072438, 15.8310020, 58.6626780, 321.9651600, 1944.7850000", \
+					  "7.6863165, 7.8694455, 8.9938812, 15.9162050, 58.7254940, 322.4708400, 1945.0854000", \
+					  "7.7795725, 7.9396498, 9.0640340, 15.9751500, 58.8001930, 322.5573300, 1946.8750000", \
+					  "7.8234565, 8.0087439, 9.1290389, 16.0894210, 58.8205110, 322.6388400, 1944.3410000", \
+					  "7.8896187, 8.0677980, 9.1985823, 16.1138320, 58.8249240, 322.6863600, 1945.4792000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7030510, 12.0636870, 14.2954260, 28.0725330, 113.5303600, 640.0385900, 3885.3764000", \
+					  "11.6968660, 12.0636960, 14.2952750, 28.0801680, 113.6082400, 640.0094900, 3886.6729000", \
+					  "11.6941030, 12.0627780, 14.2942510, 28.0625640, 113.6102900, 640.4520100, 3885.7165000", \
+					  "11.7021140, 12.0642590, 14.2972510, 28.0725510, 113.6302400, 639.8776100, 3886.6378000", \
+					  "11.6980190, 12.0629220, 14.2847240, 28.0183930, 113.6099900, 640.0365500, 3886.9975000", \
+					  "11.7013930, 12.0640410, 14.2970650, 28.0838520, 113.6984800, 639.9868700, 3885.8725000", \
+					  "11.7017010, 12.0464310, 14.2949300, 28.0213220, 113.4368400, 640.0313200, 3885.9575000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1202271, 7.3065074, 8.4276390, 15.3001680, 57.8414120, 320.4124500, 1938.1050000", \
+					  "7.2526611, 7.4381217, 8.5674561, 15.4442240, 57.9786740, 320.4979500, 1938.1712000", \
+					  "7.3578552, 7.5361450, 8.6644727, 15.5396440, 58.0935050, 320.5369400, 1939.4886000", \
+					  "7.4320758, 7.6127380, 8.7461549, 15.6556260, 58.1620510, 320.3736300, 1938.4986000", \
+					  "7.5106774, 7.6929751, 8.7920986, 15.7038220, 58.2173490, 321.1050300, 1938.4058000", \
+					  "7.5779365, 7.7392626, 8.8682031, 15.7874090, 58.3021990, 320.6369600, 1941.9294000", \
+					  "7.6311562, 7.8261309, 8.9291081, 15.8245350, 58.3742050, 320.7124200, 1939.2676000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8739760, 12.2277020, 14.4661080, 28.1905950, 113.3720000, 638.0864800, 3875.4124000", \
+					  "11.8757940, 12.2275820, 14.4564630, 28.1869990, 112.9953700, 638.0376500, 3873.3101000", \
+					  "11.8715390, 12.2275430, 14.4558120, 28.1829370, 113.4567500, 637.4871800, 3873.1805000", \
+					  "11.8768130, 12.2418940, 14.4559020, 28.1771920, 113.5061000, 637.7184100, 3874.8426000", \
+					  "11.8721020, 12.2330460, 14.4604420, 28.1836620, 113.3739900, 638.4012200, 3872.9536000", \
+					  "11.8712420, 12.2322220, 14.4608540, 28.2015580, 113.4426200, 637.7815100, 3872.7353000", \
+					  "11.8516880, 12.2330700, 14.4467190, 28.1638690, 113.5169100, 637.4205000, 3875.0195000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1600239, 2.1837678, 2.3348645, 3.2554099, 8.4149256, 16.3868460, 31.8840670", \
+					  "2.2924871, 2.3157896, 2.4671165, 3.3898813, 8.5472305, 16.5139260, 32.0160860", \
+					  "2.3898590, 2.4133897, 2.5649596, 3.4840704, 8.6425933, 16.6121460, 32.1134270", \
+					  "2.4669680, 2.4952973, 2.6452915, 3.5662091, 8.7252712, 16.6932330, 32.1918460", \
+					  "2.5398204, 2.5645310, 2.7179270, 3.6379983, 8.7891898, 16.7654620, 32.2660190", \
+					  "2.6098430, 2.6345164, 2.7784874, 3.7121923, 8.8640828, 16.8384650, 32.3315490", \
+					  "2.6697315, 2.6960714, 2.8509983, 3.7721968, 8.9273264, 16.9028120, 32.3972880");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5189895, 1.5632993, 1.8530077, 3.6434802, 8.7148049, 9.4531174, 19.4279280", \
+					  "1.5179531, 1.5622467, 1.8533181, 3.6396521, 8.7156904, 9.4376587, 19.4530810", \
+					  "1.5169377, 1.5626307, 1.8531230, 3.6427581, 8.7148848, 9.4374073, 19.4792860", \
+					  "1.5167647, 1.5601258, 1.8533750, 3.6452066, 8.7150429, 9.4396739, 19.3914080", \
+					  "1.5146989, 1.5600322, 1.8504697, 3.6432910, 8.7149905, 9.4384704, 19.4030460", \
+					  "1.5141580, 1.5626830, 1.8529638, 3.6455730, 8.7162517, 9.4376231, 19.4690380", \
+					  "1.5165026, 1.5648164, 1.8516984, 3.6453598, 8.7151051, 9.4376169, 19.4193910");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8624546, 1.8762532, 1.9497177, 2.2178310, 3.1359080, 7.7105682, 25.6710970", \
+					  "1.9938535, 2.0085933, 2.0817523, 2.3499520, 3.2683107, 7.8430755, 25.8051130", \
+					  "2.0887279, 2.1058593, 2.1793376, 2.4461939, 3.3656553, 7.9402076, 25.8987040", \
+					  "2.1730213, 2.1839779, 2.2601407, 2.5283449, 3.4451453, 8.0185405, 25.9814930", \
+					  "2.2440816, 2.2565325, 2.3281508, 2.5953191, 3.5177838, 8.0887697, 26.0490030", \
+					  "2.3124065, 2.3259006, 2.3995836, 2.6674036, 3.5829846, 8.1606464, 26.1205970", \
+					  "2.3759281, 2.3799891, 2.4630085, 2.7325171, 3.6507413, 8.2253964, 26.2002190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7782653, 0.7811575, 0.7932751, 0.7853883, 1.7353367, 7.0883455, 27.3425350", \
+					  "0.7784411, 0.7812095, 0.7931006, 0.7855417, 1.7381035, 7.0867179, 27.3374510", \
+					  "0.7784209, 0.7810901, 0.7931112, 0.7854187, 1.7374918, 7.0883730, 27.3537830", \
+					  "0.7784700, 0.7810813, 0.7932788, 0.7855413, 1.7375749, 7.0872099, 27.3423240", \
+					  "0.7782136, 0.7810527, 0.7931385, 0.7851680, 1.7372842, 7.0852506, 27.3349720", \
+					  "0.7784106, 0.7811075, 0.7939320, 0.7856111, 1.7374938, 7.0866606, 27.3457220", \
+					  "0.7784833, 0.7810820, 0.7931286, 0.7836010, 1.7378913, 7.0855806, 27.3395510");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1297883, 7.3000139, 8.4142036, 15.3249310, 57.8702920, 320.3730000, 1939.2739000", \
+					  "7.2618351, 7.4246493, 8.5491312, 15.4407580, 57.9914180, 320.7371700, 1939.1205000", \
+					  "7.3568120, 7.5287518, 8.6569480, 15.5717220, 58.0773190, 320.8495200, 1938.6066000", \
+					  "7.4372033, 7.6122362, 8.7356122, 15.6623760, 58.1722400, 320.6941400, 1938.2321000", \
+					  "7.5001960, 7.6937354, 8.8122878, 15.6918320, 58.2438670, 320.7090100, 1939.2178000", \
+					  "7.5752382, 7.7492555, 8.8749363, 15.7590150, 58.3426110, 320.6410500, 1938.1159000", \
+					  "7.6332460, 7.8267224, 8.9340917, 15.8166550, 58.3788520, 320.7316300, 1938.5795000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8759970, 12.2293950, 14.4568880, 28.1946590, 113.0286500, 638.1288800, 3872.6071000", \
+					  "11.8754660, 12.2416440, 14.4532290, 28.1702570, 113.5073900, 637.7166000, 3874.6393000", \
+					  "11.8631200, 12.2361930, 14.4536840, 28.1772540, 113.4371400, 637.4313000, 3874.6821000", \
+					  "11.8576350, 12.2231700, 14.4510110, 28.1617750, 113.4351100, 638.0471400, 3873.2192000", \
+					  "11.8507070, 12.2330140, 14.4416090, 28.1872520, 113.4540100, 638.0273600, 3873.3751000", \
+					  "11.8606260, 12.2326310, 14.4603470, 28.1726070, 113.4751900, 637.3607600, 3874.1032000", \
+					  "11.8563860, 12.2331640, 14.4587690, 28.1632570, 113.5176300, 637.4342000, 3874.1546000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3772242, 7.5563378, 8.6727274, 15.6001820, 58.3002910, 322.1943500, 1944.7318000", \
+					  "7.5068920, 7.6906224, 8.8153245, 15.7465100, 58.5305920, 322.0472700, 1945.0436000", \
+					  "7.6072304, 7.7857605, 8.9045198, 15.8370760, 58.6311480, 322.0236800, 1945.1170000", \
+					  "7.6855970, 7.8679854, 9.0077483, 15.9068600, 58.7338140, 321.7780000, 1945.1163000", \
+					  "7.7569924, 7.9377767, 9.0627372, 15.9842890, 58.6688460, 322.5273400, 1945.5053000", \
+					  "7.8530862, 8.0049611, 9.1247365, 16.0522420, 58.8322600, 322.5214000, 1945.2466000", \
+					  "7.8867277, 8.0729485, 9.2036974, 16.1279810, 58.8895120, 322.4769800, 1944.7070000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7033050, 12.0611720, 14.2583280, 28.0694440, 113.5410100, 639.8539700, 3886.6347000", \
+					  "11.7012130, 12.0650380, 14.2738480, 28.0846530, 113.6601900, 639.9164400, 3884.7370000", \
+					  "11.7008860, 12.0629290, 14.2654870, 28.0681490, 113.6660800, 640.4076200, 3889.0917000", \
+					  "11.7020040, 12.0631110, 14.2932680, 28.0412760, 113.6166100, 639.7471600, 3886.1917000", \
+					  "11.6933550, 12.0603880, 14.2963770, 28.0739770, 113.4293400, 639.5499100, 3886.4964000", \
+					  "11.6958020, 12.0604950, 14.2587060, 28.0095080, 113.6809700, 638.9955200, 3890.8374000", \
+					  "11.6984010, 12.0588320, 14.2946620, 28.0816100, 113.7044500, 640.1641000, 3887.2868000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8652233, 1.8897823, 2.0396917, 2.9395950, 8.0827256, 16.2959370, 35.7364980", \
+					  "1.9343067, 1.9589015, 2.1086430, 3.0087541, 8.1521039, 16.3642880, 35.7878630", \
+					  "1.9605435, 1.9852970, 2.1349946, 3.0352394, 8.1755260, 16.3912470, 35.8145870", \
+					  "1.9724928, 1.9971911, 2.1469788, 3.0476310, 8.1880245, 16.3925620, 35.8601860", \
+					  "1.9771642, 2.0017463, 2.1514959, 3.0509899, 8.1944398, 16.4006640, 35.8598060", \
+					  "1.9770408, 2.0016000, 2.1513842, 3.0512979, 8.1920515, 16.4054320, 35.8581250", \
+					  "1.9734838, 1.9978945, 2.1479611, 3.0476091, 8.1890494, 16.4009360, 35.8516270");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5032332, 1.5485965, 1.8288745, 3.5870515, 7.9143092, 10.2133590, 28.1952580", \
+					  "1.5058939, 1.5488513, 1.8296918, 3.5806813, 7.9157747, 10.2321280, 28.1496360", \
+					  "1.5061457, 1.5483022, 1.8305215, 3.5815135, 7.9213907, 10.2747840, 28.1501520", \
+					  "1.5050979, 1.5493679, 1.8292464, 3.5887060, 7.9312965, 10.2941040, 28.1848380", \
+					  "1.5038558, 1.5486179, 1.8287124, 3.5821621, 7.9174267, 10.2780400, 28.1816400", \
+					  "1.5049462, 1.5504201, 1.8289673, 3.5875454, 7.9181133, 10.2556430, 28.2226300", \
+					  "1.5041758, 1.5511223, 1.8292436, 3.5852807, 7.9309670, 10.2567080, 28.2028780");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.0347981, 2.0605990, 2.2163202, 3.1553147, 8.3358920, 16.2388560, 31.7245470", \
+					  "2.1673270, 2.1925759, 2.3478897, 3.2866154, 8.4690823, 16.3716720, 31.8559860", \
+					  "2.2647548, 2.2900797, 2.4455145, 3.3880563, 8.5665377, 16.4686080, 31.9523860", \
+					  "2.3460756, 2.3718150, 2.5274254, 3.4688576, 8.6470413, 16.5499760, 32.0357360", \
+					  "2.4167710, 2.4419871, 2.5976516, 3.5393841, 8.7177288, 16.6192230, 32.1047720", \
+					  "2.4844469, 2.5089641, 2.6644436, 3.6098995, 8.7850367, 16.6903650, 32.1704330", \
+					  "2.5488287, 2.5753113, 2.7305245, 3.6746550, 8.8496108, 16.7509380, 32.2411220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5132391, 1.5629588, 1.8476195, 3.6439787, 8.6605292, 9.4107016, 19.3909810", \
+					  "1.5164604, 1.5653736, 1.8531455, 3.6447989, 8.6607823, 9.4117105, 19.3787080", \
+					  "1.5151882, 1.5646358, 1.8529410, 3.6466752, 8.6618126, 9.4241788, 19.3720670", \
+					  "1.5125857, 1.5643692, 1.8484575, 3.6424499, 8.6609635, 9.4103534, 19.4012180", \
+					  "1.5178568, 1.5617568, 1.8486062, 3.6399179, 8.6601197, 9.4128501, 19.4106300", \
+					  "1.5176322, 1.5646331, 1.8495249, 3.6444912, 8.6649390, 9.4275938, 19.3878900", \
+					  "1.5178998, 1.5622392, 1.8521683, 3.6439989, 8.6642444, 9.4105053, 19.3950740");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2596658, 7.4388824, 8.5736227, 15.5810390, 58.4414970, 321.1420700, 1941.2651000", \
+					  "7.3283681, 7.5159345, 8.6492919, 15.6189510, 58.4471810, 321.2165000, 1938.9927000", \
+					  "7.3676110, 7.5369009, 8.6691667, 15.6487530, 58.5125330, 321.5401700, 1939.0063000", \
+					  "7.3700535, 7.5585840, 8.6799679, 15.6997770, 58.4965600, 321.9464900, 1939.3199000", \
+					  "7.3693416, 7.5697413, 8.6877572, 15.6497110, 58.5108710, 321.5637900, 1938.5332000", \
+					  "7.3785404, 7.5616295, 8.6966239, 15.6685010, 58.4922400, 321.3755500, 1938.7896000", \
+					  "7.3789246, 7.5633846, 8.6848138, 15.6688300, 58.5111690, 321.2467200, 1943.1388000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8622920, 12.2126660, 14.5381070, 28.1292620, 113.4570000, 637.7951500, 3872.4647000", \
+					  "11.8849130, 12.2432660, 14.4606530, 28.1810560, 113.3038600, 637.7576900, 3873.0063000", \
+					  "11.8742070, 12.2298630, 14.4859980, 28.1963080, 113.4458500, 637.4555100, 3873.0032000", \
+					  "11.8539340, 12.2349300, 14.4543990, 28.1575190, 113.4389000, 637.5859700, 3873.1291000", \
+					  "11.8717520, 12.2306210, 14.4428860, 28.1897190, 113.4976500, 638.1465600, 3872.0177000", \
+					  "11.8690810, 12.2000850, 14.4497380, 28.1689920, 113.4752500, 637.5233900, 3874.1782000", \
+					  "11.8725840, 12.2229790, 14.4519310, 28.1824240, 113.4290000, 637.4448800, 3872.8444000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9951640, 2.0203774, 2.1720348, 3.0904552, 8.2490459, 16.2187650, 31.7153770", \
+					  "2.1277666, 2.1529565, 2.3023587, 3.2231213, 8.3816305, 16.3474430, 31.8479290", \
+					  "2.2250535, 2.2503071, 2.4017290, 3.3203362, 8.4788390, 16.4455240, 31.9451240", \
+					  "2.3063009, 2.3316298, 2.4832241, 3.4011489, 8.5601881, 16.5311910, 32.0252020", \
+					  "2.3769990, 2.4009925, 2.5523268, 3.4769234, 8.6293195, 16.5955520, 32.0961710", \
+					  "2.4453315, 2.4696469, 2.6209765, 3.5462218, 8.6975804, 16.6679290, 32.1659320", \
+					  "2.5082776, 2.5336684, 2.6862386, 3.6046142, 8.7623491, 16.7293270, 32.2259840");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5167686, 1.5652896, 1.8493629, 3.6440817, 8.7127328, 9.4386428, 19.3999610", \
+					  "1.5170086, 1.5653493, 1.8534861, 3.6437418, 8.7123428, 9.4357011, 19.4023110", \
+					  "1.5171393, 1.5656108, 1.8498020, 3.6437440, 8.7123420, 9.4515924, 19.4039260", \
+					  "1.5157781, 1.5650060, 1.8511177, 3.6452261, 8.7123126, 9.4430872, 19.4175730", \
+					  "1.5189611, 1.5660307, 1.8503145, 3.6411694, 8.7133190, 9.4361541, 19.4256630", \
+					  "1.5174976, 1.5650847, 1.8524501, 3.6457803, 8.7146370, 9.4359480, 19.3976530", \
+					  "1.5153659, 1.5646449, 1.8503439, 3.6441563, 8.7172651, 9.4362571, 19.4153050");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.3513895, 9.4226411, 9.4938927, 9.4832083, 9.4729514, 9.4622671, 9.4515827");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.0664759, -9.1418917, -9.2173075, -9.2123390, -9.2075692, -9.2026007, -9.1976322");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.483766";
+				miller_cap_rise : "0.0244361";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("117.724, 47.6637, 6.77422, 3.48214, 3.44385, 3.42195, 3.39757, 3.36998, 3.33809, 3.30015, 3.25314, 3.19137, 3.1034, 2.97396, 2.80802, 2.61844, 2.40833, 2.17802, 1.92751, 1.65673, 1.36567, 1.05436, 0.722885, 0.371366, -2.66242e-06, -0.778457, -1.60229, -17.103, -47.5312", \
+					  "117.697, 47.6149, 6.71564, 3.36119, 3.32488, 3.30423, 3.28136, 3.25564, 3.22613, 3.19139, 3.14896, 3.09435, 3.01849, 2.9055, 2.75124, 2.57005, 2.36742, 2.14404, 1.89994, 1.63507, 1.3494, 1.04295, 0.715798, 0.368084, -2.64665e-06, -0.77295, -1.59367, -17.1004, -47.5282", \
+					  "117.684, 47.5758, 6.66749, 3.2614, 3.22662, 3.20695, 3.18524, 3.16093, 3.13321, 3.10083, 3.06172, 3.01216, 2.94473, 2.84495, 2.70196, 2.52827, 2.33211, 2.11471, 1.87614, 1.61637, 1.33534, 1.03309, 0.709679, 0.365252, -2.44202e-07, -0.768203, -1.58625, -17.0983, -47.5256", \
+					  "117.68, 47.5126, 6.56861, 3.05275, 3.02092, 3.00312, 2.98361, 2.96197, 2.93757, 2.90948, 2.87622, 2.83525, 2.78174, 2.70563, 2.59017, 2.43486, 2.25332, 2.04925, 1.82303, 1.57462, 1.30396, 1.01107, 0.696013, 0.358921, -2.65846e-06, -0.757632, -1.56983, -17.0935, -47.5199", \
+					  "117.727, 47.48, 6.463, 2.66608, 2.63878, 2.62394, 2.6079, 2.59036, 2.57093, 2.54906, 2.52392, 2.49419, 2.45757, 2.40968, 2.34102, 2.23424, 2.08648, 1.9108, 1.71062, 1.48621, 1.23749, 0.964428, 0.667059, 0.345512, -2.65494e-06, -0.735373, -1.53558, -17.0836, -47.5083", \
+					  "117.768, 47.4842, 6.45786, 2.49507, 2.44698, 2.43343, 2.41888, 2.40308, 2.38572, 2.36635, 2.34436, 2.31878, 2.28797, 2.24901, 2.19578, 2.11475, 1.99034, 1.83146, 1.6462, 1.43551, 1.19936, 0.937659, 0.650441, 0.337819, -1.01391e-07, -0.722688, -1.51626, -17.078, -47.5018", \
+					  "117.816, 47.4929, 6.48285, 2.47119, 2.25519, 2.24281, 2.22963, 2.2154, 2.19988, 2.18272, 2.16345, 2.14133, 2.11522, 2.0831, 2.04103, 1.98026, 1.88313, 1.74418, 1.57539, 1.37976, 1.15739, 0.908198, 0.632151, 0.329349, -1.73013e-08, -0.708792, -1.49529, -17.072, -47.4949", \
+					  "117.866, 47.5059, 6.53297, 2.57756, 2.06282, 2.05116, 2.03916, 2.0272, 2.01383, 1.99863, 1.98172, 1.96256, 1.94031, 1.91358, 1.87977, 1.83346, 1.76183, 1.64759, 1.49731, 1.31826, 1.11108, 0.875665, 0.61195, 0.319995, -3.19419e-08, -0.693536, -1.47247, -17.0656, -47.4875", \
+					  "117.915, 47.5362, 6.59992, 2.73072, 1.84238, 1.77632, 1.76157, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74171, 1.71421, 1.67819, 1.6258, 1.53951, 1.4109, 1.2502, 1.05979, 0.839617, 0.589559, 0.309627, -3.18892e-08, -0.67673, -1.44759, -17.0586, -47.4794", \
+					  "117.961, 47.5725, 6.67129, 2.86139, 1.62172, 1.35795, 1.30163, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.17462, 1.00278, 0.799523, 0.564643, 0.298089, -2.61518e-08, -0.658156, -1.42039, -17.0511, -47.4708", \
+					  "118, 47.6049, 6.73593, 2.95719, 1.41545, 0.940422, 0.736981, 0.685053, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.536798, 0.285189, -3.17744e-08, -0.637548, -1.39057, -17.0429, -47.4615", \
+					  "118.033, 47.6293, 6.79152, 3.02248, 1.22136, 0.565701, 0.167354, 0.0141882, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.61459, -1.3577, -17.0339, -47.4514", \
+					  "118.062, 47.6456, 6.83749, 3.06279, 1.03782, 0.229606, -0.346727, -0.669187, -0.782507, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -1.32088, -17.0242, -47.4405", \
+					  "118.084, 47.655, 6.8744, 3.08312, 0.863965, -0.0738448, -0.802852, -1.30125, -1.54567, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -17.0135, -47.4287", \
+					  "118.1, 47.6577, 6.9035, 3.08796, 0.699596, -0.349102, -1.20879, -1.86153, -2.2744, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -17.0014, -47.4157", \
+					  "118.109, 47.6551, 6.9277, 3.08148, 0.545148, -0.599032, -1.57112, -2.35633, -2.92922, -3.24435, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -17.0058, -47.4015", \
+					  "118.11, 47.649, 6.9435, 3.06786, 0.401795, -0.825021, -1.89427, -2.79361, -3.50403, -3.98301, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -17.9768, -49.019", \
+					  "118.105, 47.641, 6.95794, 3.05166, 0.271665, -1.02693, -2.18053, -3.17872, -4.00667, -4.63431, -4.98382, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -19.0116, -51.5491", \
+					  "118.098, 47.6333, 6.97272, 3.03839, 0.158257, -1.20283, -2.4299, -3.51407, -4.44332, -5.19653, -5.71179, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -19.9748, -53.7508", \
+					  "118.092, 47.6294, 6.99242, 3.03509, 0.066873, -1.34867, -2.63985, -3.79902, -4.81619, -5.67567, -6.33521, -6.67773, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -20.8502, -55.6158", \
+					  "118.096, 47.6359, 7.02596, 3.05436, 0.00831328, -1.45472, -2.80189, -4.02658, -5.12035, -6.0709, -6.84921, -7.35609, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -21.6371, -57.168", \
+					  "118.135, 47.6671, 7.09016, 3.11723, 2.25763e-05, -1.50521, -2.90202, -4.18469, -5.34598, -6.37594, -7.25345, -7.90314, -8.23778, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -22.3497, -58.447", \
+					  "118.178, 47.7003, 7.15575, 3.18133, 2.51383e-08, -1.54283, -2.98387, -4.31814, -5.53941, -6.63942, -7.60195, -8.36955, -8.86123, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -23.0067, -59.4994", \
+					  "118.216, 47.7304, 7.21594, 3.23854, 2.22415e-08, -1.5762, -3.05657, -4.43675, -5.71135, -6.87338, -7.90984, -8.7752, -9.40434, -9.74193, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -23.6234, -60.3673", \
+					  "118.252, 47.7572, 7.2712, 3.28958, 3.67056e-08, -1.60584, -3.12122, -4.54233, -5.86447, -7.08165, -8.18307, -9.13118, -9.87379, -10.3628, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -24.2114, -61.0866", \
+					  "118.312, 47.8039, 7.36897, 3.37558, 1.09459e-07, -1.65556, -3.22997, -4.72027, -6.12286, -7.43322, -8.64337, -9.72517, -10.6406, -11.3704, -11.8629, -12.076, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -25.3496, -62.1873", \
+					  "118.361, 47.8416, 7.44992, 3.44353, 3.02705e-07, -1.69471, -3.31602, -4.86166, -6.3288, -7.71395, -9.01083, -10.1965, -11.2393, -12.1332, -12.8574, -13.3573, -13.5876, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -26.4801, -62.9818", \
+					  "118.457, 47.9137, 7.60826, 3.56803, 3.08208e-06, -1.76681, -3.47728, -5.13034, -6.72462, -8.25832, -9.7277, -11.1167, -12.4013, -13.582, -14.6632, -15.6421, -16.5082, -17.2354, -17.7715, -18.0657, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -29.9055, -64.4252", \
+					  "118.505, 47.9467, 7.67695, 3.61559, 3.23425e-05, -1.79798, -3.55663, -5.27571, -6.95481, -8.59329, -10.1889, -11.7294, -13.1948, -14.5854, -15.9094, -17.1705, -18.3703, -19.509, -20.5857, -21.5975, -22.5394, -23.4016, -24.165, -24.7939, -25.2501, -25.7559, -25.924, -35.88, -66.9989");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1782298, 0.2302742, 0.2670847, 0.3077915, 0.3768907");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2272209, 0.2793827, 0.3161413, 0.3568387, 0.4258885");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1010664, 0.1299910, 0.1499011, 0.1691111, 0.2002647");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1503854, 0.1801974, 0.2001564, 0.2192818, 0.2506266");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("5.23633");
+						index_3("0.001");
+						index_4("2.3104377, 2.6125523, 2.7759810, 3.0538438, 3.3964088");
+						values("0.0593577, 0.0949723, 0.118715, 0.0949723, 0.0593577");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("3.26439");
+						index_3("0.001");
+						index_4("1.4840027, 1.6608319, 1.7772298, 1.9853343, 2.2145282");
+						values("0.0943812, 0.15101, 0.188762, 0.15101, 0.0943812");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("2.28378");
+						index_3("0.001");
+						index_4("1.0817071, 1.1889228, 1.3167936, 1.4430735, 1.6066684");
+						values("0.14228, 0.227648, 0.28456, 0.227648, 0.14228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("2.61817");
+						index_3("0.001");
+						index_4("1.2523955, 1.3577087, 1.4558798, 1.6473129, 1.8373248");
+						values("0.0558977, 0.0894364, 0.111795, 0.0894364, 0.0558977");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("1.63219");
+						index_3("0.001");
+						index_4("0.8260413, 0.8811486, 0.9620001, 1.1039569, 1.2412737");
+						values("0.0842002, 0.13472, 0.1684, 0.13472, 0.0842002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("1.14189");
+						index_3("0.001");
+						index_4("0.6024743, 0.6465852, 0.7100643, 0.8273783, 0.9351961");
+						values("0.115959, 0.185534, 0.231918, 0.185534, 0.115959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("4.89658");
+						index_3("0.001");
+						index_4("2.1457202, 2.4371209, 2.6044849, 2.8585164, 3.1867944");
+						values("0.0984756, 0.157561, 0.196951, 0.157561, 0.0984756");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("5.23289");
+						index_3("0.001");
+						index_4("1.1945246, 1.2415892, 2.6846067, 2.7535509, 2.8001545");
+						values("5.44816, 5.41706, 5.39632, 5.41706, 5.44816");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("2.7213");
+						index_3("0.001");
+						index_4("1.2954733, 1.4403579, 1.5367570, 1.5903295, 1.6436038");
+						values("5.35564, 5.26903, 5.21129, 5.26903, 5.35564");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("1.72517");
+						index_3("0.001");
+						index_4("0.9662569, 1.0404303, 1.1429117, 1.2288767, 1.2850171");
+						values("4.53883, 3.96212, 3.57765, 3.96212, 4.53883");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("2.61645");
+						index_3("0.001");
+						index_4("0.6861452, 0.7151892, 0.7793619, 1.4382396, 1.4769769");
+						values("5.43868, 5.40188, 5.37736, 5.40188, 5.43868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("1.36065");
+						index_3("0.001");
+						index_4("0.4128545, 0.7056708, 0.7757787, 0.8326601, 0.8681525");
+						values("5.37275, 5.2964, 5.2455, 5.2964, 5.37275");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("0.862584");
+						index_3("0.001");
+						index_4("0.3072294, 0.4517359, 0.5326506, 0.5938659, 0.6271710");
+						values("5.31636, 5.20618, 5.13272, 5.20618, 5.31636");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("4.08195");
+						index_3("0.001");
+						index_4("2.1040272, 2.1682337, 2.2741018, 2.3503233, 2.4172684");
+						values("5.21477, 5.04363, 4.92954, 5.04363, 5.21477");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.140543;
+			capacitance : 0.140392;
+			fall_capacitance : 0.140242;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3606864, -0.3562182, -0.3517500, -0.3521326, -0.3525000, -0.3528826, -0.3532653");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602284, 0.3555600, 0.3508916, 0.3520574, 0.3531766, 0.3543424, 0.3555082");
+				}
+			}*/
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133581;
+			capacitance : 0.133411;
+			fall_capacitance : 0.133241;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3609688, -0.3561999, -0.3514311, -0.3520184, -0.3525822, -0.3531695, -0.3537569");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602862, 0.3546780, 0.3490698, 0.3496305, 0.3501687, 0.3507294, 0.3512901");
+				}
+			}*/
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v65_1v65.lib
new file mode 100644
index 0000000..eebca57
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v65_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v65_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.650000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.650000);
+	voltage_map("VCCHIB",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.495; 
+			 vih : 1.155; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+	 operating_conditions ("ff_ss_1p65v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.650000;
+		tree_type : "balanced_tree";
+	}	
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.281610e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.7560000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "142.8690000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.6220000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.5780000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "228.1610000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.0390000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.0410000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.2170000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.5800000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "208.4410000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "223.5090000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "210.9440000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.2730000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "210.4580000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "208.5340000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.8120000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.4830000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "226.9970000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006717;
+			capacitance : 0.006561;
+			fall_capacitance : 0.006405;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2546418, 4.1562512, 4.0993180, 4.0666824, 4.0379160, 4.0144375, 4.0153714", \
+					  "4.6001916, 4.4992723, 4.4463937, 4.4137581, 4.3834659, 4.3584614, 4.3517659", \
+					  "4.9729414, 4.8725076, 4.8228531, 4.7712486, 4.7627358, 4.7377926, 4.7275670", \
+					  "5.2569569, 5.1562015, 5.1046849, 5.0689975, 5.0402312, 5.0167526, 5.0146348", \
+					  "5.4889158, 5.3895224, 5.3366438, 5.3009564, 5.2721901, 5.2487115, 5.2404901", \
+					  "5.6661558, 5.5667624, 5.5123575, 5.4675152, 5.4555336, 5.4259515, 5.4226857", \
+					  "5.8602437, 5.7608938, 5.7079717, 5.6677066, 5.6378416, 5.6322754, 5.6209733");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8976025, 3.7766948, 3.6760624, 3.6202706, 3.5344748, 3.4732813, 3.4657224", \
+					  "4.2416340, 4.1222526, 4.0320208, 3.9701645, 3.8851525, 3.8297963, 3.8016255", \
+					  "4.6158844, 4.5023903, 4.3976971, 4.3322224, 4.2690654, 4.1899620, 4.1858328", \
+					  "4.8998968, 4.7789890, 4.6938753, 4.6433282, 4.5488775, 4.4754865, 4.4429250", \
+					  "5.1318517, 5.0109444, 4.9120417, 4.8800517, 4.7764955, 4.7080209, 4.6522056", \
+					  "5.3319360, 5.2251024, 5.1294531, 5.0813094, 4.9959026, 4.9202778, 4.9021327", \
+					  "5.5181959, 5.3964800, 5.3064076, 5.2432878, 5.1631901, 5.0947453, 5.0528522");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6006166, -3.5277485, -3.4697078, -3.4553826, -3.4318374, -3.4021365, -3.3854687", \
+					  "-3.9476717, -3.8788188, -3.8182885, -3.8253260, -3.7651066, -3.7589236, -3.7379057", \
+					  "-4.3220247, -4.2546515, -4.1880643, -4.1767906, -4.1493109, -4.1361401, -4.1025918", \
+					  "-4.6060513, -4.5360730, -4.4751422, -4.4837056, -4.4305250, -4.3937522, -4.3923542", \
+					  "-4.8380248, -4.7691719, -4.7101680, -4.6760064, -4.6680137, -4.6489854, -4.6296102", \
+					  "-5.0536404, -4.9862023, -4.9419004, -4.9319857, -4.8725354, -4.8565842, -4.8425790", \
+					  "-5.2392865, -5.1553545, -5.0931190, -5.0971042, -5.0505175, -5.0390751, -5.0152759");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7577987, -3.5980443, -3.5281833, -3.4569085, -3.4096407, -3.3234899, -3.3128126", \
+					  "-4.1048540, -3.9488756, -3.8320242, -3.7902305, -3.7265242, -3.6826970, -3.6238170", \
+					  "-4.4792070, -4.3232286, -4.2402981, -4.1737389, -4.1250138, -4.0540146, -3.9738459", \
+					  "-4.7632335, -4.5900276, -4.4934538, -4.4470842, -4.4063794, -4.3290693, -4.3149992", \
+					  "-4.9952071, -4.8254962, -4.7437371, -4.6592141, -4.6164416, -4.5782615, -4.5328409", \
+					  "-5.2108226, -5.0548447, -4.9794606, -4.8702213, -4.8407014, -4.7918782, -4.7343136", \
+					  "-5.3827358, -5.2369693, -5.1516446, -5.0498021, -5.0276536, -4.9503488, -4.8714372");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312122, 0.0307458, 0.0302794, 0.0306285, 0.0309637, 0.0313129, 0.0316620");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0392885, 0.0388393, 0.0383900, 0.0389835, 0.0395533, 0.0401469, 0.0407404");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004926;
+			capacitance : 0.004786;
+			fall_capacitance : 0.004646;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7578080, -3.6595375, -3.6048259, -3.5682978, -3.5364797, -3.5073302, -3.4980469", \
+					  "-4.1048624, -4.0065921, -3.9434102, -3.9123004, -3.8652239, -3.8559708, -3.8462066", \
+					  "-4.4792172, -4.3809469, -4.3177650, -4.2958106, -4.2563634, -4.2287606, -4.2157004", \
+					  "-4.7632442, -4.6649735, -4.6094210, -4.5752595, -4.5396635, -4.5130310, -4.5037540", \
+					  "-4.9952182, -4.8952812, -4.8398696, -4.8118116, -4.7693122, -4.7472632, -4.7375621", \
+					  "-5.2108328, -5.1125626, -5.0585360, -5.0197968, -4.9879787, -4.9568711, -4.9476500", \
+					  "-5.3682385, -5.2688837, -5.2161517, -5.1932722, -5.1537447, -5.1207720, -5.1109141");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6571050, -3.5624234, -3.4533334, -3.3912959, -3.3195881, -3.2585449, -3.2122036", \
+					  "-4.0041598, -3.9080749, -3.8003882, -3.7246505, -3.6601000, -3.6101408, -3.5603919", \
+					  "-4.3785133, -4.2839520, -4.1763261, -4.1157555, -4.0542935, -4.0072596, -3.8834862", \
+					  "-4.6640653, -4.5660446, -4.4602936, -4.3917249, -4.3336776, -4.2810481, -4.2176242", \
+					  "-4.8945134, -4.7984285, -4.7058315, -4.6302302, -4.5684400, -4.5029493, -4.3942878", \
+					  "-5.1101289, -5.0155695, -4.9079445, -4.8351644, -4.7856223, -4.7051000, -4.6671313", \
+					  "-5.2866198, -5.1783242, -5.0868462, -5.0223361, -4.9372379, -4.8947621, -4.8203412");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2607698, 4.1587221, 4.0962663, 4.0666824, 4.0139210, 4.0133100, 4.0022206", \
+					  "4.6047946, 4.5019457, 4.4433424, 4.4122326, 4.3636302, 4.3588584, 4.3493540", \
+					  "4.9790676, 4.8779476, 4.8145637, 4.7788762, 4.7330897, 4.7238069, 4.7195792", \
+					  "5.2630831, 5.1586809, 5.1001050, 5.0689953, 5.0162237, 5.0156317, 4.9917442", \
+					  "5.4950416, 5.3921927, 5.3250704, 5.3009537, 5.2481893, 5.2475476, 5.2256668", \
+					  "5.6936396, 5.5938425, 5.5398169, 5.5089918, 5.4674699, 5.4375649, 5.4408960", \
+					  "5.8709413, 5.7696215, 5.7198560, 5.6783794, 5.6372989, 5.6200141, 5.5996028");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8686168, 3.7367481, 3.6312505, 3.5625390, 3.5247867, 3.4288344, 3.3909294", \
+					  "4.2146125, 4.0751969, 3.9739574, 3.9104798, 3.8892003, 3.7766868, 3.6792962", \
+					  "4.5838256, 4.4459359, 4.3495046, 4.2804682, 4.2232172, 4.1429027, 4.0778241", \
+					  "4.8693097, 4.7478641, 4.6288882, 4.5610087, 4.5209061, 4.4342146, 4.3248381", \
+					  "5.0881693, 4.9543994, 4.8608924, 4.7990188, 4.7763813, 4.6420310, 4.5676545", \
+					  "5.2890854, 5.1481444, 5.0466589, 4.9925911, 4.9708822, 4.8480072, 4.7531790", \
+					  "5.4793879, 5.3432537, 5.2508827, 5.1844527, 5.1237386, 5.0519742, 4.9880183");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0370968, 0.0368296, 0.0365625, 0.0368443, 0.0371149, 0.0373968, 0.0376786");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0453915, 0.0451070, 0.0448225, 0.0453673, 0.0458903, 0.0464350, 0.0469798");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006608;
+			capacitance : 0.006452;
+			fall_capacitance : 0.006295;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2805824, 4.1828370, 4.1298354, 4.0941480, 4.0653816, 4.0464808, 4.0382593", \
+					  "4.6261433, 4.5267379, 4.4738704, 4.4412348, 4.4109425, 4.3905158, 4.3853461", \
+					  "4.9988576, 4.9012010, 4.8481106, 4.8032678, 4.7884299, 4.7601787, 4.7534828", \
+					  "5.2843945, 5.1850717, 5.1275440, 5.0903306, 5.0676679, 5.0441898, 5.0466491", \
+					  "5.5163481, 5.4169427, 5.3656011, 5.3283878, 5.2996215, 5.2761429, 5.2743266", \
+					  "5.7289878, 5.6311740, 5.5771430, 5.5478669, 5.5089473, 5.4940672, 5.4916052", \
+					  "5.9027266, 5.8017953, 5.7474019, 5.7165191, 5.6804981, 5.6703933, 5.6604035");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8838682, 3.7472175, 3.6446018, 3.5890780, 3.5470500, 3.4395243, 3.3925543", \
+					  "4.2518485, 4.1151973, 4.0195792, 3.9664039, 3.8973321, 3.8076833, 3.8185116", \
+					  "4.6065767, 4.4684001, 4.3673543, 4.3163644, 4.2604438, 4.1713236, 4.1145601", \
+					  "4.8878455, 4.7469453, 4.6683433, 4.6104150, 4.5352973, 4.4586479, 4.4372739", \
+					  "5.1148985, 4.9770404, 4.8968614, 4.8313227, 4.7573752, 4.6921283, 4.6427340", \
+					  "5.3013578, 5.1631808, 5.0804075, 5.0026967, 4.9476070, 4.8483669, 4.8340317", \
+					  "5.4932777, 5.3601912, 5.2642191, 5.2032350, 5.1398604, 5.0536467, 4.9981018");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7379457, -3.6568859, -3.5966123, -3.5881342, -3.5395315, -3.5227056, -3.5126710", \
+					  "-4.0865255, -4.0039400, -3.9312027, -3.9184036, -3.8797589, -3.8790453, -3.8582077", \
+					  "-4.4593562, -4.3782966, -4.3055596, -4.3069905, -4.2655201, -4.2440271, -4.2277508", \
+					  "-4.7449091, -4.6623236, -4.5880608, -4.5493215, -4.5466761, -4.5299068, -4.5279893", \
+					  "-4.9768840, -4.8938695, -4.8200352, -4.8163910, -4.7815216, -4.7624511, -4.7519244", \
+					  "-5.1924973, -5.1099118, -5.0356485, -5.0320048, -5.0117130, -4.9731563, -4.9715989", \
+					  "-5.3499078, -5.2707640, -5.1961108, -5.2062392, -5.1680444, -5.1411899, -5.1405109");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6998153, -3.5692533, -3.4839466, -3.4116982, -3.3856000, -3.3218081, -3.2777702", \
+					  "-4.0468706, -3.9183583, -3.8152399, -3.7719203, -3.6820495, -3.6584055, -3.6097889", \
+					  "-4.4212236, -4.2927290, -4.1962453, -4.1331522, -4.0589045, -3.9989898, -3.9738459", \
+					  "-4.7052497, -4.5769377, -4.4781940, -4.4287735, -4.3445631, -4.3191732, -4.2863435", \
+					  "-4.9372237, -4.8087114, -4.7129427, -4.6435995, -4.5797785, -4.5243588, -4.4886212", \
+					  "-5.1528392, -5.0243487, -4.9241359, -4.8702271, -4.7888295, -4.7329400, -4.7107022", \
+					  "-5.3262783, -5.1947142, -5.1253883, -5.0467503, -4.9687487, -4.9129231, -4.8507768");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312321, 0.0307652, 0.0302984, 0.0306477, 0.0309830, 0.0313324, 0.0316817");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0391935, 0.0387459, 0.0382982, 0.0388911, 0.0394602, 0.0400530, 0.0406459");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026795;
+			capacitance : 0.026877;
+			fall_capacitance : 0.026960;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034072, -0.0038311, -0.0042551, -0.0044038, -0.0045465, -0.0046952, -0.0048438");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0266161, 0.0270477, 0.0274792, 0.0273188, 0.0271648, 0.0270044, 0.0268440");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.033173;
+			capacitance : 0.032889;
+			fall_capacitance : 0.032605;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0822904, 0.0864640, 0.0906376, 0.0935858, 0.0964160, 0.0993641, 0.1023122");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0982811, 0.1027228, 0.1071646, 0.1101479, 0.1130120, 0.1159954, 0.1189787");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052431;
+			capacitance : 0.052048;
+			fall_capacitance : 0.051666;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0140870, -0.0144238, -0.0147607, -0.0147712, -0.0147814, -0.0147920, -0.0148025");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0145681, 0.0146888, 0.0148094, 0.0148276, 0.0148450, 0.0148631, 0.0148812");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016804;
+			capacitance : 0.016758;
+			fall_capacitance : 0.016712;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0187132, 0.0198624, 0.0210116, 0.0236126, 0.0261095, 0.0287105, 0.0313114");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0219897, 0.0230849, 0.0241801, 0.0265822, 0.0288882, 0.0312903, 0.0336924");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037396;
+			capacitance : 0.036898;
+			fall_capacitance : 0.036401;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0217560, -3.9499952, -3.9064553, -3.8802563, -3.8684036, -3.8471690, -3.8404730", \
+					  "-4.3703372, -4.2892434, -4.2565024, -4.2314194, -4.2103065, -4.1957497, -4.1860024", \
+					  "-4.7431643, -4.6698776, -4.6338850, -4.6072463, -4.5844259, -4.5701027, -4.5786661", \
+					  "-5.0317681, -4.9554296, -4.9181567, -4.8853074, -4.8717263, -4.8571811, -4.8509585", \
+					  "-5.2622162, -5.1874036, -5.1422965, -5.1203288, -5.1019519, -5.0845774, -5.0810384", \
+					  "-5.4747799, -5.4014932, -5.3655007, -5.3373348, -5.3114643, -5.3052244, -5.3057041", \
+					  "-5.6436413, -5.5655917, -5.5398901, -5.5035023, -5.4867068, -5.4721056, -5.4669360");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6957700, -2.6051267, -2.5557063, -2.5093378, -2.4775199, -2.4144046, -2.3720601", \
+					  "-3.0397728, -2.9582849, -2.9027611, -2.8640219, -2.8245745, -2.7690525, -2.7125137", \
+					  "-4.8438761, -3.3204326, -3.2755900, -3.2307472, -3.1958775, -3.1373039, -3.0878835", \
+					  "-3.6996801, -3.6181921, -4.9084933, -4.8361850, -4.7756427, -3.4167528, -3.3660467", \
+					  "-3.9331802, -3.8472569, -3.7931167, -3.7442837, -3.7122607, -3.6517788, -3.6023584", \
+					  "-4.1426913, -4.0596777, -4.0093952, -3.9562591, -3.9305445, -3.8716254, -3.8146168", \
+					  "-4.3191776, -4.2383596, -4.1826699, -4.1373227, -4.1055050, -4.0351426, -3.9975109");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4484255, 4.3552995, 4.3071651, 4.2836075, 4.2606943, 4.2402675, 4.2274684", \
+					  "4.7985618, 4.6993319, 4.6527069, 4.6263676, 4.6063187, 4.5858258, 4.5745526", \
+					  "5.1682319, 5.0735796, 5.0254004, 5.0004283, 4.9791569, 4.9622047, 4.9442226", \
+					  "5.4537697, 5.3606433, 5.3094225, 5.2859169, 5.2629863, 5.2425595, 5.2312863", \
+					  "5.6887759, 5.5911647, 5.5450256, 5.5148635, 5.4949412, 5.4745144, 5.4617149", \
+					  "5.9014098, 5.8021803, 5.7540864, 5.7305114, 5.7077679, 5.6871484, 5.6728234", \
+					  "6.0720773, 5.9774255, 5.9262709, 5.8997960, 5.8767354, 5.8647090, 5.8480681");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1753004, 4.0424164, 3.9513044, 3.8899544, 3.8151347, 3.7539490, 3.7186845", \
+					  "4.5229152, 4.3930831, 4.3034969, 4.2327144, 4.1642754, 4.1139246, 4.0745920", \
+					  "4.8921181, 4.7638118, 4.6726994, 4.6006255, 4.5350832, 4.4855855, 4.4483722", \
+					  "5.1776035, 5.0477714, 4.9585303, 4.8874027, 4.8189637, 4.7665576, 4.7295894", \
+					  "5.4037106, 5.2693008, 5.1797147, 5.1089322, 5.0450708, 4.9988616, 4.9508516", \
+					  "5.5860452, 5.4592649, 5.3666270, 5.2958440, 5.2319827, 5.1818090, 5.1305349", \
+					  "5.7796699, 5.6493679, 5.5602516, 5.4926328, 5.4256078, 5.3746636, 5.3298209");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1487386, 0.1791407, 0.2095427, 0.2333694, 0.2562431, 0.2800698, 0.3038965");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2076119, 0.2430872, 0.2785624, 0.2855924, 0.2923411, 0.2993710, 0.3064009");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010669;
+			capacitance : 0.010478;
+			fall_capacitance : 0.010287;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0055917, 0.0169493, 0.0394903, 0.2686730, 0.4886883, 0.7178709, 0.9470535");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0400024, 0.0583468, 0.0766913, 0.2052250, 0.3286173, 0.4571510, 0.5856847");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007007;
+			capacitance : 0.006852;
+			fall_capacitance : 0.006696;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4255406, 4.3322442, 4.2763196, 4.2421580, 4.2133921, 4.1990689, 4.1862698", \
+					  "4.7695708, 4.6778060, 4.6218760, 4.5739815, 4.5528446, 4.5507489, 4.5372082", \
+					  "5.1438261, 5.0505296, 4.9946050, 4.9589175, 4.9301517, 4.9158285, 4.9081248", \
+					  "5.4278389, 5.3345424, 5.2801441, 5.2444567, 5.2156904, 5.2013672, 5.1900940", \
+					  "5.6597947, 5.5684060, 5.5120995, 5.4748861, 5.4375800, 5.4333229, 5.4220493", \
+					  "5.8400509, 5.7452286, 5.7076146, 5.6569530, 5.6248506, 5.6474774, 5.6236680", \
+					  "6.0326018, 5.9388377, 5.8879588, 5.8537973, 5.8230343, 5.8122754, 5.7933310");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8564039, 3.7309114, 3.6588861, 3.5928829, 3.4845400, 3.4278576, 3.4141692", \
+					  "4.2004451, 4.0862294, 3.9964941, 3.9377011, 3.8418884, 3.7794104, 3.7624697", \
+					  "4.5746667, 4.4491742, 4.3613609, 4.2968138, 4.2174102, 4.1490680, 4.1372317", \
+					  "4.8586751, 4.7331826, 4.6578744, 4.5871949, 4.4982887, 4.4332074, 4.4123534", \
+					  "5.0921519, 4.9651335, 4.8864906, 4.8248301, 4.7288269, 4.6845885, 4.6521913", \
+					  "5.3032807, 5.1777882, 5.1052156, 5.0394491, 4.9460825, 4.9134455, 4.8882727", \
+					  "5.4694558, 5.3598173, 5.2639269, 5.2113494, 5.1295495, 5.0530893, 5.0089118");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7760925, -3.6874032, -3.6329768, -3.6064447, -3.5822562, -3.5651366, -3.5433493", \
+					  "-4.1231478, -4.0367325, -3.9815579, -3.9397669, -3.9328933, -3.9162453, -3.8970113", \
+					  "-4.4975008, -4.4088113, -4.3543850, -4.3202235, -4.3036643, -4.2864531, -4.2680070", \
+					  "-4.7830532, -4.6943637, -4.6384112, -4.6224484, -4.5831132, -4.5722654, -4.5567853", \
+					  "-5.0150268, -4.9249340, -4.8703852, -4.8392754, -4.8196644, -4.8061317, -4.7928463", \
+					  "-5.2291164, -5.1404274, -5.0848185, -5.0564168, -5.0325039, -5.0144362, -5.0005282", \
+					  "-5.4025555, -5.3119120, -5.2636715, -5.2357103, -5.2136763, -5.1916557, -5.1861743");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7150742, -3.5680194, -3.4734588, -3.4125675, -3.3855978, -3.3218017, -3.2809979", \
+					  "-4.0621290, -3.9259877, -3.8030318, -3.7566614, -3.6805343, -3.6569600, -2.9763307", \
+					  "-4.4364825, -4.3003412, -4.1854233, -4.1386309, -4.0801369, -3.9989862, -3.9738459", \
+					  "-4.7220345, -4.5720234, -3.9140652, -4.4116175, -4.3640462, -4.3290688, -4.2895573", \
+					  "-4.9524826, -4.8163413, -4.7056947, -4.0431398, -4.5888939, -4.5243620, -4.4742665", \
+					  "-5.1680981, -5.0319568, -4.9149238, -4.8587163, -4.8044459, -4.7329387, -4.7107022", \
+					  "-5.3415367, -5.1947146, -5.1099491, -5.0304624, -4.9801791, -4.9129214, -4.8524448");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312937, 0.0308263, 0.0303588, 0.0307075, 0.0310423, 0.0313910, 0.0317397");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0392350, 0.0388034, 0.0383719, 0.0389238, 0.0394536, 0.0400055, 0.0405573");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017728;
+			capacitance : 0.017680;
+			fall_capacitance : 0.017633;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0920951, 0.1236655, 0.1552358, 0.1781792, 0.2002049, 0.2231483, 0.2460917");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1279057, 0.1645094, 0.2011131, 0.2069756, 0.2126036, 0.2184661, 0.2243286");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005587;
+			capacitance : 0.005448;
+			fall_capacitance : 0.005309;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7745804, -3.6752945, -3.6329768, -3.5896601, -3.5395315, -3.5335245, -3.5140024", \
+					  "-4.1216352, -4.0259931, -3.9678249, -3.9321375, -3.9050165, -3.8790408, -3.8627441", \
+					  "-4.4959882, -4.3967023, -4.3543850, -4.3140067, -4.2655175, -4.2547621, -4.2326264", \
+					  "-4.7815407, -4.6807289, -4.6368857, -4.6091999, -4.5550916, -4.5423262, -4.5239050", \
+					  "-5.0135147, -4.9114283, -4.8566521, -4.8240165, -4.7815176, -4.7685768, -4.7545205", \
+					  "-5.2291302, -5.1283184, -5.0782642, -5.0396320, -5.0112427, -4.9843762, -4.9691115", \
+					  "-5.4010429, -5.3012783, -5.2556321, -5.2246769, -5.1902737, -5.1621913, -5.1488073");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6677485, -3.5742551, -3.4453107, -3.4047367, -3.3497633, -3.2840294, -3.2122686", \
+					  "-4.0148051, -3.9330563, -3.7923675, -3.7475109, -3.6908138, -3.6557887, -3.5625715", \
+					  "-4.3891510, -4.3074022, -4.1866925, -4.1260854, -4.0678360, -4.0126193, -3.9364780", \
+					  "-4.6747026, -4.5854962, -4.4507386, -4.4053381, -4.3852754, -4.3022578, -4.2176806", \
+					  "-4.9051489, -4.8233996, -4.6936297, -4.6454806, -4.5872259, -4.5300339, -4.4354784", \
+					  "-5.1207689, -5.0390196, -4.9175936, -4.8563968, -4.7683730, -4.6942314, -4.6702031", \
+					  "-5.3110274, -5.2109514, -5.0863822, -5.0242789, -4.9778135, -4.9140294, -4.8322699");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3935103, 4.2941871, 4.2305433, 4.1929125, 4.1615118, 4.1446347, 4.1252342", \
+					  "4.7390770, 4.6399260, 4.5761100, 4.5388966, 4.4963977, 4.4851263, 4.4708013", \
+					  "5.1132998, 5.0124512, 4.9488070, 4.9115936, 4.8690947, 4.8517197, 4.8419724", \
+					  "5.3914325, 5.2921097, 5.2328158, 5.2017056, 5.1546290, 5.1456371, 5.1275067", \
+					  "5.6176266, 5.5337062, 5.4662926, 5.4321310, 5.3871541, 5.3827985, 5.3441991", \
+					  "5.8323447, 5.7410643, 5.6464898, 5.6463104, 5.5896654, 5.5818588, 5.5579655", \
+					  "6.0080930, 5.9079655, 5.8512073, 5.8090264, 5.7791241, 5.7412864, 5.7527399");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8731745, 3.7544686, 3.6308703, 3.6116259, 3.5088568, 3.4221453, 3.3853907", \
+					  "4.2176385, 4.0989326, 3.9831301, 3.9222304, 3.8643615, 3.7633397, 3.7435213", \
+					  "4.5914297, 4.4727238, 4.3521776, 4.3042830, 4.2497168, 4.1371211, 4.0895645", \
+					  "4.8738740, 4.7503715, 4.6529321, 4.5929374, 4.5377025, 4.4259403, 4.3997599", \
+					  "5.0953302, 4.9750984, 4.8658209, 4.8117828, 4.7373574, 4.6468534, 4.5742577", \
+					  "5.2906069, 5.1703751, 5.0677043, 5.0152622, 4.9167104, 4.8479632, 4.8345624", \
+					  "5.4850975, 5.3630472, 5.2463188, 5.2015008, 5.1222789, 5.0400131, 4.9722416");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0368267, 0.0365129, 0.0361992, 0.0365155, 0.0368193, 0.0371356, 0.0374520");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0440223, 0.0436889, 0.0433554, 0.0438861, 0.0443955, 0.0449261, 0.0454568");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005174;
+			capacitance : 0.004952;
+			fall_capacitance : 0.004731;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0081042, -0.0080631, -0.0080220, -0.0079751, -0.0079301, -0.0078832, -0.0078363");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0081144, 0.0080929, 0.0080714, 0.0080810, 0.0080902, 0.0080998, 0.0081094");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2546418, 4.6001916, 4.9729414, 5.2569569, 5.4889158, 5.6661558, 5.8602437", \
+					  "4.1562512, 4.4992723, 4.8725076, 5.1562015, 5.3895224, 5.5667624, 5.7608938", \
+					  "4.0993180, 4.4463937, 4.8228531, 5.1046849, 5.3366438, 5.5123575, 5.7079717", \
+					  "4.0666824, 4.4137581, 4.7712486, 5.0689975, 5.3009564, 5.4675152, 5.6677066", \
+					  "4.0379160, 4.3834659, 4.7627358, 5.0402312, 5.2721901, 5.4555336, 5.6378416", \
+					  "4.0144375, 4.3584614, 4.7377926, 5.0167526, 5.2487115, 5.4259515, 5.6322754", \
+					  "4.0153714, 4.3517659, 4.7275670, 5.0146348, 5.2404901, 5.4226857, 5.6209733");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6006166, -3.9476717, -4.3220247, -4.6060513, -4.8380248, -5.0536404, -5.2392865", \
+					  "-3.5277485, -3.8788188, -4.2546515, -4.5360730, -4.7691719, -4.9862023, -5.1553545", \
+					  "-3.4697078, -3.8182885, -4.1880643, -4.4751422, -4.7101680, -4.9419004, -5.0931190", \
+					  "-3.4553826, -3.8253260, -4.1767906, -4.4837056, -4.6760064, -4.9319857, -5.0971042", \
+					  "-3.4318374, -3.7651066, -4.1493109, -4.4305250, -4.6680137, -4.8725354, -5.0505175", \
+					  "-3.4021365, -3.7589236, -4.1361401, -4.3937522, -4.6489854, -4.8565842, -5.0390751", \
+					  "-3.3854687, -3.7379057, -4.1025918, -4.3923542, -4.6296102, -4.8425790, -5.0152759");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.0217560, -4.3703372, -4.7431643, -5.0317681, -5.2622162, -5.4747799, -5.6436413", \
+					  "-3.9499952, -4.2892434, -4.6698776, -4.9554296, -5.1874036, -5.4014932, -5.5655917", \
+					  "-3.9064553, -4.2565024, -4.6338850, -4.9181567, -5.1422965, -5.3655007, -5.5398901", \
+					  "-3.8802563, -4.2314194, -4.6072463, -4.8853074, -5.1203288, -5.3373348, -5.5035023", \
+					  "-3.8684036, -4.2103065, -4.5844259, -4.8717263, -5.1019519, -5.3114643, -5.4867068", \
+					  "-3.8471690, -4.1957497, -4.5701027, -4.8571811, -5.0845774, -5.3052244, -5.4721056", \
+					  "-3.8404730, -4.1860024, -4.5786661, -4.8509585, -5.0810384, -5.3057041, -5.4669360");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4484255, 4.7985618, 5.1682319, 5.4537697, 5.6887759, 5.9014098, 6.0720773", \
+					  "4.3552995, 4.6993319, 5.0735796, 5.3606433, 5.5911647, 5.8021803, 5.9774255", \
+					  "4.3071651, 4.6527069, 5.0254004, 5.3094225, 5.5450256, 5.7540864, 5.9262709", \
+					  "4.2836075, 4.6263676, 5.0004283, 5.2859169, 5.5148635, 5.7305114, 5.8997960", \
+					  "4.2606943, 4.6063187, 4.9791569, 5.2629863, 5.4949412, 5.7077679, 5.8767354", \
+					  "4.2402675, 4.5858258, 4.9622047, 5.2425595, 5.4745144, 5.6871484, 5.8647090", \
+					  "4.2274684, 4.5745526, 4.9442226, 5.2312863, 5.4617149, 5.6728234, 5.8480681");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4255406, 4.7695708, 5.1438261, 5.4278389, 5.6597947, 5.8400509, 6.0326018", \
+					  "4.3322442, 4.6778060, 5.0505296, 5.3345424, 5.5684060, 5.7452286, 5.9388377", \
+					  "4.2763196, 4.6218760, 4.9946050, 5.2801441, 5.5120995, 5.7076146, 5.8879588", \
+					  "4.2421580, 4.5739815, 4.9589175, 5.2444567, 5.4748861, 5.6569530, 5.8537973", \
+					  "4.2133921, 4.5528446, 4.9301517, 5.2156904, 5.4375800, 5.6248506, 5.8230343", \
+					  "4.1990689, 4.5507489, 4.9158285, 5.2013672, 5.4333229, 5.6474774, 5.8122754", \
+					  "4.1862698, 4.5372082, 4.9081248, 5.1900940, 5.4220493, 5.6236680, 5.7933310");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7760925, -4.1231478, -4.4975008, -4.7830532, -5.0150268, -5.2291164, -5.4025555", \
+					  "-3.6874032, -4.0367325, -4.4088113, -4.6943637, -4.9249340, -5.1404274, -5.3119120", \
+					  "-3.6329768, -3.9815579, -4.3543850, -4.6384112, -4.8703852, -5.0848185, -5.2636715", \
+					  "-3.6064447, -3.9397669, -4.3202235, -4.6224484, -4.8392754, -5.0564168, -5.2357103", \
+					  "-3.5822562, -3.9328933, -4.3036643, -4.5831132, -4.8196644, -5.0325039, -5.2136763", \
+					  "-3.5651366, -3.9162453, -4.2864531, -4.5722654, -4.8061317, -5.0144362, -5.1916557", \
+					  "-3.5433493, -3.8970113, -4.2680070, -4.5567853, -4.7928463, -5.0005282, -5.1861743");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7745804, -4.1216352, -4.4959882, -4.7815407, -5.0135147, -5.2291302, -5.4010429", \
+					  "-3.6752945, -4.0259931, -4.3967023, -4.6807289, -4.9114283, -5.1283184, -5.3012783", \
+					  "-3.6329768, -3.9678249, -4.3543850, -4.6368857, -4.8566521, -5.0782642, -5.2556321", \
+					  "-3.5896601, -3.9321375, -4.3140067, -4.6091999, -4.8240165, -5.0396320, -5.2246769", \
+					  "-3.5395315, -3.9050165, -4.2655175, -4.5550916, -4.7815176, -5.0112427, -5.1902737", \
+					  "-3.5335245, -3.8790408, -4.2547621, -4.5423262, -4.7685768, -4.9843762, -5.1621913", \
+					  "-3.5140024, -3.8627441, -4.2326264, -4.5239050, -4.7545205, -4.9691115, -5.1488073");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3935103, 4.7390770, 5.1132998, 5.3914325, 5.6176266, 5.8323447, 6.0080930", \
+					  "4.2941871, 4.6399260, 5.0124512, 5.2921097, 5.5337062, 5.7410643, 5.9079655", \
+					  "4.2305433, 4.5761100, 4.9488070, 5.2328158, 5.4662926, 5.6464898, 5.8512073", \
+					  "4.1929125, 4.5388966, 4.9115936, 5.2017056, 5.4321310, 5.6463104, 5.8090264", \
+					  "4.1615118, 4.4963977, 4.8690947, 5.1546290, 5.3871541, 5.5896654, 5.7791241", \
+					  "4.1446347, 4.4851263, 4.8517197, 5.1456371, 5.3827985, 5.5818588, 5.7412864", \
+					  "4.1252342, 4.4708013, 4.8419724, 5.1275067, 5.3441991, 5.5579655, 5.7527399");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7578080, -4.1048624, -4.4792172, -4.7632442, -4.9952182, -5.2108328, -5.3682385", \
+					  "-3.6595375, -4.0065921, -4.3809469, -4.6649735, -4.8952812, -5.1125626, -5.2688837", \
+					  "-3.6048259, -3.9434102, -4.3177650, -4.6094210, -4.8398696, -5.0585360, -5.2161517", \
+					  "-3.5682978, -3.9123004, -4.2958106, -4.5752595, -4.8118116, -5.0197968, -5.1932722", \
+					  "-3.5364797, -3.8652239, -4.2563634, -4.5396635, -4.7693122, -4.9879787, -5.1537447", \
+					  "-3.5073302, -3.8559708, -4.2287606, -4.5130310, -4.7472632, -4.9568711, -5.1207720", \
+					  "-3.4980469, -3.8462066, -4.2157004, -4.5037540, -4.7375621, -4.9476500, -5.1109141");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2607698, 4.6047946, 4.9790676, 5.2630831, 5.4950416, 5.6936396, 5.8709413", \
+					  "4.1587221, 4.5019457, 4.8779476, 5.1586809, 5.3921927, 5.5938425, 5.7696215", \
+					  "4.0962663, 4.4433424, 4.8145637, 5.1001050, 5.3250704, 5.5398169, 5.7198560", \
+					  "4.0666824, 4.4122326, 4.7788762, 5.0689953, 5.3009537, 5.5089918, 5.6783794", \
+					  "4.0139210, 4.3636302, 4.7330897, 5.0162237, 5.2481893, 5.4674699, 5.6372989", \
+					  "4.0133100, 4.3588584, 4.7238069, 5.0156317, 5.2475476, 5.4375649, 5.6200141", \
+					  "4.0022206, 4.3493540, 4.7195792, 4.9917442, 5.2256668, 5.4408960, 5.5996028");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.0645236, -4.4131043, -4.7889832, -5.0760615, -5.3065096, -5.5190734, -5.6848830", \
+					  "-3.9940484, -4.3550232, -4.7154562, -5.0010087, -5.2388891, -5.4470723, -5.6205717", \
+					  "-3.9579892, -4.3050441, -4.6862875, -4.9649495, -5.1938717, -5.4110131, -5.5814000", \
+					  "-3.9329830, -4.2730270, -4.6489196, -4.9353656, -5.1613648, -5.3774844, -5.5574147", \
+					  "-3.9184648, -4.2683365, -4.6414243, -4.9311463, -5.1544180, -5.3730049, -5.5383091", \
+					  "-3.9208167, -4.2663257, -4.6407093, -4.9277646, -5.1459661, -5.3678446, -5.5255118", \
+					  "-3.9005179, -4.2502643, -4.6153123, -4.8934534, -5.1300224, -5.3592639, -5.5188158");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5079765, 4.8520066, 5.2277887, 5.5133274, 5.7513867, 5.9624885, 6.1104631", \
+					  "4.4145978, 4.7647311, 5.1328837, 5.4125747, 5.6488528, 5.8367398, 6.0382289", \
+					  "4.3625484, 4.7088505, 5.0808400, 5.3605292, 5.6006016, 5.7976440, 5.9823837", \
+					  "4.3373419, 4.6813915, 5.0512335, 5.3322518, 5.5725436, 5.7503458, 5.9457135", \
+					  "4.3177198, 4.6632865, 5.0360181, 5.3229348, 5.5519787, 5.7337866, 5.9292742", \
+					  "4.2997768, 4.6453324, 5.0211145, 5.2994816, 5.5193892, 5.7466794, 5.9053152", \
+					  "4.2901148, 4.6447404, 5.0144189, 5.2941103, 5.5113046, 5.7399639, 5.9011936");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7861907, 4.1378454, 4.5044864, 4.7885014, 5.0219853, 5.2083722, 5.4009315", \
+					  "3.6959010, 4.0397066, 4.4141968, 4.6982119, 4.9316962, 5.1135050, 5.3026639", \
+					  "3.6415542, 3.9886315, 4.3583242, 4.6438651, 4.8657756, 5.0773037, 5.2684952", \
+					  "3.6012891, 3.9468405, 4.3284179, 4.6256974, 4.8255105, 5.0481755, 5.2300003", \
+					  "3.5908335, 3.9333330, 4.3032061, 4.5916185, 4.8203990, 5.0385299, 5.2082825", \
+					  "3.5632913, 3.9248665, 4.2902582, 4.5779387, 4.8132940, 4.9823344, 5.1956926", \
+					  "3.5615040, 3.9056037, 4.2714834, 4.5653617, 4.7823996, 4.9931777, 5.1903586");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6463860, -3.9934409, -4.3677943, -4.6533463, -4.8837944, -5.0994100, -5.2697972", \
+					  "-3.5325264, -3.8802905, -4.2546941, -4.5408541, -4.7706436, -4.9862818, -5.1613640", \
+					  "-3.5017513, -3.8350733, -4.2094263, -4.4949788, -4.7269528, -4.9446662, -5.1129556", \
+					  "-3.4462275, -3.8009118, -4.1645840, -4.4577654, -4.6760064, -4.8931478, -5.0818453", \
+					  "-3.4411833, -3.7767231, -4.1545955, -4.4320509, -4.6785768, -4.8923627, -5.0535147", \
+					  "-3.4130875, -3.7652203, -4.1451253, -4.4277286, -4.6609500, -4.8715784, -5.0456396", \
+					  "-3.3986631, -3.7488503, -4.1185634, -4.4249282, -4.6511272, -4.8532575, -5.0274831");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2805824, 4.6261433, 4.9988576, 5.2843945, 5.5163481, 5.7289878, 5.9027266", \
+					  "4.1828370, 4.5267379, 4.9012010, 5.1850717, 5.4169427, 5.6311740, 5.8017953", \
+					  "4.1298354, 4.4738704, 4.8481106, 5.1275440, 5.3656011, 5.5771430, 5.7474019", \
+					  "4.0941480, 4.4412348, 4.8032678, 5.0903306, 5.3283878, 5.5478669, 5.7165191", \
+					  "4.0653816, 4.4109425, 4.7884299, 5.0676679, 5.2996215, 5.5089473, 5.6804981", \
+					  "4.0464808, 4.3905158, 4.7601787, 5.0441898, 5.2761429, 5.4940672, 5.6703933", \
+					  "4.0382593, 4.3853461, 4.7534828, 5.0466491, 5.2743266, 5.4916052, 5.6604035");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7379457, -4.0865255, -4.4593562, -4.7449091, -4.9768840, -5.1924973, -5.3499078", \
+					  "-3.6568859, -4.0039400, -4.3782966, -4.6623236, -4.8938695, -5.1099118, -5.2707640", \
+					  "-3.5966123, -3.9312027, -4.3055596, -4.5880608, -4.8200352, -5.0356485, -5.1961108", \
+					  "-3.5881342, -3.9184036, -4.3069905, -4.5493215, -4.8163910, -5.0320048, -5.2062392", \
+					  "-3.5395315, -3.8797589, -4.2655201, -4.5466761, -4.7815216, -5.0117130, -5.1680444", \
+					  "-3.5227056, -3.8790453, -4.2440271, -4.5299068, -4.7624511, -4.9731563, -5.1411899", \
+					  "-3.5126710, -3.8582077, -4.2277508, -4.5279893, -4.7519244, -4.9715989, -5.1405109");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1912200, 0.5382980, 0.8945660, 1.1670416, 1.3367988, 1.5551355, 1.7872408", \
+					  "0.0974990, 0.4489598, 0.8024016, 1.0301219, 1.2521651, 1.5109835, 1.7117320", \
+					  "0.0389031, 0.4134000, 0.7141933, 0.9766279, 1.2451092, 1.4673068, 1.6672172", \
+					  "0.0077933, 0.3789500, 0.7163120, 0.9602411, 1.1538662, 1.3775558, 1.5999349", \
+					  "-0.0113947, 0.3492961, 0.6885277, 0.9609098, 1.1707481, 1.3496039, 1.5954207", \
+					  "-0.0249191, 0.3354309, 0.6768825, 0.9564351, 1.1747301, 1.3687256, 1.5351023", \
+					  "-0.0389400, 0.3234032, 0.6618363, 0.9336732, 1.1794882, 1.3824509, 1.5540185");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2797608, -0.0826186, -0.3917556, -0.6696186, -0.8318767, -1.0645407, -1.2940195", \
+					  "0.3763362, 0.0074046, -0.3159954, -0.5450947, -0.7369615, -0.9899274, -1.1740181", \
+					  "0.4259130, 0.0623850, -0.2622259, -0.5219154, -0.7516261, -0.9385534, -1.0979386", \
+					  "0.4548563, 0.0796448, -0.2261341, -0.4908223, -0.7214330, -0.9024333, -1.1172248", \
+					  "0.4723405, 0.1205544, -0.2088421, -0.4773149, -0.7019279, -0.9057434, -1.0823764", \
+					  "0.4858439, 0.1442699, -0.1877204, -0.4557409, -0.6860788, -0.8992790, -1.0783484", \
+					  "0.4973700, 0.1533050, -0.1698854, -0.4441474, -0.6780817, -0.8891895, -1.0838906");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7715104, -4.1185657, -4.4929187, -4.7784707, -5.0104447, -5.2260602, -5.3979734", \
+					  "-3.6827927, -4.0306325, -4.4042007, -4.6897532, -4.9203789, -5.1373427, -5.3073343", \
+					  "-3.6222958, -3.9708767, -4.3452297, -4.6292563, -4.8642816, -5.0824049, -5.2504007", \
+					  "-3.6064447, -3.9367151, -4.3202235, -4.6046519, -4.8377495, -5.0533650, -5.2327739", \
+					  "-3.5792044, -3.9194618, -4.3006125, -4.5831132, -4.8166126, -5.0315327, -5.2107489", \
+					  "-3.5542442, -3.9086722, -4.2753363, -4.5615375, -4.7937061, -5.0090924, -5.1867015", \
+					  "-3.5405680, -3.8925274, -4.2628103, -4.5521868, -4.7854056, -4.9947404, -5.1785626");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3171989, 4.6612272, 5.0354883, 5.3195020, 5.5514582, 5.7656087, 5.9377657", \
+					  "4.2224484, 4.5679028, 4.9391121, 5.2246516, 5.4566079, 5.6707584, 5.8413894", \
+					  "4.1711748, 4.5089588, 4.8862717, 5.1718112, 5.4007161, 5.6163921, 5.7885495", \
+					  "4.1246658, 4.4793749, 4.8475324, 5.1223912, 5.3619769, 5.5791787, 5.7482843", \
+					  "4.1004771, 4.4475573, 4.8187665, 5.1043061, 5.3347365, 5.5488869, 5.7184731", \
+					  "4.0858737, 4.4301823, 4.8029174, 5.0899829, 5.3204137, 5.5383323, 5.7084202", \
+					  "4.0861592, 4.4234863, 4.7931697, 5.0886582, 5.3203840, 5.5248160, 5.6975662");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024276;
+			capacitance : 0.024988;
+			rise_capacitance : 0.025237;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0645236, -3.9940484, -3.9579892, -3.9329830, -3.9184648, -3.9208167, -3.9005179", \
+					  "-4.4131043, -4.3550232, -4.3050441, -4.2730270, -4.2683365, -4.2663257, -4.2502643", \
+					  "-4.7889832, -4.7154562, -4.6862875, -4.6489196, -4.6414243, -4.6407093, -4.6153123", \
+					  "-5.0760615, -5.0010087, -4.9649495, -4.9353656, -4.9311463, -4.9277646, -4.8934534", \
+					  "-5.3065096, -5.2388891, -5.1938717, -5.1613648, -5.1544180, -5.1459661, -5.1300224", \
+					  "-5.5190734, -5.4470723, -5.4110131, -5.3774844, -5.3730049, -5.3678446, -5.3592639", \
+					  "-5.6848830, -5.6205717, -5.5814000, -5.5574147, -5.5383091, -5.5255118, -5.5188158");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6744120, -2.5623334, -2.4946713, -2.5001825, -2.4363211, -2.3899544, -2.2917061", \
+					  "-3.0184150, -2.9093880, -2.8433202, -2.8319784, -2.7803239, -2.6744482, -2.7318392", \
+					  "-4.8621913, -3.2791651, -3.2146234, -3.2048073, -3.1333165, -3.0671134, -3.0665212", \
+					  "-3.6710192, -3.5621330, -3.4943301, -4.8502444, -4.7728543, -3.3133194, -3.2837355", \
+					  "-3.8972845, -3.8107830, -3.7147898, -3.6938776, -3.6698464, -3.6082492, -3.5923981", \
+					  "-4.0891869, -3.9832117, -3.9035470, -3.9007115, -3.8465184, -3.8116276, -3.7520580", \
+					  "-4.2466956, -4.1769936, -4.1157830, -4.0800956, -4.0681140, -4.0098118, -3.9906378");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5079765, 4.4145978, 4.3625484, 4.3373419, 4.3177198, 4.2997768, 4.2901148", \
+					  "4.8520066, 4.7647311, 4.7088505, 4.6813915, 4.6632865, 4.6453324, 4.6447404", \
+					  "5.2277887, 5.1328837, 5.0808400, 5.0512335, 5.0360181, 5.0211145, 5.0144189", \
+					  "5.5133274, 5.4125747, 5.3605292, 5.3322518, 5.3229348, 5.2994816, 5.2941103", \
+					  "5.7513867, 5.6488528, 5.6006016, 5.5725436, 5.5519787, 5.5193892, 5.5113046", \
+					  "5.9624885, 5.8367398, 5.7976440, 5.7503458, 5.7337866, 5.7466794, 5.7399639", \
+					  "6.1104631, 6.0382289, 5.9823837, 5.9457135, 5.9292742, 5.9053152, 5.9011936");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1920847, 4.0632003, 3.9711441, 3.9018871, 3.8290374, 3.7909616, 3.7413844", \
+					  "4.5376368, 4.4102782, 4.3284472, 4.2489650, 4.1745881, 4.1384570, 4.0906201", \
+					  "4.9119049, 4.7799687, 4.7079348, 4.6217073, 4.5395357, 4.5175830, 4.4666367", \
+					  "5.1989717, 5.0670351, 4.9902378, 4.8955385, 4.8266021, 4.7954949, 4.7492541", \
+					  "5.4294034, 5.2843648, 5.2222185, 5.1427145, 5.0562912, 5.0350815, 4.9830360", \
+					  "5.6420222, 5.4807979, 5.4526555, 5.3438989, 5.2470888, 5.2111584, 5.2126950", \
+					  "5.7976631, 5.6712984, 5.5964027, 5.5234433, 5.4390268, 5.4338585, 5.3554466");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006593;
+			capacitance : 0.006751;
+			rise_capacitance : 0.006908;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7861907, 3.6959010, 3.6415542, 3.6012891, 3.5908335, 3.5632913, 3.5615040", \
+					  "4.1378454, 4.0397066, 3.9886315, 3.9468405, 3.9333330, 3.9248665, 3.9056037", \
+					  "4.5044864, 4.4141968, 4.3583242, 4.3284179, 4.3032061, 4.2902582, 4.2714834", \
+					  "4.7885014, 4.6982119, 4.6438651, 4.6256974, 4.5916185, 4.5779387, 4.5653617", \
+					  "5.0219853, 4.9316962, 4.8657756, 4.8255105, 4.8203990, 4.8132940, 4.7823996", \
+					  "5.2083722, 5.1135050, 5.0773037, 5.0481755, 5.0385299, 4.9823344, 4.9931777", \
+					  "5.4009315, 5.3026639, 5.2684952, 5.2300003, 5.2082825, 5.1956926, 5.1903586");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4774347, 4.3638518, 4.2595490, 4.2067255, 4.1257631, 4.0449526, 4.0097195", \
+					  "4.8280014, 4.7098408, 4.6024756, 4.5554945, 4.4716900, 4.3878894, 4.3323654", \
+					  "5.1987413, 5.0805807, 4.9748150, 4.9085303, 4.8440752, 4.7680791, 4.7061575", \
+					  "5.4826994, 5.3645389, 5.2587000, 5.2090264, 5.1264839, 5.0441138, 5.0094163", \
+					  "5.7071999, 5.5890393, 5.4832004, 5.4331098, 5.3584064, 5.2701401, 5.2199892", \
+					  "5.8963512, 5.7827683, 5.6770076, 5.6107179, 5.5401904, 5.5293337, 5.4323020", \
+					  "6.0928074, 5.9731205, 5.8828287, 5.7888634, 5.7264423, 5.6763148, 5.6221435");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6463860, -3.5325264, -3.5017513, -3.4462275, -3.4411833, -3.4130875, -3.3986631", \
+					  "-3.9934409, -3.8802905, -3.8350733, -3.8009118, -3.7767231, -3.7652203, -3.7488503", \
+					  "-4.3677943, -4.2546941, -4.2094263, -4.1645840, -4.1545955, -4.1451253, -4.1185634", \
+					  "-4.6533463, -4.5408541, -4.4949788, -4.4577654, -4.4320509, -4.4277286, -4.4249282", \
+					  "-4.8837944, -4.7706436, -4.7269528, -4.6760064, -4.6785768, -4.6609500, -4.6511272", \
+					  "-5.0994100, -4.9862818, -4.9446662, -4.8931478, -4.8923627, -4.8715784, -4.8532575", \
+					  "-5.2697972, -5.1613640, -5.1129556, -5.0818453, -5.0535147, -5.0456396, -5.0274831");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8585039, -3.7253602, -3.6329768, -3.5561178, -3.4956553, -3.4222273, -3.4058125", \
+					  "-4.2055605, -4.0671663, -3.9783307, -3.9458372, -3.8422227, -3.7916649, -3.7639906", \
+					  "-4.5799069, -4.4467636, -4.3421748, -4.2990098, -4.2182077, -4.1481229, -4.1331091", \
+					  "-4.8639325, -4.7323147, -4.6470620, -4.5807857, -4.4987891, -4.4352506, -4.4165620", \
+					  "-5.0959048, -4.9627615, -4.8618000, -4.8087505, -4.7222872, -4.6851387, -4.6410171", \
+					  "-5.3115247, -5.1783810, -5.0824777, -5.0258959, -4.9612227, -4.9144153, -4.8584440", \
+					  "-5.4834548, -5.3470234, -5.2589472, -5.2025066, -5.1455295, -5.0532904, -5.0059922");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0310748, 0.0305701, 0.0300655, 0.0304044, 0.0307297, 0.0310686, 0.0314075");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0394066, 0.0389810, 0.0385554, 0.0391292, 0.0396801, 0.0402539, 0.0408277");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.016712;
+			capacitance : 0.016060;
+			fall_capacitance : 0.015409;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0131551, -0.0039013, 0.0053525, 0.0189146, 0.0319343, 0.0454964, 0.0590586");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502782, 0.1210713, 0.1918644, 0.5496113, 0.8930482, 1.2507951, 1.6085420");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031651;
+			capacitance : 0.031662;
+			fall_capacitance : 0.031672;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0048141, -0.0047138, -0.0046134, -0.0048294, -0.0050368, -0.0052528, -0.0054688");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0603549, 0.0807416, 0.1011284, 0.1010285, 0.1009327, 0.1008329, 0.1007331");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007149;
+			capacitance : 0.006995;
+			fall_capacitance : 0.006842;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1912200, 0.0974990, 0.0389031, 0.0077933, -0.0113947, -0.0249191, -0.0389400", \
+					  "0.5382980, 0.4489598, 0.4134000, 0.3789500, 0.3492961, 0.3354309, 0.3234032", \
+					  "0.8945660, 0.8024016, 0.7141933, 0.7163120, 0.6885277, 0.6768825, 0.6618363", \
+					  "1.1670416, 1.0301219, 0.9766279, 0.9602411, 0.9609098, 0.9564351, 0.9336732", \
+					  "1.3367988, 1.2521651, 1.2451092, 1.1538662, 1.1707481, 1.1747301, 1.1794882", \
+					  "1.5551355, 1.5109835, 1.4673068, 1.3775558, 1.3496039, 1.3687256, 1.3824509", \
+					  "1.7872408, 1.7117320, 1.6672172, 1.5999349, 1.5954207, 1.5351023, 1.5540185");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1155273, -0.2454443, -0.3365188, -0.4176425, -0.4833141, -0.5400308, -0.5953478", \
+					  "0.2313869, 0.1157271, 0.0161880, -0.0528804, -0.1192999, -0.1916337, -0.2323846", \
+					  "0.5515488, 0.4385128, 0.3573067, 0.2824183, 0.2175053, 0.1404627, 0.1120888", \
+					  "0.8035153, 0.6600917, 0.5899704, 0.5451763, 0.5047153, 0.4435302, 0.3714604", \
+					  "0.9901064, 0.8827164, 0.8300433, 0.7860495, 0.7061795, 0.6458283, 0.6131927", \
+					  "1.2072218, 1.1216918, 1.0166400, 0.9617319, 0.9023906, 0.8544724, 0.7958968", \
+					  "1.4436378, 1.3071464, 1.1729930, 1.1863008, 1.0823055, 1.0437444, 1.0006714");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2797608, 0.3763362, 0.4259130, 0.4548563, 0.4723405, 0.4858439, 0.4973700", \
+					  "-0.0826186, 0.0074046, 0.0623850, 0.0796448, 0.1205544, 0.1442699, 0.1533050", \
+					  "-0.3917556, -0.3159954, -0.2622259, -0.2261341, -0.2088421, -0.1877204, -0.1698854", \
+					  "-0.6696186, -0.5450947, -0.5219154, -0.4908223, -0.4773149, -0.4557409, -0.4441474", \
+					  "-0.8318767, -0.7369615, -0.7516261, -0.7214330, -0.7019279, -0.6860788, -0.6780817", \
+					  "-1.0645407, -0.9899274, -0.9385534, -0.9024333, -0.9057434, -0.8992790, -0.8891895", \
+					  "-1.2940195, -1.1740181, -1.0979386, -1.1172248, -1.0823764, -1.0783484, -1.0838906");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2156611, 0.3349201, 0.4304941, 0.4895889, 0.5723904, 0.6420188, 0.6746545", \
+					  "-0.1361744, -0.0250449, 0.0745920, 0.1424137, 0.2189244, 0.2884810, 0.3236353", \
+					  "-0.4556670, -0.3350210, -0.2460847, -0.1792362, -0.1116833, -0.0511531, -0.0050604", \
+					  "-0.1093436, -0.5990425, -0.5111683, -0.4516619, -0.3872881, -0.3166793, -0.2582118", \
+					  "-0.2290780, -0.8253068, -0.7329217, -0.6895130, -0.6119929, -0.5426462, -0.4908208", \
+					  "-1.1625566, -1.0156824, -0.9264035, -0.8865013, -0.7986562, -0.7672827, -0.6957664", \
+					  "-1.3551599, -1.2021822, -1.1144292, -1.0909151, -1.0165179, -0.9391522, -0.9338805");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0311518, 0.0306332, 0.0301145, 0.0304529, 0.0307778, 0.0311162, 0.0314546");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0390901, 0.0386521, 0.0382142, 0.0387915, 0.0393458, 0.0399231, 0.0405004");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014299;
+			capacitance : 0.014218;
+			fall_capacitance : 0.014138;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7715104, -3.6827927, -3.6222958, -3.6064447, -3.5792044, -3.5542442, -3.5405680", \
+					  "-4.1185657, -4.0306325, -3.9708767, -3.9367151, -3.9194618, -3.9086722, -3.8925274", \
+					  "-4.4929187, -4.4042007, -4.3452297, -4.3202235, -4.3006125, -4.2753363, -4.2628103", \
+					  "-4.7784707, -4.6897532, -4.6292563, -4.6046519, -4.5831132, -4.5615375, -4.5521868", \
+					  "-5.0104447, -4.9203789, -4.8642816, -4.8377495, -4.8166126, -4.7937061, -4.7854056", \
+					  "-5.2260602, -5.1373427, -5.0824049, -5.0533650, -5.0315327, -5.0090924, -4.9947404", \
+					  "-5.3979734, -5.3073343, -5.2504007, -5.2327739, -5.2107489, -5.1867015, -5.1785626");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7349086, -3.5692342, -3.4544889, -3.4092313, -3.3872451, -3.3172276, -3.2793992", \
+					  "-4.0819638, -3.9274750, -3.8015441, -3.7459801, -3.7110572, -3.6626213, -3.6096214", \
+					  "-4.4563168, -4.3018280, -4.1994210, -4.1353021, -4.1231833, -3.9988028, -3.9738667", \
+					  "-4.7403434, -4.5689063, -4.4614496, -4.3871015, -4.4028769, -4.3271615, -4.2863581", \
+					  "-4.9723170, -4.8178285, -4.7236474, -4.6482664, -4.5995964, -4.5353727, -4.4870549", \
+					  "-5.1879325, -5.0334441, -4.9272472, -4.8275439, -4.8397350, -4.7329791, -4.7107360", \
+					  "-5.3613715, -5.1992532, -5.0930062, -5.0272530, -5.0059292, -4.9276687, -4.8507893");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3171989, 4.2224484, 4.1711748, 4.1246658, 4.1004771, 4.0858737, 4.0861592", \
+					  "4.6612272, 4.5679028, 4.5089588, 4.4793749, 4.4475573, 4.4301823, 4.4234863", \
+					  "5.0354883, 4.9391121, 4.8862717, 4.8475324, 4.8187665, 4.8029174, 4.7931697", \
+					  "5.3195020, 5.2246516, 5.1718112, 5.1223912, 5.1043061, 5.0899829, 5.0886582", \
+					  "5.5514582, 5.4566079, 5.4007161, 5.3619769, 5.3347365, 5.3204137, 5.3203840", \
+					  "5.7656087, 5.6707584, 5.6163921, 5.5791787, 5.5488869, 5.5383323, 5.5248160", \
+					  "5.9377657, 5.8413894, 5.7885495, 5.7482843, 5.7184731, 5.7084202, 5.6975662");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8991271, 3.7642216, 3.6816470, 3.6075752, 3.5324273, 3.4441910, 3.4581984", \
+					  "4.2435476, 4.1086421, 3.9997417, 3.9487585, 3.9028476, 3.8022903, 3.7805322", \
+					  "4.6142985, 4.4793931, 4.3735742, 4.3027251, 4.2749646, 4.1654292, 4.1063111", \
+					  "4.8997826, 4.7482718, 4.6544407, 4.6068205, 4.5701241, 4.4492981, 4.4543722", \
+					  "5.1242515, 4.9893461, 4.9063569, 4.8245761, 4.7505944, 4.7034357, 4.6525662", \
+					  "5.3163552, 5.1814498, 5.0832061, 5.0200402, 4.9747933, 4.8724654, 4.8752995", \
+					  "5.5130161, 5.3773269, 5.2863099, 5.2383038, 5.1478469, 5.0720750, 5.0086928");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312410, 0.0307510, 0.0302609, 0.0305913, 0.0309085, 0.0312390, 0.0315694");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0392859, 0.0388401, 0.0383944, 0.0389736, 0.0395296, 0.0401088, 0.0406881");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.536260;
+			max_transition : 1.502461;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02313793, 0.05353638, 0.1238721, 0.2866144, 0.6631665, 1.53443");
+					values("0.6156190, 0.6247978, 0.6420145, 0.6670836, 0.6986236, 0.7510003, 0.9319413", \
+					  "1.7192364, 1.7197342, 1.7208545, 1.7227156, 1.7301065, 1.8379647, 2.0239392", \
+					  "1.9480966, 1.9489375, 1.9500087, 1.9519529, 1.9540795, 1.9556301, 1.9566237", \
+					  "2.0021012, 2.0026628, 2.0037787, 2.0057916, 2.0079140, 2.0094516, 2.0104426", \
+					  "2.0071128, 2.0079004, 2.0091108, 2.0109977, 2.0130232, 2.0208884, 2.0155574", \
+					  "2.0145944, 2.0139084, 2.0152469, 2.0172150, 2.0195388, 2.0232243, 2.0219709", \
+					  "2.0217606, 2.0181070, 2.0202121, 2.0214000, 2.0254694, 2.0274238, 2.0271582");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02313793, 0.05353638, 0.1238721, 0.2866144, 0.6631665, 1.53443");
+					values("0.4784772, 0.4854264, 0.5002083, 0.5233915, 0.5610091, 0.6147994, 0.8842192", \
+					  "-0.4983646, -0.4961736, -0.4984361, -0.4981146, -0.5071910, -0.4560140, -0.1871097", \
+					  "-0.2483279, -0.2478283, -0.2483014, -0.2466521, -0.2453203, -0.2455909, -0.1304729", \
+					  "0.0097153, 0.0102203, 0.0014869, 0.0101073, 0.0128886, 0.0060401, 0.0073504", \
+					  "0.0545836, 0.0546213, 0.0465416, 0.0540863, 0.0565562, 0.0504166, 0.0611901", \
+					  "0.0972246, 0.0972452, 0.0892685, 0.0915677, 0.0991212, 0.0940895, 0.1031494", \
+					  "0.1378549, 0.1386692, 0.1307933, 0.1317683, 0.1418325, 0.1353061, 0.1459887");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.5892229, 0.5910074, 0.5969807, 0.6129362, 0.6916187, 0.8657378, 0.8676602", \
+					  "-0.3499212, -0.3467804, -0.3408194, -0.3269236, -0.2473293, -0.0734798, -0.0689503", \
+					  "-0.0797377, -0.0790801, -0.0788660, -0.0788555, -0.0293320, 0.1090132, 0.1032527", \
+					  "0.3584054, 0.3588576, 0.3593619, 0.3594353, 0.3589375, 0.3614304, 0.2640956", \
+					  "0.5119693, 0.5124383, 0.5153158, 0.5153805, 0.5105735, 0.5172085, 0.5033330", \
+					  "0.6585050, 0.6586984, 0.6616127, 0.6613730, 0.6622602, 0.6627466, 0.6576488", \
+					  "0.7974336, 0.7980952, 0.7993522, 0.7999367, 0.7939185, 0.8054741, 0.7998398");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.9088905, 0.9161186, 0.9300188, 0.9564158, 1.0089792, 1.0856614, 1.1230553", \
+					  "1.9588664, 1.9662969, 1.9804111, 2.0063333, 2.0585523, 2.1353219, 2.1747805", \
+					  "1.8968750, 1.9062534, 1.9244847, 1.9595527, 2.0290846, 2.1178111, 2.1596956", \
+					  "2.0571485, 2.0579859, 2.0612743, 2.0615701, 2.0638706, 2.0706698, 2.1380185", \
+					  "2.0802394, 2.0881825, 2.0856606, 2.0856641, 2.0944010, 2.1008935, 2.1012007", \
+					  "2.0826080, 2.0891552, 2.0948152, 2.0856567, 2.0921407, 2.1002098, 2.1009991", \
+					  "2.0850119, 2.0875135, 2.0945893, 2.0930081, 2.0942625, 2.1063962, 2.1055213");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.5317304, 0.5346853, 0.5411312, 0.5557460, 0.6364136, 0.8084423, 0.8100336", \
+					  "-0.5688419, -0.5659592, -0.5602571, -0.5455926, -0.4673572, -0.2916158, -0.2910568", \
+					  "-0.3038353, -0.3041328, -0.3029824, -0.3117945, -0.3029854, -0.2593302, -0.2807034", \
+					  "-0.1831174, -0.1833223, -0.1818074, -0.1908085, -0.1798185, -0.1795971, -0.2092641", \
+					  "-0.1725155, -0.1719226, -0.1722766, -0.1821091, -0.1709276, -0.1691687, -0.1688018", \
+					  "-0.1616490, -0.1603219, -0.1641275, -0.1727795, -0.1627231, -0.1690279, -0.1672751", \
+					  "-0.1513022, -0.1536214, -0.1614455, -0.1617489, -0.1481223, -0.1609113, -0.1582008");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.8341026, 0.8407323, 0.8575392, 0.8867875, 0.9434273, 1.0288969, 1.0765639", \
+					  "1.8823957, 1.8884020, 1.9073497, 1.9319648, 1.9910690, 2.0774925, 2.1228030", \
+					  "1.8772627, 1.8855644, 1.9014085, 1.9299003, 1.9871923, 2.0732925, 2.1214155", \
+					  "1.8126672, 1.8217248, 1.8397493, 1.8738468, 1.9424798, 2.0577910, 2.1181559", \
+					  "2.0179763, 2.0197995, 2.0199916, 2.0221734, 2.0242740, 2.0259317, 2.0842759", \
+					  "2.0491519, 2.0504379, 2.0516199, 2.0533484, 2.0545995, 2.0560637, 2.0562814", \
+					  "2.0575651, 2.0613914, 2.0577459, 2.0590751, 2.0640050, 2.0655871, 2.0658625");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("1.5847311, 1.5897320, 1.5906404, 1.5958842, 1.6041015, 1.6071397, 1.5992520", \
+					  "1.5840088, 1.5841944, 1.5895958, 1.5953069, 1.6033325, 1.6054882, 1.5943318", \
+					  "1.5862531, 1.5890614, 1.5905880, 1.5955544, 1.6039781, 1.6068818, 1.5985304", \
+					  "1.5841978, 1.5886597, 1.5895375, 1.5969224, 1.6037064, 1.6070881, 1.5980191", \
+					  "1.5840901, 1.5894716, 1.5906804, 1.5969451, 1.6033681, 1.6067486, 1.5989689", \
+					  "1.5864472, 1.5871467, 1.5897935, 1.5961378, 1.6033074, 1.6078304, 1.5970407", \
+					  "1.5853997, 1.5835697, 1.5900059, 1.5944098, 1.6031425, 1.6073929, 1.5938369");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.7582762, 0.7636966, 0.7728849, 0.7869164, 0.8042088, 0.8421331, 0.9775540", \
+					  "0.7601945, 0.7626410, 0.7719846, 0.7856893, 0.8037534, 0.8407982, 0.9799489", \
+					  "0.7583853, 0.7626866, 0.7737476, 0.7857836, 0.8037451, 0.8408371, 0.9798877", \
+					  "0.7559400, 0.7628157, 0.7721252, 0.7859248, 0.8034715, 0.8408690, 0.9805390", \
+					  "0.7594316, 0.7619857, 0.7719004, 0.7854227, 0.8032160, 0.8420117, 0.9799563", \
+					  "0.7581706, 0.7615044, 0.7723811, 0.7851185, 0.8039613, 0.8399888, 0.9801173", \
+					  "0.7605570, 0.7624676, 0.7696489, 0.7854349, 0.8035524, 0.8405891, 0.9796218");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("1.6193976, 1.6297350, 1.6521240, 1.7005153, 1.8073174, 2.0501689, 2.6101381", \
+					  "3.6558043, 3.6662700, 3.6887275, 3.7371541, 3.8438279, 4.0872754, 4.6462203", \
+					  "5.2117426, 5.2221507, 5.2435407, 5.2929701, 5.3999614, 5.6416334, 6.2025483", \
+					  "5.5491043, 5.5594129, 5.5816645, 5.6304246, 5.7375082, 5.9813750, 6.5401640", \
+					  "7.5145925, 7.5249948, 7.5519546, 7.5993611, 7.7028037, 7.9503133, 8.5053786", \
+					  "9.0974019, 9.1078087, 9.1335544, 9.1862269, 9.2857011, 9.5355972, 10.0883850", \
+					  "10.3032200, 10.3058380, 10.3216000, 10.3815800, 10.4915110, 10.7301550, 11.2937000", \
+					  "11.9881090, 11.9971770, 12.0091970, 12.0578010, 12.1776750, 12.4041900, 12.9628870", \
+					  "33.1780650, 33.1780682, 33.1780720, 33.2787980, 33.3669340, 33.6065820, 34.1922830", \
+					  "45.5546710, 45.5818490, 45.6054640, 45.6054669, 45.6458590, 46.0288340, 46.4485340", \
+					  "95.5013700, 95.8517460, 95.8517495, 95.8517571, 95.8517647, 96.2285260, 96.4910290", \
+					  "263.9290800, 264.7873600, 264.7873688, 264.7873993, 264.7874299, 265.2446100, 265.7080200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("0.0737173, 0.0852288, 0.1119170, 0.1754879, 0.3254212, 0.6784813, 1.4995672", \
+					  "0.0736695, 0.0852104, 0.1120377, 0.1754916, 0.3258603, 0.6779540, 1.4996023", \
+					  "0.0736802, 0.0853156, 0.1118713, 0.1752640, 0.3252449, 0.6785887, 1.4996445", \
+					  "0.0735778, 0.0851167, 0.1119929, 0.1754736, 0.3260297, 0.6783191, 1.4993793", \
+					  "0.0736805, 0.0853189, 0.1119432, 0.1754486, 0.3252379, 0.6788065, 1.5011784", \
+					  "0.0737461, 0.0851845, 0.1120455, 0.1749913, 0.3255821, 0.6787931, 1.4986278", \
+					  "0.0737367, 0.0853194, 0.1119748, 0.1755191, 0.3263202, 0.6788715, 1.4992839", \
+					  "0.0736791, 0.0852446, 0.1118696, 0.1754701, 0.3263312, 0.6792938, 1.4996157", \
+					  "0.0736779, 0.0853228, 0.1120191, 0.1755444, 0.3256849, 0.6789616, 1.4995625", \
+					  "0.0736543, 0.0851647, 0.1121214, 0.1753457, 0.3258627, 0.6790396, 1.4997831", \
+					  "0.0736794, 0.0852672, 0.1119725, 0.1753461, 0.3256380, 0.6789299, 1.4995931", \
+					  "0.0737356, 0.0851714, 0.1119643, 0.1753659, 0.3257129, 0.6781919, 1.4998557");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("1.3835338, 1.3990969, 1.4313644, 1.4919925, 1.6033013, 1.8176907, 2.2709195", \
+					  "3.2391725, 3.2546583, 3.2868075, 3.3474796, 3.4588887, 3.6734766, 4.1267438", \
+					  "4.5013331, 4.5169131, 4.5489990, 4.6095696, 4.7210502, 4.9350937, 5.3886491", \
+					  "4.7650786, 4.7806190, 4.8126618, 4.8733528, 4.9848210, 5.1993214, 5.6525660", \
+					  "6.2140968, 6.2296333, 6.2616965, 6.3224066, 6.4338690, 6.6458230, 7.1016402", \
+					  "7.3027997, 7.3044686, 7.3365221, 7.3971091, 7.5086000, 7.7440685, 8.1763787", \
+					  "8.0868547, 8.1005815, 8.1326643, 8.1914830, 8.2988585, 8.5199519, 8.9677208", \
+					  "9.1194625, 9.1275254, 9.1624068, 9.2227834, 9.3318846, 9.5417017, 10.0143190", \
+					  "20.2469740, 20.2599800, 20.2935430, 20.3463890, 20.4622970, 20.6460110, 21.1301860", \
+					  "26.0119870, 26.0268840, 26.0822000, 26.1206360, 26.2589190, 26.4715310, 26.9228570", \
+					  "47.7016120, 47.7365100, 47.7691100, 47.8098770, 47.9282850, 48.1433380, 48.5828160", \
+					  "117.0712400, 117.0956900, 117.1320200, 117.1895900, 117.3046100, 117.4995400, 117.9692400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("0.1024868, 0.1149360, 0.1400448, 0.1895491, 0.2945894, 0.5380341, 1.1248231", \
+					  "0.1026029, 0.1151857, 0.1409400, 0.1912846, 0.2972054, 0.5386228, 1.1256663", \
+					  "0.1026331, 0.1150478, 0.1406961, 0.1913139, 0.2963333, 0.5398648, 1.1257830", \
+					  "0.1025656, 0.1151748, 0.1407779, 0.1912762, 0.2971983, 0.5399072, 1.1260857", \
+					  "0.1025996, 0.1151137, 0.1409498, 0.1912918, 0.2971889, 0.5395268, 1.1260700", \
+					  "0.1024421, 0.1149327, 0.1407468, 0.1913078, 0.2969107, 0.5400624, 1.1257797", \
+					  "0.1025303, 0.1148954, 0.1407365, 0.1913510, 0.2971349, 0.5393309, 1.1258093", \
+					  "0.1025863, 0.1151464, 0.1407570, 0.1913480, 0.2972190, 0.5386816, 1.1260159", \
+					  "0.1024478, 0.1149116, 0.1407793, 0.1909323, 0.2968462, 0.5396189, 1.1261707", \
+					  "0.1027106, 0.1150108, 0.1407675, 0.1913542, 0.2970524, 0.5391364, 1.1258062", \
+					  "0.1027851, 0.1149828, 0.1408376, 0.1915576, 0.2968663, 0.5395068, 1.1257872", \
+					  "0.1027905, 0.1151969, 0.1409510, 0.1915101, 0.2973588, 0.5391389, 1.1257519");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("3.9014085, 3.9115129, 3.9318331, 3.9819512, 4.0887747, 4.3328332, 4.8934425", \
+					  "5.9799805, 5.9902621, 6.0124474, 6.0604899, 6.1676055, 6.4107897, 6.9720296", \
+					  "7.5514048, 7.5615754, 7.5839290, 7.6321056, 7.7389446, 7.9832825, 8.5433234", \
+					  "7.8957374, 7.9058715, 7.9285307, 7.9764551, 8.0833455, 8.3264933, 8.8862917", \
+					  "9.9035882, 9.9134256, 9.9376543, 9.9837116, 10.0906260, 10.3349940, 10.8946310", \
+					  "11.5298190, 11.5388460, 11.5669080, 11.6126360, 11.7140140, 11.9589360, 12.5253450", \
+					  "12.7497040, 12.7596410, 12.7882640, 12.8313810, 12.9333090, 13.1894220, 13.7446530", \
+					  "14.4717970, 14.4821890, 14.5372460, 14.5920980, 14.6717380, 14.8928210, 15.4624370", \
+					  "42.2280130, 42.2280140, 42.3202950, 42.3202954, 42.4024050, 42.6228190, 43.1429110", \
+					  "60.6618540, 60.6724980, 60.7745750, 60.9145730, 60.9145756, 61.1518370, 61.6519880", \
+					  "131.8784100, 131.8883700, 131.9141500, 131.9592800, 132.2410000, 132.3098400, 132.8690800", \
+					  "373.9658000, 373.9658051, 373.9658356, 374.6292000, 374.6292267, 375.0458900, 375.0459137");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0726232, 0.0841320, 0.1114455, 0.1747809, 0.3250682, 0.6791689, 1.5003762", \
+					  "0.0728089, 0.0843381, 0.1110965, 0.1748480, 0.3255711, 0.6798051, 1.5002988", \
+					  "0.0727194, 0.0843188, 0.1111292, 0.1745143, 0.3248928, 0.6797478, 1.4997298", \
+					  "0.0727097, 0.0842853, 0.1111820, 0.1745455, 0.3252772, 0.6796602, 1.5000825", \
+					  "0.0727412, 0.0842519, 0.1112567, 0.1746711, 0.3257692, 0.6792145, 1.4997397", \
+					  "0.0727189, 0.0842265, 0.1111276, 0.1745097, 0.3254586, 0.6812070, 1.4996256", \
+					  "0.0727196, 0.0843170, 0.1111186, 0.1743819, 0.3249241, 0.6795921, 1.5002702", \
+					  "0.0727589, 0.0843346, 0.1110891, 0.1747327, 0.3254999, 0.6792662, 1.4989269", \
+					  "0.0728307, 0.0841836, 0.1111328, 0.1746765, 0.3251324, 0.6792225, 1.4992764", \
+					  "0.0727020, 0.0841822, 0.1111610, 0.1745731, 0.3257232, 0.6792225, 1.5000260", \
+					  "0.0727647, 0.0841828, 0.1111136, 0.1746311, 0.3257772, 0.6791897, 1.5002759", \
+					  "0.0726904, 0.0841936, 0.1109669, 0.1742592, 0.3252454, 0.6796412, 1.5002729");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("3.8728385, 3.8876045, 3.9189203, 3.9742964, 4.0826262, 4.2927564, 4.7446918", \
+					  "6.0986802, 6.1132281, 6.1432575, 6.2008443, 6.3086638, 6.5188748, 6.9709558", \
+					  "8.2038909, 8.2100309, 8.2483469, 8.3061229, 8.4135658, 8.6239007, 9.0756851", \
+					  "8.6375698, 8.6375700, 8.6822505, 8.7398986, 8.8473993, 9.0578807, 9.5095656", \
+					  "11.1015840, 11.1028950, 11.1455090, 11.2039440, 11.3117210, 11.5222190, 11.9739130", \
+					  "12.9628250, 12.9707090, 13.0025380, 13.0739470, 13.1815210, 13.3891120, 13.8364160", \
+					  "14.2980630, 14.3055750, 14.3881870, 14.4051730, 14.5197090, 14.7211510, 15.1536650", \
+					  "16.1181680, 16.1181689, 16.1565930, 16.1910860, 16.3251780, 16.5199850, 16.9624170", \
+					  "36.3082330, 36.3228520, 36.3551180, 36.4181540, 36.5111000, 36.7246750, 37.1767040", \
+					  "47.2730730, 47.2847970, 47.3286620, 47.3913000, 47.4782770, 47.6986520, 48.1627500", \
+					  "89.3656140, 89.3656197, 89.4064480, 89.4679180, 89.5471150, 89.7858570, 90.2375490", \
+					  "226.3468600, 226.3468705, 226.4290500, 226.4950200, 226.6152300, 226.7621300, 227.2406600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0954441, 0.1076078, 0.1318189, 0.1809857, 0.2863143, 0.5323673, 1.1243512", \
+					  "0.0958628, 0.1078218, 0.1323783, 0.1822978, 0.2882330, 0.5330811, 1.1239603", \
+					  "0.0955972, 0.1077738, 0.1325570, 0.1827806, 0.2886611, 0.5330433, 1.1244569", \
+					  "0.0956885, 0.1077194, 0.1325284, 0.1826883, 0.2884245, 0.5328128, 1.1243808", \
+					  "0.0958504, 0.1076296, 0.1325268, 0.1819557, 0.2884306, 0.5327020, 1.1243928", \
+					  "0.0958011, 0.1078815, 0.1324653, 0.1823346, 0.2884198, 0.5328129, 1.1242938", \
+					  "0.0959022, 0.1076604, 0.1325205, 0.1827226, 0.2871253, 0.5323474, 1.1244821", \
+					  "0.0958235, 0.1077743, 0.1324607, 0.1823712, 0.2884456, 0.5330192, 1.1243696", \
+					  "0.0958722, 0.1077877, 0.1325600, 0.1826940, 0.2881423, 0.5330086, 1.1244020", \
+					  "0.0958181, 0.1077828, 0.1326588, 0.1828045, 0.2885971, 0.5327728, 1.1240850", \
+					  "0.0959773, 0.1077451, 0.1324738, 0.1827797, 0.2882774, 0.5328931, 1.1243245", \
+					  "0.0956970, 0.1078050, 0.1325346, 0.1821356, 0.2884477, 0.5331883, 1.1241403");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("3.8739389, 3.8841237, 3.9088737, 3.9547134, 4.0611523, 4.3049408, 4.8656608", \
+					  "5.6421228, 5.6523297, 5.6746052, 5.7227281, 5.8296137, 6.0723946, 6.6328032", \
+					  "6.6832637, 6.6934716, 6.7143491, 6.7639929, 6.8698740, 7.1126673, 7.6728801", \
+					  "6.8913990, 6.9016104, 6.9204305, 6.9720842, 7.0788735, 7.3241271, 7.8825568", \
+					  "7.9803852, 7.9913676, 8.0124396, 8.0611278, 8.1650908, 8.4120702, 8.9715178", \
+					  "8.7483114, 8.7592769, 8.7773806, 8.8293977, 8.9324947, 9.1780965, 9.7402788", \
+					  "9.2760590, 9.2904919, 9.3087111, 9.3594563, 9.4627932, 9.7103081, 10.2709290", \
+					  "9.9646122, 9.9776098, 9.9995461, 10.0502440, 10.1523790, 10.3969100, 10.9590180", \
+					  "18.2957100, 18.3102350, 18.3102351, 18.3795080, 18.5068190, 18.7378100, 19.3272560", \
+					  "25.2270400, 25.2270403, 25.3149090, 25.3149100, 25.4020400, 25.6460560, 26.2719770", \
+					  "54.6077030, 54.7104730, 54.7104741, 54.7809410, 54.7956030, 55.0391700, 55.5990630", \
+					  "133.8424500, 133.8486200, 133.8989200, 133.9430800, 134.3159800, 134.7894200, 134.7894211");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0727509, 0.0841026, 0.1110917, 0.1749350, 0.3250016, 0.6787819, 1.4991709", \
+					  "0.0727524, 0.0842613, 0.1112250, 0.1747572, 0.3251589, 0.6787897, 1.4998461", \
+					  "0.0727007, 0.0842406, 0.1111228, 0.1743522, 0.3258721, 0.6797207, 1.5001906", \
+					  "0.0727568, 0.0841738, 0.1111247, 0.1745075, 0.3257811, 0.6791565, 1.5002644", \
+					  "0.0727250, 0.0843835, 0.1111355, 0.1745306, 0.3250895, 0.6785151, 1.4987792", \
+					  "0.0727845, 0.0844062, 0.1111611, 0.1744306, 0.3248914, 0.6797297, 1.5000491", \
+					  "0.0727469, 0.0841695, 0.1110315, 0.1748033, 0.3256567, 0.6796795, 1.4997205", \
+					  "0.0727578, 0.0842274, 0.1111096, 0.1747080, 0.3252558, 0.6786436, 1.4992804", \
+					  "0.0728102, 0.0842494, 0.1111059, 0.1745281, 0.3257411, 0.6792461, 1.4999502", \
+					  "0.0727107, 0.0843841, 0.1109602, 0.1746841, 0.3256397, 0.6794050, 1.4990214", \
+					  "0.0727631, 0.0841868, 0.1111016, 0.1746512, 0.3257822, 0.6788091, 1.4990440", \
+					  "0.0728339, 0.0842270, 0.1111110, 0.1747032, 0.3258099, 0.6794081, 1.5002705");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("5.4905209, 5.5027498, 5.5335572, 5.5931295, 5.7007368, 5.9107572, 6.3631069", \
+					  "7.3713959, 7.3860646, 7.4160771, 7.4737700, 7.5812245, 7.7917059, 8.2434966", \
+					  "9.8384740, 9.8531476, 9.8831441, 9.9408748, 10.0479660, 10.2587920, 10.7103400", \
+					  "10.3588900, 10.3735300, 10.4035560, 10.4613390, 10.5687010, 10.7790800, 11.2319610", \
+					  "13.2795220, 13.2941570, 13.3246090, 13.3818210, 13.4854690, 13.6997710, 14.1708950", \
+					  "15.4817420, 15.4961430, 15.5246540, 15.5919380, 15.6812110, 15.9455700, 16.3807740", \
+					  "17.0476110, 17.1503360, 17.1503363, 17.1752730, 17.3485510, 17.4975310, 17.9466770", \
+					  "19.2243680, 19.2431100, 19.2880960, 19.3468330, 19.4439270, 19.6659150, 20.1041600", \
+					  "43.6713140, 43.6875530, 43.7574210, 43.8465230, 43.9276530, 44.1267720, 44.4889490", \
+					  "57.2160310, 57.2341710, 57.2694430, 57.3217460, 57.4376740, 57.6454870, 58.0949440", \
+					  "109.4736600, 109.4883200, 109.5211200, 109.5762600, 109.6869900, 109.8945700, 110.3819500", \
+					  "277.6458200, 277.6716000, 277.7045700, 277.7563900, 277.8542700, 278.0896300, 278.5307900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0956539, 0.1076505, 0.1319014, 0.1814316, 0.2862469, 0.5323117, 1.1243801", \
+					  "0.0957723, 0.1077158, 0.1325298, 0.1826103, 0.2885124, 0.5329616, 1.1242571", \
+					  "0.0959562, 0.1077281, 0.1325219, 0.1827117, 0.2882914, 0.5327106, 1.1229251", \
+					  "0.0959705, 0.1078972, 0.1324648, 0.1828155, 0.2886447, 0.5330029, 1.1243649", \
+					  "0.0958445, 0.1077758, 0.1326512, 0.1821373, 0.2886540, 0.5328588, 1.1241736", \
+					  "0.0957447, 0.1077215, 0.1326925, 0.1826772, 0.2884441, 0.5329588, 1.1227984", \
+					  "0.0959483, 0.1077557, 0.1325226, 0.1826603, 0.2884564, 0.5330592, 1.1241870", \
+					  "0.0959303, 0.1078422, 0.1325290, 0.1827030, 0.2885199, 0.5323214, 1.1243131", \
+					  "0.0959724, 0.1079167, 0.1325179, 0.1827942, 0.2883588, 0.5328168, 1.1242766", \
+					  "0.0959241, 0.1076510, 0.1325165, 0.1822966, 0.2885336, 0.5329641, 1.1242358", \
+					  "0.0959622, 0.1078003, 0.1326336, 0.1825353, 0.2882431, 0.5330646, 1.1232425", \
+					  "0.0959438, 0.1079103, 0.1325153, 0.1827042, 0.2884447, 0.5330212, 1.1243145");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("21.6705680, 21.6806500, 21.7028970, 21.7530510, 21.8595990, 22.1021120, 22.6626290", \
+					  "21.7998990, 21.8103240, 21.8322980, 21.8803360, 21.9872940, 22.2312890, 22.7904880", \
+					  "21.9018150, 21.9125840, 21.9341490, 21.9821960, 22.0896510, 22.3340460, 22.8928020", \
+					  "21.9777880, 21.9883990, 22.0101270, 22.0581790, 22.1654660, 22.4098500, 22.9686140", \
+					  "22.0416400, 22.0530260, 22.0739730, 22.1220220, 22.2300950, 22.4731860, 23.0332340", \
+					  "22.1005700, 22.1114180, 22.1329140, 22.1809770, 22.2884860, 22.5320920, 23.0916250", \
+					  "22.1586660, 22.1694840, 22.1910100, 22.2390820, 22.3465730, 22.5901820, 23.1489010");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("0.0728063, 0.0841824, 0.1112313, 0.1747226, 0.3254898, 0.6798968, 1.5022149", \
+					  "0.0727294, 0.0841828, 0.1112169, 0.1747349, 0.3255180, 0.6801765, 1.5023912", \
+					  "0.0728081, 0.0841857, 0.1112367, 0.1747231, 0.3255229, 0.6798828, 1.5022258", \
+					  "0.0728066, 0.0841859, 0.1112328, 0.1747206, 0.3255219, 0.6798934, 1.5022315", \
+					  "0.0728066, 0.0841837, 0.1112327, 0.1747205, 0.3255273, 0.6798883, 1.5022047", \
+					  "0.0728074, 0.0841870, 0.1112317, 0.1747182, 0.3255198, 0.6799545, 1.5022457", \
+					  "0.0728079, 0.0841890, 0.1112336, 0.1747183, 0.3254899, 0.6799840, 1.5024615");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("13.1583980, 13.1729060, 13.2028500, 13.2604250, 13.3676050, 13.5776190, 14.0293910", \
+					  "13.2485330, 13.2630180, 13.2929870, 13.3504470, 13.4578200, 13.6677110, 14.1201890", \
+					  "13.2931340, 13.3076160, 13.3375860, 13.3950660, 13.5024130, 13.7146280, 14.1662910", \
+					  "13.3279980, 13.3425220, 13.3725070, 13.4299630, 13.5371800, 13.7469790, 14.1988020", \
+					  "13.3522050, 13.3667950, 13.3967410, 13.4542300, 13.5614620, 13.7723540, 14.2244780", \
+					  "13.3676970, 13.3823070, 13.4137090, 13.4697280, 13.5769640, 13.7885100, 14.2401890", \
+					  "13.3837080, 13.3982050, 13.4287030, 13.4855980, 13.5928060, 13.8034150, 14.2553160");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("0.0956384, 0.1075473, 0.1319902, 0.1823101, 0.2880326, 0.5316271, 1.1231918", \
+					  "0.0956191, 0.1074731, 0.1322826, 0.1815821, 0.2877801, 0.5323729, 1.1239382", \
+					  "0.0956451, 0.1074788, 0.1322239, 0.1817236, 0.2876651, 0.5320970, 1.1232557", \
+					  "0.0955849, 0.1074402, 0.1320989, 0.1821968, 0.2877895, 0.5322870, 1.1231532", \
+					  "0.0954113, 0.1073254, 0.1321199, 0.1822173, 0.2879232, 0.5326569, 1.1228787", \
+					  "0.0955392, 0.1072643, 0.1321110, 0.1814686, 0.2878568, 0.5321608, 1.1232356", \
+					  "0.0955247, 0.1074231, 0.1322822, 0.1815599, 0.2876058, 0.5326368, 1.1231378");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.492320;
+			max_transition : 3.763092;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301466, 0.05296746, 0.1219028, 0.2805552, 0.6456884, 1.48603");
+					values("0.8891158, 0.8903916, 0.8928678, 0.8982963, 0.9029838, 0.9057002, 0.8990805", \
+					  "-0.1826945, -0.1804504, -0.1770162, -0.1719039, -0.1667269, -0.1662056, -0.1729187", \
+					  "-0.1214085, -0.1312210, -0.1185843, -0.1166199, -0.1135193, -0.1068462, -0.1291329", \
+					  "0.0108328, 0.0022989, 0.0122920, 0.0127423, -0.0014524, -0.0623358, -0.0708324", \
+					  "0.0538417, 0.0477010, 0.0565949, 0.0587856, 0.0635842, 0.0574915, -0.0203472", \
+					  "0.0971899, 0.0874980, 0.0973276, 0.0991002, 0.1010191, 0.0961070, 0.0270594", \
+					  "0.1390474, 0.1310468, 0.1381938, 0.1419822, 0.1462823, 0.1397989, 0.1354864");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301466, 0.05296746, 0.1219028, 0.2805552, 0.6456884, 1.48603");
+					values("0.9407203, 0.9451841, 0.9520569, 0.9663740, 0.9824944, 0.9928103, 0.9974991", \
+					  "2.0327004, 2.0377044, 2.0413306, 2.0587804, 2.0751519, 2.0854765, 2.0905612", \
+					  "1.9662555, 1.9755783, 2.0031012, 2.0202115, 2.0629364, 2.0777624, 2.0861446", \
+					  "2.0023540, 2.0028525, 2.0157563, 2.0084279, 2.0124375, 2.0158521, 2.0996161", \
+					  "2.0073043, 2.0093677, 2.0239921, 2.0162058, 2.0181333, 2.0225004, 2.0379078", \
+					  "2.0136026, 2.0122029, 2.0308980, 2.0215923, 2.0242779, 2.0294124, 2.0444575", \
+					  "2.0181281, 2.0199983, 2.0384438, 2.0353406, 2.0293866, 2.0407296, 2.0514415");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.8124796, 0.8140100, 0.8163493, 0.8224648, 0.8277926, 0.8289847, 0.8157377", \
+					  "-0.2902013, -0.2882356, -0.2829035, -0.2801997, -0.2730670, -0.2737551, -0.2849930", \
+					  "-0.2524402, -0.2558587, -0.2647460, -0.2658759, -0.2662222, -0.2628152, -0.2848174", \
+					  "-0.1831816, -0.1811563, -0.1806517, -0.1776891, -0.1774114, -0.2504899, -0.2584183", \
+					  "-0.1735315, -0.1713573, -0.1718328, -0.1694916, -0.1770083, -0.1731902, -0.2562811", \
+					  "-0.1725528, -0.1606101, -0.1608930, -0.1681541, -0.1660838, -0.1637950, -0.1700751", \
+					  "-0.1604995, -0.1487417, -0.1587342, -0.1587265, -0.1523409, -0.1491906, -0.1484676");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0130431, 1.0199076, 1.0301997, 1.0472017, 1.0682862, 1.0852256, 1.0942873", \
+					  "2.0652382, 2.0663464, 2.0775272, 2.0949889, 2.1152210, 2.1278840, 2.1440913", \
+					  "2.0590042, 2.0645985, 2.0740139, 2.0929374, 2.1145301, 2.1311243, 2.1399982", \
+					  "2.0400279, 2.0464570, 2.0637814, 2.0842319, 2.1085364, 2.1315434, 2.1398645", \
+					  "2.0178987, 2.0172290, 2.0207833, 2.0220347, 2.0584884, 2.1284877, 2.1392337", \
+					  "2.0495688, 2.0473586, 2.0514716, 2.0545438, 2.0577481, 2.0731971, 2.1368007", \
+					  "2.0558217, 2.0629867, 2.0631843, 2.0676672, 2.0673285, 2.0854327, 2.0912046");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.8687290, 0.8698476, 0.8740308, 0.8792540, 0.8842104, 0.8865897, 0.8850395", \
+					  "-0.0693505, -0.0665567, -0.0635775, -0.0581744, -0.0534263, -0.0533470, -0.0649009", \
+					  "0.1122508, 0.1140488, 0.1162354, 0.1196756, 0.1225773, 0.1260753, 0.1074856", \
+					  "0.3568855, 0.3587824, 0.3589165, 0.3600698, 0.3264001, 0.2910899, 0.2740643", \
+					  "0.5142171, 0.5147614, 0.5124537, 0.5169507, 0.5209704, 0.4899319, 0.4397659", \
+					  "0.6571218, 0.6569231, 0.6625628, 0.6652166, 0.6645192, 0.6621650, 0.5761838", \
+					  "0.7974770, 0.7987578, 0.8028827, 0.8046711, 0.8033941, 0.8015933, 0.7866160");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0706285, 1.0759806, 1.0854825, 1.1003500, 1.1188692, 1.1322205, 1.1391275", \
+					  "2.1217761, 2.1266513, 2.1353217, 2.1506514, 2.1685824, 2.1860359, 2.1909443", \
+					  "2.1027782, 2.1088368, 2.1189617, 2.1346980, 2.1543219, 2.1681780, 2.1757507", \
+					  "2.0575943, 2.0582798, 2.0593687, 2.0676243, 2.1078369, 2.1605552, 2.1709314", \
+					  "2.0807243, 2.0823460, 2.0859662, 2.0999205, 2.0934803, 2.0961999, 2.1649726", \
+					  "2.0872527, 2.0847731, 2.0854115, 2.0998689, 2.0926806, 2.0955627, 2.1068783", \
+					  "2.0845144, 2.0898615, 2.0890403, 2.1059860, 2.0960462, 2.1040314, 2.0990620");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.3036770, 1.3361761, 1.5486588, 1.5950821, 1.6083312, 1.6190649, 1.6184765", \
+					  "1.3011170, 1.3355976, 1.5475343, 1.5928174, 1.6093500, 1.6182394, 1.6177431", \
+					  "1.3009898, 1.3367030, 1.5484066, 1.5951278, 1.6099522, 1.6185403, 1.6178601", \
+					  "1.2996393, 1.3353805, 1.5473568, 1.5948497, 1.6095990, 1.6185509, 1.6175632", \
+					  "1.2997142, 1.3354376, 1.5473498, 1.5942835, 1.6094998, 1.6185051, 1.6173640", \
+					  "1.3034564, 1.3344203, 1.5470837, 1.5932729, 1.6092116, 1.6178154, 1.6169809", \
+					  "1.3006664, 1.3366148, 1.5470854, 1.5929995, 1.6091993, 1.6180736, 1.6173870");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0955999, 1.1021453, 1.1096304, 1.1286368, 1.1500098, 1.1728979, 1.1869797", \
+					  "1.0985764, 1.1066351, 1.1113914, 1.1287893, 1.1494227, 1.1722361, 1.1845427", \
+					  "1.0960029, 1.1014544, 1.1116207, 1.1215673, 1.1474716, 1.1741589, 1.1794548", \
+					  "1.0940902, 1.1071313, 1.1097875, 1.1252042, 1.1489768, 1.1724139, 1.1797837", \
+					  "1.1023440, 1.1028208, 1.1054910, 1.1285447, 1.1481682, 1.1643544, 1.1918612", \
+					  "1.0913161, 1.1086641, 1.1141214, 1.1305986, 1.1510074, 1.1765742, 1.1859981", \
+					  "1.1022817, 1.1005832, 1.1107809, 1.1344750, 1.1492416, 1.1666357, 1.1841646");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("4.6453286, 4.6892144, 4.7800781, 4.9586188, 5.2958011, 5.9617977, 7.3799744", \
+					  "6.6839334, 6.7270491, 6.8159705, 6.9939339, 7.3337856, 7.9998843, 9.4183159", \
+					  "8.2403560, 8.2815577, 8.3715914, 8.5507166, 8.8893639, 9.5540189, 10.9751770", \
+					  "8.5776088, 8.6188336, 8.7087022, 8.8880778, 9.2270245, 9.8919619, 11.3083510", \
+					  "10.5430400, 10.5861100, 10.6774010, 10.8549290, 11.1969330, 11.8577010, 13.2781310", \
+					  "12.1237650, 12.1656680, 12.2662550, 12.4415310, 12.7805210, 13.4389730, 14.8658370", \
+					  "13.3248260, 13.3745900, 13.4606330, 13.6380840, 13.9780010, 14.6451500, 16.0640070", \
+					  "14.9990620, 15.0555480, 15.1446680, 15.3161790, 15.6559460, 16.3326860, 17.7342670", \
+					  "36.1994490, 36.2497910, 36.3563580, 36.3834010, 36.8753600, 37.5209550, 38.9566640", \
+					  "48.4078590, 48.6274880, 48.6274891, 48.9516130, 49.0180520, 49.6771090, 51.2066190", \
+					  "98.8690160, 98.8690224, 98.8690300, 99.0278080, 99.3772460, 99.6925210, 101.1061400", \
+					  "267.7917600, 267.7917634, 268.0064600, 268.0064850, 268.0065156, 268.0940600, 270.1280300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("0.4891455, 0.5247682, 0.5933456, 0.7457780, 1.0774238, 1.8572919, 3.7514720", \
+					  "0.4879358, 0.5209292, 0.5922790, 0.7403345, 1.0771982, 1.8542832, 3.7545491", \
+					  "0.4835092, 0.5249020, 0.5945130, 0.7447149, 1.0734927, 1.8594926, 3.7295043", \
+					  "0.4838486, 0.5248563, 0.5941384, 0.7456901, 1.0797540, 1.8505975, 3.7339074", \
+					  "0.4845874, 0.5217197, 0.5946552, 0.7457405, 1.0798078, 1.8594827, 3.7523549", \
+					  "0.4873271, 0.5188578, 0.5915601, 0.7418213, 1.0809558, 1.8644180, 3.7559134", \
+					  "0.4838716, 0.5210604, 0.5946703, 0.7435231, 1.0761010, 1.8533177, 3.7547867", \
+					  "0.4845240, 0.5173746, 0.5945629, 0.7436549, 1.0785769, 1.8593721, 3.7354995", \
+					  "0.4840608, 0.5217777, 0.5946899, 0.7449185, 1.0783567, 1.8594809, 3.7445878", \
+					  "0.4844626, 0.5215158, 0.5945623, 0.7440871, 1.0758699, 1.8594805, 3.7525041", \
+					  "0.4847490, 0.5218476, 0.5946929, 0.7443445, 1.0789811, 1.8593252, 3.7504133", \
+					  "0.4890145, 0.5215471, 0.5928535, 0.7440864, 1.0779143, 1.8594193, 3.7419285");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("4.4014743, 4.4362496, 4.5084154, 4.6586113, 4.9304845, 5.4078222, 6.3251400", \
+					  "6.2548557, 6.2897474, 6.3640662, 6.5142629, 6.7852484, 7.2635742, 8.1817610", \
+					  "7.5175981, 7.5524360, 7.6265421, 7.7762730, 8.0569142, 8.5244558, 9.4407406", \
+					  "7.7820594, 7.8166922, 7.8908684, 8.0407965, 8.3041884, 8.7897885, 9.7062367", \
+					  "9.2289989, 9.2628989, 9.3374188, 9.4873904, 9.7684705, 10.2384550, 11.1550270", \
+					  "10.3055110, 10.3401150, 10.4275970, 10.5634540, 10.8444360, 11.3135370, 12.2504100", \
+					  "11.0984800, 11.1251530, 11.2083910, 11.3565780, 11.6332490, 12.1088110, 13.0253210", \
+					  "12.1415850, 12.1724570, 12.2454690, 12.3958520, 12.6360520, 13.1420480, 14.0572930", \
+					  "23.2530210, 23.2746910, 23.3434270, 23.5153160, 23.7875510, 24.2656450, 25.1866810", \
+					  "29.0213290, 29.0742990, 29.1483350, 29.2818360, 29.5904920, 30.0330210, 30.9751940", \
+					  "50.7111690, 50.7458040, 50.8184960, 50.9689780, 51.2489430, 51.7195280, 52.6366080", \
+					  "120.0930800, 120.1020900, 120.2155600, 120.3449900, 120.5827300, 121.0987200, 121.9905100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("0.3821663, 0.4113864, 0.4749308, 0.5950486, 0.8206181, 1.2770927, 2.3513214", \
+					  "0.3843500, 0.4128481, 0.4738999, 0.5950532, 0.8207278, 1.2759983, 2.3500153", \
+					  "0.3842598, 0.4117264, 0.4717752, 0.5939409, 0.8200623, 1.2749773, 2.3469304", \
+					  "0.3848136, 0.4128265, 0.4739942, 0.5940095, 0.8187739, 1.2791708, 2.3486282", \
+					  "0.3846528, 0.4131689, 0.4744885, 0.5957847, 0.8206904, 1.2785334, 2.3517921", \
+					  "0.3828725, 0.4124954, 0.4735488, 0.5949621, 0.8207673, 1.2778067, 2.3514182", \
+					  "0.3819605, 0.4133349, 0.4748387, 0.5952780, 0.8204382, 1.2789537, 2.3497853", \
+					  "0.3847925, 0.4122291, 0.4749367, 0.5951350, 0.8195160, 1.2788953, 2.3524303", \
+					  "0.3827741, 0.4125544, 0.4728444, 0.5950652, 0.8209717, 1.2773147, 2.3505979", \
+					  "0.3841767, 0.4131203, 0.4750816, 0.5953042, 0.8202287, 1.2788540, 2.3495499", \
+					  "0.3825226, 0.4124326, 0.4733647, 0.5950816, 0.8195268, 1.2786114, 2.3462325", \
+					  "0.3842736, 0.4134569, 0.4749183, 0.5951163, 0.8212472, 1.2781523, 2.3472153");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0761386, 5.1186451, 5.2084475, 5.3865059, 5.7229377, 6.3857803, 7.8036759", \
+					  "6.8422805, 6.8854771, 6.9748460, 7.1527468, 7.4908320, 8.1542604, 9.5704547", \
+					  "7.8858999, 7.9271096, 8.0172908, 8.1937177, 8.5312468, 9.1941335, 10.6134660", \
+					  "8.0933678, 8.1368867, 8.2251255, 8.4020630, 8.7405656, 9.4038137, 10.8182160", \
+					  "9.1791703, 9.2217896, 9.3116688, 9.4870964, 9.8256843, 10.4900620, 11.9061660", \
+					  "9.9508117, 9.9938928, 10.0827510, 10.2580660, 10.5968580, 11.2595110, 12.6756920", \
+					  "10.4794430, 10.5249480, 10.6126750, 10.7888800, 11.1251900, 11.7905540, 13.2074350", \
+					  "11.1718230, 11.2115400, 11.3015570, 11.4746260, 11.8130470, 12.4764690, 13.8955410", \
+					  "19.5038310, 19.5734100, 19.6569980, 19.8418990, 20.1728310, 20.8526320, 22.2513280", \
+					  "26.4128810, 26.4776430, 26.5727320, 26.7273490, 27.0644060, 27.7601120, 29.1530660", \
+					  "55.8107520, 55.9463660, 56.0348000, 56.1190030, 56.4566100, 57.1189570, 58.4500870", \
+					  "135.4070100, 135.4070206, 135.4070359, 135.6839500, 136.2044600, 136.3491200, 138.2786600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4855378, 0.5169769, 0.5880853, 0.7395831, 1.0736483, 1.8541385, 3.7462606", \
+					  "0.4815714, 0.5185426, 0.5871153, 0.7393143, 1.0737070, 1.8542148, 3.7434296", \
+					  "0.4829228, 0.5183958, 0.5883802, 0.7374448, 1.0689799, 1.8530997, 3.7400092", \
+					  "0.4856769, 0.5178077, 0.5861779, 0.7375241, 1.0742434, 1.8537594, 3.7314880", \
+					  "0.4831215, 0.5155057, 0.5845615, 0.7380274, 1.0737606, 1.8577088, 3.7499972", \
+					  "0.4838556, 0.5155039, 0.5882281, 0.7383736, 1.0730324, 1.8506582, 3.7436529", \
+					  "0.4856096, 0.5150689, 0.5883998, 0.7386137, 1.0747304, 1.8545872, 3.7351402", \
+					  "0.4838582, 0.5176314, 0.5883694, 0.7374822, 1.0740888, 1.8540317, 3.7467704", \
+					  "0.4855585, 0.5177035, 0.5847396, 0.7357942, 1.0731772, 1.8560425, 3.7425880", \
+					  "0.4854944, 0.5196037, 0.5876477, 0.7403880, 1.0726111, 1.8540688, 3.7490442", \
+					  "0.4842473, 0.5165970, 0.5880966, 0.7361056, 1.0728044, 1.8531049, 3.7503662", \
+					  "0.4845824, 0.5161332, 0.5883211, 0.7357410, 1.0742304, 1.8535423, 3.7489416");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3365655, 6.3737993, 6.4518968, 6.6090693, 6.8948842, 7.3892963, 8.3223481", \
+					  "8.2165341, 8.2528535, 8.3310274, 8.4886915, 8.7736778, 9.2684376, 10.2022570", \
+					  "10.6827690, 10.7193860, 10.7972180, 10.9548730, 11.2375600, 11.7346500, 12.6678290", \
+					  "11.2032300, 11.2395480, 11.3177980, 11.4756080, 11.7631440, 12.2553170, 13.1867890", \
+					  "14.1236970, 14.1607500, 14.2381190, 14.3958550, 14.6731440, 15.1754860, 16.1055420", \
+					  "16.3230780, 16.3607300, 16.4482540, 16.6058370, 16.8797560, 17.3778750, 18.3168250", \
+					  "17.9231190, 17.9580990, 18.0309330, 18.1987510, 18.4775980, 18.9387030, 19.9083360", \
+					  "20.0356710, 20.1241160, 20.1869880, 20.3611230, 20.6021870, 21.1194740, 22.0728630", \
+					  "44.5614460, 44.6112340, 44.6814670, 44.8234800, 45.0252810, 45.6021990, 46.4577350", \
+					  "58.0680640, 58.1068850, 58.1793490, 58.3079330, 58.6606780, 59.1531270, 60.0572960", \
+					  "110.3201200, 110.3596600, 110.4347200, 110.6122300, 110.9091300, 111.3725200, 112.3080500", \
+					  "278.5107000, 278.5380700, 278.6009600, 278.7722300, 279.0611100, 279.5497900, 280.5011800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3943250, 0.4266539, 0.4925026, 0.6193553, 0.8514337, 1.3123250, 2.3780315", \
+					  "0.3949756, 0.4258566, 0.4922622, 0.6204087, 0.8520366, 1.3126539, 2.3741131", \
+					  "0.3946671, 0.4266947, 0.4912639, 0.6205044, 0.8514071, 1.3062291, 2.3703099", \
+					  "0.3945268, 0.4254508, 0.4919695, 0.6199715, 0.8518594, 1.3105532, 2.3755533", \
+					  "0.3943368, 0.4265247, 0.4908891, 0.6201425, 0.8511965, 1.3129242, 2.3685229", \
+					  "0.3947819, 0.4264351, 0.4921586, 0.6204605, 0.8510970, 1.3127660, 2.3733759", \
+					  "0.3944210, 0.4258470, 0.4908712, 0.6201820, 0.8509807, 1.3129183, 2.3769582", \
+					  "0.3941430, 0.4251304, 0.4913912, 0.6202095, 0.8503774, 1.3117334, 2.3758822", \
+					  "0.3945235, 0.4249737, 0.4906714, 0.6202809, 0.8513877, 1.3131654, 2.3742637", \
+					  "0.3950221, 0.4252089, 0.4923876, 0.6195862, 0.8514048, 1.3119832, 2.3773981", \
+					  "0.3947822, 0.4267900, 0.4923193, 0.6206558, 0.8520364, 1.3118971, 2.3770206", \
+					  "0.3953644, 0.4262001, 0.4917272, 0.6213254, 0.8523611, 1.3139096, 2.3766602");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.1007740, 5.1437898, 5.2332734, 5.4140092, 5.7499054, 6.4135439, 7.8292723", \
+					  "7.1813862, 7.2242554, 7.3138358, 7.4931714, 7.8292679, 8.4926572, 9.9086321", \
+					  "8.7526981, 8.7953458, 8.8845358, 9.0609884, 9.3969774, 10.0623010, 11.4802860", \
+					  "9.0983248, 9.1414893, 9.2303729, 9.4064632, 9.7439674, 10.4068140, 11.8231310", \
+					  "11.1058410, 11.1483600, 11.2387130, 11.4139200, 11.7512340, 12.4145170, 13.8303580", \
+					  "12.7283060, 12.7715830, 12.8593630, 13.0367120, 13.3757870, 14.0395160, 15.4599230", \
+					  "13.9486800, 13.9924340, 14.0795860, 14.2568880, 14.5930240, 15.2619780, 16.6872760", \
+					  "15.6728820, 15.7335350, 15.8263270, 15.9950350, 16.3214760, 16.9765750, 18.3985080", \
+					  "43.3681460, 43.4318000, 43.4775100, 43.6433820, 43.9918110, 44.6507440, 45.9501020", \
+					  "61.8569230, 62.1457090, 62.1698750, 62.2408720, 62.5655470, 63.2259190, 64.6637590", \
+					  "133.0803300, 133.1226700, 133.1226731, 133.3887800, 133.7316200, 134.3905800, 135.8097400", \
+					  "375.3718500, 375.3718720, 375.3719025, 375.4669500, 375.6770200, 376.8010700, 377.6549100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4839164, 0.5147536, 0.5839597, 0.7411090, 1.0747437, 1.8533362, 3.7417500", \
+					  "0.4817433, 0.5183628, 0.5862759, 0.7408569, 1.0748333, 1.8556590, 3.7418246", \
+					  "0.4833425, 0.5131827, 0.5833138, 0.7350617, 1.0723390, 1.8586509, 3.7367776", \
+					  "0.4842345, 0.5150212, 0.5883635, 0.7384936, 1.0695871, 1.8535049, 3.7344936", \
+					  "0.4862055, 0.5173836, 0.5874159, 0.7402313, 1.0722502, 1.8552833, 3.7509040", \
+					  "0.4855649, 0.5174302, 0.5878638, 0.7384263, 1.0706367, 1.8519306, 3.7506291", \
+					  "0.4848511, 0.5177226, 0.5883889, 0.7353271, 1.0701002, 1.8538118, 3.7427599", \
+					  "0.4857971, 0.5176888, 0.5870550, 0.7360211, 1.0741840, 1.8522640, 3.7357526", \
+					  "0.4857149, 0.5177399, 0.5877472, 0.7361504, 1.0726067, 1.8557615, 3.7500967", \
+					  "0.4855302, 0.5169582, 0.5877426, 0.7369628, 1.0741510, 1.8545503, 3.7469228", \
+					  "0.4855518, 0.5178161, 0.5873659, 0.7366445, 1.0741752, 1.8563489, 3.7487728", \
+					  "0.4846599, 0.5150597, 0.5876656, 0.7385192, 1.0705981, 1.8545436, 3.7488537");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7184270, 4.7545665, 4.8330917, 4.9904845, 5.2757150, 5.7705773, 6.7031447", \
+					  "6.9435155, 6.9799535, 7.0581434, 7.2160060, 7.5009048, 7.9956299, 8.9280814", \
+					  "9.0480832, 9.0844248, 9.1635570, 9.3206980, 9.6055786, 10.0999700, 11.0335970", \
+					  "9.4819947, 9.5183061, 9.5978407, 9.7540962, 10.0401600, 10.5334040, 11.4659780", \
+					  "11.9461930, 11.9808630, 12.0441270, 12.2059600, 12.5045740, 12.9980890, 13.9314200", \
+					  "13.8157590, 13.8406530, 13.9354480, 14.0858310, 14.3637880, 14.8681300, 15.7996140", \
+					  "15.1540700, 15.1795220, 15.2487780, 15.4655350, 15.6893360, 16.1857830, 17.1393050", \
+					  "16.9588770, 16.9921200, 17.0761380, 17.2284660, 17.5169590, 18.0137470, 18.9999150", \
+					  "37.1429570, 37.1914730, 37.2521460, 37.4024510, 37.7457780, 38.1945210, 39.1249660", \
+					  "48.1304060, 48.1665770, 48.2530960, 48.4069460, 48.6942750, 49.1901380, 50.1182670", \
+					  "90.2064560, 90.2420400, 90.3040130, 90.4775700, 90.7745770, 91.2639490, 92.1893670", \
+					  "227.2093100, 227.2297800, 227.3318800, 227.4394900, 227.6255100, 228.2329900, 229.1685800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3939237, 0.4248545, 0.4918255, 0.6202376, 0.8517201, 1.3118702, 2.3758016", \
+					  "0.3944863, 0.4262011, 0.4922680, 0.6204112, 0.8525453, 1.3111630, 2.3774880", \
+					  "0.3946294, 0.4259893, 0.4921001, 0.6201890, 0.8510814, 1.3114043, 2.3717905", \
+					  "0.3948146, 0.4253067, 0.4912921, 0.6207581, 0.8494435, 1.3103562, 2.3761830", \
+					  "0.3945345, 0.4261339, 0.4921145, 0.6201331, 0.8517211, 1.3129953, 2.3772475", \
+					  "0.3938500, 0.4262755, 0.4913952, 0.6198765, 0.8507322, 1.3115951, 2.3746227", \
+					  "0.3945726, 0.4257549, 0.4907842, 0.6197224, 0.8508723, 1.3088737, 2.3729652", \
+					  "0.3947154, 0.4257840, 0.4922180, 0.6201882, 0.8510483, 1.3086957, 2.3764004", \
+					  "0.3948951, 0.4265651, 0.4910169, 0.6202764, 0.8518199, 1.3129496, 2.3769184", \
+					  "0.3943753, 0.4266076, 0.4905672, 0.6194661, 0.8514858, 1.3130539, 2.3744735", \
+					  "0.3947191, 0.4267376, 0.4924012, 0.6189145, 0.8516415, 1.3120692, 2.3719009", \
+					  "0.3949435, 0.4270336, 0.4939302, 0.6209747, 0.8523581, 1.3135324, 2.3724127");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("20.6095180, 20.6528520, 20.7427270, 20.9177490, 21.2581560, 21.9226630, 23.3512480", \
+					  "20.7382450, 20.7809540, 20.8693680, 21.0459100, 21.3848190, 22.0525500, 23.4774990", \
+					  "20.8393550, 20.8823700, 20.9710880, 21.1482830, 21.4871850, 22.1542070, 23.5798710", \
+					  "20.9142620, 20.9570550, 21.0467060, 21.2241020, 21.5630040, 22.2299160, 23.6556910", \
+					  "20.9781380, 21.0209410, 21.1105800, 21.2887090, 21.6276100, 22.2937930, 23.7202930", \
+					  "21.0395740, 21.0797940, 21.1694130, 21.3471300, 21.6860290, 22.3526140, 23.7787250", \
+					  "21.0976710, 21.1379090, 21.2275250, 21.4051140, 21.7440220, 22.4107270, 23.8367030");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.4834088, 0.5164741, 0.5864079, 0.7367044, 1.0714017, 1.8566227, 3.7465435", \
+					  "0.4830566, 0.5145269, 0.5873423, 0.7368155, 1.0713763, 1.8566813, 3.7465520", \
+					  "0.4845245, 0.5155212, 0.5864089, 0.7367297, 1.0713760, 1.8565294, 3.7465635", \
+					  "0.4856221, 0.5164481, 0.5872358, 0.7367200, 1.0713753, 1.8566052, 3.7465511", \
+					  "0.4856590, 0.5164283, 0.5872419, 0.7367533, 1.0713779, 1.8566092, 3.7465773", \
+					  "0.4835351, 0.5166859, 0.5873194, 0.7366965, 1.0713742, 1.8566647, 3.7465417", \
+					  "0.4835425, 0.5167683, 0.5873306, 0.7368590, 1.0713770, 1.8566624, 3.7465511");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("17.2013530, 17.2510010, 17.3571540, 17.5731160, 17.9674000, 18.6105820, 19.7112570", \
+					  "17.2924500, 17.3422200, 17.4482170, 17.6641240, 18.0586500, 18.7015770, 19.8026630", \
+					  "17.3368540, 17.3862290, 17.4918360, 17.7078030, 18.1016850, 18.7456270, 19.8456900", \
+					  "17.3712240, 17.4203830, 17.5268850, 17.7420660, 18.1380720, 18.7810250, 19.8820000", \
+					  "17.3946760, 17.4442220, 17.5504320, 17.7672810, 18.1611210, 18.8038880, 19.9049290", \
+					  "17.4095370, 17.4591580, 17.5653090, 17.7814240, 18.1758890, 18.8187330, 19.9194780", \
+					  "17.4274280, 17.4768820, 17.5831830, 17.7995770, 18.1940210, 18.8366320, 19.9377560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.5880497, 0.6297938, 0.7174840, 0.8868633, 1.1789218, 1.6800186, 2.7275100", \
+					  "0.5881667, 0.6295162, 0.7173661, 0.8876566, 1.1777715, 1.6786859, 2.7281351", \
+					  "0.5889028, 0.6281028, 0.7177985, 0.8894003, 1.1799155, 1.6807287, 2.7220988", \
+					  "0.5852868, 0.6285433, 0.7162515, 0.8868634, 1.1799723, 1.6755993, 2.7258831", \
+					  "0.5879012, 0.6298921, 0.7169673, 0.8859060, 1.1767584, 1.6788191, 2.7279103", \
+					  "0.5880304, 0.6298386, 0.7171099, 0.8868218, 1.1765932, 1.6788169, 2.7283702", \
+					  "0.5875820, 0.6298588, 0.7167150, 0.8915327, 1.1775885, 1.6787749, 2.7274636");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.201667;
+			max_capacitance : 551.202000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340895, 0.1340176, 0.1340095, 0.1337911, 0.1340894, 0.1340198, 0.1340887", \
+					  "0.1925595, 0.1926250, 0.1925603, 0.1925589, 0.1925550, 0.1925562, 0.1926182", \
+					  "0.2618747, 0.2616987, 0.2618796, 0.2618866, 0.2618866, 0.2618856, 0.2617087", \
+					  "0.3455927, 0.3451711, 0.3456143, 0.3456900, 0.3453791, 0.3458559, 0.3454743", \
+					  "0.4257615, 0.4256455, 0.4258238, 0.4258316, 0.4261425, 0.4262670, 0.4263288", \
+					  "0.5234192, 0.5235768, 0.5232831, 0.5229623, 0.5227772, 0.5230122, 0.5229483", \
+					  "0.7047463, 0.7044799, 0.7037362, 0.7001413, 0.6946915, 0.6924297, 0.6920182");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6969881, 5.6968047, 5.6961741, 5.6934974, 5.6376506, 5.5069912, -0.5437533", \
+					  "5.6980832, 5.6968503, 5.6949928, 5.6947673, 5.6553765, 5.4291348, -0.3435086", \
+					  "5.6993467, 5.6985417, 5.6960329, 5.6957055, 5.6558463, 5.4327773, -0.3497221", \
+					  "5.6956563, 5.6967332, 5.6956026, 5.6974370, 5.6553765, 5.3088607, -0.3057193", \
+					  "5.6970444, 5.6962711, 5.6966281, 5.6969937, 5.6593879, 5.4486376, -0.3337574", \
+					  "5.6974333, 5.6954522, 5.6959536, 5.6922317, 5.6484235, 5.4201052, -0.3535746", \
+					  "5.6956113, 5.6960771, 5.6963572, 5.6936883, 5.6519270, 5.4078367, -0.3035468");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5905459, 6.6543447, 6.6187486, 6.6402990, 6.7013002, 5.5561025, -11.3290926", \
+					  "6.5816973, 6.5867393, 6.5945043, 6.6104074, 6.5858767, 5.5219920, -11.6369297", \
+					  "6.5565849, 6.5608107, 6.5771448, 6.5807409, 6.5437232, 5.4351794, -11.6925677", \
+					  "6.5354414, 6.5424783, 6.5455019, 6.5604808, 6.5195700, 5.4401352, -11.5430764", \
+					  "6.5129016, 6.5178178, 6.5201211, 6.5408805, 6.5134086, 5.4777405, -11.6089611", \
+					  "6.4952726, 6.5035460, 6.4972559, 6.5193736, 6.4772493, 5.4562253, -11.6114948", \
+					  "6.4619535, 6.4751296, 6.4879896, 6.4978797, 6.4581410, 5.4594005, -11.8326465");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9530703, 3.9637939, 3.9836045, 4.1148936, 4.6631061, 5.5119171, 5.9603761", \
+					  "3.9153590, 3.9241167, 3.9440292, 4.0783289, 4.6061623, 5.4643933, 5.9341261", \
+					  "3.8866999, 3.8900115, 3.9111981, 4.0439019, 4.5719703, 5.4315570, 5.9043503", \
+					  "3.8859782, 3.8725068, 3.9102201, 4.0366056, 4.5683916, 5.4267713, 5.8861790", \
+					  "3.8786228, 3.8822367, 3.9007462, 4.0374957, 4.5648495, 5.4216875, 5.8945229", \
+					  "3.8739552, 3.8766175, 3.8991997, 4.0338950, 4.5611269, 5.4183097, 5.8825746", \
+					  "3.8679319, 3.8744548, 3.8951982, 4.0261516, 4.5632186, 5.4188666, 5.8866400");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1341243, 0.1341235, 0.1341348, 0.1341317, 0.1341326, 0.1341306, 0.1342881", \
+					  "0.1916398, 0.1915832, 0.1916293, 0.1917010, 0.1916400, 0.1916410, 0.1916405", \
+					  "0.2515611, 0.2517393, 0.2517368, 0.2515605, 0.2517390, 0.2515636, 0.2517377", \
+					  "0.3055136, 0.3060003, 0.3059512, 0.3055133, 0.3059547, 0.3055172, 0.3059532", \
+					  "0.3703633, 0.3703475, 0.3703437, 0.3703573, 0.3701307, 0.3703565, 0.3703367", \
+					  "0.4669737, 0.4670301, 0.4670252, 0.4669625, 0.4670125, 0.4668244, 0.4669525", \
+					  "0.5997783, 0.5997717, 0.5999659, 0.5998614, 0.5998294, 0.5996753, 0.5998373");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5585442, 2.5626850, 2.5677404, 2.5662620, 2.5717428, 2.5719623, 2.6131676", \
+					  "2.5624502, 2.5507907, 2.5747104, 2.5453077, 2.5552717, 2.5785558, 2.5389139", \
+					  "2.5611135, 2.5538110, 2.5608255, 2.5521387, 2.5544363, 2.5730445, 2.6053975", \
+					  "2.5587355, 2.5597291, 2.5745698, 2.5612201, 2.5879155, 2.5922920, 2.5769115", \
+					  "2.5569724, 2.5663048, 2.5606351, 2.5600039, 2.5668450, 2.5617392, 2.5588520", \
+					  "2.5549386, 2.5566674, 2.5566143, 2.5658699, 2.5617339, 2.5707833, 2.5561329", \
+					  "2.5559617, 2.5579456, 2.5570054, 2.5594570, 2.5650465, 2.5785960, 2.5605956");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4536189, 6.4431126, 6.4470231, 6.4368598, 6.4266986, 5.9968177, 0.3369374", \
+					  "6.4112221, 6.4116410, 6.4118670, 6.4139131, 6.3749712, 6.1566263, 0.3382824", \
+					  "6.3842358, 6.3829642, 6.3822530, 6.3840905, 6.3463616, 6.1551998, 0.2775121", \
+					  "6.3614868, 6.3625836, 6.3617799, 6.3595274, 6.3231398, 6.1439902, 0.3056163", \
+					  "6.3427812, 6.3418856, 6.3416484, 6.3430678, 6.3024237, 6.0063862, 0.2975473", \
+					  "6.3203709, 6.3217062, 6.3215709, 6.3155935, 6.2792211, 6.0489864, 0.1856077", \
+					  "6.3009933, 6.3009985, 6.3013498, 6.3011169, 6.2645650, 6.0069460, 0.2864123");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8572069, 3.8567346, 3.8740407, 3.9329844, 3.9581344, 4.0963867, 3.9755120", \
+					  "3.8209900, 3.8210459, 3.8359620, 3.8868223, 3.9272219, 3.9562035, 3.9817091", \
+					  "3.7834171, 3.7901092, 3.7982177, 3.8475329, 3.9171768, 3.9610367, 3.9474851", \
+					  "3.7823762, 3.7851821, 3.7935413, 3.8436063, 3.9142447, 3.9417509, 3.9349512", \
+					  "3.7753239, 3.7781155, 3.7836857, 3.8402516, 3.9037065, 3.9446048, 3.9198481", \
+					  "3.7761810, 3.7803174, 3.7891020, 3.8384584, 3.9261300, 3.9284507, 3.9336765", \
+					  "3.7711772, 3.7656677, 3.7867108, 3.8344135, 3.9021645, 3.9365410, 3.9255620");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340076, 0.1336939, 0.1345025, 0.1340271, 0.1341262, 0.1341211, 0.1340659", \
+					  "0.1915681, 0.1916903, 0.1917701, 0.1916368, 0.1916353, 0.1916973, 0.1916986", \
+					  "0.2517663, 0.2515630, 0.2515847, 0.2515629, 0.2517384, 0.2514445, 0.2515624", \
+					  "0.3057424, 0.3055180, 0.3055152, 0.3055861, 0.3059544, 0.3055160, 0.3055547", \
+					  "0.3703504, 0.3703652, 0.3702343, 0.3703596, 0.3703387, 0.3701675, 0.3701988", \
+					  "0.4670252, 0.4669669, 0.4669619, 0.4666156, 0.4670021, 0.4669435, 0.4669445", \
+					  "0.5997247, 0.5997365, 0.5996371, 0.5998083, 0.5997840, 0.5998001, 0.5998014");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5569439, 2.5571607, 2.5364330, 2.5816496, 2.5492732, 2.5394724, 2.5900218", \
+					  "2.5645438, 2.5556208, 2.5542150, 2.5487728, 2.5793850, 2.5631896, 2.5830312", \
+					  "2.5671727, 2.5595787, 2.5557298, 2.5607455, 2.6100613, 2.5601119, 2.5832314", \
+					  "2.5637838, 2.5581539, 2.5568895, 2.5599901, 2.5715790, 2.5587559, 2.5666814", \
+					  "2.5575219, 2.5629600, 2.5558073, 2.5594613, 2.5550094, 2.5893378, 2.5625192", \
+					  "2.5545045, 2.5586008, 2.5579856, 2.5627509, 2.6161906, 2.5722678, 2.5774843", \
+					  "2.5635160, 2.5565938, 2.5552403, 2.5596957, 2.5614161, 2.5776657, 2.5730360");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2591558, 3.2623980, 3.2766984, 3.3492469, 3.7956155, 5.1468914, 5.3796575", \
+					  "3.2238607, 3.2251940, 3.2383218, 3.2933630, 3.7739053, 5.0994209, 5.3332482", \
+					  "3.1905615, 3.1921177, 3.2015514, 3.2730525, 3.7368536, 5.0707562, 5.3057393", \
+					  "3.1861164, 3.1890431, 3.1988736, 3.2718458, 3.7378836, 5.0707983, 5.2774485", \
+					  "3.1822500, 3.1852057, 3.1948848, 3.2659606, 3.7153615, 5.0613000, 5.2730278", \
+					  "3.1793964, 3.1823383, 3.1921692, 3.2634839, 3.7251211, 5.0597679, 5.2719003", \
+					  "3.1756865, 3.1781455, 3.1891276, 3.2601522, 3.7220312, 5.0644340, 5.2561109");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1532342, 0.1534633, 0.1534575, 0.1534585, 0.1534255, 0.1534639, 0.1534634", \
+					  "0.1894413, 0.1894434, 0.1893161, 0.1894331, 0.1893724, 0.1894262, 0.1893775", \
+					  "0.2501585, 0.2501762, 0.2501334, 0.2500794, 0.2500439, 0.2500965, 0.2500821", \
+					  "0.3483827, 0.3483138, 0.3482482, 0.3483354, 0.3483064, 0.3483033, 0.3483020", \
+					  "0.6053364, 0.6053735, 0.6047657, 0.6053045, 0.6053083, 0.6053161, 0.6053605", \
+					  "0.8004810, 0.8004919, 0.8003634, 0.8003425, 0.8000649, 0.7999165, 0.7998472", \
+					  "0.8735178, 0.8741910, 0.8738868, 0.8739956, 0.8739686, 0.8736403, 0.8735239");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340855, 0.1340824, 0.1340889, 0.1340533, 0.1340818, 0.1340808, 0.1340806", \
+					  "0.1925338, 0.1925562, 0.1926277, 0.1926157, 0.1926585, 0.1925490, 0.1925521", \
+					  "0.2618758, 0.2614920, 0.2616992, 0.2617445, 0.2617060, 0.2618819, 0.2617060", \
+					  "0.3455926, 0.3452099, 0.3452306, 0.3452594, 0.3453763, 0.3458834, 0.3454377", \
+					  "0.4256072, 0.4256997, 0.4256400, 0.4258594, 0.4261410, 0.4261299, 0.4263282", \
+					  "0.5234146, 0.5232803, 0.5231712, 0.5229876, 0.5227732, 0.5230124, 0.5229449", \
+					  "0.7046092, 0.7045912, 0.7036814, 0.7003680, 0.6945957, 0.6924182, 0.6920066");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8684638, 6.8712133, 6.8951659, 7.0165419, 7.0707542, 6.1492010, -0.0009869", \
+					  "6.8664525, 6.8704458, 6.8916975, 7.0119923, 7.0672203, 6.1546902, -0.0255141", \
+					  "6.8673989, 6.8684783, 6.8998720, 7.0137674, 7.0681497, 6.1566640, -0.0382597", \
+					  "6.8636955, 6.8725786, 6.8968591, 7.0191575, 7.0714820, 6.1401098, -0.0458143", \
+					  "6.8660325, 6.8673275, 6.8942664, 7.0121699, 7.0687462, 6.1532936, -0.0068607", \
+					  "6.8647426, 6.8735628, 6.8950773, 7.0204089, 7.0763090, 6.1566311, -0.0015907", \
+					  "6.8668059, 6.8719212, 6.8967772, 7.0159791, 7.0699316, 6.2143027, -0.0383857");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5905105, 3.5856251, 3.6040305, 3.6621125, 3.7676366, 4.9633884, 5.8065252", \
+					  "3.5516941, 3.5538508, 3.5700171, 3.6248869, 3.7302869, 4.9233504, 5.7833101", \
+					  "3.5188869, 3.5131689, 3.5323393, 3.5906498, 3.6964615, 4.8902093, 5.7449088", \
+					  "3.5139798, 3.5092045, 3.5292033, 3.5845605, 3.6836475, 4.8837049, 5.7388312", \
+					  "3.5097959, 3.5047279, 3.5207073, 3.5830524, 3.6878453, 4.8817301, 5.7422922", \
+					  "3.5058755, 3.5021059, 3.5213275, 3.5771102, 3.6818120, 4.8852426, 5.7182980", \
+					  "3.5029434, 3.4984952, 3.5174683, 3.5744284, 3.6861892, 4.8826831, 5.7306146");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1532307, 0.1534565, 0.1534660, 0.1534533, 0.1534513, 0.1532294, 0.1534646", \
+					  "0.1893210, 0.1893786, 0.1893762, 0.1894276, 0.1893646, 0.1893505, 0.1894237", \
+					  "0.2500274, 0.2500695, 0.2500624, 0.2500098, 0.2499420, 0.2497312, 0.2500064", \
+					  "0.3480956, 0.3480412, 0.3480430, 0.3478039, 0.3480649, 0.3481160, 0.3479379", \
+					  "0.6122397, 0.6116897, 0.6122402, 0.6125059, 0.6117898, 0.6124890, 0.6124016", \
+					  "0.8227569, 0.8227350, 0.8227072, 0.8224453, 0.8215759, 0.8211023, 0.8210161", \
+					  "0.9094158, 0.9096732, 0.9098747, 0.9098804, 0.9089509, 0.9080846, 0.9077081");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5633836, 5.5351267, 5.5319192, 5.5080108, 5.3352558, 4.1265742, -12.7763210", \
+					  "5.5370831, 5.5380854, 5.5318048, 5.5073686, 5.3555040, 4.1407517, -13.0042884", \
+					  "5.5379046, 5.5368568, 5.5336051, 5.5086988, 5.3531002, 4.1351226, -13.0347038", \
+					  "5.5370146, 5.5405829, 5.5321188, 5.5072714, 5.3516151, 4.1698573, -12.9169403", \
+					  "5.5375878, 5.5454786, 5.5307967, 5.5114312, 5.3531229, 4.1652216, -13.0186671", \
+					  "5.5362679, 5.5389412, 5.5301253, 5.5078705, 5.3559764, 4.1612077, -12.9302586", \
+					  "5.5362015, 5.5376274, 5.5322003, 5.5037075, 5.3531240, 4.1571375, -12.8791021");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340977, 0.1336298, 0.1339468, 0.1336370, 0.1340328, 0.1340881, 0.1340536", \
+					  "0.1925590, 0.1926187, 0.1925595, 0.1926234, 0.1925695, 0.1926121, 0.1926481", \
+					  "0.2617010, 0.2616996, 0.2621870, 0.2617085, 0.2620897, 0.2621217, 0.2619156", \
+					  "0.3451405, 0.3451294, 0.3455632, 0.3451462, 0.3456154, 0.3455907, 0.3452084", \
+					  "0.4257140, 0.4256644, 0.4256020, 0.4252433, 0.4256761, 0.4257254, 0.4257683", \
+					  "0.5226136, 0.5224147, 0.5225037, 0.5225069, 0.5224298, 0.5224923, 0.5223823", \
+					  "0.6934636, 0.6934300, 0.6932690, 0.6927737, 0.6924433, 0.6925478, 0.6924002");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2802971, 6.2820843, 6.2970701, 6.2693028, 6.2488821, 4.8091809, -12.2490726", \
+					  "6.2579875, 6.2784607, 6.2472790, 6.2273830, 6.0783274, 4.8345757, -12.2541137", \
+					  "6.2360349, 6.2313615, 6.2185536, 6.1988619, 6.0573215, 4.8170840, -12.4107087", \
+					  "6.2037462, 6.2029326, 6.2002533, 6.1774773, 6.0341683, 4.8006919, -12.2961884", \
+					  "6.1906542, 6.1917882, 6.1788997, 6.1566657, 6.0165708, 4.7711859, -12.3806261", \
+					  "6.1652545, 6.1630037, 6.1590381, 6.1379925, 5.9743673, 4.7821044, -12.3781288", \
+					  "6.1495103, 6.1502784, 6.1401445, 6.1153983, 5.9739806, 4.7275059, -12.5829565");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0752857, 3.0924634, 3.0665911, 3.0822248, 3.0981507, 3.1169110, 3.0508250", \
+					  "3.0414159, 3.0439553, 3.0420848, 3.0786457, 3.0620552, 3.0542433, 3.0494312", \
+					  "3.0148387, 3.0066268, 3.0074107, 3.0158017, 3.0319067, 3.0355951, 3.0436744", \
+					  "3.0020211, 3.0046210, 3.0057340, 3.0084597, 3.0178166, 3.0242819, 3.0118877", \
+					  "2.9998941, 2.9999175, 3.0017080, 3.0022809, 3.0099123, 3.0009466, 3.0118678", \
+					  "2.9993247, 2.9990528, 2.9951655, 3.0006629, 2.9981640, 3.0072617, 3.0066672", \
+					  "2.9900753, 2.9932849, 2.9949446, 2.9963200, 3.0065256, 3.0083024, 2.9948178");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340839, 0.1341340, 0.1341362, 0.1341498, 0.1341271, 0.1341337, 0.1340447", \
+					  "0.1916419, 0.1916419, 0.1916104, 0.1916875, 0.1916019, 0.1916982, 0.1916987", \
+					  "0.2516894, 0.2517409, 0.2517388, 0.2515556, 0.2516802, 0.2515545, 0.2515553", \
+					  "0.3055308, 0.3059479, 0.3059778, 0.3055207, 0.3059564, 0.3055187, 0.3055200", \
+					  "0.3703650, 0.3703708, 0.3703440, 0.3703519, 0.3703290, 0.3703461, 0.3703459", \
+					  "0.4668540, 0.4669085, 0.4669047, 0.4668398, 0.4668891, 0.4666916, 0.4667990", \
+					  "0.6007788, 0.6004287, 0.6004284, 0.6004722, 0.6005110, 0.6005437, 0.6009642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2226646, 3.2185696, 3.2366647, 3.2948055, 3.4076785, 4.5944863, 5.4806598", \
+					  "3.2214042, 3.2167844, 3.2358337, 3.2918158, 3.4000263, 4.6009778, 5.4008995", \
+					  "3.2212547, 3.2178017, 3.2365420, 3.2921095, 3.3993598, 4.5923832, 5.4455657", \
+					  "3.2213220, 3.2159763, 3.2376393, 3.2945542, 3.3991728, 4.5910079, 5.4547761", \
+					  "3.2213720, 3.2163935, 3.2356685, 3.2920279, 3.3992206, 4.5916478, 5.4405040", \
+					  "3.2205431, 3.2160462, 3.2352436, 3.2945551, 3.3978192, 4.5920259, 5.4334847", \
+					  "3.2208734, 3.2162675, 3.2348583, 3.2919429, 3.3980832, 4.5939478, 5.4503413");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2811220, 6.2810036, 6.2481388, 6.2744697, 6.0529867, 5.0366144, -12.1732836", \
+					  "6.2693187, 6.2607587, 6.2504935, 6.2258066, 6.0782229, 4.8779807, -12.3874667", \
+					  "6.2358081, 6.2337745, 6.2185619, 6.1997917, 6.0163356, 4.8444202, -12.2801697", \
+					  "6.2009052, 6.2073405, 6.1989484, 6.1774807, 6.0237331, 4.8585670, -12.3900664", \
+					  "6.1905696, 6.1814979, 6.1805897, 6.1565994, 6.0163578, 4.7536374, -12.4671081", \
+					  "6.1705610, 6.1636490, 6.1591292, 6.1380519, 5.9750811, 4.8292776, -12.1081948", \
+					  "6.1549049, 6.1492900, 6.1396866, 6.1155748, 5.9746420, 4.7162388, -12.3276155");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0694471, 3.0596669, 3.0750045, 3.0958780, 3.0978605, 3.1373860, 3.0718644", \
+					  "3.0412008, 3.0485153, 3.0421080, 3.0687287, 3.0468078, 3.0533001, 3.0370468", \
+					  "3.0115705, 3.0069748, 3.0074037, 3.0365874, 3.0334684, 3.0047867, 3.0312952", \
+					  "3.0038054, 3.0042803, 3.0067937, 3.0112964, 3.0177227, 3.0143955, 3.0370198", \
+					  "3.0033971, 3.0035082, 3.0018743, 3.0044393, 3.0099555, 3.0007981, 3.0122752", \
+					  "2.9992592, 2.9985725, 2.9953212, 3.0007125, 2.9928050, 3.0140150, 3.0143236", \
+					  "2.9906278, 2.9934064, 2.9967056, 2.9963294, 3.0070732, 3.0161299, 3.0149319");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3819948, 6.3806643, 6.3769112, 6.3635981, 6.3784751, 6.0043100, 0.3029318", \
+					  "6.3488167, 6.3473184, 6.3439621, 6.3468808, 6.3133273, 6.1331741, 0.3095407", \
+					  "6.3188181, 6.3205879, 6.3179828, 6.3162004, 6.2851961, 6.0831199, 0.2950285", \
+					  "6.2968662, 6.2980241, 6.2966606, 6.2935715, 6.2617671, 6.0770698, 0.1433062", \
+					  "6.2769857, 6.2775330, 6.2743706, 6.2754921, 6.2353419, 6.0281587, 0.2406914", \
+					  "6.2539180, 6.2562715, 6.2567000, 6.2574460, 6.2223551, 6.0138869, 0.2131191", \
+					  "6.2348262, 6.2366646, 6.2338639, 6.2367743, 6.1828604, 5.8571409, 0.1468260");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1624145, 0.1624353, 0.1623337, 0.1619144, 0.1613190, 0.1610932, 0.1609772", \
+					  "0.2102313, 0.2100260, 0.2100698, 0.2098609, 0.2090620, 0.2084621, 0.2083253", \
+					  "0.2547231, 0.2546726, 0.2548149, 0.2548095, 0.2542743, 0.2536306, 0.2533896", \
+					  "0.3095866, 0.3095389, 0.3096293, 0.3099266, 0.3099649, 0.3093726, 0.3091049", \
+					  "0.4095801, 0.4093693, 0.4090703, 0.4085785, 0.4077899, 0.4068946, 0.4065906", \
+					  "0.6048420, 0.6048750, 0.6039150, 0.6004407, 0.5940831, 0.5907194, 0.5899004", \
+					  "0.8982722, 0.8988160, 0.9033506, 0.9181798, 0.9309825, 0.9327612, 0.9331306");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9583242, 7.9638814, 7.9964976, 8.1551277, 8.3319524, 7.5351314, 1.4340606", \
+					  "7.9262637, 7.9294859, 7.9625515, 8.1161604, 8.2848883, 7.5089811, 1.3996977", \
+					  "7.8954982, 7.9002106, 7.9333629, 8.0873639, 8.2589731, 7.4671230, 1.2601923", \
+					  "7.8745269, 7.8787822, 7.9121539, 8.0720434, 8.2386046, 7.4388815, 1.3067778", \
+					  "7.8554375, 7.8595653, 7.8925614, 8.0462441, 8.2179513, 7.4222640, 1.2873308", \
+					  "7.8344878, 7.8384153, 7.8719336, 8.0257628, 8.1972801, 7.3994001, 1.2666502", \
+					  "7.8132953, 7.8179079, 7.8516501, 8.0055053, 8.1772967, 7.3812260, 1.2465412");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7411157, 4.7342597, 4.7816471, 4.9539888, 5.5498351, 6.4238573, 6.8956891", \
+					  "4.7007125, 4.7104443, 4.7434006, 4.9188050, 5.5103028, 6.3865974, 6.8647172", \
+					  "4.6676538, 4.6751998, 4.7124660, 4.8863790, 5.4744489, 6.3532347, 6.8273507", \
+					  "4.6656588, 4.6734798, 4.7060575, 4.8804844, 5.4770245, 6.3475079, 6.8229826", \
+					  "4.6485308, 4.6631220, 4.7047038, 4.8740891, 5.4682649, 6.3437859, 6.8177769", \
+					  "4.6583768, 4.6639873, 4.6982424, 4.8751018, 5.4645505, 6.3388355, 6.8152591", \
+					  "4.6521205, 4.6439101, 4.6990626, 4.8709255, 5.4598984, 6.3398733, 6.8111027");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340140, 0.1344596, 0.1345835, 0.1341261, 0.1341131, 0.1341445, 0.1341538", \
+					  "0.1916510, 0.1916465, 0.1917071, 0.1916402, 0.1917023, 0.1916398, 0.1916393", \
+					  "0.2515708, 0.2517470, 0.2517255, 0.2517387, 0.2514655, 0.2515618, 0.2516699", \
+					  "0.3055332, 0.3059723, 0.3055305, 0.3058646, 0.3054328, 0.3055213, 0.3056883", \
+					  "0.3703597, 0.3705314, 0.3703677, 0.3703290, 0.3703399, 0.3703397, 0.3703372", \
+					  "0.4669064, 0.4669610, 0.4669115, 0.4670045, 0.4668840, 0.4668056, 0.4669410", \
+					  "0.6009133, 0.6008393, 0.6008537, 0.6006745, 0.6009353, 0.6009627, 0.6009671");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5791581, 2.5778261, 2.5846604, 2.6174448, 2.8404428, 3.8279736, 4.4605227", \
+					  "2.5760238, 2.5764637, 2.5790949, 2.6194834, 2.8291917, 3.8787590, 4.4562424", \
+					  "2.5770508, 2.5777532, 2.5826386, 2.6186703, 2.8338198, 3.8849400, 4.4585918", \
+					  "2.5762094, 2.5759787, 2.5823184, 2.6170849, 2.8280472, 3.8627905, 4.4787869", \
+					  "2.5761897, 2.5767387, 2.5828773, 2.6166640, 2.8294457, 3.8518108, 4.4728483", \
+					  "2.5753972, 2.5763368, 2.5816175, 2.6179019, 2.8221584, 3.8603087, 4.4778806", \
+					  "2.5763053, 2.5751992, 2.5822053, 2.6173165, 2.8307523, 3.8659247, 4.4724170");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1343503, 0.1345055, 0.1340720, 0.1340474, 0.1339407, 0.1341420, 0.1343165", \
+					  "0.1916403, 0.1917034, 0.1916398, 0.1917012, 0.1917017, 0.1917004, 0.1916382", \
+					  "0.2517401, 0.2515643, 0.2517442, 0.2515624, 0.2515632, 0.2514494, 0.2517386", \
+					  "0.3059548, 0.3055174, 0.3059556, 0.3055158, 0.3055167, 0.3055147, 0.3059549", \
+					  "0.3703742, 0.3703649, 0.3703454, 0.3703580, 0.3703555, 0.3701551, 0.3701617", \
+					  "0.4670314, 0.4669724, 0.4670236, 0.4665971, 0.4669521, 0.4667644, 0.4670033", \
+					  "0.5997331, 0.5997449, 0.5997427, 0.5997768, 0.6000597, 0.5998080, 0.5996518");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5619603, 2.5640311, 2.5566991, 2.5602034, 2.5302914, 2.6063291, 2.5559688", \
+					  "2.5627406, 2.5603397, 2.5490052, 2.5619289, 2.5312549, 2.6007113, 2.5632933", \
+					  "2.5663712, 2.5600514, 2.5574578, 2.5631563, 2.5473025, 2.5858355, 2.5342827", \
+					  "2.5572642, 2.5580472, 2.5568134, 2.5618855, 2.5720534, 2.5945480, 2.5654287", \
+					  "2.5581681, 2.5567890, 2.5578513, 2.5582785, 2.5645412, 2.5863610, 2.5675096", \
+					  "2.5549178, 2.5566588, 2.5556864, 2.5607109, 2.5538528, 2.5943673, 2.5730265", \
+					  "2.5581184, 2.5566992, 2.5545236, 2.5609358, 2.5540945, 2.5503540, 2.5714819");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8953297, 2.8995238, 2.9112302, 2.9817135, 3.4523025, 4.7710781, 5.0028229", \
+					  "2.8936590, 2.8956891, 2.9063017, 2.9773813, 3.4304146, 4.7665272, 4.9754929", \
+					  "2.8946243, 2.8968449, 2.9067246, 2.9784069, 3.4282881, 4.7696264, 5.0017077", \
+					  "2.8949850, 2.8972000, 2.9076159, 2.9774589, 3.4387167, 4.7811730, 5.0114324", \
+					  "2.8931276, 2.8952776, 2.9065487, 2.9826829, 3.4419427, 4.7782386, 5.0006388", \
+					  "2.8924837, 2.8945653, 2.9062934, 2.9763367, 3.4417366, 4.7739793, 4.9890945", \
+					  "2.8913679, 2.8937007, 2.9069013, 2.9764409, 3.4383911, 4.7719183, 5.0023147");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1346057, 0.1341439, 0.1341440, 0.1340847, 0.1341410, 0.1345792, 0.1341324", \
+					  "0.1916452, 0.1916480, 0.1914992, 0.1917054, 0.1916423, 0.1916416, 0.1916395", \
+					  "0.2516035, 0.2518481, 0.2517420, 0.2517057, 0.2516559, 0.2515609, 0.2517344", \
+					  "0.3058685, 0.3055301, 0.3059646, 0.3055204, 0.3056054, 0.3055184, 0.3059535", \
+					  "0.3703806, 0.3703823, 0.3703606, 0.3707256, 0.3704225, 0.3703653, 0.3703452", \
+					  "0.4669518, 0.4669530, 0.4670033, 0.4669402, 0.4669368, 0.4669358, 0.4669879", \
+					  "0.5996141, 0.5996142, 0.6005245, 0.5996152, 0.5996332, 0.5996421, 0.5996383");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1344612, 0.1341139, 0.1340433, 0.1341132, 0.1344764, 0.1341142, 0.1341335", \
+					  "0.1916357, 0.1917086, 0.1916439, 0.1917027, 0.1916393, 0.1916366, 0.1916401", \
+					  "0.2515714, 0.2515704, 0.2517453, 0.2515625, 0.2517379, 0.2517364, 0.2518083", \
+					  "0.3055385, 0.3055376, 0.3059742, 0.3055270, 0.3059628, 0.3059633, 0.3059651", \
+					  "0.3703546, 0.3703538, 0.3703201, 0.3703489, 0.3703175, 0.3694221, 0.3703163", \
+					  "0.4668398, 0.4668498, 0.4669056, 0.4668407, 0.4669632, 0.4663887, 0.4668828", \
+					  "0.6013057, 0.6008803, 0.6008760, 0.6009110, 0.6009583, 0.6009869, 0.6013932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5768529, 2.5785067, 2.5839648, 2.6185858, 2.8333354, 3.8840308, 4.4251023", \
+					  "2.5761083, 2.5755873, 2.5808764, 2.6184445, 2.8257723, 3.8561153, 4.4520374", \
+					  "2.5775595, 2.5775144, 2.5824549, 2.6177917, 2.8173608, 3.8555360, 4.5124870", \
+					  "2.5760042, 2.5762929, 2.5823065, 2.6172891, 2.8319722, 3.8553897, 4.5017741", \
+					  "2.5760824, 2.5766678, 2.5820910, 2.6174612, 2.8386590, 3.8517614, 4.4990170", \
+					  "2.5765753, 2.5761195, 2.5812257, 2.6160659, 2.8206340, 3.8431215, 4.4778451", \
+					  "2.5756764, 2.5756586, 2.5812852, 2.6180074, 2.8308319, 3.8644740, 4.4939276");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1341235, 0.1342779, 0.1341188, 0.1340940, 0.1340754, 0.1340515, 0.1338876", \
+					  "0.1916380, 0.1916966, 0.1916794, 0.1917868, 0.1916442, 0.1916529, 0.1916333", \
+					  "0.2517760, 0.2515885, 0.2515606, 0.2515589, 0.2517060, 0.2518793, 0.2515583", \
+					  "0.3055166, 0.3053944, 0.3055845, 0.3055129, 0.3059349, 0.3059560, 0.3055125", \
+					  "0.3703177, 0.3703624, 0.3702366, 0.3703577, 0.3699940, 0.3703399, 0.3703529", \
+					  "0.4669892, 0.4669737, 0.4669732, 0.4669634, 0.4670148, 0.4670137, 0.4669540", \
+					  "0.5997750, 0.5996651, 0.5995806, 0.5998085, 0.5999054, 0.5998329, 0.5999963");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5603446, 2.5645255, 2.5566171, 2.5571865, 2.5314226, 2.5476317, 2.5672217", \
+					  "2.5537996, 2.5612301, 2.5723973, 2.5591137, 2.5701461, 2.5621026, 2.6123198", \
+					  "2.5609999, 2.5539974, 2.5574604, 2.5693792, 2.5872757, 2.5711562, 2.5833197", \
+					  "2.5586585, 2.5597154, 2.5627180, 2.5614501, 2.5659107, 2.5809629, 2.5751382", \
+					  "2.5568304, 2.5580961, 2.5556881, 2.5574534, 2.5788540, 2.5603489, 2.5631342", \
+					  "2.5587695, 2.5547881, 2.5556223, 2.5635281, 2.5699938, 2.5724118, 2.5777125", \
+					  "2.5557230, 2.5567831, 2.5552932, 2.5581859, 2.5653539, 2.5960176, 2.5748854");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5547286, 7.5520849, 7.5773468, 7.6995416, 7.7737713, 6.8027312, 0.6464890", \
+					  "7.5176105, 7.5217608, 7.5457703, 7.6643412, 7.7194274, 6.7884542, 0.6433409", \
+					  "7.4886041, 7.4888487, 7.5162478, 7.6351856, 7.6900515, 6.7547328, 0.5914290", \
+					  "7.4659241, 7.4714821, 7.4946736, 7.6136618, 7.6785855, 6.7441702, 0.5806104", \
+					  "7.4473126, 7.4486388, 7.4748964, 7.5943408, 7.6486177, 6.7201560, 0.5412702", \
+					  "7.4263673, 7.4299642, 7.4535044, 7.5726652, 7.6296630, 6.7169191, 0.5566219", \
+					  "7.4068956, 7.4076120, 7.4337621, 7.5536141, 7.6083481, 6.6755561, 0.5033607");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1626307, 0.1623795, 0.1623208, 0.1619809, 0.1613385, 0.1610252, 0.1609173", \
+					  "0.2100392, 0.2100425, 0.2100071, 0.2096907, 0.2090067, 0.2085198, 0.2083566", \
+					  "0.2548597, 0.2547877, 0.2547996, 0.2548419, 0.2543158, 0.2535902, 0.2533987", \
+					  "0.3096157, 0.3096002, 0.3095269, 0.3098694, 0.3097880, 0.3093305, 0.3091642", \
+					  "0.4095028, 0.4094589, 0.4091818, 0.4085605, 0.4076744, 0.4068710, 0.4066803", \
+					  "0.6049188, 0.6047859, 0.6040207, 0.6006892, 0.5941585, 0.5907362, 0.5898962", \
+					  "0.8990814, 0.8996067, 0.9049838, 0.9184356, 0.9316572, 0.9334587, 0.9336908");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8695198, 6.8732876, 6.8959977, 7.0168681, 7.0693196, 6.1589614, -0.0227442", \
+					  "6.8658830, 6.8669889, 6.8929495, 7.0125821, 7.0670199, 6.1416013, -0.0275409", \
+					  "6.8677536, 6.8696748, 6.8940906, 7.0179351, 7.0686970, 6.1427532, -0.0267011", \
+					  "6.8639302, 6.8724988, 6.8988202, 7.0191237, 7.0740225, 6.1463696, -0.0462825", \
+					  "6.8654327, 6.8678730, 6.8944117, 7.0156702, 7.0675891, 6.1413305, -0.0330323", \
+					  "6.8650362, 6.8722709, 6.8969451, 7.0132332, 7.0667377, 6.1560608, -0.0378172", \
+					  "6.8626357, 6.8703248, 6.8957554, 7.0210341, 7.0701168, 6.1510963, -0.0445782");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1338984, 0.1343041, 0.1340817, 0.1340824, 0.1340929, 0.1340166, 0.1340894", \
+					  "0.1926163, 0.1926186, 0.1925176, 0.1926215, 0.1926149, 0.1925564, 0.1925547", \
+					  "0.2616914, 0.2616916, 0.2616974, 0.2617066, 0.2618179, 0.2618877, 0.2617076", \
+					  "0.3451510, 0.3453294, 0.3451737, 0.3453386, 0.3453334, 0.3458500, 0.3454200", \
+					  "0.4256869, 0.4256938, 0.4258333, 0.4260180, 0.4261371, 0.4262649, 0.4262812", \
+					  "0.5232996, 0.5232807, 0.5231700, 0.5228483, 0.5227739, 0.5230141, 0.5229273", \
+					  "0.7046191, 0.7044798, 0.7036874, 0.7001439, 0.6945961, 0.6924211, 0.6920079");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6179489, 7.6248427, 7.6545533, 7.7790292, 7.8215933, 6.8915551, 0.6801258", \
+					  "7.5793858, 7.5872493, 7.6105631, 7.7353140, 7.7848481, 6.8738941, 0.6420950", \
+					  "7.5540223, 7.5581214, 7.5820311, 7.6999949, 7.7545277, 6.8261483, 0.6141963", \
+					  "7.5316475, 7.5351525, 7.5622853, 7.6820749, 7.7363510, 6.8049424, 0.5948106", \
+					  "7.5132329, 7.5167726, 7.5403406, 7.6586748, 7.7136213, 6.7818419, 0.5775073", \
+					  "7.4881512, 7.4920803, 7.5191862, 7.6369670, 7.6946882, 6.7697206, 0.5457980", \
+					  "7.4690490, 7.4735228, 7.5004310, 7.6207896, 7.6723204, 6.7403675, 0.5358050");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8601377, 3.8601030, 3.8848532, 3.9383776, 4.0010606, 4.0465138, 4.0230330", \
+					  "3.8231250, 3.8236315, 3.8357317, 3.8880241, 3.9517409, 3.9799440, 3.9891788", \
+					  "3.7889699, 3.7797048, 3.7999043, 3.8492997, 3.9180828, 3.9417483, 3.9451241", \
+					  "3.7862551, 3.7899739, 3.7963126, 3.8474223, 3.9208384, 3.9428442, 3.9529290", \
+					  "3.7796495, 3.7844746, 3.7956844, 3.8423311, 3.9164810, 3.9377752, 3.9323381", \
+					  "3.7781768, 3.7803139, 3.7807940, 3.8391089, 3.8996746, 3.9247466, 3.9435063", \
+					  "3.7654765, 3.7744858, 3.7807694, 3.8264030, 3.9119747, 3.9221433, 3.9319822");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7708747, 6.7807297, 6.7833851, 6.8196408, 6.8594250, 6.6154340, 1.2299603", \
+					  "6.7438835, 6.7458815, 6.7539826, 6.7959187, 6.8712022, 6.6675544, 1.0463215", \
+					  "6.7141238, 6.7156096, 6.7234475, 6.7630959, 6.8438334, 6.7649486, 0.8986881", \
+					  "6.6930050, 6.6936416, 6.7013104, 6.7409818, 6.8216321, 6.7740478, 1.0089116", \
+					  "6.6768542, 6.6771921, 6.6804993, 6.7216365, 6.7978564, 6.6059439, 0.8829863", \
+					  "6.6528050, 6.6542462, 6.6626201, 6.6927015, 6.7817496, 6.5760761, 0.9530821", \
+					  "6.6321238, 6.6353947, 6.6414471, 6.6792728, 6.7584859, 6.6586885, 0.9376333");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8707937, 3.8785930, 3.8938265, 3.9741225, 4.2200334, 5.2700399, 5.7487338", \
+					  "3.8372257, 3.8396162, 3.8569864, 3.9377768, 4.2142687, 5.2683557, 5.8881177", \
+					  "3.8021469, 3.8047273, 3.8236492, 3.9044080, 4.1800534, 5.2354526, 5.8368440", \
+					  "3.7992954, 3.8018658, 3.8185560, 3.9011696, 4.1728846, 5.2432376, 5.8335085", \
+					  "3.7948370, 3.7977166, 3.8158649, 3.8971930, 4.1719980, 5.2393568, 5.8463075", \
+					  "3.7922562, 3.7949446, 3.8125880, 3.8939622, 4.1650301, 5.2205685, 5.8597595", \
+					  "3.7865616, 3.7892834, 3.8091798, 3.8903046, 4.1670147, 5.2183629, 5.8953743");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6315730, 6.6096430, 6.6220792, 6.6689502, 6.5845810, 5.4078630, -11.8270116", \
+					  "6.5840981, 6.5818647, 6.5903388, 6.6038167, 6.5715639, 5.5141411, -11.6335057", \
+					  "6.5543506, 6.5527381, 6.5630775, 6.5760715, 6.5412417, 5.5059787, -11.6144437", \
+					  "6.5332309, 6.5344079, 6.5412449, 6.5547211, 6.5241999, 5.4364737, -11.3232124", \
+					  "6.5131670, 6.5144516, 6.5202234, 6.5355280, 6.4973390, 5.3866614, -11.6090871", \
+					  "6.4937296, 6.4963998, 6.4958867, 6.5143108, 6.4634196, 5.4113433, -11.7023948", \
+					  "6.4726173, 6.4741963, 6.4785158, 6.4942068, 6.4620403, 5.3928083, -11.8004185");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0985935, 3.1022331, 3.1053639, 3.1431375, 3.3478403, 4.3772531, 5.0003346", \
+					  "3.0614136, 3.0611128, 3.0685864, 3.1037272, 3.3169299, 4.3453605, 4.9613352", \
+					  "3.0275794, 3.0276529, 3.0356940, 3.0670901, 3.2817804, 4.3123304, 4.9211840", \
+					  "3.0234384, 3.0241494, 3.0305304, 3.0649102, 3.2727043, 4.2939097, 4.9215114", \
+					  "3.0194149, 3.0194796, 3.0293469, 3.0595406, 3.2810542, 4.3036526, 4.9242074", \
+					  "3.0155683, 3.0168045, 3.0212844, 3.0575971, 3.2903717, 4.3033274, 4.9307891", \
+					  "3.0133327, 3.0132273, 3.0175468, 3.0530761, 3.2619123, 4.2957177, 4.9045892");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9724694, 2.9734231, 2.9802343, 3.0303291, 3.2305611, 3.8653755, 4.1935528", \
+					  "3.0299845, 3.0294228, 3.0390228, 3.0829330, 3.2887309, 3.9296713, 4.2391569", \
+					  "3.1008454, 3.0988038, 3.1075907, 3.1557945, 3.3632372, 3.9967406, 4.3237613", \
+					  "3.1825735, 3.1817578, 3.1942884, 3.2389162, 3.4491133, 4.0832484, 4.4073939", \
+					  "3.2618114, 3.2640494, 3.2708499, 3.3183200, 3.5273037, 4.1588114, 4.4827237", \
+					  "3.3582053, 3.3568983, 3.3682706, 3.4166130, 3.6261328, 4.2566210, 4.5767035", \
+					  "3.5364829, 3.5366182, 3.5442439, 3.5898368, 3.7921379, 4.4281685, 4.7514496");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1226682, 6.1187438, 6.1239232, 6.1516168, 6.0891899, 5.2609259, -5.1832375", \
+					  "6.1754137, 6.1757996, 6.1810469, 6.2073117, 6.1510620, 5.3151925, -5.0976710", \
+					  "6.2368383, 6.2368312, 6.2426379, 6.2685897, 6.2107001, 5.3859715, -4.9996124", \
+					  "6.2880055, 6.2920490, 6.2968117, 6.3227882, 6.2644778, 5.4014248, -4.9596104", \
+					  "6.3539545, 6.3550420, 6.3601773, 6.3857844, 6.3268807, 5.5003376, -4.8938111", \
+					  "6.4501147, 6.4520118, 6.4572800, 6.4832221, 6.4235957, 5.5821089, -4.7889116", \
+					  "6.5833749, 6.5860389, 6.5907555, 6.6155510, 6.5582848, 5.7103552, -4.6496021");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.4712488, 11.4798852, 11.4881129, 11.5689551, 11.5810904, 10.1604056, -7.1068379", \
+					  "11.4331744, 11.4383304, 11.4483341, 11.5198004, 11.5068987, 10.2093584, -7.1923227", \
+					  "11.4063778, 11.4075455, 11.4221431, 11.4902477, 11.4729252, 10.1922937, -7.2601258", \
+					  "11.3988764, 11.4038634, 11.4185172, 11.4880267, 11.4763538, 10.2025648, -7.1527118", \
+					  "11.4562454, 11.4573677, 11.4701928, 11.5411567, 11.5294311, 10.1952968, -7.1701145", \
+					  "11.4873593, 11.4904182, 11.5033159, 11.5722754, 11.5527859, 10.2708264, -7.0764118", \
+					  "11.4798505, 11.4841432, 11.4987670, 11.5673348, 11.5526000, 10.2329339, -7.1843044");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1167270, 6.1201142, 6.1450525, 6.2618103, 6.6369885, 7.7354438, 8.2057409", \
+					  "6.0728780, 6.0785919, 6.0995508, 6.2164434, 6.5929584, 7.6580252, 8.2064837", \
+					  "6.0336512, 6.0326257, 6.0565748, 6.1707257, 6.5584655, 7.6239880, 8.1691240", \
+					  "6.0446878, 6.0455743, 6.0691631, 6.1783595, 6.5671519, 7.6346509, 8.1725863", \
+					  "6.0684665, 6.0728887, 6.0945764, 6.2039622, 6.5908155, 7.6582339, 8.1979558", \
+					  "6.1313552, 6.1341193, 6.1521883, 6.2635371, 6.6488379, 7.7136635, 8.2594251", \
+					  "6.2188812, 6.2204640, 6.2491747, 6.3608533, 6.7584403, 7.8282124, 8.3697578");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4672446, 7.4770607, 7.4770611, 7.4899154, 7.4899157, 7.4899161, 7.4899166", \
+					  "7.5598727, 7.5598729, 7.5598734, 7.5612689, 7.5612691, 7.5612696, 7.6385467", \
+					  "7.6103319, 7.6103323, 7.6103328, 7.6103333, 7.6103337, 7.6103342, 7.7130924", \
+					  "7.6459106, 7.6459110, 7.6459115, 7.6459120, 7.6459125, 7.6459129, 7.7256566", \
+					  "7.6539193, 7.6591702, 7.6591704, 7.6591709, 7.6591714, 7.6591719, 7.7812690", \
+					  "7.6791435, 7.6791437, 7.6791442, 7.6791447, 7.6791451, 7.6791456, 7.7014199", \
+					  "7.6874809, 7.6920594, 7.6920598, 7.6920603, 7.6920608, 7.6920612, 7.7800122");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1333190, 18.3472120, 19.7051800, 28.0690190, 79.0297820, 391.4067900, 2315.6504000", \
+					  "18.2179690, 18.4345620, 19.7991440, 28.1481910, 79.1101660, 391.4825300, 2315.6717000", \
+					  "18.2552550, 18.4743530, 19.8420200, 28.1882390, 79.1543190, 391.5224900, 2315.7525000", \
+					  "18.2825650, 18.5021260, 19.8688190, 28.2115750, 79.1809070, 391.5519600, 2315.7818000", \
+					  "18.3041720, 18.5146920, 19.8738060, 28.2338440, 79.1905780, 391.5736500, 2315.7017000", \
+					  "18.3160580, 18.5350150, 19.9012470, 28.2465320, 79.2110480, 391.5880600, 2315.7713000", \
+					  "18.3306900, 18.5478970, 19.9053290, 28.2577590, 79.2192130, 391.5975200, 2315.6847000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.4985570, 16.9166490, 19.5651390, 35.9418980, 136.9498600, 759.7344600, 4600.3411000", \
+					  "16.4981180, 16.9397830, 19.5798380, 35.9370810, 136.9519000, 758.7643700, 4599.3306000", \
+					  "16.5106910, 16.9343310, 19.5787690, 35.8609640, 137.0301000, 758.8537900, 4598.8318000", \
+					  "16.5106900, 16.9359900, 19.5787940, 35.9480770, 137.0984100, 758.7782400, 4599.3643000", \
+					  "16.5008490, 16.9382750, 19.5613250, 35.9446230, 136.9969900, 759.1576100, 4599.6057000", \
+					  "16.5095690, 16.9342280, 19.5796680, 35.9481650, 136.6299200, 758.9875400, 4598.5603000", \
+					  "16.4996350, 16.9397440, 19.5345430, 35.8912650, 136.8903500, 758.7371400, 4603.4847000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.6789940, 12.7403360, 13.1142710, 15.1194750, 19.9138870, 40.7919490, 82.0927890", \
+					  "12.8072100, 12.8682050, 13.2445260, 15.2468400, 20.0434090, 40.9103860, 82.2283040", \
+					  "12.8964310, 12.9572260, 13.3340790, 15.3355230, 20.1328110, 41.0013110, 82.3146280", \
+					  "12.9655670, 13.0274790, 13.4049040, 15.4051700, 20.2040750, 41.0756020, 82.3893200", \
+					  "13.0257310, 13.0871150, 13.4627740, 15.4662030, 20.2620130, 41.1281710, 82.4467530", \
+					  "13.0817130, 13.1426950, 13.5178370, 15.5222430, 20.3183960, 41.1751310, 82.5015150", \
+					  "13.1266540, 13.1898770, 13.5631490, 15.5678720, 20.3627750, 41.2376910, 82.5480980");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6867855, 3.7471550, 4.0295407, 4.7956585, 7.9229494, 25.6794430, 57.0252010", \
+					  "3.6877967, 3.7466807, 4.0267403, 4.7909570, 7.9254692, 25.6675910, 56.9155320", \
+					  "3.6882692, 3.7472634, 4.0265586, 4.7893827, 7.9251263, 25.6681500, 57.0526130", \
+					  "3.6904451, 3.7498347, 4.0267056, 4.7994182, 7.9214786, 25.6686300, 56.8795000", \
+					  "3.6875010, 3.7463552, 4.0270804, 4.7923692, 7.9257259, 25.6672270, 56.8769000", \
+					  "3.6869623, 3.7440833, 4.0289212, 4.7957784, 7.9247906, 25.6532610, 56.9620330", \
+					  "3.6867693, 3.7441259, 4.0297747, 4.7957345, 7.9215257, 25.6785190, 56.9507320");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("7.3340529, 7.3400015, 7.3778343, 7.5929965, 9.0222789, 18.5433540, 78.1898560", \
+					  "7.4246125, 7.4308457, 7.4681950, 7.6839815, 9.1130632, 18.6284230, 78.2791500", \
+					  "7.4712183, 7.4773698, 7.5149496, 7.7302653, 9.1602281, 18.6744590, 78.3270270", \
+					  "7.5027202, 7.5087820, 7.5458573, 7.7604678, 9.1915711, 18.7072630, 78.3599030", \
+					  "7.5229805, 7.5291284, 7.5666007, 7.7820976, 9.2112131, 18.7260390, 78.3803240", \
+					  "7.5392173, 7.5453670, 7.5829236, 7.7980786, 9.2275929, 18.7432790, 78.3902790", \
+					  "7.5508222, 7.5567756, 7.5941209, 7.8098870, 9.2381756, 18.7537380, 78.4085470");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("1.4896568, 1.5105608, 1.5842710, 2.1329571, 5.9855250, 31.6714410, 191.5879700", \
+					  "1.4911207, 1.5038027, 1.5859778, 2.1362667, 5.9858718, 31.6231490, 191.7887000", \
+					  "1.4955764, 1.5085578, 1.5878306, 2.1302990, 5.9927445, 31.6791180, 191.1525900", \
+					  "1.4963605, 1.5077993, 1.5855394, 2.1375754, 5.9850471, 31.6962670, 191.7465400", \
+					  "1.4885979, 1.5014734, 1.5773817, 2.1342594, 5.9863794, 31.6738850, 191.1655100", \
+					  "1.4873515, 1.4997212, 1.5786483, 2.1362412, 5.9862857, 31.7205640, 191.5587400", \
+					  "1.4944509, 1.5039983, 1.5773246, 2.1343873, 5.9864944, 31.6732740, 191.7737200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("132.6587400, 132.6709200, 132.7291400, 133.0437600, 135.0729400, 145.6779000, 193.1260800", \
+					  "132.7898100, 132.7970000, 132.8507300, 133.1694100, 135.2012500, 145.7994200, 193.2470800", \
+					  "132.8846800, 132.8941400, 132.9508000, 133.2643400, 135.3035900, 145.9000900, 193.3726800", \
+					  "132.9588500, 132.9679400, 133.0246100, 133.3410900, 135.3775800, 145.9740100, 193.4496700", \
+					  "132.9980500, 133.0072500, 133.0638400, 133.3794300, 135.4119500, 146.0119700, 193.4865800", \
+					  "133.0663400, 133.0787700, 133.1321600, 133.4554300, 135.4918300, 146.0882300, 193.5645600", \
+					  "133.1128100, 133.1217700, 133.1773500, 133.4940800, 135.5302400, 146.1268400, 193.6089000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("50.7080640, 50.7012350, 50.8150960, 50.6022570, 49.9577130, 55.1479890, 175.6039200", \
+					  "50.6640600, 50.8423280, 51.0680210, 50.6896210, 49.9202530, 55.0949050, 176.5965900", \
+					  "50.8472590, 50.8458840, 50.8418690, 50.6064700, 50.3568030, 55.2208910, 176.4151600", \
+					  "50.7111830, 50.8398300, 50.8353040, 50.6007790, 50.3618120, 55.2198710, 176.3226300", \
+					  "50.7088840, 50.8348710, 50.8309480, 50.6011450, 50.3217310, 55.2174770, 175.8354200", \
+					  "50.7090940, 50.7066990, 50.8321140, 50.6002960, 50.3602950, 55.2199960, 176.3022900", \
+					  "50.7085930, 50.7057860, 50.8309550, 50.6015600, 50.3656320, 55.2232430, 176.3041600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("7.3077362, 7.3139947, 7.3511175, 7.5644779, 8.9760173, 18.8220920, 82.0435840", \
+					  "7.3983566, 7.4045747, 7.4411362, 7.6549620, 9.0664143, 18.9132800, 82.1341500", \
+					  "7.4450694, 7.4511020, 7.4881061, 7.7021580, 9.1126058, 18.9602090, 82.1804350", \
+					  "7.4758653, 7.4820790, 7.5192110, 7.7332460, 9.1435890, 18.9906350, 82.2113080", \
+					  "7.4972437, 7.5023987, 7.5400290, 7.7540001, 9.1649053, 19.0120290, 82.2325670", \
+					  "7.5133668, 7.5198150, 7.5565572, 7.7702991, 9.1814103, 19.0287110, 82.2518160", \
+					  "7.5247915, 7.5311366, 7.5678512, 7.7815905, 9.1928829, 19.0395430, 82.2629430");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("1.5694861, 1.5828402, 1.6659747, 2.2135214, 6.0493877, 31.7020930, 191.6946400", \
+					  "1.5650049, 1.5783286, 1.6644246, 2.2132505, 6.0487095, 31.6806990, 191.6350800", \
+					  "1.5766434, 1.5891746, 1.6695128, 2.2120312, 6.0511542, 31.6577480, 191.7257200", \
+					  "1.5652148, 1.5865610, 1.6684663, 2.2128923, 6.0503917, 31.6734980, 191.6798600", \
+					  "1.5702586, 1.5775268, 1.6652030, 2.2122044, 6.0504077, 31.6574500, 191.6973800", \
+					  "1.5648858, 1.5777214, 1.6580690, 2.2107116, 6.0475922, 31.6535460, 191.6063500", \
+					  "1.5647259, 1.5772283, 1.6576923, 2.2107332, 6.0485310, 31.7117180, 191.5590800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("112.9008900, 112.9085700, 112.9645600, 113.2540500, 114.8226900, 120.5912500, 154.1294200", \
+					  "113.0227900, 113.0315800, 113.0838600, 113.4029600, 114.9656000, 120.7339000, 154.2462200", \
+					  "113.1003600, 113.1320600, 113.1880400, 113.4556400, 115.0602000, 120.8116300, 154.3419000", \
+					  "113.1996500, 113.2070200, 113.2610000, 113.5298200, 115.1339200, 120.8856900, 154.4146900", \
+					  "113.2254200, 113.2439500, 113.2889200, 113.5699800, 115.1480500, 120.9280100, 154.4548200", \
+					  "113.3094400, 113.3212900, 113.3727600, 113.6441500, 115.2260000, 120.9965000, 154.5278800", \
+					  "113.3540500, 113.3599100, 113.4142600, 113.6825900, 115.2877600, 121.0394500, 154.5720500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("115.2665000, 115.2592500, 115.1281500, 115.0186400, 114.1592700, 111.8324600, 111.0291900", \
+					  "115.2500000, 115.2532000, 115.2027700, 115.0141700, 114.1063800, 111.8725000, 111.0314000", \
+					  "115.2439800, 115.2648400, 115.1392400, 115.0255500, 114.1546400, 111.8352600, 111.1088900", \
+					  "115.2763200, 115.2689100, 115.1377500, 115.0289700, 114.1587200, 111.8371100, 111.0991500", \
+					  "115.2147200, 115.2004100, 115.1106800, 115.0140000, 114.1335700, 111.8318900, 111.0101500", \
+					  "115.2726100, 115.2682700, 115.1417000, 115.0284200, 114.1477700, 111.8333700, 111.0756500", \
+					  "115.2690700, 115.2617200, 115.1267700, 115.0218200, 114.1507100, 111.8290700, 111.0575400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5953360, 8.5994802, 8.5994812, 8.5994821, 8.5994831, 8.5994840, 8.5994850", \
+					  "8.6739691, 8.6739698, 8.6739707, 8.6739717, 8.6739727, 8.6739736, 8.6739746", \
+					  "8.7266185, 8.7266193, 8.7266202, 8.7266212, 8.7266222, 8.7266231, 8.7266241", \
+					  "8.7563485, 8.7563491, 8.7563501, 8.7563510, 8.7563520, 8.7563530, 8.7563539", \
+					  "8.7816865, 8.7816873, 8.7816883, 8.7816892, 8.7816902, 8.7816911, 8.7816921", \
+					  "8.7946495, 8.7946497, 8.7946506, 8.7946516, 8.7946525, 8.7946535, 8.7946544", \
+					  "8.8041787, 8.8041788, 8.8041797, 8.8041807, 8.8041816, 8.8041826, 8.8041835");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3989712, 7.4040467, 7.4040468, 7.4040473, 7.4040478, 7.4040483, 7.4040487", \
+					  "7.4833535, 7.4906605, 7.4906610, 7.4906614, 7.4906619, 7.4906624, 7.4906629", \
+					  "7.5307094, 7.5372206, 7.5372208, 7.5372212, 7.5372217, 7.5372222, 7.5372227", \
+					  "7.5599873, 7.5825586, 7.5825589, 7.5825594, 7.5825599, 7.5825604, 7.5825608", \
+					  "7.5988620, 7.6041369, 7.6041372, 7.6041377, 7.6041382, 7.6041387, 7.6041391", \
+					  "7.6128250, 7.6128252, 7.6128257, 7.6128262, 7.6128266, 7.6128271, 7.6128276", \
+					  "7.6238846, 7.6238850, 7.6238854, 7.6238859, 7.6238864, 7.6238869, 7.6238874");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4788350, 10.5287980, 10.8160520, 12.5756440, 23.2947510, 89.2308170, 419.0997900", \
+					  "10.5644240, 10.6097290, 10.8960520, 12.6559940, 23.3756180, 89.3117120, 419.1716400", \
+					  "10.6051450, 10.6507830, 10.9387430, 12.7038660, 23.4185080, 89.3536480, 419.2231100", \
+					  "10.6275810, 10.6781240, 10.9673060, 12.7334840, 23.4502340, 89.3846630, 419.2482700", \
+					  "10.6520320, 10.6976110, 10.9846950, 12.7429560, 23.4626060, 89.3984900, 419.2647200", \
+					  "10.6673150, 10.7067830, 11.0039650, 12.7612820, 23.4741040, 89.4129290, 419.2680800", \
+					  "10.6777620, 10.7237280, 11.0095940, 12.7700050, 23.4875140, 89.4237500, 419.2857000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1715564, 3.2519134, 3.7429908, 6.7342363, 25.4669850, 141.4815900, 385.9206000", \
+					  "3.1718208, 3.2519869, 3.7431485, 6.7339001, 25.4753230, 141.4867900, 385.9017600", \
+					  "3.1720024, 3.2520899, 3.7429517, 6.7334311, 25.4604990, 141.4662700, 385.9169900", \
+					  "3.1728691, 3.2522081, 3.7424273, 6.7335053, 25.4747640, 141.2229300, 385.9169600", \
+					  "3.1721088, 3.2519083, 3.7430588, 6.7339831, 25.4838990, 141.1835900, 385.9154000", \
+					  "3.1721292, 3.2508314, 3.7429611, 6.7341834, 25.4840970, 141.4962300, 385.6515300", \
+					  "3.1718712, 3.2518315, 3.7431085, 6.7342278, 25.4340000, 141.2623300, 385.9138900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("20.5375840, 20.7544290, 22.1025850, 30.2920500, 80.2998200, 387.9351300, 2284.4401000", \
+					  "20.6647110, 20.8806110, 22.2307990, 30.4211480, 80.3976690, 388.2374500, 2284.8669000", \
+					  "20.7511040, 20.9668600, 22.3171790, 30.5061540, 80.7845310, 388.3948000, 2285.2948000", \
+					  "20.8044660, 21.0333920, 22.3611850, 30.5739190, 80.6077910, 388.5852500, 2285.0879000", \
+					  "20.8820570, 21.1013590, 22.4465570, 30.6182880, 80.6347630, 388.0947500, 2284.5777000", \
+					  "20.9187350, 21.1478660, 22.5022380, 30.6889660, 80.7224860, 388.7073500, 2285.4140000", \
+					  "20.9848050, 21.2013770, 22.5510990, 30.7402810, 80.7105490, 388.5772100, 2285.8724000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6583740, 16.0891730, 18.6911250, 34.8229390, 134.5031800, 749.1503900, 4539.3722000", \
+					  "15.6566940, 16.0893110, 18.6911200, 34.8245200, 134.5727200, 748.4442800, 4537.6869000", \
+					  "15.6586730, 16.0893430, 18.6912320, 34.8319200, 134.2577300, 748.1008900, 4537.5423000", \
+					  "15.6532550, 16.0842340, 18.6652400, 34.7628590, 134.4085000, 749.0357900, 4536.8793000", \
+					  "15.6601130, 16.0714840, 18.6867000, 34.7699540, 134.2917700, 747.9561100, 4537.8056000", \
+					  "15.6530680, 16.0835420, 18.6896240, 34.7602840, 134.4225600, 748.7368500, 4537.3490000", \
+					  "15.6579390, 16.0893410, 18.6912460, 34.8211840, 134.5611500, 747.9117700, 4539.3626000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5542258, 8.5542264, 8.5542274, 8.5681213, 8.5681215, 8.5681224, 8.5681234", \
+					  "8.6673593, 8.6673599, 8.6673608, 8.6673618, 8.6673627, 8.6673637, 8.6673646", \
+					  "8.7090148, 8.7108516, 8.7108522, 8.7108531, 8.7108541, 8.7108551, 8.7108560", \
+					  "8.7407992, 8.7461780, 8.7461782, 8.7461792, 8.7461801, 8.7461811, 8.7461820", \
+					  "8.7649692, 8.7649694, 8.7649704, 8.7649713, 8.7649723, 8.7649732, 8.7649742", \
+					  "8.7632728, 8.7809910, 8.7809911, 8.7809921, 8.7809930, 8.7809940, 8.7809949", \
+					  "8.7939300, 8.7939306, 8.7939315, 8.7939325, 8.7939334, 8.7939344, 8.7939353");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4809643, 7.4809645, 7.4809649, 7.4809654, 7.4809659, 7.4809664, 7.4972917", \
+					  "7.5409066, 7.5413462, 7.5413464, 7.5413468, 7.5413473, 7.5413478, 7.5413483", \
+					  "7.6129973, 7.6129978, 7.6129983, 7.6129988, 7.6129993, 7.6129997, 7.7153031", \
+					  "7.6410993, 7.6441040, 7.6441043, 7.6441048, 7.6441052, 7.6441057, 7.7480955", \
+					  "7.6554141, 7.6642639, 7.6642640, 7.6642645, 7.6642650, 7.6642654, 7.7618427", \
+					  "7.6813498, 7.6813500, 7.6841120, 7.6841124, 7.6841128, 7.6841133, 7.7937568", \
+					  "7.6929787, 7.6929791, 7.6929796, 7.6929801, 7.6929806, 7.6929811, 7.7778690");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.5815400, 12.6401990, 13.0247980, 15.3285010, 29.3114400, 103.3966700, 176.2660000", \
+					  "12.7046740, 12.7680900, 13.1538380, 15.4542010, 29.4115040, 103.5260100, 176.3891800", \
+					  "12.7958830, 12.8572840, 13.2426590, 15.5455470, 29.5309470, 103.6154100, 176.4765800", \
+					  "12.8656140, 12.9268580, 13.3097010, 15.6153150, 29.5983220, 103.6796500, 176.5357500", \
+					  "12.9217000, 12.9841200, 13.3670650, 15.6728990, 29.6571030, 103.7372500, 176.5941000", \
+					  "12.9797670, 13.0426270, 13.4257980, 15.7309050, 29.7148080, 103.8018200, 176.6606500", \
+					  "13.0294500, 13.0911630, 13.4758840, 15.7804930, 29.7647080, 103.8479100, 176.7031700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8179859, 3.9097570, 4.4736339, 8.0068485, 30.3484060, 85.3768260, 73.6254580", \
+					  "3.8204395, 3.9108241, 4.4741748, 8.0151397, 30.3625500, 85.3587990, 73.6896480", \
+					  "3.8180419, 3.9097090, 4.4736126, 8.0069276, 30.3487870, 85.3806770, 73.5963820", \
+					  "3.8180010, 3.9097792, 4.4685424, 8.0068530, 30.3484170, 85.3720220, 73.5866480", \
+					  "3.8191090, 3.9066506, 4.4687294, 8.0127069, 30.3569080, 85.3685190, 73.5549220", \
+					  "3.8192765, 3.9097361, 4.4736099, 8.0069649, 30.3571390, 85.3829290, 73.6021380", \
+					  "3.8178931, 3.9068651, 4.4684878, 8.0128393, 30.3484740, 85.3622740, 73.6101310");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4856699, 7.4856704, 7.4856709, 7.4856713, 7.4856718, 7.4856723, 7.4856728", \
+					  "7.5427113, 7.5430027, 7.5430029, 7.5430034, 7.5430038, 7.5430043, 7.5430048", \
+					  "7.6153466, 7.6153467, 7.6153472, 7.6153477, 7.6153482, 7.6153486, 7.7185023", \
+					  "7.6432887, 7.6432889, 7.6432894, 7.6432899, 7.6432903, 7.6432908, 7.7546272", \
+					  "7.6573743, 7.6672295, 7.6672299, 7.6672304, 7.6672309, 7.6672314, 7.7769045", \
+					  "7.6683415, 7.6829654, 7.6863937, 7.6892270, 7.6892274, 7.6892279, 7.7998950", \
+					  "7.6936981, 7.6936982, 7.6936987, 7.6936992, 7.6936996, 7.6937001, 7.7940099");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.5456800, 12.6062610, 12.9797620, 14.9957520, 19.8593050, 43.2816520, 138.4638800", \
+					  "12.6736190, 12.7346930, 13.1103350, 15.1222160, 19.9822520, 43.3925290, 138.5722500", \
+					  "12.7626730, 12.8234890, 13.1984490, 15.2098190, 20.0749750, 43.4983850, 138.6731300", \
+					  "12.8329940, 12.8936910, 13.2689090, 15.2797900, 20.1408630, 43.5648740, 138.7424500", \
+					  "12.8892960, 12.9493850, 13.3238530, 15.3397690, 20.1997870, 43.6246170, 138.8061900", \
+					  "12.9467960, 13.0074650, 13.3823240, 15.3938800, 20.2590990, 43.6839720, 138.8600900", \
+					  "12.9975020, 13.0582300, 13.4297680, 15.4410920, 20.3088600, 43.7330310, 138.9004900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6964731, 3.7565577, 4.0387715, 4.8358553, 7.9680413, 39.7655560, 89.0640170", \
+					  "3.6981656, 3.7557553, 4.0391890, 4.8425677, 7.9891578, 39.7783180, 89.0374280", \
+					  "3.6965961, 3.7566781, 4.0388946, 4.8358939, 7.9680449, 39.7665440, 89.0155860", \
+					  "3.6965966, 3.7566803, 4.0389572, 4.8358522, 7.9693558, 39.7700150, 89.0135750", \
+					  "3.6964558, 3.7562349, 4.0401220, 4.8330877, 7.9683584, 39.7661810, 89.0553760", \
+					  "3.6965826, 3.7566759, 4.0389634, 4.8358766, 7.9680378, 39.7659150, 89.0124950", \
+					  "3.6964616, 3.7565404, 4.0388208, 4.8355013, 7.9680911, 39.7650870, 89.0057020");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1777220, 18.3872440, 19.7272440, 27.9934000, 78.6840460, 390.7702300, 2315.1401000", \
+					  "18.3051190, 18.5146140, 19.8640980, 28.1217270, 78.8116810, 390.8973000, 2315.1310000", \
+					  "18.3950930, 18.6019540, 19.9536050, 28.2111930, 78.8984590, 390.9841300, 2315.1028000", \
+					  "18.4648350, 18.6810820, 20.0274770, 28.2774940, 78.9713540, 391.0655600, 2314.9214000", \
+					  "18.5228150, 18.7448880, 20.0856290, 28.3391890, 79.0366170, 391.1249300, 2315.1708000", \
+					  "18.5831530, 18.7927340, 20.1419570, 28.3946220, 79.0834990, 391.1738700, 2315.3998000", \
+					  "18.6210690, 18.8515020, 20.1924380, 28.4305410, 79.1391060, 391.2210200, 2315.3852000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5025430, 16.9197860, 19.5680450, 35.9565780, 137.0274100, 758.9144400, 4600.6307000", \
+					  "16.5022750, 16.9197860, 19.5724490, 35.9565920, 136.5856700, 758.7585700, 4598.9746000", \
+					  "16.5022790, 16.9170380, 19.5724380, 35.9566210, 136.5856000, 758.7552200, 4600.8099000", \
+					  "16.5080160, 16.9267980, 19.5838690, 35.9288460, 137.0461100, 760.0142500, 4601.4229000", \
+					  "16.5026210, 16.9321680, 19.5690560, 35.9553350, 137.0445400, 759.8586100, 4599.3424000", \
+					  "16.4998260, 16.9267340, 19.5650800, 35.9551140, 136.5844300, 760.0709800, 4601.4434000", \
+					  "16.5144860, 16.9214010, 19.5491780, 35.9053020, 137.0518000, 760.0710300, 4600.8358000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0621350, 14.1236020, 14.5009430, 16.5114730, 21.3201420, 42.1875940, 83.5150260", \
+					  "14.1894230, 14.2514840, 14.6269580, 16.6435580, 21.4485410, 42.3284410, 83.6434140", \
+					  "14.2798900, 14.3375850, 14.7177160, 16.7270230, 21.5376550, 42.4205490, 83.7339510", \
+					  "14.3426670, 14.4104010, 14.7827070, 16.7937730, 21.6048900, 42.4794070, 83.7990940", \
+					  "14.4063690, 14.4683070, 14.8445340, 16.8592410, 21.6630820, 42.5320470, 83.8637390", \
+					  "14.4595980, 14.5164820, 14.9006490, 16.9045450, 21.7184870, 42.6238350, 83.9136400", \
+					  "14.5068580, 14.5678220, 14.9432590, 16.9580650, 21.7690540, 42.6396980, 83.9660570");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6993930, 3.7602312, 4.0445647, 4.8158095, 7.9302698, 25.6689020, 57.0552280", \
+					  "3.6997221, 3.7579295, 4.0443662, 4.8162381, 7.9256841, 25.6833770, 56.9513680", \
+					  "3.6997005, 3.7581378, 4.0470058, 4.8230397, 7.9302760, 25.6841390, 56.9555250", \
+					  "3.7038308, 3.7621404, 4.0432928, 4.8152322, 7.9285005, 25.6724510, 57.0614240", \
+					  "3.7041890, 3.7607704, 4.0447858, 4.8233160, 7.9200806, 25.6689110, 57.0624120", \
+					  "3.7026588, 3.7629704, 4.0478412, 4.8207949, 7.9295654, 25.6700950, 56.8769280", \
+					  "3.6993391, 3.7586152, 4.0439581, 4.8226481, 7.9300158, 25.6717740, 56.8584110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("17.6641370, 17.8856220, 19.2146740, 27.4795480, 78.1740110, 390.2579200, 2314.1629000", \
+					  "17.7434850, 17.9665530, 19.2943630, 27.5605250, 78.2545580, 390.3402300, 2314.4414000", \
+					  "17.7881690, 18.0090500, 19.3399590, 27.6046260, 78.2969640, 390.3730600, 2314.0526000", \
+					  "17.8105900, 18.0253290, 19.3722570, 27.6313410, 78.3248030, 390.4011700, 2314.4219000", \
+					  "17.8318070, 18.0536150, 19.3818380, 27.6478200, 78.3431770, 390.4274900, 2314.2404000", \
+					  "17.8408880, 18.0568950, 19.4023000, 27.6621960, 78.3517250, 390.4287400, 2314.1633000", \
+					  "17.8577700, 18.0791700, 19.4042380, 27.6732040, 78.3681950, 390.4534400, 2314.5408000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5041390, 16.9202450, 19.5387240, 35.9500200, 137.0378000, 759.9565500, 4599.9236000", \
+					  "16.5092150, 16.9219750, 19.5455720, 35.9205540, 137.0415100, 760.1411200, 4600.5221000", \
+					  "16.5009410, 16.9358430, 19.5526050, 35.9433940, 137.0340200, 760.0067400, 4599.2570000", \
+					  "16.4804580, 16.9321130, 19.5778900, 35.9509540, 137.0366400, 760.0654000, 4600.8490000", \
+					  "16.5075030, 16.9229660, 19.5456330, 35.9271160, 137.0363100, 759.9992400, 4598.8395000", \
+					  "16.4990150, 16.9317610, 19.5688670, 35.9504570, 136.5849700, 758.6996300, 4599.5962000", \
+					  "16.5068560, 16.9220120, 19.5693190, 35.8809120, 137.0409600, 760.0979800, 4601.7403000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("19.9184940, 20.1442290, 21.4595430, 29.5610430, 79.3894020, 387.3611000, 2283.8490000", \
+					  "20.0644410, 20.2726600, 21.5956040, 29.7209170, 79.5097610, 387.0355000, 2283.4042000", \
+					  "20.1570050, 20.3664390, 21.6884000, 29.7722660, 79.6192480, 387.0173400, 2284.3847000", \
+					  "20.2189000, 20.4364720, 21.7537130, 29.8415940, 79.7327640, 387.6258500, 2284.1029000", \
+					  "20.2826860, 20.4905180, 21.8136890, 29.9016460, 79.7025730, 387.2949000, 2284.0552000", \
+					  "20.3234030, 20.5414900, 21.8569620, 29.9632990, 79.8289080, 387.7639500, 2284.3733000", \
+					  "20.3744880, 20.5951340, 21.9089030, 30.0102880, 79.8566760, 387.7979400, 2284.5618000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6740190, 16.0828130, 18.7002750, 34.8290180, 134.1651200, 749.3621900, 4538.0466000", \
+					  "15.6537380, 16.0803580, 18.6974290, 34.7804950, 134.2061900, 747.9681000, 4538.0471000", \
+					  "15.6645100, 16.0696910, 18.7018560, 34.7540660, 134.2201900, 748.0525700, 4538.4295000", \
+					  "15.6588240, 16.0966460, 18.7035120, 34.8691350, 134.4226900, 749.3036900, 4539.0125000", \
+					  "15.6506750, 16.0827010, 18.6948910, 34.7650370, 134.3098000, 748.1122600, 4540.2971000", \
+					  "15.6731730, 16.1013580, 18.6983510, 34.8099010, 134.1766000, 748.3625400, 4537.4745000", \
+					  "15.6785140, 16.0800650, 18.7000020, 34.8261900, 134.2822900, 748.7339000, 4539.1189000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1862437, 8.1862445, 8.1862455, 8.1862464, 8.1862474, 8.1862484, 8.2419493", \
+					  "8.2287022, 8.2287031, 8.2290734, 8.2290741, 8.2290750, 8.2290760, 8.3279073", \
+					  "8.2574525, 8.2584242, 8.2584243, 8.2584253, 8.2584262, 8.2584272, 8.3760749", \
+					  "8.3299705, 8.3300797, 8.3300806, 8.3300815, 8.3300825, 8.3300834, 8.3600113", \
+					  "8.3245828, 8.3245836, 8.3468708, 8.3468709, 8.3468719, 8.3468729, 8.3688327", \
+					  "8.3618783, 8.3851779, 8.3851781, 8.3851791, 8.3851800, 8.3851810, 8.4181968", \
+					  "8.3444966, 8.3450908, 8.3450914, 8.3450923, 8.3450933, 8.3450943, 8.4519449");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3901751, 7.4012907, 7.4012912, 7.4012917, 7.4012921, 7.4012926, 7.4012931", \
+					  "7.4822806, 7.4866753, 7.4866756, 7.4866760, 7.4866765, 7.4866770, 7.4866775", \
+					  "7.5280200, 7.5337372, 7.5337375, 7.5337379, 7.5337384, 7.5337389, 7.5337394", \
+					  "7.5613897, 7.5793469, 7.5793474, 7.5793479, 7.5793484, 7.5793489, 7.5793493", \
+					  "7.5957471, 7.6008435, 7.6008437, 7.6008442, 7.6008447, 7.6008451, 7.6008456", \
+					  "7.6124378, 7.6124380, 7.6124385, 7.6124390, 7.6124394, 7.6124399, 7.6124404", \
+					  "7.6208115, 7.6208118, 7.6208122, 7.6208127, 7.6208132, 7.6208137, 7.6208141");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0551070, 11.1033040, 11.3936840, 13.1574800, 23.8728060, 89.8150600, 419.7767100", \
+					  "11.1804160, 11.2282770, 11.5196230, 13.2872940, 24.0015630, 89.9415300, 419.8508100", \
+					  "11.2705300, 11.3178600, 11.6101710, 13.3769320, 24.0919040, 90.0318750, 419.9385700", \
+					  "11.3434130, 11.3873390, 11.6793900, 13.4431830, 24.1580070, 90.0929110, 420.0651600", \
+					  "11.4028390, 11.4432240, 11.7444010, 13.5018490, 24.2211180, 90.1517150, 420.0747700", \
+					  "11.4517670, 11.5063390, 11.7950040, 13.5624680, 24.2744380, 90.2111520, 420.1721700", \
+					  "11.5039380, 11.5506160, 11.8460160, 13.6053540, 24.3212860, 90.2580620, 420.2259200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1757579, 3.2546721, 3.7443115, 6.7341426, 25.4769840, 141.4959900, 385.7554400", \
+					  "3.1751399, 3.2550631, 3.7441978, 6.7346289, 25.4896410, 141.4944100, 385.9530600", \
+					  "3.1751395, 3.2550629, 3.7441965, 6.7346435, 25.4894830, 141.4943100, 385.9514700", \
+					  "3.1752241, 3.2549015, 3.7445287, 6.7330155, 25.4821430, 141.5293100, 386.0175500", \
+					  "3.1752854, 3.2539860, 3.7438381, 6.7336776, 25.4819580, 141.4439900, 385.9495600", \
+					  "3.1752601, 3.2550362, 3.7438417, 6.7344694, 25.4846650, 141.5106000, 385.9921400", \
+					  "3.1752299, 3.2548544, 3.7443066, 6.7331696, 25.4821600, 141.5106100, 386.0183100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.3390230, 10.3774730, 10.3774734, 10.3774744, 10.3774753, 10.3774763, 10.4221290", \
+					  "10.4650310, 10.4650312, 10.4667770, 10.4667774, 10.4667783, 10.4667793, 10.5185300", \
+					  "10.4945910, 10.5131560, 10.5131565, 10.5131574, 10.5131584, 10.5131593, 10.5687710", \
+					  "10.5110280, 10.5209740, 10.5209747, 10.5209756, 10.5209766, 10.5209775, 10.5576030", \
+					  "10.5254610, 10.5668820, 10.5668827, 10.5668836, 10.5668846, 10.5668855, 10.6207820", \
+					  "10.5371970, 10.5494190, 10.5763120, 10.5763126, 10.5763135, 10.5763145, 10.6361280", \
+					  "10.5939240, 10.5939241, 10.5939250, 10.5939260, 10.5939270, 10.5939279, 10.6471480");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("17.6638140, 17.8850620, 19.2122140, 27.4796770, 78.1740330, 390.2577200, 2314.2582000", \
+					  "17.7447320, 17.9654420, 19.2921800, 27.5604960, 78.2545300, 390.3394400, 2314.3186000", \
+					  "17.7882790, 18.0088740, 19.3398080, 27.6044080, 78.2970990, 390.3732600, 2314.2809000", \
+					  "17.8105830, 18.0344880, 19.3669210, 27.6313350, 78.3205820, 390.3985500, 2314.4269000", \
+					  "17.8317980, 18.0438030, 19.3813800, 27.6478270, 78.3427010, 390.4265800, 2314.3252000", \
+					  "17.8470340, 18.0576740, 19.4024690, 27.6622000, 78.3515400, 390.4283100, 2314.5155000", \
+					  "17.8577900, 18.0790580, 19.4055140, 27.6732180, 78.3681170, 390.4541200, 2314.3582000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5054050, 16.9220280, 19.5688620, 35.8768840, 137.0416000, 759.9409700, 4599.2811000", \
+					  "16.5061190, 16.9224580, 19.5693240, 35.9202160, 137.0447900, 760.0198200, 4600.8640000", \
+					  "16.5010030, 16.9357100, 19.5525070, 35.9395640, 137.0342100, 760.0143600, 4598.9523000", \
+					  "16.4805910, 16.9357290, 19.5623300, 35.9509610, 136.5930200, 758.8271800, 4601.7599000", \
+					  "16.5075370, 16.9192750, 19.5688460, 35.9256590, 137.0407900, 759.9618400, 4598.7867000", \
+					  "16.5070610, 16.9315350, 19.5689190, 35.9506520, 136.5985900, 758.7521500, 4600.2910000", \
+					  "16.5069650, 16.9226690, 19.5693040, 35.8780740, 137.0428300, 760.2000100, 4600.9523000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("19.9277060, 20.1478090, 21.4604670, 29.5611060, 79.3898990, 387.3551900, 2283.6207000", \
+					  "20.0644910, 20.2726460, 21.5955090, 29.7205580, 79.5106840, 387.0225400, 2283.6271000", \
+					  "20.1570190, 20.3664960, 21.6882940, 29.7723000, 79.6191560, 387.0140400, 2284.0302000", \
+					  "20.2183030, 20.4363990, 21.7544240, 29.8416010, 79.7327790, 387.6200800, 2284.9085000", \
+					  "20.2846700, 20.4938360, 21.8139020, 29.9016740, 79.7027440, 387.2984000, 2283.4947000", \
+					  "20.3212330, 20.5460700, 21.8524240, 29.9632670, 79.8281900, 387.7661400, 2284.1448000", \
+					  "20.3764540, 20.5968790, 21.9074220, 30.0103020, 79.8567680, 387.7955500, 2284.5891000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6770810, 16.0778630, 18.7002080, 34.8276960, 134.1669800, 749.3729900, 4536.7206000", \
+					  "15.6533790, 16.0803120, 18.6976440, 34.7808290, 134.2031800, 747.9305300, 4539.9447000", \
+					  "15.6645170, 16.0693010, 18.7018010, 34.7539950, 134.2148300, 748.0460900, 4540.5750000", \
+					  "15.6638400, 16.0952830, 18.7038310, 34.8678030, 134.4193100, 749.3258600, 4538.1785000", \
+					  "15.6615880, 16.0730630, 18.6970900, 34.7650550, 134.3127400, 748.1072000, 4536.3013000", \
+					  "15.6783710, 16.0938850, 18.6855470, 34.8127680, 134.1684700, 748.3388300, 4537.3713000", \
+					  "15.6777120, 16.0774980, 18.7000050, 34.8248080, 134.2921600, 748.4458700, 4537.9688000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4616490, 10.5067890, 10.7951710, 12.5535060, 23.2721820, 89.2099050, 419.1711900", \
+					  "10.5379370, 10.5893590, 10.8746980, 12.6345960, 23.3563210, 89.2947160, 419.2402800", \
+					  "10.5785770, 10.6292930, 10.9170140, 12.6825380, 23.3960250, 89.3332910, 419.2939700", \
+					  "10.6072070, 10.6557660, 10.9436510, 12.7155450, 23.4215900, 89.3584300, 419.2965000", \
+					  "10.6268880, 10.6747850, 10.9627180, 12.7241040, 23.4485070, 89.3798740, 419.3280500", \
+					  "10.6449930, 10.6881280, 10.9831890, 12.7375950, 23.4544400, 89.3963450, 419.3461800", \
+					  "10.6563580, 10.7023290, 10.9882300, 12.7476650, 23.4683880, 89.4049280, 419.3408900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1743628, 3.2545675, 3.7445091, 6.7345578, 25.4300470, 141.4920800, 386.0026300", \
+					  "3.1739937, 3.2541221, 3.7453427, 6.7345653, 25.4657860, 141.2120100, 385.9943000", \
+					  "3.1737828, 3.2545433, 3.7452201, 6.7340977, 25.4599490, 141.1850800, 386.0041300", \
+					  "3.1751917, 3.2550642, 3.7442955, 6.7344010, 25.4821210, 141.5261600, 385.6465300", \
+					  "3.1751624, 3.2550669, 3.7452894, 6.7349055, 25.4898320, 141.1819000, 385.9937300", \
+					  "3.1746399, 3.2549212, 3.7450616, 6.7348789, 25.4685590, 141.1950000, 385.9900300", \
+					  "3.1743523, 3.2542546, 3.7453036, 6.7346875, 25.4674100, 141.4840800, 385.7422500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.9221617, 8.9221626, 8.9226049, 8.9226051, 8.9226060, 8.9226070, 9.0207068", \
+					  "9.0128252, 9.0128255, 9.0141770, 9.0141778, 9.0141788, 9.0141798, 9.0520862", \
+					  "9.0599750, 9.0599752, 9.0599762, 9.0599771, 9.0599781, 9.0599790, 9.1383550", \
+					  "9.0884882, 9.0899910, 9.0899911, 9.0899921, 9.0899931, 9.0899940, 9.1440855", \
+					  "9.1119361, 9.1119371, 9.1119380, 9.1119390, 9.1119400, 9.1119409, 9.1718348", \
+					  "9.1196588, 9.1257535, 9.1257539, 9.1257549, 9.1257558, 9.1257568, 9.1598888", \
+					  "9.1389900, 9.1389909, 9.1389919, 9.1389928, 9.1389938, 9.1389947, 9.1898942");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.6093070, 10.6587650, 10.9508330, 12.7592280, 19.4001810, 36.1681600, 132.6263700", \
+					  "10.6903300, 10.7372360, 11.0322660, 12.8396350, 19.4811780, 36.2438720, 132.7070900", \
+					  "10.7320160, 10.7786560, 11.0736740, 12.8810770, 19.5223110, 36.2865610, 132.7491900", \
+					  "10.7591440, 10.8063460, 11.0979550, 12.9054460, 19.5466520, 36.3156120, 132.7760500", \
+					  "10.7779030, 10.8209120, 11.1195970, 12.9270420, 19.5681690, 36.3344060, 132.7948400", \
+					  "10.7930110, 10.8398320, 11.1347380, 12.9421790, 19.5832740, 36.3495230, 132.8099600", \
+					  "10.8037400, 10.8505750, 11.1454370, 12.9528800, 19.5939990, 36.3602340, 132.8206900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2138552, 3.2992489, 3.8241152, 6.1430252, 9.0151335, 27.8265230, 171.2774800", \
+					  "3.2137903, 3.2994756, 3.8242218, 6.1426220, 9.0154435, 27.8299180, 171.2445800", \
+					  "3.2138265, 3.2994736, 3.8242214, 6.1426224, 9.0153440, 27.8105520, 171.3136200", \
+					  "3.2138125, 3.2994669, 3.8241956, 6.1424350, 9.0145438, 27.8097560, 171.3070400", \
+					  "3.2138074, 3.2994940, 3.8242116, 6.1425851, 9.0152437, 27.8096730, 171.3069800", \
+					  "3.2138132, 3.2994674, 3.8242112, 6.1425918, 9.0152721, 27.8098560, 171.3069900", \
+					  "3.2138070, 3.2994640, 3.8242116, 6.1425856, 9.0152534, 27.8100550, 171.3069500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.9035160, 12.9697990, 13.3509830, 15.2894960, 20.0097770, 40.8768490, 82.1251690", \
+					  "13.0334900, 13.0971560, 13.4798140, 15.4198150, 20.1361900, 40.9973780, 82.2503740", \
+					  "13.1219470, 13.1855780, 13.5670580, 15.5089900, 20.2253400, 41.0663250, 82.3421900", \
+					  "13.1925700, 13.2558070, 13.6387130, 15.5786440, 20.2966050, 41.1282420, 82.4132510", \
+					  "13.2523840, 13.3142690, 13.6950260, 15.6367970, 20.3522640, 41.1848760, 82.4688800", \
+					  "13.3072510, 13.3704880, 13.7534470, 15.6902470, 20.4098360, 41.2343230, 82.5283310", \
+					  "13.3551760, 13.4177030, 13.8001410, 15.7439020, 20.4586660, 41.3117400, 82.5776000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.5922569, 3.6473784, 3.8945864, 4.6221271, 7.8736573, 25.6119550, 56.9174750", \
+					  "3.5909746, 3.6425467, 3.8975316, 4.6103699, 7.8889189, 25.6147410, 57.0231580", \
+					  "3.5907770, 3.6422212, 3.8991645, 4.6141397, 7.8736750, 25.6134720, 56.9068170", \
+					  "3.5916283, 3.6446481, 3.8963313, 4.6127564, 7.8748217, 25.6091500, 56.9554640", \
+					  "3.5955507, 3.6458219, 3.8962535, 4.6237454, 7.8742985, 25.6097440, 56.9400850", \
+					  "3.5915615, 3.6442929, 3.8956811, 4.6213189, 7.8772349, 25.5911770, 56.9545160", \
+					  "3.5907706, 3.6477588, 3.8976280, 4.6149742, 7.8736511, 25.6211590, 56.9622360");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("9.0035579, 9.0035587, 9.0035596, 9.0035606, 9.0035615, 9.0035625, 9.1266958", \
+					  "9.0947809, 9.0947814, 9.0947824, 9.0947834, 9.0947843, 9.0947853, 9.2195522", \
+					  "9.1293622, 9.1294199, 9.1294208, 9.1294218, 9.1294227, 9.1294237, 9.1565333", \
+					  "9.1587982, 9.1662802, 9.1699306, 9.1699310, 9.1699319, 9.1699329, 9.2360697", \
+					  "9.1799048, 9.1811003, 9.1811004, 9.1811014, 9.1811023, 9.1811033, 9.2122523", \
+					  "9.1962651, 9.1962657, 9.1962667, 9.1962677, 9.1962686, 9.1962696, 9.2395407", \
+					  "9.2099466, 9.2099472, 9.2099481, 9.2099491, 9.2099500, 9.2099510, 9.2805497");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1845668, 8.1945704, 8.1945711, 8.1945720, 8.1945730, 8.1945739, 8.1945749", \
+					  "8.2427798, 8.2427803, 8.2469072, 8.2469078, 8.2469087, 8.2469097, 8.2640117", \
+					  "8.3347365, 8.3347374, 8.3347383, 8.3347393, 8.3347402, 8.3347412, 8.3658422", \
+					  "8.3616518, 8.3619112, 8.3619113, 8.3619582, 8.3619590, 8.3619600, 8.4010932", \
+					  "8.3871505, 8.3871513, 8.3871522, 8.3871532, 8.3871542, 8.3871551, 8.4287288", \
+					  "8.4016962, 8.4016967, 8.4016977, 8.4016986, 8.4016996, 8.4017005, 8.4464888", \
+					  "8.4102085, 8.4102092, 8.4104732, 8.4104734, 8.4104744, 8.4104753, 8.4437923");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3886000, 7.4013440, 7.4013441, 7.4013446, 7.4013451, 7.4013455, 7.4013460", \
+					  "7.4822522, 7.4869089, 7.4869092, 7.4869097, 7.4869102, 7.4869106, 7.4869111", \
+					  "7.5280218, 7.5336510, 7.5336512, 7.5336516, 7.5336521, 7.5336526, 7.5336531", \
+					  "7.5610398, 7.5793105, 7.5793107, 7.5793112, 7.5793117, 7.5793121, 7.5793126", \
+					  "7.5949798, 7.6007769, 7.6007770, 7.6007774, 7.6007779, 7.6007784, 7.6007789", \
+					  "7.6123943, 7.6123946, 7.6123951, 7.6123956, 7.6123961, 7.6123965, 7.6123970", \
+					  "7.6220683, 7.6220687, 7.6220692, 7.6220696, 7.6220701, 7.6220706, 7.6220711");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0522760, 11.1025440, 11.3883290, 13.1738850, 19.8994350, 36.6729580, 133.1294600", \
+					  "11.1778040, 11.2271680, 11.5162370, 13.2991740, 20.0226770, 36.7989480, 133.2552400", \
+					  "11.2677610, 11.3155960, 11.6047890, 13.3893950, 20.1140100, 36.8890590, 133.3453800", \
+					  "11.3393070, 11.3879850, 11.6778920, 13.4614990, 20.1824210, 36.9668070, 133.4177100", \
+					  "11.3953710, 11.4459900, 11.7330880, 13.5160450, 20.2429840, 37.0193690, 133.4725500", \
+					  "11.4508570, 11.4980480, 11.7912240, 13.5663250, 20.2962710, 37.0710320, 133.5264100", \
+					  "11.5027860, 11.5475320, 11.8414000, 13.6229870, 20.3494110, 37.1267890, 133.5815800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2207750, 3.3068226, 3.8289905, 6.2264172, 9.1868868, 27.8257190, 171.3036300", \
+					  "3.2207896, 3.3059884, 3.8288603, 6.2262959, 9.1862606, 27.8330340, 171.2938900", \
+					  "3.2207774, 3.3059875, 3.8288608, 6.2262977, 9.1863015, 27.8329770, 171.2939200", \
+					  "3.2215564, 3.3068128, 3.8286032, 6.2268204, 9.1865386, 27.8438480, 171.3018600", \
+					  "3.2215794, 3.3058782, 3.8290011, 6.2267311, 9.1864134, 27.8342220, 171.2273000", \
+					  "3.2209011, 3.3059762, 3.8287618, 6.2261618, 9.1864063, 27.8381550, 171.2360800", \
+					  "3.2216834, 3.3067875, 3.8285370, 6.2260930, 9.1863201, 27.8440360, 171.3015900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2681180, 21.4769430, 22.7928240, 30.9017700, 80.7605430, 388.3804100, 2285.7610000", \
+					  "21.3829970, 21.6037690, 22.9201990, 31.0146610, 80.9023670, 388.8249900, 2285.0791000", \
+					  "21.4737810, 21.6952130, 23.0108680, 31.0985370, 80.9893520, 388.9435900, 2286.0804000", \
+					  "21.5405860, 21.7552910, 23.0637450, 31.1711620, 80.9836180, 388.9492500, 2285.6441000", \
+					  "21.6101110, 21.8232810, 23.1463580, 31.2372780, 81.0956350, 388.5705600, 2286.7378000", \
+					  "21.6505410, 21.8768470, 23.1944900, 31.2722010, 81.0746880, 388.5546700, 2285.2098000", \
+					  "21.7061960, 21.9109620, 23.2343410, 31.3320070, 81.1963330, 389.0063500, 2286.2409000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6584630, 16.0850110, 18.6798030, 34.7845060, 134.4390300, 748.4265400, 4538.9497000", \
+					  "15.6806370, 16.0855700, 18.7058390, 34.8713200, 134.3124900, 748.6622200, 4537.3445000", \
+					  "15.6795250, 16.0955620, 18.7059420, 34.7765900, 134.2889100, 748.9927600, 4539.9511000", \
+					  "15.6828310, 16.1019380, 18.7012200, 34.7888330, 134.5421600, 748.1866100, 4537.5277000", \
+					  "15.6577560, 16.0840760, 18.7022380, 34.7986990, 134.3240700, 748.2207100, 4537.8360000", \
+					  "15.6830730, 16.0816940, 18.7049820, 34.7335250, 134.3839100, 748.0619500, 4537.1989000", \
+					  "15.6655220, 16.1036910, 18.6724360, 34.7544570, 134.5654700, 748.5643900, 4542.5995000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5818330, 8.5818334, 8.5818344, 8.5847951, 8.5847955, 8.5847965, 8.5847974", \
+					  "8.6674445, 8.6674448, 8.6674457, 8.6674467, 8.6674476, 8.6674486, 8.6674495", \
+					  "8.7091330, 8.7091337, 8.7091346, 8.7091356, 8.7091365, 8.7091375, 8.7091384", \
+					  "8.7473975, 8.7473979, 8.7473989, 8.7473999, 8.7474008, 8.7474018, 8.7474027", \
+					  "8.7652179, 8.7671364, 8.7671371, 8.7671381, 8.7671390, 8.7671400, 8.7671409", \
+					  "8.7820489, 8.7820497, 8.7820507, 8.7820516, 8.7820526, 8.7820535, 8.7820545", \
+					  "8.7940144, 8.7940145, 8.7940155, 8.7940164, 8.7940174, 8.7940183, 8.7940193");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4581790, 7.4739166, 7.4739168, 7.4739173, 7.4739178, 7.4739182, 7.5545028", \
+					  "7.5569702, 7.5581781, 7.5581782, 7.5583069, 7.5583070, 7.5583075, 7.5583079", \
+					  "7.6073148, 7.6073149, 7.6073154, 7.6073158, 7.6073163, 7.6073168, 7.7168991", \
+					  "7.6415931, 7.6415933, 7.6415937, 7.6415942, 7.6415947, 7.6415952, 7.7326225", \
+					  "7.6530933, 7.6559488, 7.6559489, 7.6559494, 7.6559499, 7.6559504, 7.7625142", \
+					  "7.6708071, 7.6762561, 7.6762565, 7.6762569, 7.6762574, 7.6762579, 7.6994331", \
+					  "7.6884268, 7.6903213, 7.6903217, 7.6903222, 7.6903227, 7.6903232, 7.7773779");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("13.9896950, 14.0508320, 14.4344730, 16.7390460, 30.7236510, 104.8108700, 177.6893400", \
+					  "14.1167020, 14.1796710, 14.5629090, 16.8681580, 30.8523340, 104.9390800, 177.8136300", \
+					  "14.2067380, 14.2691280, 14.6528090, 16.9585430, 30.9420510, 105.0294600, 177.9038300", \
+					  "14.2725180, 14.3378790, 14.7185760, 17.0229820, 31.0066920, 105.0953000, 177.9558500", \
+					  "14.3345230, 14.3969500, 14.7797610, 17.0828670, 31.0690850, 105.1552900, 178.0251800", \
+					  "14.3897370, 14.4446610, 14.8334080, 17.1336950, 31.1244750, 105.2110400, 178.0813800", \
+					  "14.4378340, 14.4985730, 14.8857200, 17.1848260, 31.1699930, 105.2556400, 178.1234300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8179517, 3.9068797, 4.4686184, 8.0075617, 30.3489860, 85.3850750, 73.5889780", \
+					  "3.8192116, 3.9069188, 4.4735255, 8.0128348, 30.3572600, 85.3853590, 73.5690340", \
+					  "3.8192201, 3.9068375, 4.4685717, 8.0128020, 30.3572310, 85.3852600, 73.5683370", \
+					  "3.8185775, 3.9056389, 4.4736730, 8.0071993, 30.3487120, 85.3803500, 73.6351280", \
+					  "3.8180810, 3.9096952, 4.4686770, 8.0075289, 30.3489890, 85.3688180, 73.5985640", \
+					  "3.8178625, 3.9097503, 4.4736126, 8.0068023, 30.3489290, 85.3842720, 73.5923040", \
+					  "3.8181511, 3.9098018, 4.4736423, 8.0068050, 30.3489820, 85.3868510, 73.6258840");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1661788, 8.1793408, 8.1793409, 8.1793418, 8.1793428, 8.1793438, 8.2118952", \
+					  "8.2330578, 8.2330585, 8.2368929, 8.2368932, 8.2368942, 8.2368951, 8.2484437", \
+					  "8.2748830, 8.3184757, 8.3184763, 8.3184772, 8.3184782, 8.3184791, 8.3521368", \
+					  "8.3380050, 8.3380056, 8.3493603, 8.3493610, 8.3493619, 8.3493629, 8.3807867", \
+					  "8.3709901, 8.3709903, 8.3709913, 8.3709922, 8.3709932, 8.3709941, 8.4098799", \
+					  "8.3841707, 8.3841711, 8.3841720, 8.3841730, 8.3841739, 8.3841749, 8.4151983", \
+					  "8.3936369, 8.3936372, 8.3944771, 8.3944774, 8.3944784, 8.3944793, 8.4273362");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4608755, 7.4781523, 7.4781526, 7.4970892, 7.4970897, 7.4970902, 7.4970906", \
+					  "7.5623641, 7.5626376, 7.5626381, 7.5626386, 7.5626390, 7.5626395, 7.6452853", \
+					  "7.6077686, 7.6077688, 7.6077693, 7.6170545, 7.6170548, 7.6170552, 7.7149185", \
+					  "7.6450224, 7.6450227, 7.6450232, 7.6450236, 7.6450241, 7.6450246, 7.7343554", \
+					  "7.6569400, 7.6601552, 7.6601556, 7.6601561, 7.6601565, 7.6601570, 7.7723143", \
+					  "7.6848519, 7.6848524, 7.6848529, 7.6848534, 7.6848538, 7.6848543, 7.7348696", \
+					  "7.6824938, 7.6925604, 7.6925605, 7.6960713, 7.6960714, 7.6960719, 7.7782634");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5616785, 8.5635694, 8.5831209, 8.5831218, 8.5831228, 8.5831237, 8.5831247", \
+					  "8.6743457, 8.6743465, 8.6743475, 8.6743484, 8.6743494, 8.6743503, 8.6743513", \
+					  "8.6688052, 8.7228402, 8.7228408, 8.7228418, 8.7228427, 8.7228437, 8.7228447", \
+					  "8.7572420, 8.7572427, 8.7572437, 8.7572446, 8.7572456, 8.7572465, 8.7572475", \
+					  "8.7808614, 8.7808624, 8.7808633, 8.7808643, 8.7808652, 8.7808662, 8.7808671", \
+					  "8.7788061, 8.7862642, 8.7862649, 8.7862659, 8.7862669, 8.7862678, 8.7862688", \
+					  "8.8041983, 8.8041988, 8.8041997, 8.8042007, 8.8042017, 8.8042026, 8.8042036");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3972029, 7.3972033, 7.3974733, 7.3974736, 7.3974741, 7.3974746, 7.3974751", \
+					  "7.4833348, 7.4918649, 7.4918650, 7.4918654, 7.4918659, 7.4918664, 7.4918669", \
+					  "7.5306730, 7.5368458, 7.5368460, 7.5368464, 7.5368469, 7.5368474, 7.5368479", \
+					  "7.5598612, 7.5825755, 7.5825756, 7.5825761, 7.5825766, 7.5825770, 7.5825775", \
+					  "7.5988433, 7.6041502, 7.6041506, 7.6041511, 7.6041515, 7.6041520, 7.6041525", \
+					  "7.6126154, 7.6126159, 7.6126164, 7.6126168, 7.6126173, 7.6126178, 7.6126183", \
+					  "7.6238864, 7.6238869, 7.6238874, 7.6238878, 7.6238883, 7.6238888, 7.6238893");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4545950, 10.5023960, 10.7926090, 12.5767880, 19.2999520, 36.0772820, 132.5334000", \
+					  "10.5358380, 10.5849160, 10.8739040, 12.6573210, 19.3803160, 36.1584080, 132.6127000", \
+					  "10.5770920, 10.6255280, 10.9152150, 12.6984800, 19.4224300, 36.1995300, 132.6552900", \
+					  "10.6056780, 10.6542700, 10.9442770, 12.7274250, 19.4522410, 36.2292920, 132.6803000", \
+					  "10.6221910, 10.6679460, 10.9615870, 12.7449170, 19.4687340, 36.2462170, 132.7014400", \
+					  "10.6390010, 10.6858270, 10.9754330, 12.7591460, 19.4825580, 36.2646060, 132.7147600", \
+					  "10.6485500, 10.6975080, 10.9869850, 12.7705440, 19.4941410, 36.2714410, 132.7268800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2207077, 3.3059047, 3.8289061, 6.2266881, 9.1865457, 27.8157040, 171.3044900", \
+					  "3.2207121, 3.3059182, 3.8289039, 6.2266201, 9.1867243, 27.8198090, 171.2782000", \
+					  "3.2207119, 3.3058125, 3.8289043, 6.2266223, 9.1869072, 27.8209650, 171.2993100", \
+					  "3.2208196, 3.3059246, 3.8286858, 6.2268337, 9.1863548, 27.8341650, 171.2909600", \
+					  "3.2206069, 3.3063665, 3.8289025, 6.2266223, 9.1868912, 27.8170820, 171.3016500", \
+					  "3.2207259, 3.3058749, 3.8287520, 6.2260743, 9.1867189, 27.8475840, 171.2669000", \
+					  "3.2206975, 3.3057426, 3.8288963, 6.2266121, 9.1868202, 27.8157980, 171.3006800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4316190, 10.4379320, 10.4379325, 10.4379335, 10.4379344, 10.4379354, 10.4379364", \
+					  "10.5343520, 10.5446860, 10.5446868, 10.5446878, 10.5446888, 10.5446897, 10.6046740", \
+					  "10.5915450, 10.5915456, 10.5915466, 10.5915475, 10.5915485, 10.5915494, 10.5915504", \
+					  "10.5806570, 10.5806575, 10.5806585, 10.5807500, 10.5970690, 10.5970693, 10.6476740", \
+					  "10.6317990, 10.6317993, 10.6318002, 10.6318012, 10.6318021, 10.6318031, 10.6999520", \
+					  "10.6445500, 10.6654790, 10.6654792, 10.6654802, 10.6654811, 10.6654821, 10.7135740", \
+					  "10.6712690, 10.6712699, 10.6712709, 10.6712719, 10.6712728, 10.6712738, 10.7353160");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0506070, 11.0992270, 11.3894590, 13.1731880, 19.8987580, 36.6704780, 133.1285500", \
+					  "11.1780150, 11.2261340, 11.5160930, 13.2990900, 20.0224510, 36.7988910, 133.2560200", \
+					  "11.2671960, 11.3143560, 11.6056980, 13.3895490, 20.1130990, 36.8891940, 133.3451700", \
+					  "11.3404140, 11.3849250, 11.6799810, 13.4584190, 20.1826890, 36.9659220, 133.4187900", \
+					  "11.3986330, 11.4458470, 11.7340390, 13.5190680, 20.2436220, 37.0190990, 133.4716000", \
+					  "11.4493360, 11.5022310, 11.7910230, 13.5735720, 20.2940260, 37.0757220, 133.5290600", \
+					  "11.4991810, 11.5503640, 11.8387240, 13.6193660, 20.3479470, 37.1221840, 133.5821900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2216854, 3.3067624, 3.8293151, 6.2265033, 9.1864196, 27.8364730, 171.2914600", \
+					  "3.2212968, 3.3063776, 3.8292893, 6.2265042, 9.1863193, 27.8333340, 171.2923700", \
+					  "3.2212968, 3.3071210, 3.8292889, 6.2269190, 9.1860688, 27.8332330, 171.2924700", \
+					  "3.2220584, 3.3073031, 3.8290189, 6.2270371, 9.1863956, 27.8381920, 171.3006600", \
+					  "3.2214083, 3.3066900, 3.8293080, 6.2266010, 9.1865759, 27.8251480, 171.2962500", \
+					  "3.2212975, 3.3067691, 3.8291619, 6.2268626, 9.1860501, 27.8375940, 171.3005600", \
+					  "3.2220395, 3.3075127, 3.8289989, 6.2267818, 9.1867687, 27.8468660, 171.2995500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0606820, 14.1221140, 14.4980370, 16.5123030, 21.3204510, 42.1905670, 83.5159280", \
+					  "14.1889490, 14.2497950, 14.6262740, 16.6409870, 21.4478710, 42.3257410, 83.6398540", \
+					  "14.2780960, 14.3396120, 14.7160130, 16.7249750, 21.5339050, 42.4061820, 83.7313580", \
+					  "14.3443230, 14.4079040, 14.7838810, 16.7961730, 21.6022970, 42.4947440, 83.7943400", \
+					  "14.4060900, 14.4679050, 14.8416660, 16.8608580, 21.6641690, 42.5310420, 83.8548570", \
+					  "14.4546850, 14.5215030, 14.8951940, 16.9083960, 21.7184230, 42.5926460, 83.9110970", \
+					  "14.5053500, 14.5670500, 14.9463800, 16.9574540, 21.7680970, 42.6412720, 83.9640820");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.7019048, 3.7592160, 4.0463299, 4.8098863, 7.9301561, 25.6700830, 56.9211770", \
+					  "3.7000620, 3.7620804, 4.0445349, 4.8161644, 7.9300531, 25.6810840, 57.0546280", \
+					  "3.7021779, 3.7603796, 4.0464361, 4.8104010, 7.9299500, 25.6706730, 57.0555480", \
+					  "3.6996297, 3.7609182, 4.0452122, 4.8159632, 7.9300868, 25.6764260, 57.0526350", \
+					  "3.7003580, 3.7587613, 4.0445611, 4.8163424, 7.9303755, 25.6657580, 57.0373370", \
+					  "3.7000796, 3.7619445, 4.0441970, 4.8173678, 7.9265181, 25.6705430, 56.8771480", \
+					  "3.7016190, 3.7593968, 4.0437866, 4.8165774, 7.9275058, 25.6705090, 56.9338640");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0565920, 11.1016880, 11.3965660, 13.1599210, 23.8687220, 89.8065120, 419.7309800", \
+					  "11.1798120, 11.2279300, 11.5167320, 13.2875500, 24.0026930, 89.9414730, 419.8483900", \
+					  "11.2699320, 11.3174960, 11.6098800, 13.3657460, 24.0886740, 90.0317690, 420.0010400", \
+					  "11.3392240, 11.3861790, 11.6777510, 13.4413350, 24.1594870, 90.0949500, 420.0654200", \
+					  "11.4006890, 11.4506240, 11.7351980, 13.4974250, 24.2202350, 90.1507280, 420.0879600", \
+					  "11.4564220, 11.5005050, 11.7952560, 13.5603240, 24.2693460, 90.2037410, 420.0992400", \
+					  "11.5047170, 11.5489120, 11.8454780, 13.6052380, 24.3229190, 90.2583040, 420.2307800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1749492, 3.2551732, 3.7455767, 6.7351720, 25.4337160, 141.1295500, 385.9593700", \
+					  "3.1756793, 3.2556045, 3.7457388, 6.7349939, 25.4907380, 141.4937000, 385.9517500", \
+					  "3.1756866, 3.2556056, 3.7445993, 6.7348083, 25.4896570, 141.4940600, 385.9253800", \
+					  "3.1749359, 3.2554945, 3.7447632, 6.7332802, 25.4823020, 141.5290400, 386.0197900", \
+					  "3.1757557, 3.2556027, 3.7457979, 6.7334391, 25.4787690, 141.5153300, 385.9739800", \
+					  "3.1749290, 3.2555694, 3.7443182, 6.7347510, 25.4675050, 141.4833800, 385.9225600", \
+					  "3.1757372, 3.2554610, 3.7447743, 6.7334387, 25.4835120, 141.5265000, 386.0172900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0755070, 14.1380130, 14.5217010, 16.8188840, 30.7861610, 116.6192700, 489.6744500", \
+					  "14.2028460, 14.2661210, 14.6435810, 16.9570470, 30.8817360, 116.7472100, 489.8012700", \
+					  "14.2925460, 14.3562300, 14.7333450, 17.0346830, 30.9771550, 116.8477100, 489.9440600", \
+					  "14.3584350, 14.4186000, 14.8054900, 17.0966320, 31.0698990, 116.9395000, 489.9522400", \
+					  "14.4220260, 14.4843990, 14.8666310, 17.1658530, 31.1287740, 116.9653800, 489.9983400", \
+					  "14.4725120, 14.5341750, 14.9147220, 17.2249170, 31.1650900, 117.0314700, 490.0435900", \
+					  "14.5246840, 14.5832250, 14.9646540, 17.2676610, 31.2303390, 117.0624900, 490.2249200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8094323, 3.9019286, 4.4597539, 8.0007743, 30.3492770, 168.7519000, 348.8269400", \
+					  "3.8088204, 3.8964307, 4.4590567, 7.9927727, 30.3764120, 168.7504000, 348.8667100", \
+					  "3.8078043, 3.8973931, 4.4573434, 8.0022069, 30.3779690, 168.6051500, 348.7685700", \
+					  "3.8081125, 3.9007859, 4.4580077, 7.9947480, 30.3257220, 168.9883200, 348.8578700", \
+					  "3.8088439, 3.9018122, 4.4598036, 8.0022451, 30.3534870, 168.7606600, 348.8452500", \
+					  "3.8032333, 3.8951393, 4.4596149, 7.9983362, 30.3608620, 168.7977000, 348.7751300", \
+					  "3.8105137, 3.9008552, 4.4589346, 8.0016340, 30.3501510, 168.7403700, 348.8408100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1483265, 8.1483274, 8.1501881, 8.1501890, 8.1501899, 8.1501909, 8.1501918", \
+					  "8.2186187, 8.2186189, 8.2195593, 8.2199172, 8.2199178, 8.2199188, 8.2969631", \
+					  "8.2553768, 8.2581160, 8.2581163, 8.2581172, 8.2581182, 8.2581192, 8.3721927", \
+					  "8.3174791, 8.3176115, 8.3176122, 8.3176132, 8.3176141, 8.3176151, 8.3512885", \
+					  "8.3072953, 8.3072954, 8.3325737, 8.3325744, 8.3325754, 8.3325763, 8.3478335", \
+					  "8.3330534, 8.3591711, 8.3611562, 8.3611570, 8.3611579, 8.3611589, 8.3627931", \
+					  "8.3360794, 8.3361158, 8.3361164, 8.3361173, 8.3361183, 8.3361192, 8.3982377");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4772011, 7.4772013, 7.4772018, 7.4772022, 7.4772027, 7.4772032, 7.4772037", \
+					  "7.5449860, 7.5453990, 7.5453995, 7.5454000, 7.5454004, 7.5454009, 7.5454014", \
+					  "7.5917352, 7.5917357, 7.5917361, 7.5917366, 7.5917371, 7.5917376, 7.7173263", \
+					  "7.6420097, 7.6420100, 7.6420105, 7.6420110, 7.6420115, 7.6420119, 7.7537923", \
+					  "7.6572624, 7.6693212, 7.6693213, 7.6693218, 7.6693223, 7.6693228, 7.7614306", \
+					  "7.6707352, 7.6806206, 7.6806210, 7.6871860, 7.6871861, 7.6871865, 7.7715256", \
+					  "7.6667277, 7.6667278, 7.6667283, 7.6842062, 7.6842063, 7.6842068, 7.7940330");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("6.5611294, 6.5611299, 6.5611303, 6.5611308, 6.5611313, 6.5611318, 6.5985666", \
+					  "6.6865375, 6.6865376, 6.6865380, 6.6865385, 6.6865390, 6.6865395, 6.6924195", \
+					  "6.7778649, 6.7791417, 6.7791422, 6.7791427, 6.7791431, 6.7791436, 6.8926789", \
+					  "6.8507497, 6.8566286, 6.8566287, 6.8566292, 6.8566297, 6.8566301, 6.9678801", \
+					  "6.9079529, 6.9079531, 6.9079536, 6.9079540, 6.9079545, 6.9079550, 6.9742603", \
+					  "6.9705761, 6.9705766, 6.9705771, 6.9705775, 6.9705780, 6.9705785, 7.0404478", \
+					  "7.0112671, 7.0113235, 7.0175541, 7.0175546, 7.0175550, 7.0175555, 7.0948327");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("13.9562340, 14.0167290, 14.3919550, 16.4043800, 21.2679440, 44.6924060, 139.8787400", \
+					  "14.0852660, 14.1447950, 14.5204590, 16.5330240, 21.3965630, 44.8206590, 140.0019700", \
+					  "14.1746550, 14.2308520, 14.6105500, 16.6221150, 21.4863380, 44.9068270, 140.0830700", \
+					  "14.2391210, 14.2998500, 14.6762510, 16.6935280, 21.5518660, 44.9697590, 140.1568600", \
+					  "14.3018730, 14.3603040, 14.7370140, 16.7498690, 21.6142480, 45.0385760, 140.2173800", \
+					  "14.3546400, 14.4112220, 14.7884130, 16.7999110, 21.6641090, 45.0913480, 140.2675200", \
+					  "14.4062780, 14.4664270, 14.8360560, 16.8529050, 21.7134400, 45.1389220, 140.3239500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6965309, 3.7568046, 4.0392316, 4.8359907, 7.9679721, 39.7657410, 89.0258890", \
+					  "3.6965453, 3.7566013, 4.0392369, 4.8359339, 7.9681959, 39.7665720, 89.0170210", \
+					  "3.6964845, 3.7566750, 4.0392529, 4.8356985, 7.9682998, 39.7657870, 89.0113160", \
+					  "3.6966443, 3.7566816, 4.0394768, 4.8334501, 7.9611695, 39.7581100, 89.0217820", \
+					  "3.6966457, 3.7568104, 4.0392476, 4.8361324, 7.9679685, 39.7657200, 89.0146980", \
+					  "3.6965064, 3.7566972, 4.0391290, 4.8358482, 7.9680662, 39.7656490, 89.0134190", \
+					  "3.6965206, 3.7566266, 4.0391765, 4.8358073, 7.9680902, 39.7660360, 89.0207660");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("6.5580559, 6.5595591, 6.5603962, 6.5750103, 6.5750106, 6.5750111, 6.5750115", \
+					  "6.6819994, 6.6880417, 6.6880420, 6.6882984, 6.6882985, 6.6882990, 6.7017369", \
+					  "6.7727970, 6.7771069, 6.7771071, 6.7771075, 6.7771080, 6.7771085, 6.8398864", \
+					  "6.8505845, 6.8514474, 6.8514479, 6.8514483, 6.8514488, 6.8514493, 6.8935586", \
+					  "6.9105082, 6.9110406, 6.9110411, 6.9110415, 6.9110420, 6.9110425, 6.9744419", \
+					  "6.9681034, 6.9681037, 6.9681042, 6.9681047, 6.9681051, 6.9681056, 7.0274018", \
+					  "7.0248385, 7.0260726, 7.0260728, 7.0260733, 7.0260737, 7.0260742, 7.0835360");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1777880, 18.3886240, 19.7358790, 27.9932340, 78.6873430, 390.7700800, 2315.0878000", \
+					  "18.3065140, 18.5146490, 19.8641850, 28.1216510, 78.8113750, 390.8967600, 2314.8893000", \
+					  "18.3951630, 18.6011300, 19.9535890, 28.2108110, 78.9016430, 390.9870500, 2315.2929000", \
+					  "18.4622260, 18.6823570, 20.0090260, 28.2771780, 78.9674890, 391.0668300, 2315.3680000", \
+					  "18.5215150, 18.7505730, 20.0761930, 28.3432280, 79.0301580, 391.1208600, 2315.3384000", \
+					  "18.5851870, 18.7933630, 20.1344560, 28.3926910, 79.0869020, 391.1656600, 2315.3041000", \
+					  "18.6338610, 18.8479830, 20.1698890, 28.4378440, 79.1380260, 391.2262500, 2315.2656000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5023050, 16.9143210, 19.5722230, 35.9562160, 136.8672900, 758.9119900, 4600.2192000", \
+					  "16.5016600, 16.9187330, 19.5719050, 35.9562660, 136.5918100, 758.7738100, 4601.2456000", \
+					  "16.5016840, 16.9181400, 19.5719010, 35.9562660, 136.5918900, 758.7772800, 4600.3506000", \
+					  "16.5016780, 16.9262200, 19.5707450, 35.9215130, 136.5910700, 760.0206700, 4600.4470000", \
+					  "16.4975090, 16.9333330, 19.5748680, 35.8609600, 136.9162000, 758.9223400, 4601.0973000", \
+					  "16.4990510, 16.9238050, 19.5723220, 35.9562160, 136.5905900, 758.8548100, 4600.7949000", \
+					  "16.4965590, 16.9257640, 19.5712280, 35.9392940, 137.0461300, 760.0183400, 4601.8031000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2681270, 21.4808900, 22.8007000, 30.8771990, 80.7077920, 388.6611100, 2286.1971000", \
+					  "21.3814280, 21.5909870, 22.9153350, 31.0205760, 80.8879150, 388.8480400, 2285.6443000", \
+					  "21.4728520, 21.6932620, 23.0053670, 31.1098560, 80.9698190, 388.5925500, 2285.5950000", \
+					  "21.5507630, 21.7641020, 23.0730670, 31.1693710, 80.9776990, 388.5948800, 2286.0231000", \
+					  "21.6144740, 21.8248330, 23.1462440, 31.2237740, 81.0371720, 388.7608100, 2285.9663000", \
+					  "21.6562630, 21.8714680, 23.1955840, 31.2788620, 81.1420830, 388.9486800, 2286.0431000", \
+					  "21.6982910, 21.9238410, 23.2440400, 31.3737410, 81.1333790, 388.7563200, 2285.8549000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6761290, 16.0872240, 18.6971520, 34.7268100, 134.5097900, 748.9254600, 4538.5755000", \
+					  "15.6818110, 16.1030210, 18.7029680, 34.8382190, 134.1658200, 748.1324900, 4536.2003000", \
+					  "15.6729920, 16.0884980, 18.7029020, 34.8385070, 134.2441200, 748.4459300, 4537.9438000", \
+					  "15.6638240, 16.0769250, 18.7024460, 34.7599460, 134.3283700, 748.2638600, 4538.2285000", \
+					  "15.6775500, 16.0838050, 18.6997510, 34.7245500, 134.4483400, 748.5689400, 4540.1271000", \
+					  "15.6636570, 16.0847940, 18.7004350, 34.7567590, 134.3210000, 749.0113500, 4537.7710000", \
+					  "15.6742570, 16.0754090, 18.7031790, 34.7833900, 134.3478100, 748.2315100, 4537.5873000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4767310, 10.5252350, 10.8138900, 12.5979800, 19.3081110, 36.0843980, 132.5339100", \
+					  "10.5572770, 10.6062330, 10.8951570, 12.6790570, 19.3880060, 36.1653430, 132.6149900", \
+					  "10.5994930, 10.6479230, 10.9368670, 12.7206770, 19.4306600, 36.2065010, 132.6567100", \
+					  "10.6280660, 10.6763820, 10.9653050, 12.7486940, 19.4592290, 36.2351540, 132.6853700", \
+					  "10.6452530, 10.6937570, 10.9826530, 12.7665720, 19.4766350, 36.2518190, 132.7024300", \
+					  "10.6587180, 10.7064200, 10.9967160, 12.7794830, 19.4902510, 36.2678210, 132.7162700", \
+					  "10.6710520, 10.7194710, 11.0083140, 12.7921840, 19.5023160, 36.2776260, 132.7280900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2193830, 3.3045600, 3.8279122, 6.2154317, 9.1724237, 27.8561620, 171.3023600", \
+					  "3.2195282, 3.3045660, 3.8279047, 6.2154033, 9.1722949, 27.8400680, 171.3025000", \
+					  "3.2194363, 3.3045804, 3.8278865, 6.2154344, 9.1724557, 27.8172370, 171.3018600", \
+					  "3.2195040, 3.3043961, 3.8276418, 6.2155703, 9.1726440, 27.8164500, 171.3013500", \
+					  "3.2193070, 3.3045546, 3.8279131, 6.2153815, 9.1723695, 27.8181670, 171.3005100", \
+					  "3.2201086, 3.3048708, 3.8277173, 6.2159198, 9.1722603, 27.8254500, 171.0855300", \
+					  "3.2195200, 3.3045366, 3.8279269, 6.2153913, 9.1723544, 27.8141870, 171.3015400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("20.5365220, 20.7437570, 22.1020070, 30.2950060, 80.2568180, 388.2731500, 2284.9933000", \
+					  "20.6606960, 20.8746570, 22.2298680, 30.4223600, 80.4384610, 388.4198900, 2284.9156000", \
+					  "20.7517040, 20.9682350, 22.3181210, 30.5023880, 80.5114200, 388.4882700, 2286.4810000", \
+					  "20.8152320, 21.0316160, 22.3670580, 30.5639960, 80.5390740, 388.4439100, 2286.0968000", \
+					  "20.8825630, 21.0963500, 22.4464960, 30.6350290, 80.6612870, 388.6484300, 2285.4140000", \
+					  "20.9336740, 21.1557560, 22.5015860, 30.6953290, 80.6958480, 388.6576400, 2284.8922000", \
+					  "20.9829100, 21.2022990, 22.5514040, 30.7353010, 80.7380970, 388.7205700, 2285.1093000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6611840, 16.0844390, 18.6854640, 34.7684580, 134.3355100, 748.6224800, 4537.4368000", \
+					  "15.6678120, 16.0829430, 18.6899600, 34.8119400, 134.2955300, 748.0803700, 4539.6537000", \
+					  "15.6562050, 16.0889060, 18.6879330, 34.8444580, 134.1780100, 748.7281600, 4537.9606000", \
+					  "15.6621400, 16.0822090, 18.6704550, 34.7730730, 134.5534900, 747.9507100, 4537.8306000", \
+					  "15.6603020, 16.0893330, 18.6911890, 34.8318600, 134.4793200, 748.7018900, 4539.2676000", \
+					  "15.6661970, 16.0835110, 18.6897400, 34.7632110, 134.3581300, 748.5551200, 4537.8479000", \
+					  "15.6602800, 16.0881180, 18.6901390, 34.8448810, 134.2609000, 749.2593600, 4537.1821000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0599450, 11.1054850, 11.3957390, 13.1575210, 23.8720490, 89.8082040, 419.7361800", \
+					  "11.1801850, 11.2284450, 11.5196410, 13.2863630, 24.0022580, 89.9422690, 419.8494100", \
+					  "11.2705330, 11.3153250, 11.6099380, 13.3766720, 24.0919500, 90.0316830, 419.9387100", \
+					  "11.3424410, 11.3875630, 11.6805160, 13.4394980, 24.1584850, 90.0948150, 420.0675800", \
+					  "11.4056530, 11.4527660, 11.7447040, 13.5086470, 24.2120190, 90.1499180, 420.0659600", \
+					  "11.4522280, 11.5053350, 11.7980590, 13.5482550, 24.2704930, 90.2118980, 420.1674500", \
+					  "11.5037690, 11.5474550, 11.8411300, 13.6069520, 24.3207940, 90.2583320, 420.2187500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1743783, 3.2539194, 3.7443737, 6.7330119, 25.4836260, 141.4927300, 385.9663600", \
+					  "3.1751426, 3.2550871, 3.7441730, 6.7348518, 25.4834610, 141.4958900, 385.9519200", \
+					  "3.1751428, 3.2550771, 3.7441823, 6.7348784, 25.4852510, 141.4958900, 385.9518400", \
+					  "3.1752612, 3.2549385, 3.7443177, 6.7341874, 25.4821250, 141.5292100, 386.0188000", \
+					  "3.1746610, 3.2539456, 3.7438892, 6.7345294, 25.4825650, 141.5111500, 385.9516900", \
+					  "3.1752947, 3.2551093, 3.7438848, 6.7345862, 25.4821250, 141.5108500, 385.9894900", \
+					  "3.1752212, 3.2549226, 3.7443351, 6.7330439, 25.4821570, 141.5266400, 385.9875600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2633340, 21.4671390, 22.7927850, 30.8912220, 80.7613820, 388.3765200, 2284.9495000", \
+					  "21.3868890, 21.6038710, 22.9206090, 31.0151160, 80.8957030, 388.8524100, 2285.7071000", \
+					  "21.4737970, 21.6943960, 23.0035050, 31.1067010, 80.9954130, 388.9364300, 2285.8226000", \
+					  "21.5324750, 21.7561840, 23.0612310, 31.1681060, 80.9849180, 388.9521700, 2285.7964000", \
+					  "21.6101710, 21.8229290, 23.1434020, 31.2324570, 81.0364610, 388.7149400, 2285.9736000", \
+					  "21.6597660, 21.8735660, 23.1914010, 31.2675290, 81.1197150, 388.7513200, 2286.2112000", \
+					  "21.7065140, 21.9083520, 23.2297330, 31.3305900, 81.2344400, 388.8525800, 2285.3469000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6604630, 16.0987610, 18.6798040, 34.7796460, 134.5156700, 748.4060800, 4536.5837000", \
+					  "15.6731050, 16.0864570, 18.6830920, 34.8687510, 134.2512000, 748.7507800, 4539.2921000", \
+					  "15.6794650, 16.0914070, 18.6762370, 34.8640090, 134.3746300, 748.8741900, 4535.1394000", \
+					  "15.6813550, 16.1019770, 18.7011370, 34.7537750, 134.5401700, 748.2736400, 4537.0634000", \
+					  "15.6578430, 16.0759480, 18.7038170, 34.7768290, 134.3338200, 748.4080100, 4538.7774000", \
+					  "15.6696930, 16.0986480, 18.7059590, 34.7497360, 134.4843700, 748.3940900, 4537.0034000", \
+					  "15.6646110, 16.1033550, 18.6886280, 34.7544250, 134.5840400, 748.4297800, 4536.1885000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1786530, 18.4021860, 19.7349850, 27.9945310, 78.6840250, 390.7702300, 2314.9107000", \
+					  "18.3056770, 18.5153030, 19.8635060, 28.1211340, 78.8116240, 390.8970700, 2315.0053000", \
+					  "18.3952160, 18.6012570, 19.9549070, 28.2110490, 78.9018490, 390.9873100, 2315.1194000", \
+					  "18.4667090, 18.6773280, 20.0065390, 28.2774280, 78.9710980, 391.0655800, 2315.3189000", \
+					  "18.5220710, 18.7448120, 20.0852280, 28.3395140, 79.0344000, 391.1160000, 2315.2486000", \
+					  "18.5854690, 18.7959390, 20.1466510, 28.3920320, 79.0881460, 391.1701500, 2315.4976000", \
+					  "18.6258420, 18.8484550, 20.1887520, 28.4242390, 79.1387220, 391.2258300, 2315.2693000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5022430, 16.9190490, 19.5717540, 35.9566140, 136.5830100, 758.8180300, 4598.2956000", \
+					  "16.5022430, 16.9198420, 19.5721950, 35.9566170, 136.5837200, 758.8267900, 4598.9359000", \
+					  "16.5022480, 16.9192360, 19.5843860, 35.9566170, 136.5840100, 758.7879600, 4599.4948000", \
+					  "16.5011600, 16.9313110, 19.5717470, 35.9337340, 137.0482000, 760.0180000, 4598.7022000", \
+					  "16.4992770, 16.9323830, 19.5762840, 35.8966650, 137.0486600, 759.2782900, 4598.1342000", \
+					  "16.4898690, 16.9239790, 19.5686220, 35.9550190, 136.9872400, 758.7539100, 4599.0987000", \
+					  "16.5144750, 16.9265220, 19.5475550, 35.9497710, 136.8691300, 760.0007200, 4601.5853000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0756670, 14.1350580, 14.5246200, 16.8141020, 30.7858410, 116.6705200, 489.7294200", \
+					  "14.2047510, 14.2672460, 14.6493820, 16.9508430, 30.9158390, 116.7627700, 489.8331600", \
+					  "14.2899850, 14.3578120, 14.7388250, 17.0417580, 31.0017510, 116.8514200, 489.9198400", \
+					  "14.3565250, 14.4164810, 14.8091840, 17.1010050, 31.0566220, 116.9602900, 489.9941900", \
+					  "14.4194980, 14.4811690, 14.8704380, 17.1604330, 31.1315030, 117.0064300, 490.0696800", \
+					  "14.4711140, 14.5370920, 14.9224210, 17.2217780, 31.1918700, 117.0407700, 490.0870200", \
+					  "14.5244960, 14.5857960, 14.9695150, 17.2636040, 31.2275790, 117.1148300, 490.1319800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8107082, 3.8919308, 4.4559041, 7.9932763, 30.3228270, 169.0264100, 348.9749600", \
+					  "3.8070453, 3.9000194, 4.4601913, 8.0024991, 30.3275130, 168.8157900, 348.8604200", \
+					  "3.8107930, 3.8996326, 4.4601993, 8.0023410, 30.3620060, 168.8091900, 348.8280000", \
+					  "3.8017332, 3.8921479, 4.4549107, 7.9968743, 30.3219140, 168.7565400, 348.7978700", \
+					  "3.8108134, 3.9004204, 4.4560524, 7.9925737, 30.3229510, 169.0146500, 348.8081600", \
+					  "3.8068899, 3.9011803, 4.4619579, 8.0022255, 30.3706320, 168.7786700, 348.8040100", \
+					  "3.8104768, 3.8993395, 4.4553343, 7.9880209, 30.3200450, 169.0258000, 348.8313800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0547540, 11.0997490, 11.3893880, 13.1749760, 19.8959500, 36.6710930, 133.1312400", \
+					  "11.1775770, 11.2271260, 11.5154500, 13.2993920, 20.0236700, 36.7992390, 133.2562600", \
+					  "11.2658160, 11.3153090, 11.6058550, 13.3892260, 20.1132710, 36.8888560, 133.3407500", \
+					  "11.3404670, 11.3866210, 11.6767620, 13.4583540, 20.1829040, 36.9621630, 133.4154000", \
+					  "11.3973610, 11.4435390, 11.7365970, 13.5188600, 20.2429700, 37.0201820, 133.4753800", \
+					  "11.4535280, 11.5001720, 11.7912380, 13.5704710, 20.2987620, 37.0758110, 133.5306200", \
+					  "11.5046320, 11.5527010, 11.8414500, 13.6247400, 20.3480340, 37.1265540, 133.5823200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2215557, 3.3059004, 3.8287835, 6.2261392, 9.1862633, 27.8380870, 171.2405000", \
+					  "3.2207037, 3.3059011, 3.8286947, 6.2263354, 9.1862900, 27.8366660, 171.2908500", \
+					  "3.2207024, 3.3063898, 3.8287529, 6.2263377, 9.1862944, 27.8355380, 171.2992900", \
+					  "3.2214615, 3.3067200, 3.8284931, 6.2268675, 9.1867021, 27.8301040, 171.3001200", \
+					  "3.2208922, 3.3057401, 3.8288923, 6.2259784, 9.1864569, 27.8391850, 171.2641100", \
+					  "3.2208238, 3.3061895, 3.8286556, 6.2262027, 9.1860404, 27.8382170, 171.2382300", \
+					  "3.2215421, 3.3067056, 3.8284322, 6.2266325, 9.1867527, 27.8459570, 171.2991100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1843180, 18.3982320, 19.7348860, 27.9931510, 78.6838330, 390.7726400, 2314.6165000", \
+					  "18.3058510, 18.5145000, 19.8640570, 28.1209310, 78.8118650, 390.8967000, 2315.0594000", \
+					  "18.3950420, 18.6037620, 19.9534810, 28.2107640, 78.9016570, 390.9839600, 2314.9707000", \
+					  "18.4611330, 18.6834900, 20.0101520, 28.2802950, 78.9756880, 391.0662400, 2315.0858000", \
+					  "18.5278580, 18.7445540, 20.0933940, 28.3400950, 79.0288940, 391.1299600, 2315.4541000", \
+					  "18.5828240, 18.7868370, 20.1455140, 28.3945240, 79.0840250, 391.1725900, 2314.9780000", \
+					  "18.6328390, 18.8515710, 20.1694040, 28.4368080, 79.1388930, 391.2228400, 2315.2481000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.4986030, 16.9322760, 19.5715210, 35.9561770, 136.5938000, 759.4840700, 4601.2410000", \
+					  "16.5017100, 16.9187050, 19.5720150, 35.9567310, 136.5948600, 758.8128600, 4600.9604000", \
+					  "16.5017120, 16.9187050, 19.5720120, 35.9562730, 136.5946400, 758.8093400, 4600.9718000", \
+					  "16.4981150, 16.9262490, 19.5711150, 35.8915670, 136.8469400, 760.0423800, 4602.5239000", \
+					  "16.5055170, 16.9307710, 19.5617510, 35.8987970, 136.5945600, 760.1021300, 4601.2146000", \
+					  "16.5103490, 16.9182230, 19.5636700, 35.9547560, 136.9741800, 758.7889300, 4601.9427000", \
+					  "16.4969890, 16.9327090, 19.5706050, 35.9531820, 137.0544700, 760.1217400, 4599.7285000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2681890, 21.4808880, 22.8007730, 30.8778180, 80.6774660, 388.3978600, 2285.9201000", \
+					  "21.3804140, 21.6025050, 22.9157990, 31.0037860, 80.8279170, 388.8500500, 2285.8565000", \
+					  "21.4699330, 21.6934670, 23.0140940, 31.1099770, 80.9664440, 388.9410900, 2285.5697000", \
+					  "21.5514790, 21.7640310, 23.0727150, 31.1689870, 80.9712050, 388.6067600, 2286.5152000", \
+					  "21.6133010, 21.8255920, 23.1467020, 31.2191060, 81.0470270, 388.7697300, 2285.5459000", \
+					  "21.6642530, 21.8735840, 23.1814280, 31.2674510, 81.1725160, 389.1155700, 2286.5113000", \
+					  "21.7078320, 21.9237730, 23.2517530, 31.3280570, 81.1286040, 388.8212600, 2286.4140000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6771890, 16.0817070, 18.7013750, 34.7229410, 134.3834700, 748.5174400, 4537.4113000", \
+					  "15.6822160, 16.0911990, 18.7027280, 34.7348460, 134.4761500, 748.4114800, 4540.7173000", \
+					  "15.6746920, 16.0858860, 18.7036200, 34.8352640, 134.2382500, 749.1792100, 4537.5082000", \
+					  "15.6645580, 16.0779430, 18.7023840, 34.7581800, 134.3438900, 748.2475400, 4537.4786000", \
+					  "15.6779410, 16.0860750, 18.6969250, 34.7399800, 134.4803000, 748.5630800, 4536.5846000", \
+					  "15.6630090, 16.0892240, 18.6950990, 34.7515120, 134.3768300, 748.1679600, 4538.1007000", \
+					  "15.6577010, 16.0818910, 18.6987490, 34.7221170, 134.4081100, 748.4831000, 4537.8379000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.6068130, 10.6544120, 10.9476970, 12.7314100, 23.5144350, 89.5183230, 419.2897100", \
+					  "10.6883650, 10.7375990, 11.0374140, 12.8127340, 23.5943320, 89.6085690, 419.4497800", \
+					  "10.7295500, 10.7788380, 11.0709890, 12.8540610, 23.6326430, 89.6438620, 419.4079100", \
+					  "10.7569700, 10.8062080, 11.0982650, 12.8921120, 23.6595850, 89.6711400, 419.4492100", \
+					  "10.7749820, 10.8249370, 11.1237980, 12.9040800, 23.6785100, 89.6938420, 419.5023800", \
+					  "10.7937130, 10.8433230, 11.1424080, 12.9203790, 23.6981230, 89.7108810, 419.5529800", \
+					  "10.8008810, 10.8503500, 11.1457550, 12.9252370, 23.7115540, 89.7229170, 419.4798700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1593872, 3.2395586, 3.7327621, 6.7298092, 25.4850490, 141.0170000, 385.7315700", \
+					  "3.1591691, 3.2395602, 3.7325911, 6.7311556, 25.4837400, 141.5283700, 385.8058100", \
+					  "3.1591751, 3.2395631, 3.7327443, 6.7311450, 25.4834520, 141.5112500, 385.4042600", \
+					  "3.1591689, 3.2395606, 3.7327066, 6.7307453, 25.4828110, 141.5096300, 385.7284100", \
+					  "3.1593399, 3.2395391, 3.7320760, 6.7312462, 25.4834070, 141.5113200, 385.4964900", \
+					  "3.1589973, 3.2394629, 3.7325485, 6.7308914, 25.4848110, 141.5301500, 385.8070600", \
+					  "3.1593501, 3.2395719, 3.7320151, 6.7303163, 25.4910140, 141.4909000, 385.7245500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.9219290, 12.9877020, 13.3841290, 15.7051860, 29.7180950, 115.6320100, 488.3382300", \
+					  "13.0482000, 13.1124510, 13.5101010, 15.8333220, 29.8457610, 115.7695500, 488.4750500", \
+					  "13.1361830, 13.2033110, 13.5985350, 15.9230620, 29.9339180, 115.8626900, 488.6687200", \
+					  "13.2120830, 13.2744240, 13.6701170, 15.9969620, 29.9787290, 115.9293300, 488.7077100", \
+					  "13.2682500, 13.3301250, 13.7293020, 16.0505830, 30.0648640, 115.9781700, 488.6947500", \
+					  "13.3276110, 13.3900140, 13.7802080, 16.1090790, 30.1096960, 116.0028900, 488.7442100", \
+					  "13.3696250, 13.4347160, 13.8332720, 16.1570050, 30.1681200, 116.0937000, 488.8633500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.7613992, 3.8501180, 4.4240678, 7.9799456, 30.3327780, 168.6969700, 348.5517900", \
+					  "3.7610373, 3.8507024, 4.4233577, 7.9776337, 30.3318260, 169.0182900, 348.5044100", \
+					  "3.7602099, 3.8503969, 4.4249662, 7.9766540, 30.3271680, 169.0246700, 348.5255600", \
+					  "3.7661119, 3.8568091, 4.4240256, 7.9876026, 30.3762990, 168.9979000, 348.5647800", \
+					  "3.7619388, 3.8519841, 4.4217336, 7.9817672, 30.3416990, 169.0055500, 348.5804100", \
+					  "3.7661727, 3.8575485, 4.4271640, 7.9854185, 30.3204890, 168.5231600, 348.4961400", \
+					  "3.7608592, 3.8504013, 4.4234190, 7.9758475, 30.3277120, 169.0238200, 348.5841400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1370510, 18.3465620, 19.7146090, 28.0677650, 79.0258310, 391.4131500, 2315.3491000", \
+					  "18.2100040, 18.4294390, 19.7968010, 28.1478680, 79.1088300, 391.4780700, 2315.8359000", \
+					  "18.2507630, 18.4697360, 19.8373710, 28.1908060, 79.1499060, 391.5373800, 2315.8486000", \
+					  "18.2784380, 18.4976250, 19.8652080, 28.2185000, 79.1773260, 391.5464500, 2315.9173000", \
+					  "18.2957970, 18.5150100, 19.8827830, 28.2298950, 79.1966310, 391.5837700, 2315.7802000", \
+					  "18.3146390, 18.5386570, 19.8908850, 28.2448780, 79.2122780, 391.5879500, 2315.7584000", \
+					  "18.3215290, 18.5405650, 19.9079510, 28.2566270, 79.2203000, 391.5912400, 2315.7797000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.4975700, 16.9382000, 19.5786520, 35.9427690, 137.0855900, 760.0417600, 4599.0141000", \
+					  "16.5108830, 16.9350290, 19.5785840, 35.9418130, 137.0320900, 758.8753300, 4599.6871000", \
+					  "16.5113360, 16.9348320, 19.5786110, 35.9417920, 137.0229700, 759.8926000, 4599.6867000", \
+					  "16.5108990, 16.9375860, 19.5786140, 35.9417380, 137.0322000, 758.8923300, 4601.0528000", \
+					  "16.5110270, 16.9386440, 19.5786160, 35.8706450, 137.0196700, 760.0513100, 4600.9509000", \
+					  "16.5050960, 16.9245400, 19.5689580, 35.9298300, 136.8500600, 760.1448700, 4599.8072000", \
+					  "16.5113560, 16.9385480, 19.5786360, 35.9449960, 137.0575300, 758.7651700, 4599.3806000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.6917400, 12.7553260, 13.1374170, 15.4382780, 29.3987680, 115.2800800, 488.2318200", \
+					  "12.8195760, 12.8805810, 13.2701580, 15.5622150, 29.5226780, 115.4221900, 488.3680200", \
+					  "12.9082520, 12.9692270, 13.3592420, 15.6522050, 29.6097510, 115.5033900, 488.4620900", \
+					  "12.9818960, 13.0434580, 13.4287590, 15.7220920, 29.6897240, 115.5331500, 488.5633900", \
+					  "13.0388340, 13.0997710, 13.4887900, 15.7802320, 29.7430310, 115.6442300, 488.5953900", \
+					  "13.0931980, 13.1596010, 13.5419680, 15.8464970, 29.7670320, 115.6647000, 488.6716700", \
+					  "13.1439250, 13.2047750, 13.5859500, 15.8878420, 29.8443230, 115.6997300, 488.6941800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.7966457, 3.8953054, 4.4547361, 8.0009279, 30.3452840, 168.6557300, 348.8467300", \
+					  "3.7968628, 3.8883181, 4.4508965, 7.9904341, 30.3185990, 168.7940200, 348.8454200", \
+					  "3.7961811, 3.8866412, 4.4530557, 7.9931146, 30.3203760, 168.7144100, 348.8464700", \
+					  "3.8041836, 3.8954622, 4.4523967, 7.9983797, 30.3442530, 168.8784900, 348.8144700", \
+					  "3.7973256, 3.8900727, 4.4497397, 7.9874507, 30.3167450, 168.8222500, 348.8571800", \
+					  "3.7974970, 3.8955497, 4.4546771, 7.9896498, 30.3740140, 168.5516900, 348.8227700", \
+					  "3.7928314, 3.8946446, 4.4548685, 8.0008968, 30.3688490, 168.8176400, 348.8279400");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1140171, -1.1432277, -1.1724383, -1.1764299, -1.1802619, -1.1842534, -1.1882450");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1219323, 1.1694850, 1.2170376, 1.2205917, 1.2240036, 1.2275576, 1.2311117");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157216;
+			capacitance : 0.156644;
+			fall_capacitance : 0.156072;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0542824, -0.0503219, -0.0463615, -0.0468227, -0.0472654, -0.0477266, -0.0481878");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0487616, 0.0477620, 0.0467625, 0.0471271, 0.0474772, 0.0478418, 0.0482064");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.149862;
+			capacitance : 0.149485;
+			fall_capacitance : 0.149110;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0549027, -0.0506282, -0.0463538, -0.0467972, -0.0472228, -0.0476662, -0.0481096");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0491146, 0.0477996, 0.0464845, 0.0469230, 0.0473439, 0.0477824, 0.0482209");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p65v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v65.lib
new file mode 100644
index 0000000..ac259dc
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+        lu_table_template ("del_1_12_7") {
+                variable_1 : "input_net_transition";
+                index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+                variable_2 : "total_output_net_capacitance";
+                index_2("1, 2, 3, 4, 5, 6, 7");
+        }
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+                        is_macro_cell : true
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 4.514980e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "451.0930000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "276.6860000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.6480000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.9150000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "451.4980000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.0650000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.0680000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.2430000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.9180000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "342.2640000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "358.5290000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "359.9700000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.2990000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "359.4840000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "342.3570000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.3340000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "451.1490000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.8200000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006917;
+			capacitance : 0.006792;
+			fall_capacitance : 0.006667;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3431341, 4.2713538, 4.2534547, 4.2275355, 4.2146906, 4.2191986, 4.2259443", \
+					  "4.7136586, 4.6405145, 4.6209270, 4.5949651, 4.5836013, 4.5866118, 4.5949425", \
+					  "5.0661682, 4.9925782, 4.9726479, 4.9523432, 4.9344540, 4.9493567, 4.9489699", \
+					  "5.3455802, 5.2669223, 5.2543750, 5.2284890, 5.2164822, 5.2213450, 5.2230876", \
+					  "5.5580530, 5.4890406, 5.4670098, 5.4431702, 5.4469361, 5.4477803, 5.4378029", \
+					  "5.7628140, 5.6932137, 5.6697294, 5.6402734, 5.6328092, 5.6484686, 5.6456158", \
+					  "5.9530321, 5.8797720, 5.8670698, 5.8320560, 5.8358201, 5.8392169, 5.8442502");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9952515, 3.9002702, 3.8368604, 3.7692714, 3.6732706, 3.6504404, 3.6064292", \
+					  "4.3627238, 4.2694171, 4.1845152, 4.1403563, 4.0422228, 4.0381927, 3.9723756", \
+					  "4.7137079, 4.6217783, 4.5492133, 4.4982669, 4.4130808, 4.4007400, 4.3126785", \
+					  "4.9961713, 4.9027163, 4.8255731, 4.7716704, 4.7016105, 4.6810665, 4.6053048", \
+					  "5.2242684, 5.1323393, 5.0490930, 5.0034513, 4.9068192, 4.8375004, 4.8669906", \
+					  "5.4143439, 5.3224143, 5.2620049, 5.1989029, 5.1152050, 5.0770160, 5.0164773", \
+					  "5.6036232, 5.5190437, 5.4500362, 5.3940246, 5.3179566, 5.2889074, 5.2058343");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7013170, -3.6719773, -3.6268961, -3.6546283, -3.6233509, -3.6030214, -3.6439455", \
+					  "-4.0718408, -4.0455534, -3.9989458, -4.0266783, -3.9951981, -3.9808385, -3.9827756", \
+					  "-4.4243508, -4.3982348, -4.3500001, -4.3627850, -4.3461263, -4.3275816, -4.3275652", \
+					  "-4.7037629, -4.6790009, -4.6378774, -4.6298998, -4.6248411, -4.6069932, -4.6096515", \
+					  "-4.9349118, -4.8979427, -4.8675168, -4.8897492, -4.8583974, -4.8610304, -4.8497572", \
+					  "-5.1249868, -5.0986990, -5.0562516, -5.0765114, -5.0485875, -5.0303878, -5.0383060", \
+					  "-5.3231608, -5.2923774, -5.2577509, -5.2791693, -5.2498767, -5.2233397, -5.2425839");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8447669, -3.7330976, -3.6680660, -3.5713427, -3.5456284, -3.4865976, -3.4859950", \
+					  "-4.2137651, -4.0867405, -4.0401160, -3.9449183, -3.9329373, -3.8476237, -3.8512786", \
+					  "-4.5662751, -4.4392505, -4.4002546, -4.2907035, -4.2049139, -4.2381278, -4.1798549", \
+					  "-4.8472130, -4.7201884, -4.6678787, -4.5646333, -4.5724883, -4.4841972, -4.4829102", \
+					  "-5.0768360, -4.9610651, -4.9216251, -4.8079893, -4.8066890, -4.7215185, -4.6830936", \
+					  "-5.2669106, -5.1383606, -5.1115989, -4.9858572, -5.0028672, -4.8913599, -4.8216313", \
+					  "-5.4650846, -5.3164412, -5.2917872, -5.2037141, -5.1983259, -5.1551862, -5.0835569");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438801, 0.0442536, 0.0446270, 0.0469945, 0.0492673, 0.0516348, 0.0540023");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560781, 0.0570356, 0.0579931, 0.0608437, 0.0635803, 0.0664309, 0.0692815");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006244;
+			capacitance : 0.006130;
+			fall_capacitance : 0.006015;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8615575, -3.7875007, -3.7563017, -3.7496430, -3.7250316, -3.7241976, -3.7275513", \
+					  "-4.2305556, -4.1580248, -4.1366081, -4.1032202, -4.1068875, -4.1019008, -4.1057048", \
+					  "-4.5830657, -4.5105348, -4.4793357, -4.4636940, -4.4555668, -4.4504278, -4.4627925", \
+					  "-4.8640032, -4.7864083, -4.7711730, -4.7445503, -4.7349575, -4.7290635, -4.7376265", \
+					  "-5.0951525, -5.0210957, -4.9972570, -4.9678352, -4.9714838, -4.9664896, -4.9626718", \
+					  "-5.2837012, -5.2096444, -5.1897870, -5.1627997, -5.1585351, -5.1525004, -5.1680058", \
+					  "-5.4818756, -5.4039419, -5.3900419, -5.3705819, -5.3551929, -5.3576168, -5.3524467");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7944267, -3.6867726, -3.5934224, -3.5103362, -3.4739120, -3.4648808, -3.4503733", \
+					  "-4.1634249, -4.0391816, -3.9654726, -3.8871472, -3.8251923, -3.8319678, -3.7762644", \
+					  "-4.5174608, -4.3932642, -4.3606800, -4.2349646, -4.2099894, -4.1638437, -4.1317085", \
+					  "-4.7968724, -4.6726291, -4.5989201, -4.5158528, -4.4809354, -4.4352741, -4.4290795", \
+					  "-5.0264954, -4.9161845, -4.8323285, -4.7718822, -4.7187784, -4.6801675, -4.6165469", \
+					  "-5.2165705, -5.0908017, -5.0200188, -4.9370292, -4.8944823, -4.8239579, -4.8088615", \
+					  "-5.4162703, -5.2679796, -5.2149162, -5.1506330, -5.1194339, -5.0576789, -5.0268596");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3538389, 4.2788722, 4.2501090, 4.2161535, 4.2141200, 4.2135396, 4.2280133", \
+					  "4.7228371, 4.6493416, 4.6175986, 4.5987710, 4.5815834, 4.5841889, 4.5954891", \
+					  "5.0753471, 5.0012903, 4.9740841, 4.9442271, 4.9341085, 4.9366291, 4.9490685", \
+					  "5.3547591, 5.2766763, 5.2510292, 5.2170777, 5.2150535, 5.2093399, 5.2289251", \
+					  "5.5809644, 5.5118512, 5.4821781, 5.4451668, 5.4422829, 5.4487817, 5.4376200", \
+					  "5.7759831, 5.7019263, 5.6716607, 5.6351624, 5.6347312, 5.6372607, 5.6363428", \
+					  "5.9711052, 5.8887649, 5.8636676, 5.8490735, 5.8444141, 5.8421661, 5.8487573");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9647475, 3.8444113, 3.7804029, 3.7313284, 3.6431461, 3.6235204, 3.5699195", \
+					  "4.3337456, 4.2130844, 4.1463493, 4.0938771, 4.0193345, 3.9851751, 3.9207924", \
+					  "4.6862557, 4.5543829, 4.4977895, 4.4388109, 4.3367974, 4.3625413, 4.2947151", \
+					  "4.9618907, 4.8430644, 4.7948785, 4.7131897, 4.6497306, 4.6406961, 4.5785405", \
+					  "5.1952907, 5.0601952, 4.9968472, 4.9477927, 4.8509248, 4.8582621, 4.7807587", \
+					  "5.3884175, 5.2565448, 5.1994949, 5.1450136, 5.0801188, 5.0343306, 4.9054727", \
+					  "5.5761709, 5.4647809, 5.3903005, 5.3451970, 5.2735583, 5.2233962, 5.1985015");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0529318, 0.0532868, 0.0536417, 0.0557812, 0.0578352, 0.0599747, 0.0621143");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0660883, 0.0668907, 0.0676931, 0.0704536, 0.0731037, 0.0758642, 0.0786247");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.009347;
+			capacitance : 0.009212;
+			fall_capacitance : 0.009078;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3675493, 4.2960234, 4.2778854, 4.2628685, 4.2407409, 4.2478908, 4.2518760", \
+					  "4.7380733, 4.6663023, 4.6453577, 4.6209523, 4.6096574, 4.6242310, 4.6208742", \
+					  "5.0905833, 5.0200486, 4.9989691, 4.9665408, 4.9604887, 4.9752671, 4.9733842", \
+					  "5.3699949, 5.2981515, 5.2788052, 5.2653147, 5.2429637, 5.2565195, 5.2543221", \
+					  "5.6011438, 5.5290837, 5.5047463, 5.4795962, 5.4836702, 5.4858361, 5.4839447", \
+					  "5.7912189, 5.7186045, 5.7005110, 5.6647090, 5.6613041, 5.6759387, 5.6755461", \
+					  "5.9789727, 5.9159937, 5.8914885, 5.8809027, 5.8610945, 5.8669690, 5.8681977");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9754154, 3.8759407, 3.8095423, 3.7325297, 3.6701727, 3.6503618, 3.5982648", \
+					  "4.3402613, 4.2364181, 4.1775408, 4.1215065, 4.0422228, 3.9912782, 3.9643025", \
+					  "4.6953977, 4.5943207, 4.5236662, 4.4692750, 4.3901552, 4.2958169, 4.3043389", \
+					  "4.9763352, 4.8752587, 4.8026852, 4.7335671, 4.6787221, 4.6656464, 4.6066691", \
+					  "5.2059586, 5.1048812, 5.0277305, 4.9845992, 4.8915608, 4.8374529, 4.8289357", \
+					  "5.3945078, 5.2949563, 5.2208822, 5.1699114, 5.1205487, 5.0566314, 5.0165323", \
+					  "5.5926817, 5.4858334, 5.4317802, 5.3589300, 5.2414800, 5.2698408, 5.2028655");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8462764, -3.7681122, -3.7444103, -3.7298122, -3.7210072, -3.7207814, -3.7290786", \
+					  "-4.2152745, -4.1371104, -4.1143073, -4.0876326, -4.0916681, -4.0984586, -4.1105627", \
+					  "-4.5677846, -4.4911463, -4.4689703, -4.4414971, -4.4440235, -4.4439800, -4.4661276", \
+					  "-4.8487220, -4.7684565, -4.7631598, -4.7201587, -4.7232868, -4.7290635, -4.7424093", \
+					  "-5.0798714, -5.0002848, -4.9852360, -4.9740143, -4.9546995, -4.9629396, -4.9596204", \
+					  "-5.2684205, -5.1902568, -5.1674595, -5.1430231, -5.1401969, -5.1530844, -5.1451178", \
+					  "-5.4665945, -5.3896303, -5.3817748, -5.3536115, -5.3414226, -5.3423583, -5.3509212");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7715250, -3.6911109, -3.6055052, -3.5682910, -3.5456284, -3.4663691, -3.4716010", \
+					  "-4.1405232, -4.0547052, -3.9775549, -3.9403411, -3.8841088, -3.8293979, -3.7811867", \
+					  "-4.4945591, -4.4103132, -4.3565340, -4.2873120, -4.2047221, -4.1833681, -4.1796768", \
+					  "-4.7739712, -4.6881527, -4.6110029, -4.5646333, -4.4977202, -4.4610704, -4.4775101", \
+					  "-5.0035942, -4.9235839, -4.8563035, -4.8034116, -4.7456538, -4.6981083, -4.6830901", \
+					  "-5.1936692, -5.1078512, -5.0689015, -4.9858572, -4.9709780, -4.8914043, -4.8216313", \
+					  "-5.3933690, -5.2966819, -5.2580027, -5.1979849, -5.1589050, -5.1109190, -5.0777282");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438209, 0.0441993, 0.0445776, 0.0468478, 0.0490271, 0.0512973, 0.0535674");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559774, 0.0569220, 0.0578667, 0.0607096, 0.0634388, 0.0662817, 0.0691246");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026903;
+			capacitance : 0.026928;
+			fall_capacitance : 0.026952;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034177, -0.0038638, -0.0043099, -0.0044451, -0.0045750, -0.0047103, -0.0048455");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0266414, 0.0270824, 0.0275234, 0.0273497, 0.0271829, 0.0270091, 0.0268354");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.034217;
+			capacitance : 0.033966;
+			fall_capacitance : 0.033715;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1315023, 0.2268303, 0.3221584, 0.3372241, 0.3516873, 0.3667530, 0.3818188");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1287050, 0.2321504, 0.3355958, 0.3674597, 0.3980491, 0.4299129, 0.4617768");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052947;
+			capacitance : 0.052563;
+			fall_capacitance : 0.052179;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0210063, -0.0213792, -0.0217522, -0.0217559, -0.0217595, -0.0217632, -0.0217669");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0215890, 0.0217893, 0.0219896, 0.0219294, 0.0218716, 0.0218115, 0.0217513");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_H";
+                                timing_type : non_seq_hold_falling;
+                                fall_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.021368;
+			capacitance : 0.021336;
+			fall_capacitance : 0.021304;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263133, 0.0325604, 0.0388075, 0.0480327, 0.0568889, 0.0661142, 0.0753394");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0311477, 0.0372578, 0.0433679, 0.0522284, 0.0607344, 0.0695948, 0.0784553");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.038044;
+			capacitance : 0.037646;
+			fall_capacitance : 0.037248;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0827832, -4.0283396, -4.0126366, -4.0121508, -3.9944307, -3.9844911, -3.9854246", \
+					  "-4.4426260, -4.3958119, -4.3811658, -4.3617525, -4.3723902, -4.3626446, -4.3635781", \
+					  "-4.7997137, -4.7463657, -4.7279777, -4.7134756, -4.7218737, -4.7182064, -4.7176140", \
+					  "-5.0791253, -5.0292594, -5.0145907, -5.0086639, -5.0074138, -4.9976181, -5.0016038", \
+					  "-5.3087487, -5.2543052, -5.2379867, -5.2339006, -5.2278317, -5.2257156, -5.2266491", \
+					  "-5.4957716, -5.4474316, -5.4285906, -5.4133815, -5.4209585, -5.4173166, -5.4243536", \
+					  "-5.6954718, -5.6447750, -5.6266606, -5.6195268, -5.6298137, -5.6185423, -5.6148981");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.7247548, -2.6783622, -2.6289417, -2.6039355, -2.5797470, -2.5455873, -2.5205810", \
+					  "-3.0998565, -3.0458344, -2.9964142, -2.9668301, -2.9411158, -2.9100080, -2.8834759", \
+					  "-3.4554184, -4.8113084, -4.7527326, -3.3422282, -3.2982034, -3.2594662, -3.2375115", \
+					  "-3.7264758, -3.6785572, -3.6291369, -3.5949754, -3.5646834, -3.5320495, -3.5024656", \
+					  "-3.9457768, -3.9039618, -3.8423346, -3.8152612, -3.7846550, -3.7559282, -3.7232925", \
+					  "-4.1459606, -4.0995675, -4.0531993, -4.0297185, -3.9933232, -3.9591634, -3.9219501", \
+					  "-4.3361787, -4.2879062, -4.2573760, -4.2458767, -4.1850671, -4.1478556, -4.1213235");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5216584, 4.4550077, 4.4266256, 4.4249491, 4.4163276, 4.4187892, 4.4227744", \
+					  "4.8830271, 4.8194287, 4.7925768, 4.7884535, 4.7854625, 4.7847355, 4.7932985", \
+					  "5.2416407, 5.1734641, 5.1469938, 5.1409173, 5.1332581, 5.1341938, 5.1397049", \
+					  "5.5149492, 5.4544021, 5.4275440, 5.4182128, 5.4172474, 5.4181831, 5.4282723", \
+					  "5.7304737, 5.6819037, 5.6530974, 5.6495642, 5.6355017, 5.6306558, 5.6502659", \
+					  "5.9392245, 5.8670582, 5.8390615, 5.8376062, 5.8422914, 5.8317780, 5.8348249", \
+					  "6.1267172, 6.0603281, 6.0306509, 6.0351082, 6.0216479, 6.0288892, 6.0280947");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2454785, 4.1395765, 4.0871043, 4.0361583, 3.9875554, 3.9381372, 3.8963462", \
+					  "4.6098990, 4.5070488, 4.4561024, 4.4021047, 4.3504500, 4.3025577, 4.2653443", \
+					  "4.9639349, 4.8626106, 4.8055607, 4.7515630, 4.7029601, 4.6596196, 4.6254838", \
+					  "5.2448728, 5.1404967, 5.0898777, 5.0325005, 4.9869498, 4.9405833, 4.8942146", \
+					  "5.4760217, 5.3701197, 5.3145957, 5.2605976, 5.2121141, 5.1656286, 5.1314671", \
+					  "5.6599932, 5.5586689, 5.5061967, 5.4491467, 5.4035961, 5.3648590, 5.3215421", \
+					  "5.8551153, 5.7598946, 5.6908987, 5.6473211, 5.6002441, 5.5630330, 5.5181897");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1912745, 0.1988852, 0.2064959, 0.2217981, 0.2364882, 0.2517903, 0.2670925");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2268415, 0.2350565, 0.2432715, 0.2591432, 0.2743802, 0.2902519, 0.3061237");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.010340;
+			capacitance : 0.010532;
+			rise_capacitance : 0.010725;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0051233, 0.0177343, 0.0405919, 0.1480067, 0.2511250, 0.3585399, 0.4659547");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0398793, 0.0595336, 0.0791879, 0.1693377, 0.2558815, 0.3460312, 0.4361810");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007204;
+			capacitance : 0.007070;
+			fall_capacitance : 0.006936;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5048776, 4.4404421, 4.4167241, 4.3868911, 4.3908764, 4.3939939, 4.3907312", \
+					  "4.8754017, 4.8094407, 4.7841964, 4.7568996, 4.7627149, 4.7614710, 4.7612552", \
+					  "5.2233340, 5.1619502, 5.1351805, 5.1153422, 5.1085703, 5.1057059, 5.1137683", \
+					  "5.5020209, 5.4413623, 5.4139740, 5.3935254, 5.3862810, 5.3948937, 5.3912149", \
+					  "5.7354204, 5.6614645, 5.6249403, 5.6204861, 5.6084189, 5.6073326, 5.6228003", \
+					  "5.9254959, 5.8625862, 5.8373420, 5.8238714, 5.8094803, 5.8105607, 5.8144008", \
+					  "6.1178270, 6.0577088, 6.0245736, 6.0052312, 6.0120840, 6.0116408, 6.0115298");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9616819, 3.8638113, 3.7956170, 3.7264048, 3.6701727, 3.6262465, 3.5981820", \
+					  "4.3291542, 4.2290676, 4.1524529, 4.1215771, 4.0147568, 3.9897524, 3.9642177", \
+					  "4.6816642, 4.5866837, 4.5144806, 4.4616460, 4.3840596, 4.2882496, 4.3042879", \
+					  "4.9641280, 4.8676421, 4.7795366, 4.7274640, 4.6726186, 4.6487874, 4.6052920", \
+					  "5.1922251, 5.0957188, 5.0078939, 4.9846880, 4.8869929, 4.8375191, 4.8289500", \
+					  "5.3823002, 5.2857934, 5.2040726, 5.1577039, 5.1205767, 5.0337430, 5.0164733", \
+					  "5.5789478, 5.4745549, 5.4129277, 5.3528260, 5.2384322, 5.2523029, 5.2028682");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8890000, -3.8169354, -3.7840617, -3.7786744, -3.7741025, -3.7737486, -3.7733276", \
+					  "-4.2579981, -4.1822942, -4.1601022, -4.1511965, -4.1461161, -4.1472862, -4.1456298", \
+					  "-4.6120340, -4.5399695, -4.5141075, -4.5012569, -4.4948331, -4.4997998, -4.5024652", \
+					  "-4.8914461, -4.8142692, -4.7922330, -4.7734933, -4.7797976, -4.7751405, -4.7772996", \
+					  "-5.1225950, -5.0505304, -5.0211410, -5.0173250, -5.0091844, -5.0118853, -5.0069226", \
+					  "-5.3111441, -5.2406055, -5.2066757, -5.1894548, -5.1995983, -5.2017352, -5.2019515", \
+					  "-5.5093181, -5.4403004, -5.4119795, -5.4007063, -5.4131386, -5.3972899, -5.3997493");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7837317, -3.0051574, -3.6222898, -3.5438769, -3.5425766, -3.4679119, -2.8520346", \
+					  "-4.1527299, -4.0485941, -3.9943397, -3.9037197, -3.8868888, -3.8294130, -3.7748224", \
+					  "-4.5067658, -4.4133357, -4.3526353, -4.2873515, -3.8116152, -4.1864194, -4.1797261", \
+					  "-4.7861779, -4.0121810, -4.6434112, -4.5463231, -4.4961943, -3.9368746, -4.4787815", \
+					  "-5.0158008, -4.9344730, -4.8593531, -4.7667907, -4.7483410, -4.7042261, -4.6830652", \
+					  "-5.2058759, -5.1017401, -5.0793620, -4.9662005, -4.9540396, -4.8913662, -4.8216317", \
+					  "-5.4055757, -5.3103381, -5.2801418, -4.5019634, -5.1588535, -5.1134978, -5.0777246");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439268, 0.0442994, 0.0446721, 0.0470392, 0.0493117, 0.0516788, 0.0540460");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560559, 0.0570395, 0.0580232, 0.0608221, 0.0635090, 0.0663079, 0.0691067");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.019220;
+			capacitance : 0.019184;
+			fall_capacitance : 0.019149;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1102530, 0.1166853, 0.1231175, 0.1327733, 0.1420429, 0.1516987, 0.1613546");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1127226, 0.1187764, 0.1248301, 0.1339592, 0.1427232, 0.1518523, 0.1609814");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005811;
+			capacitance : 0.005691;
+			fall_capacitance : 0.005572;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8752794, -3.7927174, -3.7692278, -3.7546612, -3.7391985, -3.7477259, -3.7611205", \
+					  "-4.2442776, -4.1647674, -4.1467742, -4.1128240, -4.1113097, -4.1184022, -4.1335999", \
+					  "-4.5967876, -4.5157515, -4.5004713, -4.4845573, -4.4638850, -4.4740657, -4.4996537", \
+					  "-4.8777255, -4.7987389, -4.7819470, -4.7449175, -4.7409189, -4.7474626, -4.7743140", \
+					  "-5.1073485, -5.0263124, -5.0154611, -4.9774209, -4.9760389, -4.9828152, -5.0023449", \
+					  "-5.2974236, -5.2163875, -5.2025155, -5.1851929, -5.1736945, -5.1745237, -5.1763253", \
+					  "-5.4955975, -5.4170501, -5.4003594, -5.3766930, -5.3688882, -5.3698239, -5.3753350");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8249024, -3.7040044, -3.6268665, -3.5332641, -3.4525498, -3.4727114, -3.4497853", \
+					  "-4.1939010, -4.0739098, -3.9989165, -3.9255911, -3.4844811, -3.8413439, -3.7647398", \
+					  "-4.5464105, -4.4264199, -4.3582060, -4.2958456, -4.1597785, -4.1908465, -4.0785763", \
+					  "-4.8273485, -4.7073578, -4.6750889, -4.5418897, -4.4656769, -3.9388627, -4.4103745", \
+					  "-5.0569719, -4.9342625, -4.8680910, -4.7793113, -4.7072898, -4.6830666, -4.6696315", \
+					  "-5.2430564, -5.1230653, -5.0707418, -4.9666082, -4.8769000, -4.8819834, -4.8005650", \
+					  "-5.4363262, -5.3167024, -5.2650801, -5.1870074, -5.1235116, -5.0794504, -5.0507786");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4758964, 4.4131443, 4.3865776, 4.3578788, 4.3399815, 4.3499762, 4.3586617", \
+					  "4.8510021, 4.7836724, 4.7555798, 4.7281191, 4.7040374, 4.7205105, 4.7307640", \
+					  "5.2002747, 5.1376559, 5.1065195, 5.0774561, 5.0558476, 5.0716878, 5.0708915", \
+					  "5.4810554, 5.4101855, 5.3820924, 5.3587057, 5.3504155, 5.3470089, 5.3623131", \
+					  "5.6967789, 5.6441077, 5.6179397, 5.5916554, 5.5659964, 5.5831125, 5.5704506", \
+					  "5.9006704, 5.8363930, 5.8067740, 5.7862486, 5.7711754, 5.7717034, 5.7644541", \
+					  "6.0932102, 6.0329637, 5.9956824, 5.9668988, 5.9796863, 5.9736356, 5.9639945");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9601280, 3.8617112, 3.8136479, 3.7447155, 3.6289741, 3.6183779, 3.5668657", \
+					  "4.3291262, 4.2272268, 4.1662743, 4.1064359, 4.0254380, 3.9714421, 3.9845827", \
+					  "4.6816362, 4.5830076, 4.5324285, 4.4556419, 4.3428530, 4.3471620, 4.2974067", \
+					  "4.9625742, 4.8624929, 4.7965816, 4.7471612, 4.6276616, 4.6237452, 4.5709075", \
+					  "5.1921972, 5.0935685, 5.0262845, 4.9695202, 4.8701983, 4.8487119, 4.8249341", \
+					  "5.3782821, 5.2796534, 5.2352685, 5.1522789, 5.0410243, 5.0267011, 5.0182123", \
+					  "5.5700260, 5.4672629, 5.4066785, 5.3455283, 5.2367269, 5.1995468, 5.1618423");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0522021, 0.0527351, 0.0532681, 0.0554556, 0.0575556, 0.0597432, 0.0619307");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0630939, 0.0638067, 0.0645196, 0.0673646, 0.0700958, 0.0729407, 0.0757857");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005163;
+			capacitance : 0.004958;
+			fall_capacitance : 0.004752;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0081136, -0.0080324, -0.0079512, -0.0079565, -0.0079616, -0.0079669, -0.0079722");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0081193, 0.0081143, 0.0081093, 0.0081133, 0.0081171, 0.0081211, 0.0081250");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3431341, 4.7136586, 5.0661682, 5.3455802, 5.5580530, 5.7628140, 5.9530321", \
+					  "4.2713538, 4.6405145, 4.9925782, 5.2669223, 5.4890406, 5.6932137, 5.8797720", \
+					  "4.2534547, 4.6209270, 4.9726479, 5.2543750, 5.4670098, 5.6697294, 5.8670698", \
+					  "4.2275355, 4.5949651, 4.9523432, 5.2284890, 5.4431702, 5.6402734, 5.8320560", \
+					  "4.2146906, 4.5836013, 4.9344540, 5.2164822, 5.4469361, 5.6328092, 5.8358201", \
+					  "4.2191986, 4.5866118, 4.9493567, 5.2213450, 5.4477803, 5.6484686, 5.8392169", \
+					  "4.2259443, 4.5949425, 4.9489699, 5.2230876, 5.4378029, 5.6456158, 5.8442502");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7013170, -4.0718408, -4.4243508, -4.7037629, -4.9349118, -5.1249868, -5.3231608", \
+					  "-3.6719773, -4.0455534, -4.3982348, -4.6790009, -4.8979427, -5.0986990, -5.2923774", \
+					  "-3.6268961, -3.9989458, -4.3500001, -4.6378774, -4.8675168, -5.0562516, -5.2577509", \
+					  "-3.6546283, -4.0266783, -4.3627850, -4.6298998, -4.8897492, -5.0765114, -5.2791693", \
+					  "-3.6233509, -3.9951981, -4.3461263, -4.6248411, -4.8583974, -5.0485875, -5.2498767", \
+					  "-3.6030214, -3.9808385, -4.3275816, -4.6069932, -4.8610304, -5.0303878, -5.2233397", \
+					  "-3.6439455, -3.9827756, -4.3275652, -4.6096515, -4.8497572, -5.0383060, -5.2425839");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.0827832, -4.4426260, -4.7997137, -5.0791253, -5.3087487, -5.4957716, -5.6954718", \
+					  "-4.0283396, -4.3958119, -4.7463657, -5.0292594, -5.2543052, -5.4474316, -5.6447750", \
+					  "-4.0126366, -4.3811658, -4.7279777, -5.0145907, -5.2379867, -5.4285906, -5.6266606", \
+					  "-4.0121508, -4.3617525, -4.7134756, -5.0086639, -5.2339006, -5.4133815, -5.6195268", \
+					  "-3.9944307, -4.3723902, -4.7218737, -5.0074138, -5.2278317, -5.4209585, -5.6298137", \
+					  "-3.9844911, -4.3626446, -4.7182064, -4.9976181, -5.2257156, -5.4173166, -5.6185423", \
+					  "-3.9854246, -4.3635781, -4.7176140, -5.0016038, -5.2266491, -5.4243536, -5.6148981");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5216584, 4.8830271, 5.2416407, 5.5149492, 5.7304737, 5.9392245, 6.1267172", \
+					  "4.4550077, 4.8194287, 5.1734641, 5.4544021, 5.6819037, 5.8670582, 6.0603281", \
+					  "4.4266256, 4.7925768, 5.1469938, 5.4275440, 5.6530974, 5.8390615, 6.0306509", \
+					  "4.4249491, 4.7884535, 5.1409173, 5.4182128, 5.6495642, 5.8376062, 6.0351082", \
+					  "4.4163276, 4.7854625, 5.1332581, 5.4172474, 5.6355017, 5.8422914, 6.0216479", \
+					  "4.4187892, 4.7847355, 5.1341938, 5.4181831, 5.6306558, 5.8317780, 6.0288892", \
+					  "4.4227744, 4.7932985, 5.1397049, 5.4282723, 5.6502659, 5.8348249, 6.0280947");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5048776, 4.8754017, 5.2233340, 5.5020209, 5.7354204, 5.9254959, 6.1178270", \
+					  "4.4404421, 4.8094407, 5.1619502, 5.4413623, 5.6614645, 5.8625862, 6.0577088", \
+					  "4.4167241, 4.7841964, 5.1351805, 5.4139740, 5.6249403, 5.8373420, 6.0245736", \
+					  "4.3868911, 4.7568996, 5.1153422, 5.3935254, 5.6204861, 5.8238714, 6.0052312", \
+					  "4.3908764, 4.7627149, 5.1085703, 5.3862810, 5.6084189, 5.8094803, 6.0120840", \
+					  "4.3939939, 4.7614710, 5.1057059, 5.3948937, 5.6073326, 5.8105607, 6.0116408", \
+					  "4.3907312, 4.7612552, 5.1137683, 5.3912149, 5.6228003, 5.8144008, 6.0115298");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8890000, -4.2579981, -4.6120340, -4.8914461, -5.1225950, -5.3111441, -5.5093181", \
+					  "-3.8169354, -4.1822942, -4.5399695, -4.8142692, -5.0505304, -5.2406055, -5.4403004", \
+					  "-3.7840617, -4.1601022, -4.5141075, -4.7922330, -5.0211410, -5.2066757, -5.4119795", \
+					  "-3.7786744, -4.1511965, -4.5012569, -4.7734933, -5.0173250, -5.1894548, -5.4007063", \
+					  "-3.7741025, -4.1461161, -4.4948331, -4.7797976, -5.0091844, -5.1995983, -5.4131386", \
+					  "-3.7737486, -4.1472862, -4.4997998, -4.7751405, -5.0118853, -5.2017352, -5.3972899", \
+					  "-3.7733276, -4.1456298, -4.5024652, -4.7772996, -5.0069226, -5.2019515, -5.3997493");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8752794, -4.2442776, -4.5967876, -4.8777255, -5.1073485, -5.2974236, -5.4955975", \
+					  "-3.7927174, -4.1647674, -4.5157515, -4.7987389, -5.0263124, -5.2163875, -5.4170501", \
+					  "-3.7692278, -4.1467742, -4.5004713, -4.7819470, -5.0154611, -5.2025155, -5.4003594", \
+					  "-3.7546612, -4.1128240, -4.4845573, -4.7449175, -4.9774209, -5.1851929, -5.3766930", \
+					  "-3.7391985, -4.1113097, -4.4638850, -4.7409189, -4.9760389, -5.1736945, -5.3688882", \
+					  "-3.7477259, -4.1184022, -4.4740657, -4.7474626, -4.9828152, -5.1745237, -5.3698239", \
+					  "-3.7611205, -4.1335999, -4.4996537, -4.7743140, -5.0023449, -5.1763253, -5.3753350");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4758964, 4.8510021, 5.2002747, 5.4810554, 5.6967789, 5.9006704, 6.0932102", \
+					  "4.4131443, 4.7836724, 5.1376559, 5.4101855, 5.6441077, 5.8363930, 6.0329637", \
+					  "4.3865776, 4.7555798, 5.1065195, 5.3820924, 5.6179397, 5.8067740, 5.9956824", \
+					  "4.3578788, 4.7281191, 5.0774561, 5.3587057, 5.5916554, 5.7862486, 5.9668988", \
+					  "4.3399815, 4.7040374, 5.0558476, 5.3504155, 5.5659964, 5.7711754, 5.9796863", \
+					  "4.3499762, 4.7205105, 5.0716878, 5.3470089, 5.5831125, 5.7717034, 5.9736356", \
+					  "4.3586617, 4.7307640, 5.0708915, 5.3623131, 5.5704506, 5.7644541, 5.9639945");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8615575, -4.2305556, -4.5830657, -4.8640032, -5.0951525, -5.2837012, -5.4818756", \
+					  "-3.7875007, -4.1580248, -4.5105348, -4.7864083, -5.0210957, -5.2096444, -5.4039419", \
+					  "-3.7563017, -4.1366081, -4.4793357, -4.7711730, -4.9972570, -5.1897870, -5.3900419", \
+					  "-3.7496430, -4.1032202, -4.4636940, -4.7445503, -4.9678352, -5.1627997, -5.3705819", \
+					  "-3.7250316, -4.1068875, -4.4555668, -4.7349575, -4.9714838, -5.1585351, -5.3551929", \
+					  "-3.7241976, -4.1019008, -4.4504278, -4.7290635, -4.9664896, -5.1525004, -5.3576168", \
+					  "-3.7275513, -4.1057048, -4.4627925, -4.7376265, -4.9626718, -5.1680058, -5.3524467");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3538389, 4.7228371, 5.0753471, 5.3547591, 5.5809644, 5.7759831, 5.9711052", \
+					  "4.2788722, 4.6493416, 5.0012903, 5.2766763, 5.5118512, 5.7019263, 5.8887649", \
+					  "4.2501090, 4.6175986, 4.9740841, 5.2510292, 5.4821781, 5.6716607, 5.8636676", \
+					  "4.2161535, 4.5987710, 4.9442271, 5.2170777, 5.4451668, 5.6351624, 5.8490735", \
+					  "4.2141200, 4.5815834, 4.9341085, 5.2150535, 5.4422829, 5.6347312, 5.8444141", \
+					  "4.2135396, 4.5841889, 4.9366291, 5.2093399, 5.4487817, 5.6372607, 5.8421661", \
+					  "4.2280133, 4.5954891, 4.9490685, 5.2289251, 5.4376200, 5.6363428, 5.8487573");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.1240284, -4.4823458, -4.8424848, -5.1203710, -5.3515198, -5.5370172, -5.7382429", \
+					  "-4.0660792, -4.4366037, -4.7906392, -5.0700512, -5.3001365, -5.4897493, -5.6868516", \
+					  "-4.0621406, -4.4265442, -4.7841510, -5.0616100, -5.2881430, -5.4847870, -5.6794176", \
+					  "-4.0551986, -4.4455352, -4.7704509, -5.0656454, -5.2764341, -5.4910847, -5.6921492", \
+					  "-4.0389147, -4.4187655, -4.7615211, -5.0542430, -5.2720821, -5.4915518, -5.6664346", \
+					  "-4.0241638, -4.4023174, -4.7563533, -5.0357653, -5.2653883, -5.4554634, -5.6613600", \
+					  "-4.0205201, -4.4001995, -4.7511836, -5.0443282, -5.2586923, -5.4747075, -5.6744202");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5812148, 4.9425835, 5.2996685, 5.5745011, 5.7976573, 5.9972501, 6.1834802", \
+					  "4.5095326, 4.8754790, 5.2279863, 5.5104484, 5.7259751, 5.9255679, 6.1163757", \
+					  "4.4842146, 4.8486351, 5.2062397, 5.4836049, 5.7116958, 5.8962660, 6.0895318", \
+					  "4.4727731, 4.8371976, 5.1925396, 5.4721719, 5.6944065, 5.9084997, 6.0867678", \
+					  "4.4712592, 4.8372056, 5.1929557, 5.4706491, 5.6892276, 5.8911305, 6.0968881", \
+					  "4.4737208, 4.8411930, 5.1906486, 5.4746366, 5.7027323, 5.8882302, 6.0820898", \
+					  "4.4678308, 4.8467042, 5.1931084, 5.4816736, 5.7036663, 5.8973833, 6.0967527");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.8914679, 4.2589401, 4.6129760, 4.8923881, 5.1235370, 5.3120861, 5.5102601", \
+					  "3.8273902, 4.1861726, 4.5454354, 4.8178602, 5.0559963, 5.2460714, 5.4477612", \
+					  "3.7906165, 4.1656161, 4.5180973, 4.7930986, 5.0249940, 5.2105931, 5.4194221", \
+					  "3.7765731, 4.1577251, 4.4941689, 4.7805846, 4.9952938, 5.1932328, 5.4062322", \
+					  "3.7782575, 4.1472686, 4.5017606, 4.7791684, 5.0103391, 5.2019902, 5.4140868", \
+					  "3.7794459, 4.1559536, 4.5084485, 4.7775262, 5.0205465, 5.2043053, 5.4012895", \
+					  "3.7712240, 4.1496191, 4.5064652, 4.7812996, 5.0109226, 5.2058875, 5.4037493");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425091, -4.1130332, -4.4670691, -4.7464812, -4.9761042, -5.1661792, -5.3643531", \
+					  "-3.6843133, -4.0378636, -4.4072472, -4.6713111, -4.9125021, -5.0894832, -5.2996569", \
+					  "-3.6388878, -4.0109380, -4.3709305, -4.6470252, -4.8766615, -5.0653648, -5.2665767", \
+					  "-3.6099825, -3.9819998, -4.3399366, -4.6188397, -4.8465975, -5.0405912, -5.2654829", \
+					  "-3.6248275, -3.9983565, -4.3492869, -4.6278583, -4.8613506, -5.0546684, -5.2609470", \
+					  "-3.6228580, -3.9989973, -4.3504695, -4.6268298, -4.8675408, -5.0482122, -5.2494513", \
+					  "-3.6622729, -4.0056236, -4.3504535, -4.6436008, -4.8897483, -5.0550907, -5.2532647");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3675493, 4.7380733, 5.0905833, 5.3699949, 5.6011438, 5.7912189, 5.9789727", \
+					  "4.2960234, 4.6663023, 5.0200486, 5.2981515, 5.5290837, 5.7186045, 5.9159937", \
+					  "4.2778854, 4.6453577, 4.9989691, 5.2788052, 5.5047463, 5.7005110, 5.8914885", \
+					  "4.2628685, 4.6209523, 4.9665408, 5.2653147, 5.4795962, 5.6647090, 5.8809027", \
+					  "4.2407409, 4.6096574, 4.9604887, 5.2429637, 5.4836702, 5.6613041, 5.8610945", \
+					  "4.2478908, 4.6242310, 4.9752671, 5.2565195, 5.4858361, 5.6759387, 5.8669690", \
+					  "4.2518760, 4.6208742, 4.9733842, 5.2543221, 5.4839447, 5.6755461, 5.8681977");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8462764, -4.2152745, -4.5677846, -4.8487220, -5.0798714, -5.2684205, -5.4665945", \
+					  "-3.7681122, -4.1371104, -4.4911463, -4.7684565, -5.0002848, -5.1902568, -5.3896303", \
+					  "-3.7444103, -4.1143073, -4.4689703, -4.7631598, -4.9852360, -5.1674595, -5.3817748", \
+					  "-3.7298122, -4.0876326, -4.4414971, -4.7201587, -4.9740143, -5.1430231, -5.3536115", \
+					  "-3.7210072, -4.0916681, -4.4440235, -4.7232868, -4.9546995, -5.1401969, -5.3414226", \
+					  "-3.7207814, -4.0984586, -4.4439800, -4.7290635, -4.9629396, -5.1530844, -5.3423583", \
+					  "-3.7290786, -4.1105627, -4.4661276, -4.7424093, -4.9596204, -5.1451178, -5.3509212");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2720830, 0.6380295, 0.9768066, 1.2473487, 1.3953982, 1.7050198, 1.8174579", \
+					  "0.2019993, 0.5494317, 0.8896421, 1.1777855, 1.3399653, 1.5880335, 1.8079220", \
+					  "0.1704961, 0.5369092, 0.8735381, 1.0991705, 1.3687661, 1.6084157, 1.8010777", \
+					  "0.1649741, 0.5337643, 0.8562566, 1.1029461, 1.3702974, 1.4937496, 1.7357359", \
+					  "0.1545185, 0.5273348, 0.8646603, 1.1227897, 1.3346414, 1.5144785, 1.7707438", \
+					  "0.1554541, 0.5278019, 0.8801981, 1.1358989, 1.3564137, 1.5493232, 1.7411530", \
+					  "0.1609654, 0.5065442, 0.8658730, 1.1398537, 1.3762701, 1.5841557, 1.7493231");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1836148, -0.1588266, -0.4809566, -0.7596451, -0.9934133, -1.2005315, -1.2946923", \
+					  "0.2610599, -0.1078184, -0.4320134, -0.6591954, -0.9197647, -1.0850715, -1.2912272", \
+					  "0.2876439, -0.0795367, -0.4029519, -0.6433563, -0.8792589, -1.0814057, -1.2357858", \
+					  "0.2933466, -0.0851473, -0.3827353, -0.6518312, -0.8716086, -1.0402760, -1.2541323", \
+					  "0.2991153, -0.0897856, -0.3949435, -0.6557535, -0.8936137, -1.0802460, -1.2491815", \
+					  "0.2954040, -0.0658691, -0.3892431, -0.6627363, -0.8874948, -1.1056021, -1.2799950", \
+					  "0.2962029, -0.0607624, -0.3934282, -0.6600502, -0.9001204, -1.1033150, -1.2942177");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8844187, -4.2534169, -4.6059269, -4.8868644, -5.1164874, -5.3050366, -5.5047368", \
+					  "-3.8077459, -4.1752181, -4.5307799, -4.8105668, -5.0413409, -5.2298900, -5.4296458", \
+					  "-3.7732583, -4.1539876, -4.5018400, -4.7837207, -5.0109407, -5.2019453, -5.4097701", \
+					  "-3.7674008, -4.1434586, -4.4765078, -4.7628254, -5.0078796, -5.1755775, -5.3961286", \
+					  "-3.7574048, -4.1309837, -4.4852701, -4.7628778, -4.9940551, -5.1844473, -5.3994060", \
+					  "-3.7630860, -4.1366516, -4.4891150, -4.7612507, -5.0027975, -5.1884343, -5.3881346", \
+					  "-3.7611205, -4.1396244, -4.4918848, -4.7666184, -4.9962414, -5.1896818, -5.3875421");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4026399, 4.7731639, 5.1241480, 5.4035601, 5.6190841, 5.8263099, 6.0125374", \
+					  "4.3351223, 4.7041205, 5.0560443, 5.3360425, 5.5485152, 5.7559937, 5.9434984", \
+					  "4.3128423, 4.6803146, 5.0340899, 5.3137621, 5.5308125, 5.7336631, 5.9265817", \
+					  "4.2885658, 4.6559719, 5.0030915, 5.2895158, 5.5121061, 5.7089727, 5.9082044", \
+					  "4.2817752, 4.6476381, 5.0001748, 5.2819991, 5.4983309, 5.7071479, 5.8921461", \
+					  "4.2920665, 4.6535098, 5.0135130, 5.2959684, 5.5255949, 5.7051295, 5.9065298", \
+					  "4.2886031, 4.6576012, 5.0115310, 5.2895229, 5.5049417, 5.7107384, 5.9088150");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024904;
+			capacitance : 0.025508;
+			rise_capacitance : 0.025719;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.1240284, -4.0660792, -4.0621406, -4.0551986, -4.0389147, -4.0241638, -4.0205201", \
+					  "-4.4823458, -4.4366037, -4.4265442, -4.4455352, -4.4187655, -4.4023174, -4.4001995", \
+					  "-4.8424848, -4.7906392, -4.7841510, -4.7704509, -4.7615211, -4.7563533, -4.7511836", \
+					  "-5.1203710, -5.0700512, -5.0616100, -5.0656454, -5.0542430, -5.0357653, -5.0443282", \
+					  "-5.3515198, -5.3001365, -5.2881430, -5.2764341, -5.2720821, -5.2653883, -5.2586923", \
+					  "-5.5370172, -5.4897493, -5.4847870, -5.4910847, -5.4915518, -5.4554634, -5.4747075", \
+					  "-5.7382429, -5.6868516, -5.6794176, -5.6921492, -5.6664346, -5.6613600, -5.6744202");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.7018685, -2.5950108, -2.5984825, -2.6130908, -2.5507553, -2.6890201, -2.4976929", \
+					  "-3.0769702, -2.9518019, -2.9659550, -2.9836149, -3.0357203, -2.9664655, -3.0543743", \
+					  "-3.4325318, -4.8378201, -4.7649979, -4.7368817, -3.4019707, -3.3708553, -3.1581659", \
+					  "-3.7088920, -3.5934348, -3.6024543, -3.5255098, -3.5043730, -3.5011962, -3.4623679", \
+					  "-3.9400409, -3.8537149, -3.8167604, -3.7803691, -3.8286176, -3.8135030, -3.5664928", \
+					  "-4.1484267, -4.0049477, -4.0495600, -4.0001395, -3.9011831, -3.9158514, -3.7990346", \
+					  "-4.3221863, -4.1838881, -4.1975909, -4.1250716, -4.1054606, -4.1447052, -4.2782282");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5812148, 4.5095326, 4.4842146, 4.4727731, 4.4712592, 4.4737208, 4.4678308", \
+					  "4.9425835, 4.8754790, 4.8486351, 4.8371976, 4.8372056, 4.8411930, 4.8467042", \
+					  "5.2996685, 5.2279863, 5.2062397, 5.1925396, 5.1929557, 5.1906486, 5.1931084", \
+					  "5.5745011, 5.5104484, 5.4836049, 5.4721719, 5.4706491, 5.4746366, 5.4816736", \
+					  "5.7976573, 5.7259751, 5.7116958, 5.6944065, 5.6892276, 5.7027323, 5.7036663", \
+					  "5.9972501, 5.9255679, 5.8962660, 5.9084997, 5.8911305, 5.8882302, 5.8973833", \
+					  "6.1834802, 6.1163757, 6.0895318, 6.0867678, 6.0968881, 6.0820898, 6.0967527");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2531097, 4.1630368, 4.1037070, 4.0437878, 3.9912813, 3.9579738, 3.9055015", \
+					  "4.6190561, 4.5320350, 4.4515247, 4.4417774, 4.3705335, 4.3041666, 4.2744997", \
+					  "4.9730920, 4.8860705, 4.8163001, 4.7912357, 4.7259488, 4.6779299, 4.6277611", \
+					  "5.2540295, 5.1639566, 5.1016922, 5.0583083, 5.0052605, 4.9588936, 4.9079472", \
+					  "5.4836529, 5.3951135, 5.3253196, 5.2743306, 5.2257281, 5.1699900, 5.1446823", \
+					  "5.6691499, 5.5836547, 5.5214551, 5.4452165, 5.4250398, 5.3343412, 5.3322229", \
+					  "5.8627461, 5.7818286, 5.7028442, 5.6610537, 5.6307615, 5.5708489, 5.5123477");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006864;
+			capacitance : 0.006994;
+			rise_capacitance : 0.007125;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8914679, 3.8273902, 3.7906165, 3.7765731, 3.7782575, 3.7794459, 3.7712240", \
+					  "4.2589401, 4.1861726, 4.1656161, 4.1577251, 4.1472686, 4.1559536, 4.1496191", \
+					  "4.6129760, 4.5454354, 4.5180973, 4.4941689, 4.5017606, 4.5084485, 4.5064652", \
+					  "4.8923881, 4.8178602, 4.7930986, 4.7805846, 4.7791684, 4.7775262, 4.7812996", \
+					  "5.1235370, 5.0559963, 5.0249940, 4.9952938, 5.0103391, 5.0205465, 5.0109226", \
+					  "5.3120861, 5.2460714, 5.2105931, 5.1932328, 5.2019902, 5.2043053, 5.2058875", \
+					  "5.5102601, 5.4477612, 5.4194221, 5.4062322, 5.4140868, 5.4012895, 5.4037493");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5598239, 4.4617455, 4.3801219, 4.3156170, 4.2810513, 4.2316957, 4.1976891", \
+					  "4.9277216, 4.8307436, 4.7491200, 4.6874440, 4.6602036, 4.5991422, 4.5651565", \
+					  "5.2782803, 5.1832536, 5.1000642, 5.0369489, 5.0188172, 4.9515479, 4.9192519", \
+					  "5.5554413, 5.4588887, 5.3825675, 5.3165257, 5.2808534, 5.2277207, 5.1986249", \
+					  "5.7762682, 5.6797156, 5.6056726, 5.5394678, 5.5243790, 5.4585779, 5.4223957", \
+					  "5.9773904, 5.8838896, 5.8037919, 5.7451677, 5.7313499, 5.6521657, 5.6185783", \
+					  "6.1786157, 6.0731689, 6.0068118, 5.9372381, 5.8766892, 5.8418673, 5.7991938");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425091, -3.6843133, -3.6388878, -3.6099825, -3.6248275, -3.6228580, -3.6622729", \
+					  "-4.1130332, -4.0378636, -4.0109380, -3.9819998, -3.9983565, -3.9989973, -4.0056236", \
+					  "-4.4670691, -4.4072472, -4.3709305, -4.3399366, -4.3492869, -4.3504695, -4.3504535", \
+					  "-4.7464812, -4.6713111, -4.6470252, -4.6188397, -4.6278583, -4.6268298, -4.6436008", \
+					  "-4.9761042, -4.9125021, -4.8766615, -4.8465975, -4.8613506, -4.8675408, -4.8897483", \
+					  "-5.1661792, -5.0894832, -5.0653648, -5.0405912, -5.0546684, -5.0482122, -5.0550907", \
+					  "-5.3643531, -5.2996569, -5.2665767, -5.2654829, -5.2609470, -5.2494513, -5.2532647");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9561534, -3.8550231, -3.7657701, -3.7319065, -3.6676985, -3.6255054, -3.5940983", \
+					  "-4.3266774, -4.2203323, -4.1515047, -4.1193681, -4.0092309, -4.0128431, -3.9559460", \
+					  "-4.6791874, -4.5780572, -4.5056400, -4.4454389, -4.3756485, -4.2890138, -4.2973955", \
+					  "-4.9548225, -4.8537762, -4.7827286, -4.7076500, -4.6573525, -4.6369173, -4.5929649", \
+					  "-5.1725981, -5.0604149, -4.9821660, -4.9373232, -4.8690502, -4.8568658, -4.7831665", \
+					  "-5.3758333, -5.2747031, -5.2037358, -5.1386177, -5.1043100, -5.0434134, -5.0289281", \
+					  "-5.5675772, -5.4585072, -5.4058089, -5.3261992, -5.2223720, -5.2349420, -5.1879129");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0436976, 0.0440521, 0.0444065, 0.0466783, 0.0488592, 0.0511309, 0.0534027");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0562448, 0.0571744, 0.0581041, 0.0609544, 0.0636906, 0.0665409, 0.0693911");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.016651;
+			capacitance : 0.015992;
+			fall_capacitance : 0.015332;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0129921, -0.0032698, 0.0064525, 0.0196255, 0.0322715, 0.0454445, 0.0586175");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502384, 0.1215432, 0.1928479, 0.5537611, 0.9002378, 1.2611510, 1.6220642");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_VDDIO";
+                                timing_type : non_seq_hold_rising;
+                                rise_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.032686;
+			capacitance : 0.032734;
+			fall_capacitance : 0.032783;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0048746, -0.0047377, -0.0046008, -0.0048187, -0.0050278, -0.0052456, -0.0054635");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0605453, 0.0821747, 0.1038042, 0.1037234, 0.1036458, 0.1035649, 0.1034841");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007341;
+			capacitance : 0.007221;
+			fall_capacitance : 0.007101;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2720830, 0.2019993, 0.1704961, 0.1649741, 0.1545185, 0.1554541, 0.1609654", \
+					  "0.6380295, 0.5494317, 0.5369092, 0.5337643, 0.5273348, 0.5278019, 0.5065442", \
+					  "0.9768066, 0.8896421, 0.8735381, 0.8562566, 0.8646603, 0.8801981, 0.8658730", \
+					  "1.2473487, 1.1777855, 1.0991705, 1.1029461, 1.1227897, 1.1358989, 1.1398537", \
+					  "1.3953982, 1.3399653, 1.3687661, 1.3702974, 1.3346414, 1.3564137, 1.3762701", \
+					  "1.7050198, 1.5880335, 1.6084157, 1.4937496, 1.5144785, 1.5493232, 1.5841557", \
+					  "1.8174579, 1.8079220, 1.8010777, 1.7357359, 1.7707438, 1.7411530, 1.7493231");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0377211, -0.1496663, -0.2090814, -0.2698854, -0.3154522, -0.3694483, -0.4097134", \
+					  "0.2948886, 0.2162591, 0.1517124, 0.0907431, 0.0352112, -0.0135656, -0.0445559", \
+					  "0.6467208, 0.5472467, 0.4858273, 0.4289027, 0.3894554, 0.3266388, 0.2653462", \
+					  "0.9132024, 0.8042338, 0.7117474, 0.6943354, 0.6354287, 0.5875362, 0.5411676", \
+					  "1.1576518, 0.9753629, 0.9506361, 0.9019520, 0.8355844, 0.8441925, 0.7840911", \
+					  "1.2844706, 1.2157261, 1.1480162, 1.0704426, 1.0741809, 1.0529980, 0.9713728", \
+					  "1.5341016, 1.4192393, 1.3406663, 1.2988270, 1.2351776, 1.1762926, 1.1288452");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1836148, 0.2610599, 0.2876439, 0.2933466, 0.2991153, 0.2954040, 0.2962029", \
+					  "-0.1588266, -0.1078184, -0.0795367, -0.0851473, -0.0897856, -0.0658691, -0.0607624", \
+					  "-0.4809566, -0.4320134, -0.4029519, -0.3827353, -0.3949435, -0.3892431, -0.3934282", \
+					  "-0.7596451, -0.6591954, -0.6433563, -0.6518312, -0.6557535, -0.6627363, -0.6600502", \
+					  "-0.9934133, -0.9197647, -0.8792589, -0.8716086, -0.8936137, -0.8874948, -0.9001204", \
+					  "-1.2005315, -1.0850715, -1.0814057, -1.0402760, -1.0802460, -1.1056021, -1.1033150", \
+					  "-1.2946923, -1.2912272, -1.2357858, -1.2541323, -1.2491815, -1.2799950, -1.2942177");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1378257, 0.2308363, 0.2634359, 0.3623851, 0.4064274, 1.1088712, 0.4959813", \
+					  "-0.2039615, -0.1301018, -0.0621125, 0.0001445, 0.0261306, 0.0860931, 0.1280211", \
+					  "0.0203646, -0.4518331, -0.3934254, -0.3348759, -0.2713050, -0.2155006, -0.1620983", \
+					  "-0.1462630, -0.0314007, -0.6160118, -0.5961080, -0.5482225, -0.4370518, -0.0031100", \
+					  "-1.0559956, -0.1949785, -0.8505058, -0.8094180, -0.7540228, -0.0436838, -0.0629280", \
+					  "-1.2509067, -1.1049142, -1.0436196, -0.9797569, -0.9782729, -0.9420251, -0.8163108", \
+					  "-1.3671209, -1.3186991, -1.2148925, -1.1949321, -1.1564227, -1.1390713, -1.0195595");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0437929, 0.0441347, 0.0444766, 0.0467514, 0.0489353, 0.0512101, 0.0534849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0558193, 0.0567306, 0.0576420, 0.0605417, 0.0633255, 0.0662252, 0.0691249");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.015619;
+			capacitance : 0.015566;
+			fall_capacitance : 0.015514;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8844187, -3.8077459, -3.7732583, -3.7674008, -3.7574048, -3.7630860, -3.7611205", \
+					  "-4.2534169, -4.1752181, -4.1539876, -4.1434586, -4.1309837, -4.1366516, -4.1396244", \
+					  "-4.6059269, -4.5307799, -4.5018400, -4.4765078, -4.4852701, -4.4891150, -4.4918848", \
+					  "-4.8868644, -4.8105668, -4.7837207, -4.7628254, -4.7628778, -4.7612507, -4.7666184", \
+					  "-5.1164874, -5.0413409, -5.0109407, -5.0078796, -4.9940551, -5.0027975, -4.9962414", \
+					  "-5.3050366, -5.2298900, -5.2019453, -5.1755775, -5.1844473, -5.1884343, -5.1896818", \
+					  "-5.5047368, -5.4296458, -5.4097701, -5.3961286, -5.3994060, -5.3881346, -5.3875421");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8172995, -3.7258927, -3.6436793, -3.5438769, -3.5395249, -3.4679212, -3.4731166", \
+					  "-4.1862977, -4.0485630, -4.0157293, -3.9230043, -3.8852088, -3.8294036, -3.7743681", \
+					  "-4.5388077, -4.4148238, -4.3913412, -4.2714887, -4.2793437, -4.1909842, -4.0732277", \
+					  "-4.8197452, -4.6820105, -4.6510118, -4.5478485, -4.5633330, -4.4687011, -4.4130665", \
+					  "-5.0493687, -4.9366690, -4.8848753, -4.7683166, -4.7451178, -4.7057284, -4.6579498", \
+					  "-5.2394433, -5.1001827, -5.0902453, -4.9926314, -4.9710944, -4.8928441, -4.8216313", \
+					  "-5.4376172, -5.3103377, -5.2845068, -5.1846733, -5.1771953, -5.1165771, -5.0765651");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4026399, 4.3351223, 4.3128423, 4.2885658, 4.2817752, 4.2920665, 4.2886031", \
+					  "4.7731639, 4.7041205, 4.6803146, 4.6559719, 4.6476381, 4.6535098, 4.6576012", \
+					  "5.1241480, 5.0560443, 5.0340899, 5.0030915, 5.0001748, 5.0135130, 5.0115310", \
+					  "5.4035601, 5.3360425, 5.3137621, 5.2895158, 5.2819991, 5.2959684, 5.2895229", \
+					  "5.6190841, 5.5485152, 5.5308125, 5.5121061, 5.4983309, 5.5255949, 5.5049417", \
+					  "5.8263099, 5.7559937, 5.7336631, 5.7089727, 5.7071479, 5.7051295, 5.7107384", \
+					  "6.0125374, 5.9434984, 5.9265817, 5.9082044, 5.8921461, 5.9065298, 5.9088150");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9647324, 3.8849961, 3.8246537, 3.7493686, 3.6581820, 3.6324848, 3.5981636", \
+					  "4.3322046, 4.2510154, 4.1845201, 4.1316344, 4.0468005, 4.0366038, 3.9641941", \
+					  "4.6847147, 4.6064888, 4.5339544, 4.4814821, 4.4009918, 4.3850122, 4.3042683", \
+					  "4.9656522, 4.8859008, 4.8087887, 4.7533788, 4.6895135, 4.6657689, 4.6052747", \
+					  "5.1952756, 5.1170502, 5.0323082, 4.9947458, 4.9007161, 4.8879188, 4.8289770", \
+					  "5.3853507, 5.3071252, 5.2453067, 5.1821186, 5.1189464, 5.0745482, 5.0471696", \
+					  "5.5819987, 5.5024588, 5.4415763, 5.3772407, 5.3072758, 5.2727434, 5.2058374");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439332, 0.0442934, 0.0446536, 0.0469150, 0.0490860, 0.0513475, 0.0536089");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560707, 0.0569970, 0.0579232, 0.0608470, 0.0636539, 0.0665777, 0.0695015");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.952830;
+			max_transition : 1.502810;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02408481, 0.05800782, 0.1397108, 0.3364908, 0.8104318, 1.95191");
+					values("0.6565386, 0.6632877, 0.6762605, 0.6976586, 0.7302754, 0.7770706, 1.0409308", \
+					  "-0.4152775, -0.4149440, -0.4140769, -0.4131320, -0.4149490, -0.4884592, -0.2353234", \
+					  "0.0265708, 0.0270528, 0.0277205, 0.0284063, 0.0283543, 0.0339700, -0.0805868", \
+					  "0.1463769, 0.1468993, 0.1476573, 0.1488537, 0.1482345, 0.1533771, 0.1409141", \
+					  "0.2431756, 0.2480231, 0.2460811, 0.2455515, 0.2472452, 0.2513776, 0.2432366", \
+					  "0.3348232, 0.3397672, 0.3389735, 0.3346744, 0.3292157, 0.3423826, 0.3336642", \
+					  "0.4151518, 0.4281714, 0.4321531, 0.4160322, 0.4157161, 0.4251930, 0.4270737");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02408481, 0.05800782, 0.1397108, 0.3364908, 0.8104318, 1.95191");
+					values("0.8105819, 0.8230205, 0.8483349, 0.8862828, 0.9321357, 0.9962606, 1.1991105", \
+					  "2.2741978, 2.2746078, 2.2754129, 2.2774846, 2.2796077, 2.2823106, 2.5602916", \
+					  "2.5216322, 2.5210938, 2.5220349, 2.5240077, 2.5263316, 2.5474477, 2.5288259", \
+					  "2.5486139, 2.5506632, 2.5469585, 2.5486566, 2.5510405, 2.5677615, 2.5539629", \
+					  "2.5841360, 2.5849128, 2.5672060, 2.5713015, 2.5712583, 2.5960024, 2.5757129", \
+					  "2.6037659, 2.6045722, 2.5903431, 2.5899140, 2.5927470, 2.6077426, 2.5945311", \
+					  "2.6218481, 2.6218971, 2.6091722, 2.6118660, 2.6067917, 2.6343626, 2.6150135");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.7207441, 0.7251936, 0.7336357, 0.7476082, 0.8121474, 1.0039970, 1.0018547", \
+					  "-0.2234712, -0.2214775, -0.2148231, -0.2010080, -0.1387851, 0.0571690, 0.0563237", \
+					  "0.0513948, 0.0520677, 0.0526997, 0.0492903, 0.0799483, 0.2383664, 0.2287915", \
+					  "0.4991155, 0.4994501, 0.5010274, 0.4970123, 0.5022494, 0.4982294, 0.3984241", \
+					  "0.6553035, 0.6573862, 0.6615267, 0.6558666, 0.6443666, 0.6507901, 0.6561393", \
+					  "0.8039672, 0.8032606, 0.8111888, 0.7961723, 0.7941565, 0.7963678, 0.8084419", \
+					  "0.9462438, 0.9443600, 0.9473601, 0.9387852, 0.9377854, 0.9409343, 0.9447016");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.9947591, 1.0039557, 1.0205132, 1.0465180, 1.0942695, 1.1938928, 1.2419132", \
+					  "2.0502777, 2.0597640, 2.0765026, 2.1024770, 2.1501269, 2.2493825, 2.2992797", \
+					  "1.9819727, 1.9890396, 2.0102004, 2.0454391, 2.1121251, 2.2391947, 2.2890244", \
+					  "2.1984968, 2.1876802, 2.1886440, 2.1912353, 2.1922728, 2.2048346, 2.2740690", \
+					  "2.2163050, 2.2086313, 2.2098341, 2.2117328, 2.2169345, 2.2258092, 2.2169170", \
+					  "2.2191552, 2.2116788, 2.2110317, 2.2153084, 2.2288380, 2.2274968, 2.2194718", \
+					  "2.2267286, 2.2143255, 2.2170117, 2.2187148, 2.2316701, 2.2349184, 2.2220335");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.6649091, 0.6698449, 0.6767540, 0.6917969, 0.7576758, 0.9468533, 0.9443985", \
+					  "-0.4427062, -0.4391780, -0.4311974, -0.4178756, -0.3562995, -0.1632156, -0.1658094", \
+					  "-0.1717638, -0.1708640, -0.1703772, -0.1694078, -0.1697549, -0.1240701, -0.1560835", \
+					  "-0.0411877, -0.0403623, -0.0405195, -0.0394967, -0.0393270, -0.0373772, -0.0846771", \
+					  "-0.0304211, -0.0311498, -0.0300269, -0.0300248, -0.0300223, -0.0263532, -0.0260888", \
+					  "-0.0199840, -0.0212747, -0.0189145, -0.0272722, -0.0176137, -0.0185488, -0.0223810", \
+					  "-0.0085231, -0.0045981, -0.0092479, -0.0178624, -0.0145924, -0.0079637, -0.0086319");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.9162351, 0.9256332, 0.9428332, 0.9726470, 1.0251191, 1.1343622, 1.1942657", \
+					  "1.9831216, 1.9905642, 2.0069621, 2.0358520, 2.0880309, 2.1980247, 2.2551826", \
+					  "1.9705210, 1.9799269, 1.9979086, 2.0261607, 2.0801770, 2.1909213, 2.2530840", \
+					  "1.9107855, 1.9082283, 1.9263408, 1.9621013, 2.0268396, 2.1704958, 2.2612062", \
+					  "2.1470883, 2.1451280, 2.1539691, 2.1488141, 2.1510708, 2.1547664, 2.2260709", \
+					  "2.1786485, 2.1786789, 2.1866465, 2.1799622, 2.1825792, 2.1873176, 2.1934908", \
+					  "2.1939375, 2.1866638, 2.1961658, 2.1889907, 2.1879640, 2.1911026, 2.2034687");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.8044881, 1.8077184, 1.8136149, 1.8235814, 1.8315455, 1.8367405, 1.8091010", \
+					  "1.8022241, 1.8035052, 1.8126207, 1.8217855, 1.8296045, 1.8323708, 1.8103792", \
+					  "1.8042003, 1.8078590, 1.8135639, 1.8237781, 1.8322204, 1.8357462, 1.8098123", \
+					  "1.8044527, 1.8072992, 1.8130047, 1.8233682, 1.8375569, 1.8330791, 1.8127404", \
+					  "1.8026904, 1.8053271, 1.8135742, 1.8237048, 1.8272408, 1.8356640, 1.8126804", \
+					  "1.8128759, 1.8070340, 1.8191517, 1.8207136, 1.8311384, 1.8288186, 1.8093184", \
+					  "1.8034565, 1.8038685, 1.8136916, 1.8249204, 1.8303536, 1.8349692, 1.8129094");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.8522728, 0.8604285, 0.8725918, 0.8900239, 0.9093610, 0.9530949, 1.1559007", \
+					  "0.8515991, 0.8591016, 0.8720112, 0.8895579, 0.9088470, 0.9519505, 1.1561422", \
+					  "0.8520783, 0.8593334, 0.8719600, 0.8897937, 0.9091641, 0.9528549, 1.1565022", \
+					  "0.8504596, 0.8585703, 0.8703945, 0.8889271, 0.9078251, 0.9506526, 1.1558251", \
+					  "0.8459851, 0.8587493, 0.8716500, 0.8890148, 0.9083913, 0.9508282, 1.1556248", \
+					  "0.8505420, 0.8586139, 0.8715154, 0.8890609, 0.9079486, 0.9510385, 1.1556366", \
+					  "0.8479945, 0.8581749, 0.8710800, 0.8887692, 0.9076915, 0.9505306, 1.1550366");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.2376766, 1.2464376, 1.2663323, 1.3092584, 1.4081588, 1.6426017, 2.2036553", \
+					  "2.7997922, 2.8083871, 2.8279727, 2.8712179, 2.9702782, 3.2047229, 3.7654035", \
+					  "3.9413988, 3.9501700, 3.9696952, 4.0129371, 4.1119623, 4.3461714, 4.9065036", \
+					  "4.1900305, 4.1988093, 4.2183306, 4.2615751, 4.3605950, 4.5948036, 5.1559899", \
+					  "5.6034004, 5.6123017, 5.6320490, 5.6745906, 5.7728298, 6.0122245, 6.5787975", \
+					  "6.6956778, 6.7121833, 6.7322050, 6.7620984, 6.8736896, 7.0991364, 7.6711766", \
+					  "7.5245854, 7.5396986, 7.5661086, 7.5968991, 7.7035818, 7.9285831, 8.5034051", \
+					  "8.6659000, 8.6751504, 8.6796703, 8.7372332, 8.8202823, 9.0708792, 9.6168238", \
+					  "22.7518840, 22.8471870, 22.8718220, 22.9155150, 23.0149380, 23.2482640, 23.7569700", \
+					  "31.3848040, 31.4104690, 31.4297030, 31.4885150, 31.5584890, 31.7894790, 32.3804880", \
+					  "67.3434220, 67.3434258, 67.3874610, 67.3874627, 67.5303300, 67.7482820, 68.1943460", \
+					  "197.8925800, 198.0552800, 198.0552903, 198.0553055, 198.1798500, 198.2886200, 199.0073200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0595261, 0.0691552, 0.0931858, 0.1512084, 0.2951292, 0.6479525, 1.5019672", \
+					  "0.0595446, 0.0692652, 0.0929977, 0.1509996, 0.2947793, 0.6472062, 1.4992642", \
+					  "0.0594887, 0.0692797, 0.0928614, 0.1506055, 0.2953582, 0.6481216, 1.4996039", \
+					  "0.0594881, 0.0692792, 0.0928605, 0.1506033, 0.2953587, 0.6481205, 1.5025262", \
+					  "0.0594374, 0.0692854, 0.0927583, 0.1506621, 0.2953140, 0.6477370, 1.5018151", \
+					  "0.0593914, 0.0692277, 0.0927570, 0.1508098, 0.2945242, 0.6487048, 1.4997427", \
+					  "0.0594259, 0.0692898, 0.0929370, 0.1508102, 0.2953514, 0.6487009, 1.4998157", \
+					  "0.0595260, 0.0693014, 0.0929519, 0.1509009, 0.2945471, 0.6468353, 1.5002023", \
+					  "0.0594758, 0.0692710, 0.0929159, 0.1506329, 0.2953578, 0.6481573, 1.4999569", \
+					  "0.0595473, 0.0692490, 0.0929289, 0.1508736, 0.2949793, 0.6481607, 1.5000978", \
+					  "0.0595239, 0.0692941, 0.0927291, 0.1510658, 0.2948067, 0.6476332, 1.5000833", \
+					  "0.0594364, 0.0691837, 0.0929078, 0.1507783, 0.2952622, 0.6472736, 1.4987177");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.0342427, 1.0473405, 1.0756612, 1.1314117, 1.2391510, 1.4603365, 1.9598940", \
+					  "2.2565987, 2.2698761, 2.2980398, 2.3539282, 2.4614943, 2.6857085, 3.1823988", \
+					  "2.9425542, 2.9530467, 2.9812064, 3.0370029, 3.1450318, 3.3671701, 3.8657193", \
+					  "3.0775382, 3.0928113, 3.1185532, 3.1744056, 3.2823921, 3.5052463, 4.0029500", \
+					  "3.8198649, 3.8329389, 3.8641117, 3.9199071, 4.0279531, 4.2475685, 4.7486379", \
+					  "4.3715107, 4.3855253, 4.4130779, 4.4680784, 4.5782702, 4.7999249, 5.2963003", \
+					  "4.7653934, 4.7763424, 4.8041446, 4.8604050, 4.9715259, 5.1860396, 5.6907794", \
+					  "5.2914699, 5.3049543, 5.3207949, 5.3767701, 5.4898019, 5.7151701, 6.2131518", \
+					  "11.3170050, 11.3423970, 11.3595910, 11.4156740, 11.5301480, 11.7546870, 12.2548670", \
+					  "14.7805830, 14.7886090, 14.8142710, 14.8694190, 14.9826430, 15.2055930, 15.7045080", \
+					  "28.5774800, 28.5943960, 28.6256740, 28.6541070, 28.7803790, 29.0080670, 29.5010430", \
+					  "75.8928780, 75.9047510, 75.9329240, 75.9837350, 76.0964410, 76.3141020, 76.8170950");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0830057, 0.0937198, 0.1165247, 0.1643574, 0.2732460, 0.5393441, 1.2076593", \
+					  "0.0830176, 0.0938065, 0.1167420, 0.1650882, 0.2742628, 0.5402511, 1.2066522", \
+					  "0.0829990, 0.0938752, 0.1171683, 0.1660277, 0.2751302, 0.5407521, 1.2064428", \
+					  "0.0830959, 0.0938134, 0.1167414, 0.1653324, 0.2751350, 0.5410021, 1.2061541", \
+					  "0.0830396, 0.0937364, 0.1171778, 0.1660035, 0.2751187, 0.5402722, 1.2063416", \
+					  "0.0830940, 0.0938868, 0.1171761, 0.1662750, 0.2751717, 0.5407540, 1.2065308", \
+					  "0.0830013, 0.0938285, 0.1171825, 0.1660638, 0.2754057, 0.5404118, 1.2071810", \
+					  "0.0829444, 0.0939500, 0.1167490, 0.1662278, 0.2752089, 0.5408132, 1.2069177", \
+					  "0.0830782, 0.0937871, 0.1167640, 0.1653634, 0.2751575, 0.5410737, 1.2069065", \
+					  "0.0832106, 0.0938421, 0.1171187, 0.1661928, 0.2752351, 0.5397879, 1.2077596", \
+					  "0.0829898, 0.0939342, 0.1172243, 0.1661035, 0.2749476, 0.5406733, 1.2062106", \
+					  "0.0831383, 0.0939208, 0.1166697, 0.1663876, 0.2750814, 0.5409972, 1.2074511");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.8777608, 3.8865458, 3.9050887, 3.9481689, 4.0477088, 4.2817621, 4.8430957", \
+					  "5.9580310, 5.9667338, 5.9859948, 6.0289214, 6.1272893, 6.3617267, 6.9223880", \
+					  "7.5206774, 7.5293789, 7.5488238, 7.5917139, 7.6902236, 7.9237337, 8.4855234", \
+					  "7.8683691, 7.8769586, 7.8964275, 7.9393239, 8.0377758, 8.2716305, 8.8332968", \
+					  "9.8900523, 9.8910560, 9.9180975, 9.9610897, 10.0597540, 10.2940960, 10.8540760", \
+					  "11.5232090, 11.5275110, 11.5538390, 11.5870370, 11.6953120, 11.9282970, 12.4901290", \
+					  "12.7472080, 12.7537930, 12.7722840, 12.8085650, 12.9149450, 13.1472090, 13.7087630", \
+					  "14.4611040, 14.4919090, 14.5048810, 14.5367250, 14.6386890, 14.8804990, 15.4210390", \
+					  "41.8950170, 42.1024740, 42.1024762, 42.2090170, 42.3081270, 42.3758380, 42.9552390", \
+					  "60.8303520, 60.8303547, 60.8303586, 60.8303624, 60.9873750, 61.1181860, 61.7887040", \
+					  "131.9677200, 131.9677201, 131.9677353, 131.9677506, 131.9677659, 131.9677811, 132.8715100", \
+					  "373.9731700, 373.9731904, 374.1099000, 374.4181900, 374.4181977, 374.4182282, 374.4182587");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0586564, 0.0685417, 0.0922407, 0.1505432, 0.2948849, 0.6490233, 1.4991880", \
+					  "0.0586755, 0.0685177, 0.0923453, 0.1505394, 0.2945482, 0.6480432, 1.4996244", \
+					  "0.0587899, 0.0685393, 0.0920460, 0.1503814, 0.2936801, 0.6474848, 1.4998801", \
+					  "0.0587887, 0.0685504, 0.0920617, 0.1503999, 0.2935758, 0.6469868, 1.4999707", \
+					  "0.0587879, 0.0685289, 0.0920513, 0.1500708, 0.2945633, 0.6478616, 1.4997192", \
+					  "0.0587876, 0.0685290, 0.0920828, 0.1500593, 0.2940714, 0.6483672, 1.4995362", \
+					  "0.0587886, 0.0685272, 0.0920466, 0.1503927, 0.2947381, 0.6474492, 1.4989122", \
+					  "0.0587875, 0.0684295, 0.0920551, 0.1500749, 0.2942049, 0.6478885, 1.4996284", \
+					  "0.0587867, 0.0685273, 0.0920614, 0.1503125, 0.2940516, 0.6479473, 1.4996996", \
+					  "0.0587821, 0.0685279, 0.0920788, 0.1502764, 0.2941172, 0.6479824, 1.4996102", \
+					  "0.0587903, 0.0685291, 0.0921745, 0.1503964, 0.2935518, 0.6470267, 1.4995314", \
+					  "0.0587825, 0.0685275, 0.0920829, 0.1502954, 0.2940927, 0.6479433, 1.4996036");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.6677192, 3.6813164, 3.7065613, 3.7606256, 3.8660808, 4.0822008, 4.5822528", \
+					  "5.8817338, 5.8942473, 5.9209655, 5.9743490, 6.0788765, 6.2962275, 6.7949832", \
+					  "7.9896605, 8.0096560, 8.0364098, 8.0841787, 8.1943448, 8.4118676, 8.9104413", \
+					  "8.4283265, 8.4459302, 8.4750820, 8.5276826, 8.6304137, 8.8492724, 9.3478461", \
+					  "10.9191460, 10.9191461, 10.9191471, 11.0158700, 11.0867700, 11.3043100, 11.8106760", \
+					  "12.8074960, 12.8074966, 12.8074976, 12.9047210, 12.9619600, 13.1794560, 13.6472520", \
+					  "14.1263600, 14.1263605, 14.1839060, 14.2152190, 14.2930690, 14.5177090, 14.9929220", \
+					  "15.8898000, 15.9225680, 15.9410330, 15.9803690, 16.0636910, 16.2804470, 16.8548820", \
+					  "36.0794740, 36.1024600, 36.1505670, 36.1973530, 36.2684420, 36.5042930, 36.9822820", \
+					  "47.0986980, 47.1089120, 47.1089154, 47.1818740, 47.2977780, 47.4888430, 47.9838280", \
+					  "89.1245410, 89.1453810, 89.1967960, 89.1967965, 89.3133820, 89.5505110, 90.0051940", \
+					  "226.1477800, 226.1849800, 226.2191600, 226.2191697, 226.2810700, 226.5020400, 227.0651600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0779870, 0.0883728, 0.1104328, 0.1581635, 0.2671809, 0.5352318, 1.2047656", \
+					  "0.0777748, 0.0883135, 0.1106712, 0.1593861, 0.2688818, 0.5354913, 1.2050152", \
+					  "0.0780286, 0.0884552, 0.1105423, 0.1591865, 0.2683390, 0.5354090, 1.2044578", \
+					  "0.0781908, 0.0884691, 0.1110248, 0.1591880, 0.2685683, 0.5359649, 1.2051212", \
+					  "0.0780829, 0.0884284, 0.1111291, 0.1592192, 0.2685875, 0.5351974, 1.2051005", \
+					  "0.0780605, 0.0884589, 0.1108152, 0.1588559, 0.2684974, 0.5350594, 1.2045459", \
+					  "0.0780389, 0.0882860, 0.1110252, 0.1591562, 0.2683175, 0.5348316, 1.2050259", \
+					  "0.0780424, 0.0884536, 0.1111311, 0.1593655, 0.2686660, 0.5354912, 1.2048711", \
+					  "0.0780429, 0.0883104, 0.1110972, 0.1591830, 0.2686361, 0.5357163, 1.2051085", \
+					  "0.0780437, 0.0885501, 0.1110958, 0.1592031, 0.2682674, 0.5357561, 1.2044095", \
+					  "0.0780349, 0.0883651, 0.1108080, 0.1591349, 0.2684128, 0.5358039, 1.2046968", \
+					  "0.0781984, 0.0885195, 0.1110273, 0.1591537, 0.2682774, 0.5350751, 1.2051412");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.8502597, 3.8584420, 3.8777186, 3.9208659, 4.0196801, 4.2533417, 4.8141544", \
+					  "5.6192988, 5.6279172, 5.6473284, 5.6903557, 5.7889298, 6.0225238, 6.5832766", \
+					  "6.6595094, 6.6683068, 6.6875310, 6.7321881, 6.8290968, 7.0629134, 7.6235249", \
+					  "6.8685586, 6.8769621, 6.8965407, 6.9396484, 7.0383663, 7.2721789, 7.8334770", \
+					  "7.9540028, 7.9626155, 7.9820319, 8.0255953, 8.1236537, 8.3577616, 8.9179579", \
+					  "8.7229610, 8.7308862, 8.7509475, 8.7940979, 8.8925027, 9.1261256, 9.6871311", \
+					  "9.2587555, 9.2587562, 9.2858263, 9.3240615, 9.4282582, 9.6601847, 10.2229090", \
+					  "9.9330260, 9.9435935, 9.9643254, 10.0173200, 10.1024320, 10.3475210, 10.9016700", \
+					  "18.2315640, 18.2567380, 18.2629800, 18.3148750, 18.3666880, 18.6440730, 19.1947520", \
+					  "25.2898950, 25.3221120, 25.3462340, 25.3732200, 25.4569330, 25.7168810, 26.2353840", \
+					  "54.5172190, 54.5172215, 54.5204130, 54.5204144, 54.6740290, 54.9049800, 55.4632890", \
+					  "133.8330400, 133.8475500, 133.9712400, 134.1354100, 134.3065900, 134.3776900, 134.8075100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0588048, 0.0683362, 0.0920205, 0.1507214, 0.2948761, 0.6473377, 1.4996878", \
+					  "0.0587855, 0.0685284, 0.0920612, 0.1500431, 0.2949871, 0.6476373, 1.4998580", \
+					  "0.0587835, 0.0685271, 0.0920831, 0.1502720, 0.2941912, 0.6479837, 1.4996239", \
+					  "0.0585990, 0.0685065, 0.0922045, 0.1503620, 0.2947696, 0.6471802, 1.4998391", \
+					  "0.0587875, 0.0685471, 0.0922227, 0.1502887, 0.2945569, 0.6479200, 1.4985330", \
+					  "0.0587838, 0.0685273, 0.0920655, 0.1502875, 0.2940696, 0.6479643, 1.4995838", \
+					  "0.0587882, 0.0685324, 0.0920521, 0.1500584, 0.2938684, 0.6475410, 1.4994386", \
+					  "0.0587852, 0.0685358, 0.0920496, 0.1503657, 0.2935889, 0.6478951, 1.4999705", \
+					  "0.0587873, 0.0685292, 0.0920703, 0.1502854, 0.2940617, 0.6470683, 1.4995096", \
+					  "0.0587869, 0.0685422, 0.0920615, 0.1504005, 0.2941349, 0.6477949, 1.4998305", \
+					  "0.0587947, 0.0685508, 0.0920530, 0.1503988, 0.2939900, 0.6479585, 1.4990426", \
+					  "0.0587877, 0.0685512, 0.0920805, 0.1502899, 0.2935566, 0.6466814, 1.4999725");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("5.2856488, 5.2980118, 5.3238915, 5.3799258, 5.4823905, 5.6998926, 6.1988450", \
+					  "7.1637998, 7.1763364, 7.2029773, 7.2564013, 7.3609434, 7.5785920, 8.0809066", \
+					  "9.6321493, 9.6446469, 9.6713739, 9.7246753, 9.8291784, 10.0467440, 10.5379180", \
+					  "10.1556560, 10.1681830, 10.1949950, 10.2479160, 10.3528990, 10.5700950, 11.0865990", \
+					  "13.0438300, 13.0773670, 13.1041160, 13.1574510, 13.2619110, 13.4734230, 13.9459930", \
+					  "15.2677440, 15.2908420, 15.3236430, 15.3709560, 15.4754450, 15.6822820, 16.1846750", \
+					  "16.9429570, 16.9429579, 16.9429598, 16.9606050, 17.0652100, 17.3588410, 17.7797310", \
+					  "19.0775860, 19.0775862, 19.0775881, 19.0825280, 19.1903790, 19.4910770, 19.9067780", \
+					  "43.4965700, 43.5184550, 43.5600750, 43.5600758, 43.7159710, 43.9071960, 44.4308310", \
+					  "56.9965090, 57.0292010, 57.0802750, 57.1080290, 57.2271400, 57.4114130, 57.9468380", \
+					  "109.3146900, 109.3146935, 109.3147011, 109.3690300, 109.4607700, 109.7186400, 110.1375800", \
+					  "277.4495200, 277.4495392, 277.4682500, 277.5208300, 277.6324700, 277.8223500, 278.3346000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0780773, 0.0882459, 0.1103620, 0.1582055, 0.2669369, 0.5341855, 1.2049026", \
+					  "0.0780432, 0.0884590, 0.1110958, 0.1592015, 0.2682924, 0.5357933, 1.2051059", \
+					  "0.0780432, 0.0884658, 0.1110977, 0.1595951, 0.2685807, 0.5357715, 1.2050080", \
+					  "0.0782753, 0.0886862, 0.1111291, 0.1595312, 0.2682382, 0.5357090, 1.2050855", \
+					  "0.0780354, 0.0884643, 0.1110972, 0.1594442, 0.2685046, 0.5353934, 1.2049950", \
+					  "0.0780430, 0.0882923, 0.1110977, 0.1593472, 0.2681994, 0.5357882, 1.2045562", \
+					  "0.0781909, 0.0884316, 0.1110943, 0.1591550, 0.2686593, 0.5352875, 1.2050403", \
+					  "0.0780438, 0.0884831, 0.1108113, 0.1588648, 0.2683438, 0.5353828, 1.2050935", \
+					  "0.0780431, 0.0884711, 0.1111320, 0.1589062, 0.2685973, 0.5356602, 1.2047912", \
+					  "0.0780397, 0.0884703, 0.1111200, 0.1591973, 0.2688563, 0.5354912, 1.2045849", \
+					  "0.0778796, 0.0884220, 0.1108142, 0.1588883, 0.2682600, 0.5355197, 1.2051480", \
+					  "0.0780421, 0.0884612, 0.1110258, 0.1596029, 0.2686348, 0.5350776, 1.2051808");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("21.5008300, 21.5094570, 21.5288390, 21.5719210, 21.6706940, 21.9046110, 22.4671680", \
+					  "21.6056400, 21.6142430, 21.6337380, 21.6768610, 21.7755110, 22.0096140, 22.5713030", \
+					  "21.6769540, 21.6855810, 21.7049630, 21.7483810, 21.8471520, 22.0810730, 22.6436310", \
+					  "21.7332500, 21.7418810, 21.7612610, 21.8047550, 21.9032990, 22.1378350, 22.6991940", \
+					  "21.7850150, 21.7936180, 21.8131130, 21.8551700, 21.9539780, 22.1887610, 22.7502780", \
+					  "21.8342390, 21.8428530, 21.8622650, 21.9049080, 22.0036060, 22.2376180, 22.7988930", \
+					  "21.8774150, 21.8860170, 21.9055090, 21.9499990, 22.0486440, 22.2813110, 22.8444770");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0586180, 0.0685018, 0.0921844, 0.1504010, 0.2948955, 0.6480335, 1.4986988", \
+					  "0.0587808, 0.0684624, 0.0919900, 0.1503504, 0.2944324, 0.6481812, 1.5027913", \
+					  "0.0586181, 0.0685019, 0.0921844, 0.1504010, 0.2948958, 0.6480322, 1.4986929", \
+					  "0.0586181, 0.0685019, 0.0921844, 0.1504623, 0.2942241, 0.6482518, 1.5019471", \
+					  "0.0587807, 0.0684620, 0.0919942, 0.1502456, 0.2948067, 0.6478263, 1.5008873", \
+					  "0.0587150, 0.0684605, 0.0921830, 0.1503364, 0.2938204, 0.6482984, 1.5025544", \
+					  "0.0587807, 0.0685022, 0.0919823, 0.1503370, 0.2944287, 0.6482186, 1.5028099");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("12.8850720, 12.8985260, 12.9241990, 12.9774610, 13.0818800, 13.2990870, 13.7996230", \
+					  "12.9452640, 12.9581260, 12.9847560, 13.0380610, 13.1422670, 13.3597220, 13.8596960", \
+					  "12.9677810, 12.9786150, 13.0052480, 13.0585570, 13.1647010, 13.3821380, 13.8804760", \
+					  "12.9760150, 12.9898620, 13.0164950, 13.0697540, 13.1729320, 13.3905170, 13.8917720", \
+					  "12.9823590, 12.9944310, 13.0210620, 13.0743640, 13.1788570, 13.3968500, 13.8960330", \
+					  "12.9822890, 12.9949090, 13.0215350, 13.0747980, 13.1791040, 13.3967620, 13.8968220", \
+					  "12.9751040, 12.9882240, 13.0148560, 13.0681120, 13.1720220, 13.3896070, 13.8900810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0779061, 0.0882901, 0.1109282, 0.1594137, 0.2684492, 0.5354823, 1.2060005", \
+					  "0.0780792, 0.0883079, 0.1109267, 0.1590015, 0.2685399, 0.5352658, 1.2076441", \
+					  "0.0779058, 0.0883070, 0.1109269, 0.1590016, 0.2681707, 0.5355191, 1.2058572", \
+					  "0.0779023, 0.0882965, 0.1109285, 0.1594159, 0.2681775, 0.5362554, 1.2060016", \
+					  "0.0779058, 0.0883021, 0.1109268, 0.1592644, 0.2681748, 0.5363643, 1.2075053", \
+					  "0.0779040, 0.0883395, 0.1109297, 0.1594162, 0.2684391, 0.5362777, 1.2060112", \
+					  "0.0779026, 0.0883575, 0.1109318, 0.1593628, 0.2681742, 0.5362530, 1.2058620");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.491750;
+			max_transition : 3.768739;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303529, 0.05306246, 0.1222309, 0.2815625, 0.6485874, 1.49404");
+					values("1.0581845, 1.0591248, 1.0630489, 1.0662883, 1.0734620, 1.0749907, 1.0613863", \
+					  "-0.2175665, -0.2155479, -0.2126969, -0.2085267, -0.2043330, -0.2037611, -0.2132370", \
+					  "0.0258235, 0.0254104, 0.0152851, -0.0193361, -0.0668653, -0.0741802, -0.0841134", \
+					  "0.1476574, 0.1490841, 0.1485479, 0.1393176, 0.1570570, 0.0968380, 0.0413536", \
+					  "0.2467704, 0.2462318, 0.2488393, 0.2427430, 0.2529802, 0.2571828, 0.1314630", \
+					  "0.3325843, 0.3392614, 0.3303437, 0.3265610, 0.3480879, 0.3450217, 0.3137761", \
+					  "0.4304602, 0.4290784, 0.4229830, 0.4243180, 0.4216489, 0.4304981, 0.4178388");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303529, 0.05306246, 0.1222309, 0.2815625, 0.6485874, 1.49404");
+					values("1.1945223, 1.1991534, 1.2056779, 1.2204671, 1.2359660, 1.2465912, 1.2515126", \
+					  "2.5552535, 2.5605442, 2.5693477, 2.5824388, 2.5988925, 2.6102625, 2.6159281", \
+					  "2.5217051, 2.5249850, 2.5240437, 2.5268440, 2.5320308, 2.6142615, 2.6293379", \
+					  "2.5461206, 2.5666763, 2.5484658, 2.5521996, 2.5601346, 2.5618821, 2.6054230", \
+					  "2.5677756, 2.5864278, 2.5696283, 2.5738717, 2.5958474, 2.5824646, 2.5868945", \
+					  "2.5886402, 2.6075667, 2.5915849, 2.5937004, 2.6166148, 2.5976469, 2.6084404", \
+					  "2.6039457, 2.6236428, 2.6081689, 2.6140346, 2.6343977, 2.6216464, 2.6325374");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("0.9595261, 0.9613164, 0.9644418, 0.9695985, 0.9750283, 0.9733717, 0.9631601", \
+					  "-0.1492160, -0.1465363, -0.1440784, -0.1390520, -0.1348969, -0.1342233, -0.1461475", \
+					  "-0.1133960, -0.1158635, -0.1256052, -0.1267662, -0.1256056, -0.1215136, -0.1452974", \
+					  "-0.0429590, -0.0404475, -0.0370029, -0.0471886, -0.0326146, -0.1084393, -0.1203015", \
+					  "-0.0293920, -0.0296915, -0.0297053, -0.0362229, -0.0236087, -0.0215520, -0.1166037", \
+					  "-0.0195999, -0.0175431, -0.0181081, -0.0239532, -0.0124280, -0.0085349, -0.0374255", \
+					  "-0.0202243, -0.0081175, -0.0081662, -0.0152475, -0.0070220, 0.0056417, -0.0164655");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.1319601, 1.1371352, 1.1447437, 1.1637764, 1.1859523, 1.2023563, 1.2110351", \
+					  "2.1964997, 2.1977281, 2.2088915, 2.2265299, 2.2471157, 2.2628316, 2.2780560", \
+					  "2.1890530, 2.1919792, 2.2027302, 2.2207370, 2.2419937, 2.2597297, 2.2773122", \
+					  "2.1697164, 2.1795643, 2.1932792, 2.2143088, 2.2380270, 2.2581169, 2.2809846", \
+					  "2.1583961, 2.1594042, 2.1473357, 2.1506776, 2.1867972, 2.2446992, 2.2828254", \
+					  "2.1905485, 2.1866647, 2.1795406, 2.1851102, 2.1861506, 2.1940698, 2.2809801", \
+					  "2.1905771, 2.1923147, 2.1857878, 2.2056892, 2.2018880, 2.1979415, 2.2215383");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.0159015, 1.0175701, 1.0214391, 1.0267035, 1.0309411, 1.0301844, 1.0213637", \
+					  "0.0688388, 0.0712098, 0.0753601, 0.0796123, 0.0845663, 0.0844731, 0.0751449", \
+					  "0.2476120, 0.2485417, 0.2511512, 0.2546516, 0.2581486, 0.2610022, 0.2414695", \
+					  "0.5017630, 0.5021790, 0.5012430, 0.5033267, 0.4688656, 0.4324805, 0.4109331", \
+					  "0.6595478, 0.6598087, 0.6581693, 0.6557329, 0.6655378, 0.6397282, 0.5813594", \
+					  "0.8011301, 0.8118858, 0.8123005, 0.7993868, 0.8180658, 0.8146155, 0.7141304", \
+					  "0.9470159, 0.9540285, 0.9481672, 0.9415791, 0.9535596, 0.9549693, 0.9308516");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.1893643, 1.1940998, 1.2022713, 1.2188409, 1.2364594, 1.2507921, 1.2566700", \
+					  "2.2461367, 2.2508000, 2.2597399, 2.2739486, 2.2929300, 2.3060720, 2.3128838", \
+					  "2.2325371, 2.2369840, 2.2549755, 2.2636157, 2.2824249, 2.2970755, 2.3040252", \
+					  "2.1881919, 2.1889957, 2.2012012, 2.1952238, 2.2391295, 2.2888839, 2.3029896", \
+					  "2.2115777, 2.2121146, 2.2255507, 2.2157142, 2.2180879, 2.2231492, 2.2975378", \
+					  "2.2082796, 2.2095257, 2.2335734, 2.2202533, 2.2273299, 2.2291489, 2.2393164", \
+					  "2.2166602, 2.2197229, 2.2321574, 2.2306874, 2.2262366, 2.2299092, 2.2288115");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.4835886, 1.6469631, 1.8068363, 1.8277146, 1.8444878, 1.8542630, 1.8503113", \
+					  "1.4778163, 1.6426559, 1.8044510, 1.8262875, 1.8442224, 1.8523587, 1.8523628", \
+					  "1.4830497, 1.6469043, 1.8047502, 1.8309159, 1.8446334, 1.8524895, 1.8523588", \
+					  "1.4824005, 1.6463135, 1.8065819, 1.8300514, 1.8440944, 1.8520074, 1.8518272", \
+					  "1.4820699, 1.6460138, 1.8068062, 1.8280611, 1.8437385, 1.8573205, 1.8513942", \
+					  "1.4817066, 1.6437079, 1.8052322, 1.8268892, 1.8440085, 1.8541391, 1.8559428", \
+					  "1.4808918, 1.6427566, 1.8055169, 1.8272100, 1.8426382, 1.8462773, 1.8588044");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.2396530, 1.2461822, 1.2723809, 1.2788981, 1.3027731, 1.3349346, 1.3334085", \
+					  "1.2478099, 1.2553864, 1.2630501, 1.2849699, 1.3011185, 1.3237524, 1.3344774", \
+					  "1.2500798, 1.2557582, 1.2631181, 1.2804251, 1.3014284, 1.3247617, 1.3347877", \
+					  "1.2490995, 1.2544250, 1.2619297, 1.2767901, 1.2991922, 1.3239538, 1.3454924", \
+					  "1.2492917, 1.2438174, 1.2620389, 1.2778600, 1.2942568, 1.3230321, 1.3357578", \
+					  "1.2576311, 1.2548939, 1.2619214, 1.2735056, 1.3007824, 1.3233426, 1.3346366", \
+					  "1.2497223, 1.2544709, 1.2618045, 1.2772701, 1.3017033, 1.3229773, 1.3355991");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.2671520, 4.3105737, 4.3952846, 4.5721840, 4.9158495, 5.5785980, 6.9937305", \
+					  "5.8257741, 5.8690710, 5.9605063, 6.1359189, 6.4749535, 7.1406494, 8.5576932", \
+					  "6.9670745, 7.0100601, 7.0998945, 7.2818902, 7.6196045, 8.2817353, 9.6951984", \
+					  "7.2152502, 7.2582163, 7.3500477, 7.5298443, 7.8679054, 8.5328340, 9.9479864", \
+					  "8.6323215, 8.6827603, 8.7666523, 8.9432239, 9.2825125, 9.9550101, 11.3621820", \
+					  "9.7214299, 9.7739541, 9.8671391, 10.0427420, 10.3821030, 11.0446450, 12.4583670", \
+					  "10.5534320, 10.5927900, 10.6795520, 10.8725890, 11.2112910, 11.8736460, 13.2888010", \
+					  "11.6799440, 11.7372450, 11.7976560, 11.9914740, 12.3441830, 13.0073300, 14.4103050", \
+					  "25.7174780, 25.8615000, 25.9528670, 26.1855600, 26.5226760, 27.1852230, 28.5504460", \
+					  "34.4281050, 34.4754980, 34.4755002, 34.6291280, 35.0846850, 35.7442290, 37.1601030", \
+					  "70.3023910, 70.4297560, 70.5048610, 70.6080240, 70.9509320, 71.6179260, 73.0380880", \
+					  "200.8939800, 200.9161900, 200.9653200, 201.2888400, 201.5979300, 201.9618300, 203.5719400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4943886, 0.5239791, 0.5947346, 0.7456880, 1.0788912, 1.8593542, 3.7492653", \
+					  "0.4898860, 0.5226627, 0.5928033, 0.7443360, 1.0820985, 1.8602582, 3.7527657", \
+					  "0.4869888, 0.5190359, 0.5977051, 0.7479121, 1.0786608, 1.8566625, 3.7285632", \
+					  "0.4913782, 0.5245933, 0.5950227, 0.7470882, 1.0790486, 1.8548307, 3.7288084", \
+					  "0.4878002, 0.5237334, 0.5896588, 0.7448627, 1.0802177, 1.8603917, 3.7555234", \
+					  "0.4874996, 0.5195147, 0.5933301, 0.7496358, 1.0787385, 1.8550599, 3.7379952", \
+					  "0.4912000, 0.5190353, 0.5971930, 0.7486285, 1.0787239, 1.8565373, 3.7345931", \
+					  "0.4873830, 0.5193445, 0.6002964, 0.7492329, 1.0786794, 1.8567520, 3.7418322", \
+					  "0.4872393, 0.5192809, 0.6003365, 0.7485176, 1.0786646, 1.8571620, 3.7493830", \
+					  "0.4872562, 0.5192547, 0.6003365, 0.7484733, 1.0786626, 1.8571346, 3.7503152", \
+					  "0.4930593, 0.5247501, 0.5926215, 0.7489975, 1.0812129, 1.8601196, 3.7412136", \
+					  "0.4864398, 0.5193171, 0.5970672, 0.7442581, 1.0789308, 1.8571844, 3.7421208");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.1574171, 4.1900865, 4.2641393, 4.4137045, 4.6839070, 5.1607016, 6.0767893", \
+					  "5.3769793, 5.4116414, 5.4855467, 5.6355436, 5.9067058, 6.3844876, 7.3002129", \
+					  "6.0595395, 6.0940124, 6.1678871, 6.3179977, 6.5884809, 7.0663355, 7.9832043", \
+					  "6.1968981, 6.2314967, 6.3055352, 6.4556525, 6.7262884, 7.2051649, 8.1206544", \
+					  "6.9426416, 6.9773640, 7.0458377, 7.1990787, 7.4718143, 7.9483682, 8.8650891", \
+					  "7.4900033, 7.5244495, 7.6022486, 7.7461975, 8.0196010, 8.4974694, 9.4131716", \
+					  "7.8818143, 7.9206952, 7.9909244, 8.1345508, 8.4102156, 8.8879624, 9.8032817", \
+					  "8.4063494, 8.4459186, 8.5180236, 8.6654293, 8.9382199, 9.4162003, 10.3322100", \
+					  "14.4418600, 14.4669070, 14.5504100, 14.6968300, 14.9690410, 15.4499220, 16.3639090", \
+					  "17.8739970, 17.9153100, 17.9913470, 18.1477390, 18.4216640, 18.8984690, 19.8050570", \
+					  "31.6840880, 31.7020900, 31.7737800, 31.9397520, 32.2209810, 32.6904230, 33.6125010", \
+					  "78.9762280, 79.0167860, 79.0930910, 79.2451260, 79.5173920, 79.9936120, 80.9128760");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3866515, 0.4164007, 0.4747672, 0.5961156, 0.8212303, 1.2795478, 2.3502704", \
+					  "0.3862177, 0.4131179, 0.4745916, 0.5930925, 0.8171173, 1.2776364, 2.3500386", \
+					  "0.3862096, 0.4137948, 0.4754431, 0.5956271, 0.8201823, 1.2771212, 2.3446480", \
+					  "0.3850225, 0.4147547, 0.4750908, 0.5958776, 0.8204864, 1.2770711, 2.3506284", \
+					  "0.3870257, 0.4136396, 0.4770528, 0.5946580, 0.8218537, 1.2798201, 2.3470348", \
+					  "0.3871196, 0.4140314, 0.4775271, 0.5960578, 0.8218699, 1.2798480, 2.3507849", \
+					  "0.3870952, 0.4140957, 0.4761818, 0.5952964, 0.8218937, 1.2798356, 2.3493527", \
+					  "0.3865001, 0.4139134, 0.4756232, 0.5948857, 0.8218602, 1.2798487, 2.3513738", \
+					  "0.3888948, 0.4142282, 0.4768890, 0.5965818, 0.8219140, 1.2793687, 2.3486693", \
+					  "0.3870555, 0.4139273, 0.4774905, 0.5949621, 0.8218904, 1.2792731, 2.3487889", \
+					  "0.3870605, 0.4144768, 0.4746670, 0.5963208, 0.8219772, 1.2801429, 2.3483253", \
+					  "0.3872022, 0.4128143, 0.4748052, 0.5963716, 0.8209955, 1.2793794, 2.3504501");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.1014961, 5.1437250, 5.2322586, 5.4092451, 5.7459548, 6.4089658, 7.8271434", \
+					  "6.8676922, 6.9100832, 6.9989268, 7.1761921, 7.5126110, 8.1761247, 9.5947543", \
+					  "7.9106242, 7.9533198, 8.0433180, 8.2178788, 8.5553626, 9.2172128, 10.6335680", \
+					  "8.1185290, 8.1583043, 8.2509519, 8.4269782, 8.7586169, 9.4269703, 10.8413340", \
+					  "9.1998364, 9.2435659, 9.3263690, 9.5091641, 9.8461870, 10.5113860, 11.9293120", \
+					  "9.9757651, 10.0154680, 10.1054320, 10.2798400, 10.6171600, 11.2799720, 12.6962150", \
+					  "10.5035930, 10.5412990, 10.6316960, 10.8145070, 11.1495080, 11.8143700, 13.2234480", \
+					  "11.1896390, 11.2295950, 11.3266370, 11.5046470, 11.8382380, 12.4993960, 13.9119840", \
+					  "19.5619560, 19.5619574, 19.6315090, 19.8434510, 20.2094820, 20.7922750, 22.2144380", \
+					  "26.5022530, 26.5421920, 26.6296110, 26.8823970, 27.1600110, 27.8727850, 29.2237590", \
+					  "55.7556100, 55.9763290, 55.9763318, 56.0503290, 56.4114440, 57.0587310, 58.6594600", \
+					  "135.0998500, 135.4246600, 135.4246750, 135.4246903, 135.7129000, 136.3137000, 138.1498300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4875556, 0.5179776, 0.5909586, 0.7415774, 1.0748300, 1.8582328, 3.7557428", \
+					  "0.4861246, 0.5191756, 0.5906407, 0.7413348, 1.0740798, 1.8586952, 3.7520289", \
+					  "0.4841091, 0.5223286, 0.5887164, 0.7408293, 1.0717164, 1.8524613, 3.7258840", \
+					  "0.4873048, 0.5136289, 0.5934732, 0.7422850, 1.0765427, 1.8481378, 3.7422505", \
+					  "0.4864227, 0.5153705, 0.5877527, 0.7430717, 1.0777599, 1.8569634, 3.7586965", \
+					  "0.4911969, 0.5223437, 0.5887307, 0.7408154, 1.0776108, 1.8504147, 3.7595083", \
+					  "0.4878024, 0.5227759, 0.5883724, 0.7409574, 1.0765331, 1.8559352, 3.7389443", \
+					  "0.4912107, 0.5226538, 0.5901170, 0.7407954, 1.0749621, 1.8551112, 3.7485530", \
+					  "0.4911927, 0.5223575, 0.5887404, 0.7409427, 1.0764661, 1.8555119, 3.7496672", \
+					  "0.4912076, 0.5221248, 0.5898173, 0.7408700, 1.0765073, 1.8560544, 3.7469770", \
+					  "0.4912620, 0.5223025, 0.5882987, 0.7409617, 1.0759277, 1.8550675, 3.7490029", \
+					  "0.4912013, 0.5222652, 0.5888433, 0.7407678, 1.0764831, 1.8554848, 3.7449714");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3472658, 6.3837038, 6.4580568, 6.6211698, 6.9036195, 7.3941138, 8.3262153", \
+					  "8.2275804, 8.2637914, 8.3420737, 8.4992591, 8.7837302, 9.2783203, 10.2096850", \
+					  "10.6947730, 10.7309550, 10.8092280, 10.9663640, 11.2508130, 11.7449330, 12.6780790", \
+					  "11.2155990, 11.2537720, 11.3326920, 11.4903050, 11.7747740, 12.2692950, 13.1995790", \
+					  "14.1225860, 14.1361440, 14.2421210, 14.3991650, 14.6711340, 15.1779660, 16.1428150", \
+					  "16.3261330, 16.3586780, 16.4555320, 16.6129070, 16.8718040, 17.3934430, 18.3032270", \
+					  "17.9975360, 18.0428690, 18.0462540, 18.2026430, 18.5716620, 18.9747880, 19.9980210", \
+					  "20.1111110, 20.1520770, 20.1857450, 20.3285230, 20.6801300, 21.1413800, 22.1051340", \
+					  "44.5637020, 44.5959460, 44.6997890, 44.8563180, 45.1141560, 45.6370910, 46.5449470", \
+					  "58.0907590, 58.1197670, 58.1891760, 58.3540430, 58.6585960, 59.1193830, 60.0872670", \
+					  "110.3415000, 110.3417700, 110.4396400, 110.5626900, 110.8716600, 111.4074400, 112.3331900", \
+					  "278.5068900, 278.5574500, 278.6324300, 278.7816100, 279.0679300, 279.5614500, 280.5116700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3968077, 0.4287912, 0.4942590, 0.6212866, 0.8546216, 1.3135969, 2.3775715", \
+					  "0.3964513, 0.4272156, 0.4930519, 0.6209296, 0.8541428, 1.3136898, 2.3762206", \
+					  "0.3963492, 0.4273212, 0.4926825, 0.6206715, 0.8540323, 1.3060504, 2.3786950", \
+					  "0.3966955, 0.4280761, 0.4930597, 0.6197906, 0.8541258, 1.3098149, 2.3744362", \
+					  "0.3961859, 0.4280070, 0.4926587, 0.6205519, 0.8532592, 1.3132344, 2.3736479", \
+					  "0.3966802, 0.4268375, 0.4925585, 0.6208680, 0.8523447, 1.3103481, 2.3754527", \
+					  "0.3962554, 0.4266992, 0.4929445, 0.6213930, 0.8517953, 1.3130468, 2.3749815", \
+					  "0.3968645, 0.4278120, 0.4933158, 0.6199098, 0.8540254, 1.3115002, 2.3749276", \
+					  "0.3964947, 0.4269558, 0.4931814, 0.6210642, 0.8522215, 1.3090692, 2.3760574", \
+					  "0.3979382, 0.4280952, 0.4929673, 0.6211161, 0.8523085, 1.3133308, 2.3720030", \
+					  "0.3980609, 0.4284739, 0.4942918, 0.6220481, 0.8543619, 1.3136087, 2.3755746", \
+					  "0.3974197, 0.4277479, 0.4938624, 0.6226480, 0.8549737, 1.3126649, 2.3749533");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.1273559, 5.1704001, 5.2584945, 5.4374714, 5.7717044, 6.4356867, 7.8550215", \
+					  "7.2082988, 7.2514212, 7.3404940, 7.5169684, 7.8531732, 8.5162828, 9.9346780", \
+					  "8.7705949, 8.8133225, 8.9038785, 9.0890033, 9.4247552, 10.0930120, 11.4946630", \
+					  "9.1185930, 9.1613019, 9.2513570, 9.4394172, 9.7629185, 10.4377540, 11.8449240", \
+					  "11.1402380, 11.1829210, 11.2732190, 11.4414660, 11.7796250, 12.4515940, 13.8647970", \
+					  "12.7657580, 12.8199170, 12.8968430, 13.0700630, 13.4069820, 14.0695840, 15.5012820", \
+					  "13.9987650, 14.0374170, 14.1365910, 14.3038830, 14.6398300, 15.3064890, 16.7182890", \
+					  "15.7118620, 15.7694660, 15.8582730, 16.0400010, 16.3813920, 17.0381240, 18.4539640", \
+					  "43.3572430, 43.5035870, 43.5215770, 43.5999680, 44.0421460, 44.7684410, 46.1746770", \
+					  "62.0717980, 62.1171170, 62.2011460, 62.3027180, 62.7158560, 63.3905160, 64.8004600", \
+					  "133.2180700, 133.2180710, 133.2180863, 133.2181016, 133.2181168, 134.4719200, 135.1420200", \
+					  "374.6261000, 374.9568400, 375.2501800, 375.2661000, 375.5040400, 376.2606900, 378.4046800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4895251, 0.5200115, 0.5894438, 0.7413791, 1.0759836, 1.8570944, 3.7565693", \
+					  "0.4836251, 0.5231475, 0.5932151, 0.7426976, 1.0776908, 1.8582883, 3.7583536", \
+					  "0.4862501, 0.5141860, 0.5882928, 0.7357396, 1.0750201, 1.8617100, 3.7381027", \
+					  "0.4840194, 0.5224409, 0.5886257, 0.7408419, 1.0686393, 1.8542424, 3.7316754", \
+					  "0.4832830, 0.5228936, 0.5885208, 0.7409939, 1.0765399, 1.8565229, 3.7531178", \
+					  "0.4888823, 0.5224362, 0.5899793, 0.7405938, 1.0750769, 1.8559035, 3.7523957", \
+					  "0.4837637, 0.5227112, 0.5886788, 0.7334706, 1.0744321, 1.8572794, 3.7548560", \
+					  "0.4834924, 0.5227889, 0.5883749, 0.7410101, 1.0765296, 1.8502777, 3.7394638", \
+					  "0.4841516, 0.5222857, 0.5886881, 0.7410060, 1.0764596, 1.8554310, 3.7491215", \
+					  "0.4912003, 0.5221864, 0.5888937, 0.7408320, 1.0764641, 1.8558987, 3.7487400", \
+					  "0.4837492, 0.5200154, 0.5888793, 0.7410258, 1.0765214, 1.8559947, 3.7581565", \
+					  "0.4911871, 0.5224549, 0.5888258, 0.7407527, 1.0765138, 1.8555988, 3.7481209");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7318864, 4.7666622, 4.8437307, 5.0012936, 5.2862577, 5.7803122, 6.7110975", \
+					  "6.9447474, 6.9814385, 7.0591564, 7.2166206, 7.5010380, 7.9956246, 8.9267385", \
+					  "9.0595345, 9.0958405, 9.1641645, 9.3315098, 9.6158095, 10.1102820, 11.0420120", \
+					  "9.4974730, 9.5325330, 9.6053414, 9.7690744, 10.0523300, 10.5469690, 11.4788380", \
+					  "11.9522890, 11.9884740, 12.1111890, 12.2190340, 12.5081210, 12.9988860, 13.9342050", \
+					  "13.8268280, 13.8637000, 13.9797640, 14.0648870, 14.3826440, 14.8443750, 15.8091900", \
+					  "15.1661950, 15.1964840, 15.2643750, 15.5002940, 15.7033000, 16.2783720, 17.1416040", \
+					  "16.9478400, 16.9917930, 17.0293910, 17.2546740, 17.5172620, 18.0373030, 18.9123440", \
+					  "37.1176440, 37.1905000, 37.2486610, 37.4271600, 37.7109790, 38.2016160, 39.1431630", \
+					  "48.1636060, 48.1792920, 48.2642900, 48.4244570, 48.7003930, 49.1789190, 50.1157520", \
+					  "90.2484130, 90.2484170, 90.3206750, 90.4570570, 90.7980960, 91.2606170, 92.1347690", \
+					  "227.2496100, 227.2818000, 227.3574300, 227.4965600, 227.8040200, 228.2514500, 229.1015000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3968626, 0.4269208, 0.4936296, 0.6216084, 0.8537971, 1.3150274, 2.3781013", \
+					  "0.3973041, 0.4282622, 0.4941180, 0.6214354, 0.8534355, 1.3134032, 2.3759346", \
+					  "0.3967849, 0.4278016, 0.4939074, 0.6211937, 0.8527459, 1.3136203, 2.3720319", \
+					  "0.3961294, 0.4270807, 0.4931791, 0.6193192, 0.8501002, 1.3075808, 2.3724609", \
+					  "0.3965483, 0.4274459, 0.4928797, 0.6203641, 0.8518391, 1.3108833, 2.3749456", \
+					  "0.3962414, 0.4267424, 0.4929906, 0.6214834, 0.8523168, 1.3130654, 2.3753803", \
+					  "0.3966519, 0.4283997, 0.4930745, 0.6210023, 0.8528319, 1.3148221, 2.3761961", \
+					  "0.3962233, 0.4284759, 0.4930189, 0.6200298, 0.8532584, 1.3071221, 2.3784954", \
+					  "0.3966322, 0.4269585, 0.4931213, 0.6204790, 0.8538986, 1.3132246, 2.3754427", \
+					  "0.3963852, 0.4269952, 0.4932454, 0.6199491, 0.8539934, 1.3120075, 2.3759581", \
+					  "0.3971566, 0.4282685, 0.4933828, 0.6201175, 0.8542654, 1.3097501, 2.3751863", \
+					  "0.3968015, 0.4285198, 0.4945462, 0.6208637, 0.8531452, 1.3139698, 2.3713231");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("20.5789270, 20.6213980, 20.7098800, 20.8859290, 21.2249100, 21.8921610, 23.3155450", \
+					  "20.6822220, 20.7248260, 20.8139410, 20.9908200, 21.3295440, 21.9967900, 23.4208210", \
+					  "20.7550440, 20.7975150, 20.8854520, 21.0623890, 21.4010340, 22.0682960, 23.4919670", \
+					  "20.8113460, 20.8538160, 20.9419890, 21.1188610, 21.4573350, 22.1245970, 23.5482830", \
+					  "20.8615790, 20.9041810, 20.9926000, 21.1694750, 21.5088850, 22.1761360, 23.6003110", \
+					  "20.9106740, 20.9532800, 21.0418030, 21.2186730, 21.5580020, 22.2252100, 23.6495390", \
+					  "20.9539020, 20.9964950, 21.0855320, 21.2637090, 21.6013060, 22.2685600, 23.6929160");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("0.4874203, 0.5180693, 0.5875100, 0.7386108, 1.0739738, 1.8643409, 3.7476413", \
+					  "0.4871562, 0.5179494, 0.5876349, 0.7386107, 1.0740899, 1.8596973, 3.7468841", \
+					  "0.4874294, 0.5180744, 0.5883138, 0.7386845, 1.0738958, 1.8649386, 3.7472709", \
+					  "0.4874299, 0.5180745, 0.5876762, 0.7386255, 1.0738903, 1.8649737, 3.7472319", \
+					  "0.4882272, 0.5180565, 0.5874716, 0.7386007, 1.0738697, 1.8593755, 3.7415000", \
+					  "0.4871563, 0.5179946, 0.5875538, 0.7385760, 1.0741983, 1.8594402, 3.7457237", \
+					  "0.4853920, 0.5177638, 0.5876600, 0.7386053, 1.0739000, 1.8597464, 3.7405785");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("17.1709460, 17.2196750, 17.3259430, 17.5402390, 17.9343170, 18.5762480, 19.6758290", \
+					  "17.2314540, 17.2801810, 17.3861800, 17.6007640, 17.9939580, 18.6354970, 19.7363350", \
+					  "17.2542960, 17.3030210, 17.4086970, 17.6238770, 18.0167920, 18.6595700, 19.7592570", \
+					  "17.2632220, 17.3119530, 17.4192940, 17.6325250, 18.0257270, 18.6685490, 19.7680880", \
+					  "17.2679560, 17.3166890, 17.4231140, 17.6372590, 18.0304620, 18.6720010, 19.7727970", \
+					  "17.2705420, 17.3192940, 17.4253090, 17.6396870, 18.0331360, 18.6747040, 19.7748840", \
+					  "17.2643320, 17.3130810, 17.4186030, 17.6329370, 18.0271250, 18.6686060, 19.7690660");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("0.5886670, 0.6310443, 0.7203145, 0.8881434, 1.1816320, 1.6778215, 2.7244744", \
+					  "0.5886905, 0.6310259, 0.7194662, 0.8881437, 1.1798149, 1.6778928, 2.7243103", \
+					  "0.5886097, 0.6310840, 0.7202979, 0.8874583, 1.1796610, 1.6776299, 2.7251690", \
+					  "0.5886909, 0.6310267, 0.7198613, 0.8881152, 1.1798302, 1.6780664, 2.7241334", \
+					  "0.5887116, 0.6310160, 0.7203028, 0.8881081, 1.1798582, 1.6778130, 2.7239646", \
+					  "0.5889515, 0.6307665, 0.7201285, 0.8879134, 1.1805429, 1.6768471, 2.7200691", \
+					  "0.5891764, 0.6304451, 0.7198692, 0.8875229, 1.1816703, 1.6755766, 2.7284897");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.212759;
+			max_capacitance : 551.213000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1503570, 0.1503746, 0.1501269, 0.1498803, 0.1499115, 0.1505685, 0.1501093", \
+					  "0.2084978, 0.2084945, 0.2084967, 0.2084214, 0.2084896, 0.2084088, 0.2084886", \
+					  "0.2857459, 0.2857438, 0.2857529, 0.2853121, 0.2857887, 0.2857900, 0.2857921", \
+					  "0.3700036, 0.3700039, 0.3700249, 0.3699351, 0.3702593, 0.3703257, 0.3703414", \
+					  "0.4516374, 0.4516391, 0.4516712, 0.4520588, 0.4521498, 0.4523397, 0.4523838", \
+					  "0.5709539, 0.5709022, 0.5706272, 0.5706380, 0.5687494, 0.5685996, 0.5685895", \
+					  "0.7865282, 0.7862645, 0.7856877, 0.7833881, 0.7755545, 0.7723679, 0.7717115");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.7730536, 5.7731702, 5.7647976, 5.7570802, 5.7499683, 5.3858061, -0.1278413", \
+					  "5.7697126, 5.7694697, 5.7692152, 5.7736344, 5.7426041, 5.5564925, -0.1927378", \
+					  "5.7730040, 5.7728587, 5.7736168, 5.7745731, 5.7393179, 5.5343490, -0.2383518", \
+					  "5.7726417, 5.7693362, 5.7711403, 5.7729505, 5.7300560, 5.4260470, -0.1742898", \
+					  "5.7717038, 5.7711205, 5.7706708, 5.7739056, 5.7433632, 5.4973621, -0.2329548", \
+					  "5.7705255, 5.7712293, 5.7727312, 5.7720833, 5.7342593, 5.5320253, -0.2837023", \
+					  "5.7712136, 5.7719279, 5.7714226, 5.7751540, 5.7316138, 5.4414743, -0.2744412");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5411513, 6.5606925, 6.5554311, 6.5812950, 6.4896812, 5.5231765, -11.3911435", \
+					  "6.5482229, 6.5486401, 6.5471374, 6.5711100, 6.5316424, 5.5245322, -11.3619642", \
+					  "6.5510643, 6.5498708, 6.5551995, 6.5723051, 6.5408941, 5.4411349, -11.5406829", \
+					  "6.5486711, 6.5522667, 6.5553068, 6.5797803, 6.5282560, 5.4803889, -11.5141211", \
+					  "6.5494339, 6.5511556, 6.5526123, 6.5705992, 6.5345430, 5.5344706, -11.4971132", \
+					  "6.5504260, 6.5516980, 6.5556072, 6.5691116, 6.5428605, 5.4446873, -11.4917823", \
+					  "6.5502953, 6.5515890, 6.5557857, 6.5703700, 6.5340405, 5.4554515, -11.7691575");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9205367, 3.9330792, 3.9484536, 4.0726923, 4.5888385, 5.4839913, 6.0289873", \
+					  "3.9078860, 3.9125095, 3.9321431, 4.0676436, 4.6045822, 5.4841264, 5.9699266", \
+					  "3.9126038, 3.9199000, 3.9446142, 4.0734356, 4.5981613, 5.4911905, 5.9663026", \
+					  "3.9025504, 3.9042135, 3.9492274, 4.0717058, 4.6066560, 5.4911698, 5.9644531", \
+					  "3.9084965, 3.9169880, 3.9333824, 4.0688981, 4.6075064, 5.4857162, 5.9659531", \
+					  "3.9119110, 3.9178603, 3.9460560, 4.0696759, 4.5990137, 5.4843585, 5.9687670", \
+					  "3.9118733, 3.9193409, 3.9505169, 4.0725504, 4.6083973, 5.4857437, 5.9715261");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1501277, 0.1501468, 0.1498905, 0.1497182, 0.1503849, 0.1503893, 0.1501089", \
+					  "0.2072480, 0.2071703, 0.2073089, 0.2072485, 0.2071701, 0.2071735, 0.2072486", \
+					  "0.2705221, 0.2700654, 0.2705269, 0.2705232, 0.2700657, 0.2700698, 0.2705244", \
+					  "0.3236468, 0.3236086, 0.3237842, 0.3237803, 0.3237806, 0.3236122, 0.3237818", \
+					  "0.3997207, 0.3999693, 0.3997222, 0.3997133, 0.3997080, 0.3999587, 0.3997073", \
+					  "0.4971298, 0.4977276, 0.4971300, 0.4971173, 0.4971880, 0.4977091, 0.4971074", \
+					  "0.6901540, 0.6910679, 0.6901239, 0.6902863, 0.6902521, 0.6912420, 0.6902731");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5713267, 2.5877125, 2.5802256, 2.6627846, 2.5771020, 2.7742833, 2.7772557", \
+					  "2.5853351, 2.5875834, 2.5880125, 2.5815532, 2.5943460, 2.5783429, 2.6353789", \
+					  "2.5888401, 2.5898853, 2.5900449, 2.5958783, 2.5996021, 2.6030274, 2.5986199", \
+					  "2.5895478, 2.5875726, 2.5900578, 2.5927253, 2.5968794, 2.6038920, 2.6104260", \
+					  "2.5867890, 2.5878383, 2.5883661, 2.5921654, 2.5965592, 2.6013219, 2.6032696", \
+					  "2.5869280, 2.5882483, 2.5883704, 2.5962179, 2.6001811, 2.6016985, 2.6171326", \
+					  "2.5870640, 2.5904305, 2.5902958, 2.5903873, 2.5989779, 2.6028084, 2.5999355");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3752883, 6.3746274, 6.3770734, 6.3778427, 6.3480506, 6.0488459, 0.3468156", \
+					  "6.3692736, 6.3695611, 6.3692783, 6.3709697, 6.3418307, 6.1034962, 0.3394508", \
+					  "6.3729399, 6.3723978, 6.3733827, 6.3747661, 6.3393929, 6.0898556, 0.4065344", \
+					  "6.3708025, 6.3722735, 6.3708962, 6.3728187, 6.3461356, 6.1271025, 0.3975020", \
+					  "6.3721816, 6.3701845, 6.3704104, 6.3719743, 6.3368474, 6.1137763, 0.3162853", \
+					  "6.3719620, 6.3709901, 6.3698240, 6.3708102, 6.3408181, 6.0964272, 0.3552631", \
+					  "6.3720546, 6.3707332, 6.3707922, 6.3707779, 6.3407316, 6.1185066, 0.3605769");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8423314, 3.8135034, 3.8153500, 3.8239820, 3.9974773, 4.1577510, 3.8258342", \
+					  "3.8234865, 3.8290697, 3.8411299, 3.8951158, 3.9833152, 4.0328351, 4.0121411", \
+					  "3.8305709, 3.8306867, 3.8381859, 3.8848864, 3.9362437, 3.9586351, 4.0479209", \
+					  "3.8244115, 3.8242874, 3.8392221, 3.8881890, 3.9589458, 3.9792264, 4.0206065", \
+					  "3.8272308, 3.8297336, 3.8413514, 3.8932214, 3.9596392, 3.9896976, 4.0050850", \
+					  "3.8298183, 3.8312889, 3.8358989, 3.9036754, 3.9424651, 3.9552852, 3.9790830", \
+					  "3.8244268, 3.8242342, 3.8303097, 3.8884901, 3.9565681, 3.9854039, 4.0243290");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1501436, 0.1498875, 0.1501159, 0.1499005, 0.1498679, 0.1499126, 0.1501065", \
+					  "0.2071603, 0.2071638, 0.2071607, 0.2072411, 0.2071605, 0.2072379, 0.2071625", \
+					  "0.2700617, 0.2700644, 0.2700620, 0.2705225, 0.2700631, 0.2705168, 0.2700654", \
+					  "0.3237785, 0.3236092, 0.3236063, 0.3237821, 0.3236078, 0.3236846, 0.3236105", \
+					  "0.3997135, 0.3999647, 0.3999598, 0.3997082, 0.3999497, 0.3996985, 0.3999496", \
+					  "0.4971319, 0.4977310, 0.4976853, 0.4971207, 0.4977082, 0.4971065, 0.4977076", \
+					  "0.6897873, 0.6907675, 0.6907918, 0.6898899, 0.6909231, 0.6899699, 0.6909438");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5766994, 2.5871526, 2.5795948, 2.5935719, 2.9052922, 2.7259016, 2.5884307", \
+					  "2.5905400, 2.5907538, 2.5852694, 2.5909882, 2.5837745, 2.6255649, 2.6354602", \
+					  "2.5899738, 2.5900028, 2.5901438, 2.5951780, 2.6040931, 2.6033997, 2.6052003", \
+					  "2.5878352, 2.5880002, 2.5859905, 2.5961629, 2.6083264, 2.5891065, 2.5972484", \
+					  "2.5876558, 2.5879066, 2.5901388, 2.5957933, 2.6063851, 2.5856322, 2.5932320", \
+					  "2.5880881, 2.5886625, 2.5886391, 2.5954808, 2.6033005, 2.6169871, 2.5933319", \
+					  "2.5883894, 2.5890858, 2.5899747, 2.5902967, 2.5958730, 2.5997132, 2.6047354");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2034699, 3.2086810, 3.2174580, 3.3058814, 3.7328652, 5.1561286, 5.3558035", \
+					  "3.2058011, 3.2087270, 3.2172718, 3.2883143, 3.7485660, 5.1212093, 5.3508021", \
+					  "3.2112256, 3.2117573, 3.2246634, 3.2904665, 3.7567211, 5.1228813, 5.3431760", \
+					  "3.2100095, 3.2106082, 3.2214123, 3.2918822, 3.7344596, 5.1296083, 5.3553570", \
+					  "3.2088300, 3.2116464, 3.2202920, 3.2919348, 3.7448704, 5.1308711, 5.3547732", \
+					  "3.2078438, 3.2097184, 3.2218692, 3.2863680, 3.7406752, 5.1199889, 5.3495661", \
+					  "3.2085013, 3.2098184, 3.2220181, 3.2915789, 3.7431732, 5.1304459, 5.3520260");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1461008, 0.1459146, 0.1459230, 0.1459164, 0.1459091, 0.1459230, 0.1460533", \
+					  "0.1943630, 0.1943699, 0.1944066, 0.1944019, 0.1943635, 0.1945756, 0.1943631", \
+					  "0.2733260, 0.2733231, 0.2731656, 0.2734285, 0.2733129, 0.2731545, 0.2733122", \
+					  "0.4067397, 0.4067385, 0.4060355, 0.4067455, 0.4067667, 0.4067766, 0.4067783", \
+					  "0.6863144, 0.6861530, 0.6852838, 0.6860698, 0.6860288, 0.6860288, 0.6860329", \
+					  "0.8272296, 0.8272309, 0.8268718, 0.8271481, 0.8268708, 0.8265998, 0.8265343", \
+					  "0.9162305, 0.9162159, 0.9152994, 0.9162327, 0.9162859, 0.9158985, 0.9158386");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1498516, 0.1501146, 0.1500414, 0.1498862, 0.1498831, 0.1499054, 0.1506382", \
+					  "0.2084136, 0.2084937, 0.2084955, 0.2084145, 0.2084125, 0.2084871, 0.2084861", \
+					  "0.2852763, 0.2857444, 0.2857535, 0.2853075, 0.2853257, 0.2857920, 0.2857915", \
+					  "0.3698207, 0.3700055, 0.3700264, 0.3699310, 0.3700838, 0.3703288, 0.3703417", \
+					  "0.4518714, 0.4516408, 0.4516720, 0.4520547, 0.4523916, 0.4523425, 0.4523844", \
+					  "0.5719255, 0.5708961, 0.5706204, 0.5706265, 0.5697030, 0.5685940, 0.5685825", \
+					  "0.7873688, 0.7863103, 0.7857334, 0.7834288, 0.7765696, 0.7724055, 0.7721607");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.9549996, 6.9606509, 6.9847527, 7.1029230, 7.1651379, 6.2822756, 0.0573278", \
+					  "6.9522380, 6.9542091, 6.9820866, 7.1098008, 7.1782894, 6.3240014, -0.0299968", \
+					  "6.9557177, 6.9577447, 6.9887559, 7.1085967, 7.1624919, 6.3218623, 0.1008299", \
+					  "6.9553805, 6.9555341, 6.9834887, 7.1047736, 7.1714816, 6.2958985, -0.0230589", \
+					  "6.9532426, 6.9567728, 6.9831983, 7.1117317, 7.1820704, 6.3213184, -0.0140670", \
+					  "6.9555164, 6.9564119, 6.9833700, 7.1025494, 7.1595437, 6.2953652, -0.0179866", \
+					  "6.9533765, 6.9568767, 6.9834436, 7.1015709, 7.1603455, 6.2740822, -0.0186904");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5399392, 3.5460840, 3.5452887, 3.6155380, 3.7229434, 4.9076269, 5.9030199", \
+					  "3.5375230, 3.5422046, 3.5586649, 3.6106745, 3.7117512, 4.9082334, 5.8100571", \
+					  "3.5362976, 3.5431207, 3.5502682, 3.6147023, 3.7178741, 4.9149578, 5.8144401", \
+					  "3.5404611, 3.5444076, 3.5609859, 3.6137571, 3.7106592, 4.9120319, 5.8122871", \
+					  "3.5410777, 3.5456101, 3.5602937, 3.6138169, 3.7126692, 4.9120973, 5.8127875", \
+					  "3.5399100, 3.5455357, 3.5613672, 3.6137585, 3.7107447, 4.9118685, 5.7967427", \
+					  "3.5417107, 3.5425351, 3.5625265, 3.6122775, 3.7224632, 4.9116981, 5.7963069");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1459079, 0.1457166, 0.1459094, 0.1459059, 0.1458827, 0.1459002, 0.1459058", \
+					  "0.1943569, 0.1943962, 0.1943577, 0.1943554, 0.1943655, 0.1943508, 0.1943504", \
+					  "0.2731635, 0.2731482, 0.2732132, 0.2732078, 0.2731981, 0.2731944, 0.2732332", \
+					  "0.4075052, 0.4069255, 0.4075118, 0.4075197, 0.4075761, 0.4076031, 0.4076079", \
+					  "0.6956649, 0.6950008, 0.6957567, 0.6956810, 0.6954975, 0.6956040, 0.6956211", \
+					  "0.8543069, 0.8540261, 0.8542806, 0.8540744, 0.8532059, 0.8524440, 0.8522416", \
+					  "0.9554002, 0.9544186, 0.9554051, 0.9555910, 0.9553626, 0.9542210, 0.9538401");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6259139, 5.6009608, 5.6748244, 5.5253993, 5.5744139, 4.3328935, -13.0079118", \
+					  "5.6119339, 5.6111910, 5.6064209, 5.5841870, 5.4241036, 4.2200768, -12.7808531", \
+					  "5.6147343, 5.6205838, 5.6119900, 5.5856599, 5.4278018, 4.2235668, -12.6431903", \
+					  "5.6129171, 5.6141357, 5.6065272, 5.5868767, 5.4751228, 4.1817967, -12.7862023", \
+					  "5.6144824, 5.6141476, 5.6087361, 5.5866123, 5.4322635, 4.2022171, -12.4356009", \
+					  "5.6134541, 5.6139706, 5.6099083, 5.5865242, 5.4253476, 4.1791413, -12.7559788", \
+					  "5.6108831, 5.6136148, 5.6060562, 5.5852504, 5.4195105, 4.1832867, -12.7515388");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1500943, 0.1501091, 0.1501130, 0.1496218, 0.1498601, 0.1496889, 0.1501468", \
+					  "0.2086319, 0.2084335, 0.2085000, 0.2084987, 0.2084234, 0.2081562, 0.2084504", \
+					  "0.2857426, 0.2852995, 0.2857477, 0.2857519, 0.2857591, 0.2850309, 0.2853341", \
+					  "0.3702377, 0.3699574, 0.3699651, 0.3699750, 0.3699884, 0.3698109, 0.3698579", \
+					  "0.4516251, 0.4516350, 0.4516355, 0.4517307, 0.4516751, 0.4519145, 0.4519778", \
+					  "0.5683242, 0.5678849, 0.5683071, 0.5681966, 0.5679323, 0.5690349, 0.5690992", \
+					  "0.7653898, 0.7653537, 0.7651539, 0.7645859, 0.7640776, 0.7648581, 0.7649117");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2152076, 6.2159405, 6.2006997, 6.1742842, 6.1268599, 4.8079284, -12.3213285", \
+					  "6.2132343, 6.2118340, 6.2045546, 6.1922810, 6.0246664, 4.8226522, -12.3665682", \
+					  "6.2168954, 6.2147752, 6.2052949, 6.1871363, 6.0290500, 4.8250288, -12.3676679", \
+					  "6.2159871, 6.2143070, 6.2055350, 6.1918445, 6.0429510, 4.8852269, -12.3784051", \
+					  "6.2135919, 6.2129821, 6.2036966, 6.1839099, 6.0286859, 4.7729140, -12.2995412", \
+					  "6.2143394, 6.2144999, 6.2131949, 6.1947444, 6.0227626, 4.8478626, -12.5447413", \
+					  "6.2162916, 6.2150513, 6.2131483, 6.1959520, 6.0484710, 4.8606681, -12.3436735");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0147601, 3.0085941, 3.1196400, 2.9459725, 2.8180065, 2.9510407, 2.8736690", \
+					  "3.0256150, 3.0238284, 3.0257622, 3.0293941, 3.0442591, 3.0696533, 3.0465685", \
+					  "3.0238258, 3.0235892, 3.0277782, 3.0298290, 3.0261731, 3.0548984, 3.0235801", \
+					  "3.0290347, 3.0295677, 3.0288083, 3.0318115, 3.0450501, 3.0237358, 3.0284228", \
+					  "3.0261294, 3.0268753, 3.0330139, 3.0439374, 3.0460583, 2.9930044, 3.0608397", \
+					  "3.0160113, 3.0215538, 3.0243810, 3.0427918, 3.0029287, 3.0402771, 3.0761564", \
+					  "3.0281013, 3.0270857, 3.0351014, 3.0335837, 3.0842873, 3.0456984, 3.0350472");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1498770, 0.1498999, 0.1498617, 0.1499043, 0.1501133, 0.1494614, 0.1499022", \
+					  "0.2071690, 0.2071692, 0.2072434, 0.2072140, 0.2072364, 0.2072426, 0.2073710", \
+					  "0.2705241, 0.2700662, 0.2705194, 0.2705177, 0.2705112, 0.2705179, 0.2705150", \
+					  "0.3237977, 0.3236255, 0.3237921, 0.3237886, 0.3237812, 0.3237878, 0.3237851", \
+					  "0.3996960, 0.3999447, 0.3996901, 0.3996846, 0.3996751, 0.3996783, 0.3996757", \
+					  "0.4969664, 0.4975626, 0.4968748, 0.4969498, 0.4969362, 0.4969362, 0.4969325", \
+					  "0.6909505, 0.6919213, 0.6909581, 0.6909658, 0.6910758, 0.6911046, 0.6911460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2564692, 3.2563163, 3.2770098, 3.3406163, 3.4429637, 4.6389035, 5.4732461", \
+					  "3.2574963, 3.2623210, 3.2795162, 3.3313660, 3.4343888, 4.6254305, 5.5310233", \
+					  "3.2561429, 3.2595237, 3.2702176, 3.3352704, 3.4364128, 4.6259797, 5.5310422", \
+					  "3.2512745, 3.2582607, 3.2831867, 3.3334011, 3.4367535, 4.6306854, 5.5316405", \
+					  "3.2508670, 3.2581088, 3.2693602, 3.3328108, 3.4368471, 4.6303950, 5.5290368", \
+					  "3.2550025, 3.2586203, 3.2688994, 3.3329493, 3.4382622, 4.6351819, 5.5289449", \
+					  "3.2504628, 3.2575383, 3.2695248, 3.3325666, 3.4322291, 4.6300557, 5.5313833");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2152701, 6.2056029, 6.2167940, 6.1730530, 6.1237443, 4.7109821, -12.3971975", \
+					  "6.2126758, 6.2117975, 6.2045598, 6.1912623, 6.0276640, 4.8680742, -12.2956922", \
+					  "6.2171873, 6.2147544, 6.2052706, 6.1877560, 6.0244925, 4.8738044, -12.4286549", \
+					  "6.2159459, 6.2141752, 6.2098575, 6.1925410, 6.0400645, 4.8588297, -12.4020401", \
+					  "6.2104637, 6.2129730, 6.2036710, 6.1832845, 6.0360616, 4.8830004, -12.3553192", \
+					  "6.2144734, 6.2145016, 6.2131772, 6.1952856, 6.0226742, 4.8724133, -12.3934963", \
+					  "6.2162765, 6.2150587, 6.2132038, 6.1960461, 6.0486354, 4.8876244, -12.2687435");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0050782, 3.0305702, 2.9800943, 2.9874975, 3.0533523, 2.8725182, 3.4247527", \
+					  "3.0255885, 3.0240878, 3.0238544, 3.0294513, 3.0443762, 3.0220308, 3.0446553", \
+					  "3.0226566, 3.0266497, 3.0317080, 3.0298230, 3.0437500, 3.0514636, 3.0281715", \
+					  "3.0290100, 3.0283209, 3.0288955, 3.0317929, 3.0280372, 3.0693484, 3.0519536", \
+					  "3.0252748, 3.0264868, 3.0296303, 3.0391624, 3.0407533, 3.0835910, 3.0462984", \
+					  "3.0294719, 3.0295415, 3.0243818, 3.0428475, 3.0400492, 3.0356803, 3.0783148", \
+					  "3.0269330, 3.0223084, 3.0332543, 3.0335692, 3.0264377, 3.0299102, 3.0348748");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3105406, 6.3076532, 6.3098259, 6.3282170, 6.2887785, 6.1903126, 0.1991025", \
+					  "6.3035423, 6.3053221, 6.3047367, 6.3037869, 6.2753340, 6.0124401, 0.2893248", \
+					  "6.3081818, 6.3085504, 6.3079819, 6.3117002, 6.2807584, 6.0870562, 0.1750228", \
+					  "6.3068055, 6.3072140, 6.3059087, 6.3072379, 6.2846249, 6.0434016, 0.3427890", \
+					  "6.3060834, 6.3045235, 6.3050287, 6.3091864, 6.2688194, 6.0572816, 0.2844759", \
+					  "6.3065369, 6.3059827, 6.3055872, 6.3058747, 6.2753184, 6.0435706, 0.0363845", \
+					  "6.3075476, 6.3066968, 6.3059296, 6.3060792, 6.2784182, 6.0484047, 0.0276953");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1513974, 0.1516706, 0.1508309, 0.1514164, 0.1508917, 0.1514015, 0.1513898", \
+					  "0.2093732, 0.2092945, 0.2094267, 0.2094069, 0.2093089, 0.2092977, 0.2093773", \
+					  "0.2713974, 0.2713968, 0.2715680, 0.2714934, 0.2718654, 0.2717611, 0.2718503", \
+					  "0.3293788, 0.3293822, 0.3290733, 0.3293040, 0.3297667, 0.3301364, 0.3301859", \
+					  "0.4599875, 0.4598490, 0.4593875, 0.4575641, 0.4554662, 0.4549832, 0.4548305", \
+					  "0.7000944, 0.7001080, 0.7000913, 0.6989655, 0.6926987, 0.6896187, 0.6888089", \
+					  "0.9964861, 0.9976535, 1.0042399, 1.0288987, 1.0573621, 1.0650759, 1.0668356");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9013588, 7.9056965, 7.9415645, 8.1043640, 8.2863018, 7.5392006, 1.3977840", \
+					  "7.8948445, 7.9031833, 7.9366973, 8.0988549, 8.2853941, 7.4989147, 1.2970058", \
+					  "7.9002208, 7.9072126, 7.9385261, 8.1033014, 8.2904147, 7.5602427, 1.3195975", \
+					  "7.8969871, 7.9056511, 7.9362924, 8.1017642, 8.2872305, 7.5248707, 1.2257413", \
+					  "7.8961461, 7.9012375, 7.9369347, 8.1025262, 8.2726323, 7.5633021, 1.2635436", \
+					  "7.8971166, 7.9065431, 7.9401048, 8.1031688, 8.2893246, 7.5587735, 1.2618743", \
+					  "7.8982466, 7.9039972, 7.9429114, 8.1025345, 8.2856624, 7.5630191, 1.2652435");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7228814, 4.7305901, 4.7637567, 4.9486071, 5.5377123, 6.4416962, 6.9450201", \
+					  "4.7161600, 4.7268806, 4.7561137, 4.9335790, 5.5299995, 6.4325145, 6.9153757", \
+					  "4.7192966, 4.7213163, 4.7605986, 4.9415763, 5.5369520, 6.4363229, 6.9256684", \
+					  "4.7113162, 4.7212509, 4.7709152, 4.9395256, 5.5308251, 6.4333402, 6.9152685", \
+					  "4.7169587, 4.7285380, 4.7580036, 4.9382722, 5.5339085, 6.4329875, 6.9210095", \
+					  "4.7216518, 4.7319001, 4.7711850, 4.9387611, 5.5345011, 6.4344943, 6.9148561", \
+					  "4.7211938, 4.7257757, 4.7607065, 4.9456163, 5.5338610, 6.4341196, 6.9244492");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1501381, 0.1499161, 0.1500173, 0.1501245, 0.1498783, 0.1501254, 0.1499045", \
+					  "0.2071724, 0.2072504, 0.2072485, 0.2072490, 0.2072431, 0.2071652, 0.2071661", \
+					  "0.2700751, 0.2705320, 0.2705297, 0.2705294, 0.2705230, 0.2698110, 0.2700670", \
+					  "0.3236247, 0.3237961, 0.3237927, 0.3237907, 0.3236193, 0.3236122, 0.3236134", \
+					  "0.3999490, 0.3996989, 0.3996953, 0.3998974, 0.3996805, 0.3999271, 0.3999286", \
+					  "0.4975734, 0.4969747, 0.4969702, 0.4969615, 0.4969465, 0.4975372, 0.4959675", \
+					  "0.6948768, 0.6938973, 0.6938999, 0.6939375, 0.6935793, 0.6950775, 0.6950889");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5946327, 2.5998020, 2.6146066, 2.6271089, 2.8595198, 4.0110173, 4.4753495", \
+					  "2.6012981, 2.6052680, 2.6063116, 2.6473719, 2.8782959, 3.9166701, 4.4980085", \
+					  "2.6062440, 2.6076376, 2.6116756, 2.6474038, 2.8566459, 3.9016849, 4.5345285", \
+					  "2.6057431, 2.6047925, 2.6097061, 2.6465714, 2.8627177, 3.9125689, 4.5364140", \
+					  "2.6046272, 2.6040075, 2.6122463, 2.6464273, 2.8899354, 3.9055057, 4.5581360", \
+					  "2.6050851, 2.6055722, 2.6106078, 2.6481130, 2.8515572, 3.9083831, 4.5626410", \
+					  "2.6032436, 2.6051613, 2.6105108, 2.6467298, 2.8801740, 3.9091215, 4.5332759");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1499151, 0.1501775, 0.1501551, 0.1501265, 0.1503776, 0.1499124, 0.1503829", \
+					  "0.2072433, 0.2071673, 0.2071680, 0.2071651, 0.2071657, 0.2072481, 0.2071670", \
+					  "0.2705213, 0.2700666, 0.2700670, 0.2705221, 0.2705228, 0.2705279, 0.2705250", \
+					  "0.3237794, 0.3235600, 0.3236104, 0.3237801, 0.3237812, 0.3237865, 0.3237833", \
+					  "0.3997160, 0.3999666, 0.3997162, 0.3997080, 0.3997034, 0.3997064, 0.3996769", \
+					  "0.4973080, 0.4977380, 0.4971369, 0.4972229, 0.4971643, 0.4971192, 0.4971159", \
+					  "0.6898099, 0.6907869, 0.6898426, 0.6899089, 0.6899724, 0.6899922, 0.6899940");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5693912, 2.6193285, 2.5804071, 2.7003865, 2.7625826, 2.7224190, 2.5898278", \
+					  "2.5905530, 2.5907781, 2.5852055, 2.5910506, 2.5973739, 2.5745400, 2.6045524", \
+					  "2.5907316, 2.5903715, 2.5907882, 2.5950548, 2.6047575, 2.6000660, 2.6050431", \
+					  "2.5895027, 2.5861763, 2.5910980, 2.5961920, 2.6080633, 2.5941133, 2.5911188", \
+					  "2.5872566, 2.5878160, 2.5903027, 2.5955392, 2.5946103, 2.5819784, 2.5992808", \
+					  "2.5864538, 2.5888214, 2.5899028, 2.5957675, 2.6032329, 2.5959113, 2.6181207", \
+					  "2.5869157, 2.5873565, 2.5884121, 2.5954972, 2.6050080, 2.5974329, 2.6091007");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9314438, 2.9282391, 2.9407127, 3.0171914, 3.4936523, 4.8185688, 5.1252892", \
+					  "2.9264304, 2.9260364, 2.9382833, 3.0080497, 3.4717500, 4.8487297, 5.0654118", \
+					  "2.9287252, 2.9297155, 2.9402335, 3.0137843, 3.4660330, 4.8418908, 5.0504015", \
+					  "2.9275565, 2.9283694, 2.9398496, 3.0107941, 3.4656111, 4.8470484, 5.0453095", \
+					  "2.9267890, 2.9275230, 2.9396262, 3.0108717, 3.4602095, 4.8504065, 5.0648669", \
+					  "2.9268061, 2.9276589, 2.9399154, 3.0138432, 3.4653963, 4.8497108, 5.0702397", \
+					  "2.9266878, 2.9286122, 2.9437175, 3.0148703, 3.4651368, 4.8491682, 5.0780879");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1502858, 0.1499209, 0.1501892, 0.1500574, 0.1502531, 0.1498919, 0.1499123", \
+					  "0.2072539, 0.2072526, 0.2071765, 0.2071722, 0.2072481, 0.2072307, 0.2072442", \
+					  "0.2700362, 0.2705274, 0.2700710, 0.2700470, 0.2705208, 0.2700615, 0.2705166", \
+					  "0.3237979, 0.3237959, 0.3236242, 0.3236182, 0.3237866, 0.3236123, 0.3237876", \
+					  "0.3997310, 0.3997287, 0.3999771, 0.3997199, 0.3997153, 0.3999612, 0.3997100", \
+					  "0.4973409, 0.4971595, 0.4977562, 0.4971484, 0.4971441, 0.4977379, 0.4971955", \
+					  "0.6875747, 0.6875726, 0.6885363, 0.6875746, 0.6876038, 0.6885868, 0.6876264");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1495707, 0.1499258, 0.1499133, 0.1495957, 0.1501543, 0.1501507, 0.1500710", \
+					  "0.2072309, 0.2072527, 0.2071742, 0.2071715, 0.2071739, 0.2071728, 0.2072450", \
+					  "0.2700772, 0.2705341, 0.2700092, 0.2700713, 0.2700734, 0.2700731, 0.2705261", \
+					  "0.3236298, 0.3238014, 0.3236270, 0.3236215, 0.3236232, 0.3236232, 0.3237883", \
+					  "0.3999328, 0.3996828, 0.3999288, 0.3999210, 0.3995690, 0.3999149, 0.3991636", \
+					  "0.4975117, 0.4969133, 0.4975065, 0.4974952, 0.4974887, 0.4974808, 0.4968748", \
+					  "0.6951670, 0.6941879, 0.6951693, 0.6952026, 0.6953007, 0.6953675, 0.6943989");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.6193064, 2.5917295, 2.6104413, 2.6360506, 2.8781551, 3.9768485, 4.4085700", \
+					  "2.6023582, 2.6033362, 2.6082443, 2.6459592, 2.8175038, 3.8753156, 4.5509375", \
+					  "2.6058992, 2.6063305, 2.6125770, 2.6474636, 2.8641238, 3.9143987, 4.5497794", \
+					  "2.6044267, 2.6058815, 2.6113535, 2.6466321, 2.8713716, 3.8979530, 4.5145192", \
+					  "2.6039060, 2.6059723, 2.6110589, 2.6462009, 2.8694880, 3.9076415, 4.5232706", \
+					  "2.6036791, 2.6044988, 2.6103893, 2.6457835, 2.8805781, 3.8973607, 4.5396108", \
+					  "2.6039969, 2.6045173, 2.6111548, 2.6444036, 2.8701661, 3.9000528, 4.5364240");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1503771, 0.1500854, 0.1501018, 0.1507432, 0.1501502, 0.1501135, 0.1507586", \
+					  "0.2072455, 0.2072445, 0.2072474, 0.2072457, 0.2071683, 0.2071525, 0.2071680", \
+					  "0.2705214, 0.2705207, 0.2705239, 0.2705228, 0.2700992, 0.2700677, 0.2701027", \
+					  "0.3237795, 0.3238157, 0.3237818, 0.3237807, 0.3236097, 0.3236113, 0.3236096", \
+					  "0.3997210, 0.3997197, 0.3997207, 0.3995865, 0.3999588, 0.3999585, 0.3999569", \
+					  "0.4971320, 0.4971305, 0.4971181, 0.4971202, 0.4977113, 0.4977105, 0.4977083", \
+					  "0.6901358, 0.6900772, 0.6901039, 0.6901790, 0.6912059, 0.6912710, 0.6912255");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.6039102, 2.6067320, 2.6106507, 2.6590634, 2.6600565, 2.5874510, 2.7208289", \
+					  "2.5856934, 2.5825418, 2.5840543, 2.5999398, 2.5947640, 2.5814613, 2.5643112", \
+					  "2.5889117, 2.5898333, 2.5910961, 2.5948570, 2.5995614, 2.6087591, 2.6083009", \
+					  "2.5895937, 2.5899305, 2.5892041, 2.5945741, 2.5966762, 2.6041409, 2.6090769", \
+					  "2.5884858, 2.5887083, 2.5890893, 2.5921699, 2.5963051, 2.5993274, 2.6021901", \
+					  "2.5876293, 2.5861218, 2.5891476, 2.5926506, 2.6002767, 2.5928831, 2.6015374", \
+					  "2.5881582, 2.5895210, 2.5867808, 2.5846523, 2.5962362, 2.6028387, 2.6013170");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4834978, 7.4904809, 7.5226470, 7.6418572, 7.6991222, 6.7833161, 0.5465050", \
+					  "7.4873623, 7.4888914, 7.5159540, 7.6333245, 7.6957503, 6.8284124, 0.5184201", \
+					  "7.4916500, 7.4946129, 7.5210553, 7.6400617, 7.7125437, 6.8420924, 0.5170780", \
+					  "7.4897520, 7.4916229, 7.5226311, 7.6384126, 7.7066862, 6.8252523, 0.5131538", \
+					  "7.4886275, 7.4924647, 7.5207641, 7.6377235, 7.6926154, 6.8186018, 0.6038576", \
+					  "7.4885458, 7.4940354, 7.5190752, 7.6360442, 7.6962096, 6.8124495, 0.5185454", \
+					  "7.4892421, 7.4942538, 7.5187099, 7.6363987, 7.6958538, 6.8243573, 0.5201425");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1514512, 0.1514879, 0.1515834, 0.1514176, 0.1521439, 0.1510467, 0.1512685", \
+					  "0.2093670, 0.2093570, 0.2093810, 0.2093822, 0.2093783, 0.2093195, 0.2093011", \
+					  "0.2713274, 0.2714076, 0.2714186, 0.2714939, 0.2717042, 0.2718571, 0.2719425", \
+					  "0.3290834, 0.3290557, 0.3294041, 0.3295397, 0.3299253, 0.3301414, 0.3301873", \
+					  "0.4599682, 0.4598412, 0.4593801, 0.4577064, 0.4555848, 0.4549289, 0.4549831", \
+					  "0.7006592, 0.7002046, 0.7001872, 0.6990605, 0.6932370, 0.6895758, 0.6887570", \
+					  "0.9967630, 0.9980652, 1.0046996, 1.0293051, 1.0578185, 1.0663250, 1.0675785");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.9569694, 6.9515783, 6.9891633, 7.0976208, 7.1922317, 6.2461413, -0.2171972", \
+					  "6.9509357, 6.9540382, 6.9824443, 7.1097787, 7.1608581, 6.3311411, -0.0210113", \
+					  "6.9559475, 6.9579468, 6.9891141, 7.1102702, 7.1627695, 6.3087855, -0.0161412", \
+					  "6.9552717, 6.9549360, 6.9844519, 7.1097683, 7.1719734, 6.2938368, -0.0239375", \
+					  "6.9532487, 6.9549136, 6.9832811, 7.1119490, 7.1819690, 6.3201076, -0.0195185", \
+					  "6.9530756, 6.9571691, 6.9827862, 7.1117469, 7.1646880, 6.3216363, -0.0181698", \
+					  "6.9550552, 6.9568177, 6.9869794, 7.1114098, 7.1831883, 6.3267484, -0.0154100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1500782, 0.1506358, 0.1500802, 0.1501053, 0.1498982, 0.1496335, 0.1501131", \
+					  "0.2084523, 0.2084969, 0.2084199, 0.2084155, 0.2081515, 0.2083472, 0.2084178", \
+					  "0.2857398, 0.2857420, 0.2857496, 0.2857675, 0.2853274, 0.2857938, 0.2854484", \
+					  "0.3699907, 0.3699949, 0.3700211, 0.3700980, 0.3700784, 0.3704035, 0.3702934", \
+					  "0.4516300, 0.4514837, 0.4516659, 0.4518094, 0.4523893, 0.4523429, 0.4529159", \
+					  "0.5709457, 0.5708957, 0.5706251, 0.5696499, 0.5699467, 0.5685960, 0.5695308", \
+					  "0.7864060, 0.7863140, 0.7857357, 0.7824560, 0.7765700, 0.7724065, 0.7730184");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5563874, 7.5614753, 7.5888970, 7.7108116, 7.7819335, 6.9683330, 0.5426142", \
+					  "7.5539869, 7.5534136, 7.5813088, 7.7095032, 7.7686754, 6.9332118, 0.6036691", \
+					  "7.5566579, 7.5580102, 7.5863365, 7.7134023, 7.7811468, 6.9280848, 0.5520447", \
+					  "7.5547417, 7.5587845, 7.5857456, 7.7049289, 7.7809575, 6.9327328, 0.5450648", \
+					  "7.5518729, 7.5568663, 7.5838248, 7.7070656, 7.7778354, 6.9634842, 0.5490434", \
+					  "7.5525320, 7.5564646, 7.5848707, 7.7093111, 7.7608593, 6.9262053, 0.5499858", \
+					  "7.5531190, 7.5556621, 7.5846034, 7.7119919, 7.7682993, 6.8787053, 0.6148177");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8253216, 3.8716500, 3.8789124, 3.7824779, 3.7794404, 4.1755298, 4.2083590", \
+					  "3.8276321, 3.8302749, 3.8433825, 3.8934952, 3.9619773, 3.9888516, 3.9761070", \
+					  "3.8320510, 3.8350287, 3.8469328, 3.8983162, 3.9642079, 3.9907223, 3.9832777", \
+					  "3.8242089, 3.8363303, 3.8475063, 3.9111437, 3.9839492, 3.9840789, 3.9828078", \
+					  "3.8277347, 3.8312163, 3.8476437, 3.8964488, 3.9730104, 4.0146225, 4.0233903", \
+					  "3.8311315, 3.8322000, 3.8480155, 3.8944645, 3.9809261, 3.9892237, 4.0031154", \
+					  "3.8303812, 3.8349917, 3.8494272, 3.8956329, 3.9878156, 4.0178441, 4.0331708");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7114001, 6.7114764, 6.7161563, 6.7576470, 6.8444213, 6.7324037, 1.1377812", \
+					  "6.7044751, 6.7046221, 6.7142329, 6.7555696, 6.8481770, 6.6664564, 1.1052647", \
+					  "6.7075286, 6.7090217, 6.7171264, 6.7582775, 6.8622958, 6.7275408, 1.0544513", \
+					  "6.7040932, 6.7070700, 6.7119550, 6.7552389, 6.8529953, 6.7401789, 1.1160090", \
+					  "6.7053769, 6.7075518, 6.7137491, 6.7537058, 6.8525469, 6.6252877, 1.0658726", \
+					  "6.7063326, 6.7085890, 6.7146840, 6.7557293, 6.8536227, 6.6349674, 1.0697688", \
+					  "6.7064213, 6.7088417, 6.7139053, 6.7552433, 6.8466012, 6.7429164, 1.0777478");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8575038, 3.8496138, 3.8737022, 3.9288222, 4.1210920, 5.3175498, 5.4914914", \
+					  "3.8373443, 3.8418785, 3.8605640, 3.9448729, 4.2442524, 5.2989608, 5.9105050", \
+					  "3.8436824, 3.8458395, 3.8640285, 3.9472848, 4.2429949, 5.2484979, 5.9409665", \
+					  "3.8425489, 3.8470249, 3.8645040, 3.9486146, 4.2119765, 5.3037147, 5.9619876", \
+					  "3.8433923, 3.8458205, 3.8627709, 3.9463738, 4.2248047, 5.2946997, 5.9265077", \
+					  "3.8449537, 3.8476239, 3.8616370, 3.9495975, 4.2035245, 5.3167729, 5.9165549", \
+					  "3.8440370, 3.8465745, 3.8667874, 3.9476737, 4.2016749, 5.2959932, 5.9267148");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5505067, 6.5416574, 6.5493969, 6.5759382, 6.5480494, 5.5627599, -11.5874235", \
+					  "6.5464630, 6.5447621, 6.5465445, 6.5636051, 6.5098924, 5.4740257, -11.3528712", \
+					  "6.5416044, 6.5441588, 6.5521945, 6.5670650, 6.5419713, 5.4825250, -11.4896329", \
+					  "6.5338587, 6.5451535, 6.5478028, 6.5696946, 6.5278626, 5.4060100, -11.4923851", \
+					  "6.5389223, 6.5463066, 6.5483774, 6.5648929, 6.5390542, 5.4893322, -11.3515052", \
+					  "6.5437031, 6.5474436, 6.5506242, 6.5657983, 6.5386069, 5.4768451, -11.4439653", \
+					  "6.5404130, 6.5477257, 6.5498655, 6.5666099, 6.5380117, 5.5036564, -11.5830735");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0364351, 3.0483049, 3.0436413, 3.0864697, 3.3826846, 4.5603105, 4.8319960", \
+					  "3.0396216, 3.0392239, 3.0473361, 3.0817788, 3.2942341, 4.3488458, 4.9793917", \
+					  "3.0433304, 3.0455016, 3.0513333, 3.0860074, 3.2858200, 4.3468242, 4.9964599", \
+					  "3.0437809, 3.0447361, 3.0509473, 3.0851361, 3.3100605, 4.3423999, 4.9459466", \
+					  "3.0425496, 3.0433595, 3.0498487, 3.0779176, 3.3037272, 4.3672268, 4.9390120", \
+					  "3.0430750, 3.0442117, 3.0478329, 3.0850631, 3.2956741, 4.3770589, 4.9623060", \
+					  "3.0428959, 3.0432704, 3.0514633, 3.0864013, 3.2919445, 4.3443438, 4.9495832");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0174087, 3.0231548, 3.0282208, 3.1016612, 3.3407940, 3.9808483, 4.2731622", \
+					  "3.0763958, 3.0791471, 3.0854232, 3.1341770, 3.3385587, 3.9808186, 4.3227053", \
+					  "3.1553572, 3.1582947, 3.1645666, 3.2148741, 3.4235273, 4.0654922, 4.3984306", \
+					  "3.2386662, 3.2406223, 3.2500711, 3.2987261, 3.5068996, 4.1487478, 4.4805530", \
+					  "3.3202901, 3.3220066, 3.3322842, 3.3798038, 3.5894572, 4.2289175, 4.5673219", \
+					  "3.4386833, 3.4400076, 3.4475796, 3.4974992, 3.7055698, 4.3481385, 4.6872646", \
+					  "3.6456406, 3.6481518, 3.6575516, 3.7018850, 3.9080935, 4.5477805, 4.8856697");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2159713, 6.2105516, 6.2239659, 6.2429882, 6.2298662, 5.3470254, -5.0788627", \
+					  "6.2683388, 6.2688762, 6.2753202, 6.3057374, 6.2508511, 5.4690993, -4.9242081", \
+					  "6.3348225, 6.3357074, 6.3422524, 6.3710726, 6.3133884, 5.5149269, -4.8718394", \
+					  "6.3876345, 6.3872346, 6.3939149, 6.4229008, 6.3692296, 5.5504540, -4.8593692", \
+					  "6.4623265, 6.4626117, 6.4691202, 6.4999249, 6.4475461, 5.6373497, -4.7605794", \
+					  "6.5603128, 6.5617062, 6.5671671, 6.5960997, 6.5399777, 5.7344733, -4.6261606", \
+					  "6.7545549, 6.7556705, 6.7607725, 6.7905833, 6.7327848, 5.9270694, -4.4683551");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.3587696, 11.3611557, 11.3783864, 11.4528554, 11.4714558, 10.1931803, -7.2057552", \
+					  "11.3704668, 11.3717989, 11.3856281, 11.4631708, 11.4496259, 10.1868571, -7.1392078", \
+					  "11.4017366, 11.4033060, 11.4181245, 11.4937347, 11.4915785, 10.2339524, -7.2092274", \
+					  "11.4419816, 11.4470304, 11.4609131, 11.5380878, 11.5353512, 10.2730623, -7.1387175", \
+					  "11.5357799, 11.5395666, 11.5533516, 11.6277698, 11.6201946, 10.3671806, -7.0064577", \
+					  "11.5879174, 11.5920008, 11.6079836, 11.6811834, 11.6705223, 10.3988743, -7.0672312", \
+					  "11.6202564, 11.6233740, 11.6399266, 11.7139712, 11.7093956, 10.4589049, -7.0381243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0451843, 6.0573049, 6.0814519, 6.1334624, 6.5062413, 7.7210985, 8.1985986", \
+					  "6.0608997, 6.0662227, 6.0875051, 6.1988514, 6.5976667, 7.6876464, 8.2390348", \
+					  "6.0863776, 6.0910323, 6.1138496, 6.2232191, 6.6087446, 7.6933354, 8.2689594", \
+					  "6.1026324, 6.1081976, 6.1368170, 6.2454004, 6.6300519, 7.7214887, 8.2832440", \
+					  "6.1479384, 6.1543275, 6.1758330, 6.2875423, 6.6763331, 7.7690710, 8.3275783", \
+					  "6.2294220, 6.2352911, 6.2571505, 6.3708382, 6.7394063, 7.8407005, 8.4038380", \
+					  "6.3288839, 6.3319423, 6.3618418, 6.4775963, 6.8786339, 7.9687670, 8.5304070");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4964515, 7.4978983, 7.4978984, 7.4978989, 7.4978993, 7.4978998, 7.4979003", \
+					  "7.5205282, 7.5638473, 7.5708213, 7.5708216, 7.5708221, 7.5708225, 7.6539424", \
+					  "7.5906250, 7.5906251, 7.5957560, 7.5957563, 7.5957568, 7.5957573, 7.6615487", \
+					  "7.5549336, 7.5635889, 7.5683309, 7.5683311, 7.5683315, 7.5683320, 7.6901951", \
+					  "7.5959061, 7.5959065, 7.5959070, 7.5959075, 7.5959080, 7.5959085, 7.6757622", \
+					  "7.5983939, 7.5992004, 7.5992005, 7.6068414, 7.6068419, 7.6068423, 7.6806437", \
+					  "7.5564568, 7.5564569, 7.5564573, 7.5564578, 7.5564583, 7.5564588, 7.6789997");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2013110, 18.4204720, 19.7774120, 28.1211140, 79.0290640, 391.0381800, 2312.6959000", \
+					  "18.2491960, 18.4777440, 19.8371540, 28.1759590, 79.0859640, 391.0920700, 2312.6318000", \
+					  "18.2724750, 18.4917610, 19.8518770, 28.1964350, 79.0965730, 391.1135000, 2312.7504000", \
+					  "18.2785480, 18.4997260, 19.8639130, 28.2056960, 79.1127870, 391.1180800, 2312.8737000", \
+					  "18.2872690, 18.5067820, 19.8576280, 28.2054200, 79.1145850, 391.1220600, 2312.5726000", \
+					  "18.2865460, 18.5059080, 19.8598200, 28.2065450, 79.1098810, 391.1226000, 2312.5322000", \
+					  "18.2823320, 18.5017580, 19.8575430, 28.2020650, 79.1093200, 391.1145500, 2312.8482000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6870610, 17.1277050, 19.7636930, 36.0790470, 137.0718900, 757.7998000, 4595.1588000", \
+					  "16.6711840, 17.1182690, 19.7420600, 36.0773240, 136.9042300, 759.5284600, 4594.9105000", \
+					  "16.6872860, 17.1270250, 19.7640130, 36.0795840, 136.8659100, 757.9064300, 4595.9364000", \
+					  "16.6689900, 17.1095830, 19.7420280, 36.0522390, 136.8806400, 759.5344900, 4595.2461000", \
+					  "16.6869930, 17.1277730, 19.7652420, 36.0852860, 137.0693600, 758.0974900, 4595.2870000", \
+					  "16.6872200, 17.1270910, 19.7652880, 36.0839150, 136.8700300, 758.2168600, 4594.8818000", \
+					  "16.6870620, 17.1275420, 19.7639200, 36.0802800, 137.0707800, 759.4962900, 4593.1579000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.7234130, 12.7860360, 13.1635640, 15.1908250, 20.0325480, 41.1449930, 82.8498230", \
+					  "12.8238330, 12.8850830, 13.2628650, 15.2932140, 20.1312300, 41.2383830, 82.9427340", \
+					  "12.8793220, 12.9416180, 13.3190520, 15.3468060, 20.1881940, 41.3008690, 83.0139300", \
+					  "12.9312610, 12.9929580, 13.3696650, 15.3873390, 20.2374400, 41.3377630, 83.0699420", \
+					  "12.9725730, 13.0335580, 13.4118010, 15.4413070, 20.2805360, 41.3864960, 83.0935750", \
+					  "13.0154670, 13.0764080, 13.4537980, 15.4808750, 20.3244390, 41.4267910, 83.1505740", \
+					  "13.0564790, 13.1161510, 13.4932390, 15.5075190, 20.3612380, 41.4767140, 83.1736670");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7535335, 3.8111621, 4.0984913, 4.8937077, 7.9601250, 26.1663760, 57.3118410", \
+					  "3.7503489, 3.8100598, 4.1002850, 4.8944195, 7.9735116, 26.1296820, 57.2984330", \
+					  "3.7530654, 3.8106829, 4.0988999, 4.8941171, 7.9598239, 26.1644180, 57.1383540", \
+					  "3.7501162, 3.8082248, 4.1044896, 4.8955449, 7.9708835, 26.1678640, 57.1233510", \
+					  "3.7508494, 3.8110568, 4.0998858, 4.8934390, 7.9729991, 26.1329250, 57.3043200", \
+					  "3.7529699, 3.8104377, 4.0996544, 4.8950550, 7.9590494, 26.1470720, 57.1800350", \
+					  "3.7492094, 3.8091268, 4.1023154, 4.8957265, 7.9758751, 26.1202510, 57.2957970");
+				}
+			}
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("7.7401099, 7.7527789, 7.8286320, 8.3217913, 11.5538730, 32.1609120, 160.3254700", \
+                                          "7.8043740, 7.8165119, 7.8912166, 8.3848812, 11.6099870, 32.2343220, 160.3929000", \
+                                          "7.8283646, 7.8401721, 7.9155891, 8.4091321, 11.6383450, 32.2545230, 160.4163100", \
+                                          "7.8381133, 7.8502165, 7.9259230, 8.4192378, 11.6456280, 32.2677920, 160.4264800", \
+                                          "7.8427798, 7.8547471, 7.9294367, 8.4232648, 11.6539360, 32.2671920, 160.4259800", \
+                                          "7.8430684, 7.8548634, 7.9303399, 8.4238412, 11.6540380, 32.2611160, 160.4306600", \
+                                          "7.8388460, 7.8507547, 7.9256965, 8.4195113, 11.6498730, 32.2624240, 160.4231400");
+                                }
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("1.5687266, 1.5965997, 1.7796654, 2.9528966, 10.5817590, 58.3431900, 352.2241900", \
+                                          "1.5707218, 1.5987807, 1.7798975, 2.9513076, 10.5809970, 58.3000490, 352.8217300", \
+                                          "1.5702614, 1.5990725, 1.7792561, 2.9502965, 10.5885220, 58.2191820, 352.8684500", \
+                                          "1.5697654, 1.5990274, 1.7771277, 2.9504463, 10.5885990, 58.2085140, 352.6571100", \
+                                          "1.5708845, 1.5985371, 1.7793236, 2.9510903, 10.5884480, 58.3577880, 352.7935900", \
+                                          "1.5701344, 1.5991360, 1.7791714, 2.9500997, 10.5833320, 58.3273090, 352.8366500", \
+                                          "1.5706518, 1.5988680, 1.7796042, 2.9510807, 10.5886580, 58.3661580, 352.7296700");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211"); 
+                                        values("125.7377100, 125.7516700, 125.8368200, 126.3591000, 129.2020800, 140.2771400, 186.8604600", \
+                                          "125.8383000, 125.8528500, 125.9364400, 126.4570500, 129.2762600, 140.3595700, 186.9632100", \
+                                          "125.8925600, 125.9063500, 125.9914500, 126.5208600, 129.3486900, 140.4302900, 187.0113700", \
+                                          "125.9397200, 125.9537800, 126.0382900, 126.5607100, 129.3814500, 140.4642200, 187.0669500", \
+                                          "126.0085000, 126.0219700, 126.1066300, 126.6279700, 129.4398000, 140.5364900, 187.1200200", \
+                                          "126.0398800, 126.0470300, 126.1321100, 126.6701600, 129.4683500, 140.5509000, 187.1573500", \
+                                          "126.0766000, 126.0851800, 126.1694300, 126.6974600, 129.5103500, 140.5921100, 187.1304800");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211"); 
+                                        values("125.9045600, 125.8750300, 125.5679400, 123.5408300, 109.3041000, 68.4844680, 97.0803100", \
+                                          "125.9116000, 125.8598100, 125.5954200, 123.4920100, 109.2265200, 68.4882550, 97.0901790", \
+                                          "125.9249800, 125.8668800, 125.5617400, 123.5121700, 109.3470700, 68.4974990, 97.0774820", \
+                                          "125.9098500, 125.8616200, 125.6021000, 123.4922000, 109.2258400, 68.4871180, 97.0894550", \
+                                          "125.9282400, 125.8780300, 125.6087900, 123.5318600, 109.2355300, 68.5048680, 97.4433830", \
+                                          "125.9245200, 125.8553800, 125.5872800, 123.5184600, 109.2264500, 68.4879780, 97.0883750", \
+                                          "125.9196800, 125.8651600, 125.6001800, 123.5221700, 109.2295000, 68.4874020, 97.3265490");
+                                }
+                        }
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("7.7108444, 7.7226483, 7.7970537, 8.2871230, 11.4988160, 32.3753540, 163.6308700", \
+                                          "7.7735063, 7.7846556, 7.8604758, 8.3502032, 11.5637140, 32.4282610, 163.6949100", \
+                                          "7.7973628, 7.8095042, 7.8842843, 8.3739984, 11.5873610, 32.4517940, 163.7174600", \
+                                          "7.8078504, 7.8195201, 7.8936360, 8.3841503, 11.5960420, 32.4721440, 163.7174000", \
+                                          "7.8127584, 7.8245836, 7.8988807, 8.3892369, 11.6028030, 32.4662470, 163.7261700", \
+                                          "7.8134708, 7.8252329, 7.8998612, 8.3900673, 11.6038100, 32.4673050, 163.7263600", \
+                                          "7.8076647, 7.8198408, 7.8932532, 8.3838145, 11.5931620, 32.4737820, 163.7210300");
+                                }
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("1.5828182, 1.6131544, 1.7928868, 2.9595646, 10.5826430, 58.3720840, 352.7239900", \
+                                          "1.5838009, 1.6123499, 1.7916566, 2.9581451, 10.5909250, 58.2080840, 352.7589700", \
+                                          "1.5842113, 1.6079759, 1.7917547, 2.9581861, 10.5909620, 58.1892120, 352.8205600", \
+                                          "1.5842387, 1.6143483, 1.7896457, 2.9598644, 10.5826890, 58.3710220, 352.6114400", \
+                                          "1.5806733, 1.6106085, 1.7907947, 2.9591332, 10.5839390, 58.3196350, 352.4429500", \
+                                          "1.5808096, 1.6111292, 1.7905016, 2.9587943, 10.5851750, 58.3484620, 352.4914100", \
+                                          "1.5803031, 1.6081738, 1.7892952, 2.9599077, 10.5872950, 58.3509670, 352.8517100");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("17.9824650, 18.0047000, 18.1401280, 18.9748180, 24.8597920, 65.1815450, 148.5335700", \
+                                          "18.0752750, 18.0982610, 18.2370100, 19.0640390, 24.8995440, 65.2972790, 148.6336500", \
+                                          "18.1363100, 18.1583510, 18.2934980, 19.1284710, 25.0085530, 65.3375810, 148.6888000", \
+                                          "18.1818330, 18.2044510, 18.3436360, 19.1699470, 25.0070350, 65.4030220, 148.7394500", \
+                                          "18.2275170, 18.2504340, 18.3892510, 19.2161880, 25.0520400, 65.4499030, 148.7960500", \
+                                          "18.2667980, 18.2878920, 18.4284750, 19.2539210, 25.0926590, 65.4860630, 148.8256100", \
+                                          "18.3073150, 18.3284160, 18.4690400, 19.2942320, 25.1300530, 65.5272100, 148.8677400");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("105.7326000, 105.7011600, 105.5227900, 104.9151800, 104.0788100, 101.7598800, 99.2162510", \
+                                          "105.7317500, 105.7135700, 105.6052200, 104.8996100, 104.1023500, 101.7371100, 99.2391590", \
+                                          "105.7301500, 105.7004800, 105.5785100, 104.9145500, 104.0789100, 101.7679000, 99.2472310", \
+                                          "105.7440400, 105.7403800, 105.6042600, 104.8980800, 104.1002600, 101.7347500, 99.2472880", \
+                                          "105.7445300, 105.7267800, 105.6176700, 104.9096000, 104.1141900, 101.7498700, 99.2505780", \
+                                          "105.7331700, 105.7936800, 105.6052800, 104.9113900, 104.0855900, 101.7283100, 99.2348960", \
+                                          "105.7337500, 105.7157600, 105.6078500, 104.9076800, 104.1004900, 101.7290600, 99.2455680");
+                                }
+                        }
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7175396, 8.7190450, 8.7190452, 8.7190462, 8.7190471, 8.7190481, 8.7190490", \
+					  "8.7591925, 8.7591930, 8.7591939, 8.7591949, 8.7591959, 8.7591968, 8.7591978", \
+					  "8.7469783, 8.7865653, 8.7865654, 8.7865663, 8.7865673, 8.7865682, 8.7865692", \
+					  "8.7950180, 8.7950187, 8.7950197, 8.7950206, 8.7950216, 8.7950225, 8.7950235", \
+					  "8.7943430, 8.7943435, 8.7943445, 8.7943454, 8.7943464, 8.7943473, 8.7943483", \
+					  "8.7935073, 8.7935081, 8.7935091, 8.7935100, 8.7935110, 8.7935119, 8.7935129", \
+					  "8.7886258, 8.7886262, 8.7886272, 8.7886282, 8.7886291, 8.7886301, 8.7886310");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4582918, 7.4582923, 7.4876105, 7.4876106, 7.4876111, 7.4876116, 7.4876121", \
+					  "7.5220248, 7.5220249, 7.5257152, 7.5257156, 7.5257161, 7.5257166, 7.5257171", \
+					  "7.5433846, 7.5433848, 7.5433853, 7.5433858, 7.5433863, 7.5433867, 7.5433872", \
+					  "7.5327895, 7.5376176, 7.5574995, 7.5574997, 7.5575002, 7.5575007, 7.5575011", \
+					  "7.5561157, 7.5561159, 7.5651512, 7.5651515, 7.5651520, 7.5651525, 7.5651529", \
+					  "7.5346467, 7.5407840, 7.5629831, 7.5629833, 7.5629838, 7.5629843, 7.5629848", \
+					  "7.5291569, 7.5502289, 7.5632709, 7.5632713, 7.5632718, 7.5632723, 7.5632728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4565090, 10.5012940, 10.7930160, 12.5560480, 23.2625510, 89.1937970, 423.5842300", \
+					  "10.5101470, 10.5554060, 10.8508020, 12.6080400, 23.3166060, 89.2469390, 423.6533200", \
+					  "10.5263230, 10.5710450, 10.8628260, 12.6258950, 23.3323920, 89.2637150, 423.6393900", \
+					  "10.5289700, 10.5761750, 10.8708620, 12.6377920, 23.3520630, 89.2696120, 423.6330800", \
+					  "10.5366350, 10.5815770, 10.8730230, 12.6359940, 23.3427590, 89.2738540, 423.6611600", \
+					  "10.5312670, 10.5800970, 10.8749830, 12.6338420, 23.3522710, 89.2780250, 423.6507000", \
+					  "10.5274220, 10.5766360, 10.8713470, 12.6300690, 23.3483050, 89.2743370, 423.6476900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2088472, 3.2882024, 3.7751979, 6.7715713, 25.4985400, 141.3911000, 392.8374200", \
+					  "3.2087819, 3.2868446, 3.7767345, 6.7717054, 25.5147530, 141.3737300, 392.8594500", \
+					  "3.2088525, 3.2882039, 3.7752019, 6.7715757, 25.4987890, 141.4006800, 392.9861000", \
+					  "3.2078959, 3.2871992, 3.7763050, 6.7723742, 25.4560410, 141.3947500, 392.9836200", \
+					  "3.2088432, 3.2864003, 3.7751904, 6.7715873, 25.5000040, 141.3871200, 392.8292900", \
+					  "3.2071674, 3.2864143, 3.7767851, 6.7730066, 25.5087130, 141.3559700, 393.2562200", \
+					  "3.2071963, 3.2863929, 3.7767838, 6.7730741, 25.5126360, 141.3744100, 393.2009900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.7386730, 20.9488750, 22.3121080, 30.5282630, 80.8474990, 389.5700000, 2292.7422000", \
+					  "20.8369980, 21.0464480, 22.4098910, 30.6143550, 80.7421690, 389.6800800, 2293.4350000", \
+					  "20.8969870, 21.1081480, 22.4698290, 30.6899540, 80.9765200, 389.4675400, 2292.7973000", \
+					  "20.9380350, 21.1578930, 22.5113030, 30.7196760, 80.9712050, 389.8085500, 2292.6499000", \
+					  "20.9779070, 21.1995970, 22.5515770, 30.7597820, 81.0440210, 389.4398300, 2292.3580000", \
+					  "21.0315680, 21.2427730, 22.6042810, 30.8093460, 81.1125820, 389.6028300, 2292.5890000", \
+					  "21.0585810, 21.2842010, 22.6324200, 30.8516520, 81.1530750, 389.8460900, 2292.3864000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8814030, 16.2978790, 18.8943790, 35.2046210, 134.9774800, 751.4257700, 4554.3593000", \
+					  "15.8812870, 16.2941730, 18.9023370, 35.1965030, 135.2996600, 751.5328100, 4552.8623000", \
+					  "15.8789620, 16.3035100, 18.9025350, 35.2000700, 135.3899100, 751.2118100, 4551.9114000", \
+					  "15.8705650, 16.2706310, 18.9178470, 35.1760190, 135.1096400, 751.6824200, 4554.1547000", \
+					  "15.8690820, 16.2680430, 18.9183550, 35.1761680, 135.0575800, 751.9826700, 4554.9159000", \
+					  "15.8788500, 16.3035930, 18.9026090, 35.1670410, 135.3884200, 751.2173900, 4552.4016000", \
+					  "15.8652380, 16.2692460, 18.9187550, 35.1682840, 135.0087000, 751.0850500, 4553.7913000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7291125, 8.7291132, 8.7291141, 8.7291151, 8.7291160, 8.7291170, 8.7291179", \
+					  "8.7239975, 8.7239976, 8.7296952, 8.7296958, 8.7296968, 8.7296978, 8.7296987", \
+					  "8.7639815, 8.7639823, 8.7639833, 8.7639843, 8.7639852, 8.7639862, 8.7639871", \
+					  "8.7713721, 8.7713724, 8.7713733, 8.7713743, 8.7713752, 8.7713762, 8.7713771", \
+					  "8.7755136, 8.7755142, 8.7755151, 8.7755161, 8.7755170, 8.7755180, 8.7755189", \
+					  "8.7779242, 8.7779251, 8.7779260, 8.7779270, 8.7779279, 8.7779289, 8.7779298", \
+					  "8.7673593, 8.7673603, 8.7673612, 8.7673622, 8.7673631, 8.7673641, 8.7673650");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.5028064, 7.5073814, 7.5086657, 7.5086659, 7.5086663, 7.5086668, 7.5086673", \
+					  "7.5722966, 7.5769533, 7.5815816, 7.5815819, 7.5815824, 7.5815828, 7.6472944", \
+					  "7.5918338, 7.5920656, 7.5943527, 7.5943530, 7.5943535, 7.5943540, 7.6591160", \
+					  "7.6047630, 7.6056352, 7.6097271, 7.6097272, 7.6097277, 7.6097281, 7.6847124", \
+					  "7.6172633, 7.6172636, 7.6172641, 7.6172646, 7.6172650, 7.6172655, 7.6726359", \
+					  "7.6138447, 7.6138452, 7.6138456, 7.6138461, 7.6138466, 7.6138471, 7.6750508", \
+					  "7.6055038, 7.6080164, 7.6114395, 7.6114400, 7.6114405, 7.6114409, 7.6718161");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.6208820, 12.6851120, 13.0683520, 15.3777600, 29.3160480, 103.8253000, 178.1981900", \
+					  "12.7188170, 12.7817530, 13.1658280, 15.4706510, 29.4509220, 103.9224900, 178.3012200", \
+					  "12.7768770, 12.8410420, 13.2264080, 15.5299360, 29.5345170, 103.9828700, 178.3596300", \
+					  "12.8252050, 12.8881120, 13.2722140, 15.5774970, 29.5540200, 104.0280300, 178.4081300", \
+					  "12.8708420, 12.9339530, 13.3171720, 15.6219480, 29.6018160, 104.0773900, 178.4649300", \
+					  "12.9090350, 12.9720280, 13.3569140, 15.6619640, 29.6400130, 104.1131600, 178.4658400", \
+					  "12.9510160, 13.0135150, 13.3974170, 15.7026840, 29.6800970, 104.1550000, 178.5333600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8721994, 3.9651016, 4.5274149, 8.0718978, 30.4040140, 86.8726810, 74.0274970", \
+					  "3.8708019, 3.9604586, 4.5219730, 8.0738545, 30.4611590, 86.8822450, 73.9756420", \
+					  "3.8726640, 3.9646193, 4.5283941, 8.0688736, 30.4486390, 86.8727380, 73.9864210", \
+					  "3.8702175, 3.9611074, 4.5228052, 8.0743252, 30.4590060, 86.8733850, 74.1187020", \
+					  "3.8708476, 3.9603862, 4.5218349, 8.0738998, 30.4611160, 86.8840640, 74.0841490", \
+					  "3.8707548, 3.9587778, 4.5225397, 8.0741636, 30.4607110, 86.8570500, 74.1027790", \
+					  "3.8701731, 3.9611225, 4.5228559, 8.0743261, 30.4589630, 86.8753530, 74.0846100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.5146014, 7.5146015, 7.5146020, 7.5146025, 7.5146029, 7.5146034, 7.5146039", \
+					  "7.5745312, 7.5783273, 7.5839912, 7.5839913, 7.5839918, 7.5839923, 7.6363529", \
+					  "7.5938287, 7.5961264, 7.5961268, 7.5961273, 7.5961278, 7.5961283, 7.6631981", \
+					  "7.6069879, 7.6069882, 7.6120452, 7.6120456, 7.6120461, 7.6120465, 7.6864941", \
+					  "7.6196436, 7.6196440, 7.6196445, 7.6196449, 7.6196454, 7.6196459, 7.6749629", \
+					  "7.6160456, 7.6175208, 7.6175211, 7.6175216, 7.6175221, 7.6175225, 7.6768467", \
+					  "7.6076834, 7.6094802, 7.6136493, 7.6136497, 7.6136501, 7.6136506, 7.6738429");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.5924620, 12.6545280, 13.0281790, 15.0701980, 19.9696720, 43.4048280, 139.7706500", \
+					  "12.6874250, 12.7501580, 13.1260660, 15.1501090, 20.0669350, 43.5028580, 139.8765300", \
+					  "12.7483060, 12.8091390, 13.1846130, 15.2224990, 20.1266580, 43.5486600, 139.9287300", \
+					  "12.7940960, 12.8565340, 13.2325580, 15.2564570, 20.1733650, 43.6092230, 139.9824500", \
+					  "12.8403460, 12.9015050, 13.2775360, 15.3017300, 20.2188670, 43.6559270, 140.0369500", \
+					  "12.8788340, 12.9402750, 13.3162910, 15.3403370, 20.2571990, 43.6925730, 140.0696600", \
+					  "12.9191950, 12.9833810, 13.3586080, 15.3789890, 20.2986780, 43.7343810, 140.1104400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7569863, 3.8176067, 4.1135855, 4.9346660, 8.0219120, 39.8745430, 90.4918240", \
+					  "3.7585934, 3.8169903, 4.1150394, 4.9344524, 8.0128233, 39.8747240, 90.5266900", \
+					  "3.7588967, 3.8186285, 4.1116666, 4.9267777, 8.0207121, 39.8279060, 90.4819690", \
+					  "3.7586938, 3.8170391, 4.1148840, 4.9343960, 8.0124112, 39.8744650, 90.5288000", \
+					  "3.7587786, 3.8171488, 4.1152872, 4.9348383, 8.0128260, 39.8750300, 90.5359770", \
+					  "3.7585868, 3.8170498, 4.1152108, 4.9345088, 8.0125862, 39.8731110, 90.5268960", \
+					  "3.7586609, 3.8182533, 4.1150230, 4.9345101, 8.0127043, 39.8746710, 90.5266400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2848780, 18.4911000, 19.8496950, 28.0817680, 78.7218610, 390.4384000, 2311.8371000", \
+					  "18.3828530, 18.6059880, 19.9343280, 28.1935720, 78.8264670, 390.5387300, 2312.1725000", \
+					  "18.4406620, 18.6531710, 20.0083910, 28.2391920, 78.8884260, 390.5947500, 2312.0317000", \
+					  "18.4951790, 18.7041490, 20.0473330, 28.2884790, 78.9354080, 390.6459900, 2312.5069000", \
+					  "18.5333830, 18.7485780, 20.0921700, 28.3310100, 78.9698120, 390.6872600, 2312.3682000", \
+					  "18.5722730, 18.7845760, 20.1365110, 28.3685060, 79.0261940, 390.7254600, 2312.1825000", \
+					  "18.6127560, 18.8313100, 20.1693930, 28.4120740, 79.0503730, 390.7632600, 2312.5140000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.7037140, 17.1141270, 19.7417350, 36.0844650, 136.9115900, 758.9051700, 4593.0078000", \
+					  "16.6711840, 17.1247120, 19.7703540, 36.0751220, 137.1551500, 759.3900500, 4594.9528000", \
+					  "16.6706060, 17.1193650, 19.7357240, 36.0852680, 136.8552500, 759.1276000, 4596.8040000", \
+					  "16.7053460, 17.1083950, 19.7283040, 36.0856700, 136.8828600, 759.3890800, 4601.2883000", \
+					  "16.7051690, 17.1025200, 19.7688990, 36.0857410, 137.0311400, 759.2252600, 4596.3225000", \
+					  "16.6706240, 17.1106540, 19.7282790, 36.0857120, 136.8547400, 759.2152000, 4594.8555000", \
+					  "16.6763120, 17.1281260, 19.7284610, 36.0862380, 136.8791500, 759.1102600, 4595.3120000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1374270, 14.1989000, 14.5766430, 16.6154790, 21.4668230, 42.5733830, 84.2898370", \
+					  "14.2361830, 14.2996580, 14.6773230, 16.7108280, 21.5660060, 42.6816800, 84.4046400", \
+					  "14.2947480, 14.3559880, 14.7329860, 16.7746400, 21.6245600, 42.7341040, 84.4487570", \
+					  "14.3412530, 14.4024700, 14.7783190, 16.8182590, 21.6714020, 42.7890610, 84.5002790", \
+					  "14.3786270, 14.4458840, 14.8242770, 16.8469610, 21.7142540, 42.8316190, 84.5517930", \
+					  "14.4216660, 14.4870920, 14.8638610, 16.9013510, 21.7564150, 42.8652170, 84.5779340", \
+					  "14.4650530, 14.5270160, 14.8995540, 16.9413890, 21.7916670, 42.9000620, 84.6247160");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7633305, 3.8215995, 4.1166150, 4.9121525, 7.9774924, 26.1379900, 57.1155000", \
+					  "3.7668042, 3.8244954, 4.1154298, 4.9130628, 7.9766354, 26.1703160, 57.1894670", \
+					  "3.7633283, 3.8242054, 4.1166208, 4.9116311, 7.9774942, 26.1411230, 57.3035730", \
+					  "3.7629877, 3.8251686, 4.1195030, 4.9145785, 7.9608578, 26.1703300, 57.1537310", \
+					  "3.7654502, 3.8243764, 4.1155519, 4.9147935, 7.9593576, 26.1692570, 57.1573150", \
+					  "3.7632373, 3.8241117, 4.1166488, 4.9114743, 7.9775235, 26.1408370, 57.3036370", \
+					  "3.7633239, 3.8214982, 4.1210981, 4.9147317, 7.9633793, 26.1321760, 57.2745440");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("17.7312260, 17.9436630, 19.2990580, 27.5352490, 78.1695990, 389.8802800, 2311.4130000", \
+					  "17.7838700, 18.0012200, 19.3526080, 27.5916320, 78.2300800, 389.9362200, 2311.3985000", \
+					  "17.8014260, 18.0130650, 19.3687540, 27.6026880, 78.2461460, 389.9439200, 2311.7327000", \
+					  "17.8086560, 18.0227260, 19.3768290, 27.6140940, 78.2560220, 389.9581000, 2311.3384000", \
+					  "17.8126900, 18.0222450, 19.3801120, 27.6146340, 78.2524840, 389.9624200, 2311.3028000", \
+					  "17.8123350, 18.0233570, 19.3803500, 27.6160450, 78.2505080, 389.9561400, 2311.4933000", \
+					  "17.8073880, 18.0210640, 19.3757310, 27.6127710, 78.2475030, 389.9570500, 2311.4515000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6706540, 17.1147840, 19.7257730, 36.0772670, 137.0299100, 759.4638900, 4595.4916000", \
+					  "16.6703650, 17.1173260, 19.7291320, 36.0769480, 136.8591100, 757.8078100, 4592.9660000", \
+					  "16.6695280, 17.1025750, 19.7394950, 36.0810620, 136.8690200, 759.5139700, 4594.6999000", \
+					  "16.6697750, 17.1155200, 19.7342200, 36.0772110, 136.8683800, 758.7943300, 4594.6144000", \
+					  "16.6687660, 17.1126220, 19.7396210, 36.0778750, 137.0651100, 758.2172500, 4594.9573000", \
+					  "16.6743900, 17.1140040, 19.7293490, 36.0772110, 137.0207900, 759.4845200, 4592.9596000", \
+					  "16.6708370, 17.1163280, 19.7266700, 36.0770290, 137.0638100, 758.2152600, 4593.9732000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.1206550, 20.3256680, 21.6559870, 29.8126070, 79.9365130, 388.3950500, 2291.8437000", \
+					  "20.2252030, 20.4435760, 21.7612220, 29.8836940, 79.9354180, 388.7041100, 2290.8475000", \
+					  "20.2768260, 20.4819970, 21.8120080, 29.9699540, 80.0814990, 388.5132900, 2290.8300000", \
+					  "20.3313170, 20.5502070, 21.8661530, 29.9895220, 80.1043210, 388.7469400, 2292.5487000", \
+					  "20.3815010, 20.5940300, 21.9187890, 30.0250880, 80.0550880, 388.8596300, 2291.2968000", \
+					  "20.4098320, 20.6320580, 21.9412770, 30.0653010, 80.2617710, 388.5482200, 2291.3591000", \
+					  "20.4463930, 20.6700130, 21.9875320, 30.1096210, 80.2824620, 388.7323600, 2291.7027000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8779190, 16.2879700, 18.9244850, 35.0558550, 135.1902800, 751.2418300, 4551.9932000", \
+					  "15.8915740, 16.3078170, 18.9265690, 35.1984970, 135.4323600, 751.5550900, 4553.3279000", \
+					  "15.8790690, 16.2862790, 18.9248300, 35.0572410, 135.1651700, 751.4445900, 4552.7859000", \
+					  "15.8912030, 16.3099720, 18.9225630, 35.1910290, 135.4099800, 750.8635300, 4553.2215000", \
+					  "15.8723670, 16.3141450, 18.9217810, 35.1981090, 135.2075900, 751.0273000, 4552.2993000", \
+					  "15.8845810, 16.3154310, 18.9026710, 35.1461220, 134.7770500, 751.4177600, 4553.1974000", \
+					  "15.8803760, 16.3144090, 18.9188360, 35.1750240, 134.9040600, 751.2820200, 4556.2579000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1162881, 8.1193168, 8.1275893, 8.1284641, 8.1284643, 8.1284652, 8.1284662", \
+					  "8.1842861, 8.1842866, 8.1842876, 8.1842886, 8.1842895, 8.1842905, 8.2844398", \
+					  "8.2048643, 8.2048650, 8.2594855, 8.2594858, 8.2594867, 8.2594877, 8.3129246", \
+					  "8.2154061, 8.2416287, 8.2416292, 8.2530311, 8.2530313, 8.2530323, 8.3431848", \
+					  "8.2195450, 8.2197280, 8.2200211, 8.2210461, 8.2210470, 8.2210479, 8.3364311", \
+					  "8.2211402, 8.2679890, 8.2679897, 8.2679906, 8.2679916, 8.2679925, 8.3375244", \
+					  "8.2174365, 8.2174373, 8.2176195, 8.2190450, 8.2190452, 8.2190462, 8.3328189");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4571869, 7.4571870, 7.4571875, 7.4571879, 7.4571884, 7.4571889, 7.4571894", \
+					  "7.5193549, 7.5193551, 7.5246938, 7.5246943, 7.5246947, 7.5246952, 7.5246957", \
+					  "7.5403861, 7.5403865, 7.5509234, 7.5509236, 7.5509241, 7.5509246, 7.5509251", \
+					  "7.5279276, 7.5356228, 7.5534965, 7.5534966, 7.5534971, 7.5534976, 7.5534981", \
+					  "7.5535702, 7.5535706, 7.5645614, 7.5645617, 7.5645621, 7.5645626, 7.5645631", \
+					  "7.5303106, 7.5372890, 7.5622646, 7.5622647, 7.5622652, 7.5622657, 7.5622662", \
+					  "7.5250632, 7.5486302, 7.5630142, 7.5630143, 7.5630148, 7.5630153, 7.5630157");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0701060, 11.1168680, 11.3980300, 13.1576630, 23.8724610, 89.8009770, 424.2412200", \
+					  "11.1619440, 11.2116250, 11.5066670, 13.2644240, 23.9784230, 89.9022080, 424.3584900", \
+					  "11.2210850, 11.2643760, 11.5616370, 13.3157020, 24.0331840, 89.9567710, 424.3945300", \
+					  "11.2689990, 11.3185480, 11.6082400, 13.3672490, 24.0796150, 90.0033400, 424.5027600", \
+					  "11.3132290, 11.3645060, 11.6495670, 13.4133800, 24.1233610, 90.0504560, 424.4889700", \
+					  "11.3535550, 11.4062610, 11.6902100, 13.4528890, 24.1674610, 90.0883850, 424.5681300", \
+					  "11.3968860, 11.4407410, 11.7293220, 13.4927570, 24.2028780, 90.1325730, 424.6207700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2107179, 3.2900040, 3.7784091, 6.7735022, 25.4843260, 141.4005800, 392.9484600", \
+					  "3.2098399, 3.2892506, 3.7788337, 6.7736559, 25.5213770, 141.3790800, 393.2233600", \
+					  "3.2102301, 3.2905010, 3.7779753, 6.7735679, 25.4775350, 141.4124900, 392.8645400", \
+					  "3.2101461, 3.2908154, 3.7788999, 6.7737083, 25.5034410, 141.4833100, 393.0875300", \
+					  "3.2109144, 3.2903968, 3.7789070, 6.7734942, 25.5036010, 141.3466000, 392.8802800", \
+					  "3.2103307, 3.2900762, 3.7782812, 6.7738628, 25.5030340, 141.4053500, 393.0204600", \
+					  "3.2109064, 3.2904461, 3.7788110, 6.7735431, 25.5001550, 141.3425500, 393.0064700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.3785650, 10.3868030, 10.3868032, 10.3868042, 10.3868051, 10.3868061, 10.4547440", \
+					  "10.4471120, 10.4518990, 10.4518991, 10.4519001, 10.4519010, 10.4519020, 10.4759470", \
+					  "10.4630880, 10.4634480, 10.4725090, 10.4725099, 10.4725109, 10.4725118, 10.5271740", \
+					  "10.4815090, 10.4853820, 10.4853826, 10.4868430, 10.4868436, 10.4868446, 10.5155420", \
+					  "10.4544200, 10.4544206, 10.4555070, 10.4555078, 10.4555088, 10.4555097, 10.5199650", \
+					  "10.4872160, 10.4872165, 10.4872175, 10.4872184, 10.4872194, 10.4872203, 10.5322670", \
+					  "10.4861890, 10.4864630, 10.4870220, 10.4888010, 10.4888015, 10.4888025, 10.5333160");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("17.7312330, 17.9436800, 19.2991310, 27.5352380, 78.1697690, 389.8802600, 2311.5938000", \
+					  "17.7838880, 17.9989180, 19.3526010, 27.5916130, 78.2270110, 389.9361900, 2311.5122000", \
+					  "17.8040230, 18.0128430, 19.3687080, 27.6026810, 78.2438010, 389.9446600, 2311.7827000", \
+					  "17.8086540, 18.0227300, 19.3768240, 27.6140960, 78.2491650, 389.9585300, 2311.8068000", \
+					  "17.8126440, 18.0221530, 19.3800900, 27.6146340, 78.2584310, 389.9561700, 2311.3771000", \
+					  "17.8123330, 18.0233300, 19.3803520, 27.6160340, 78.2505010, 389.9610000, 2311.4651000", \
+					  "17.8073820, 18.0210480, 19.3757290, 27.6127600, 78.2475030, 389.9568500, 2311.1818000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6705640, 17.1147360, 19.7258460, 36.0771710, 137.0197800, 758.3732900, 4593.2993000", \
+					  "16.6698250, 17.1044600, 19.7285300, 36.0769940, 137.0352700, 757.8498200, 4593.5685000", \
+					  "16.7012860, 17.1037940, 19.7395310, 36.0781770, 136.8622500, 758.7222500, 4595.3834000", \
+					  "16.6706510, 17.1163330, 19.7344080, 36.0772110, 137.0623600, 758.2771700, 4594.8486000", \
+					  "16.6686810, 17.1121940, 19.7396340, 36.0778860, 136.8566700, 759.4787800, 4593.9605000", \
+					  "16.6740880, 17.1139230, 19.7295480, 36.0772350, 137.0178900, 759.8401900, 4596.0032000", \
+					  "16.6707950, 17.1162520, 19.7265510, 36.0770580, 137.0628300, 758.3390700, 4594.5867000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.1205860, 20.3256580, 21.6560090, 29.8128560, 79.9363920, 388.3581900, 2291.4714000", \
+					  "20.2254730, 20.4437980, 21.7612510, 29.8836800, 79.9377420, 388.7017500, 2291.3691000", \
+					  "20.2766300, 20.4817440, 21.8119960, 29.9699780, 80.0821170, 388.4911700, 2292.2411000", \
+					  "20.3310910, 20.5501270, 21.8660700, 29.9893870, 80.0993830, 388.7072400, 2292.7636000", \
+					  "20.3815280, 20.5940460, 21.9187650, 30.0248570, 80.0580150, 388.8613900, 2292.2250000", \
+					  "20.4083540, 20.6305940, 21.9412510, 30.0652940, 80.2627300, 388.8035800, 2291.8866000", \
+					  "20.4533740, 20.6727120, 21.9875390, 30.1096070, 80.2814170, 388.8492300, 2292.2193000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8776280, 16.2880380, 18.9244940, 35.0559120, 135.1887800, 751.1006300, 4551.6967000", \
+					  "15.8915810, 16.3076730, 18.9267110, 35.1983900, 135.4332800, 751.5411700, 4552.6544000", \
+					  "15.8790940, 16.2864230, 18.9248080, 35.0571870, 135.1770700, 751.4734600, 4551.9218000", \
+					  "15.8911320, 16.3102850, 18.9227320, 35.1903110, 135.4104500, 750.9330500, 4553.3407000", \
+					  "15.8734220, 16.3142320, 18.9221920, 35.1977040, 135.2088800, 751.0366200, 4553.1337000", \
+					  "15.8845110, 16.3154730, 18.9027480, 35.1461080, 134.7695100, 750.8787700, 4554.6808000", \
+					  "15.8904390, 16.3117240, 18.9190050, 35.1749140, 134.9080900, 750.8314800, 4553.6390000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4305680, 10.4794800, 10.7688650, 12.5338260, 23.2499920, 89.1784850, 423.6614500", \
+					  "10.4853160, 10.5332630, 10.8241130, 12.5860510, 23.2951760, 89.2256720, 423.7162700", \
+					  "10.5091220, 10.5519920, 10.8481850, 12.6086070, 23.3160000, 89.2453470, 423.7116100", \
+					  "10.5077530, 10.5549970, 10.8485560, 12.6176320, 23.3289640, 89.2581800, 423.7127500", \
+					  "10.5106070, 10.5598420, 10.8550060, 12.6138420, 23.3301090, 89.2585700, 423.7413100", \
+					  "10.5100190, 10.5579550, 10.8525180, 12.6128690, 23.3315380, 89.2579950, 423.7262500", \
+					  "10.5064690, 10.5541130, 10.8491780, 12.6091400, 23.3281660, 89.2544350, 423.7229500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2096235, 3.2887659, 3.7790442, 6.7736474, 25.5228090, 141.4880000, 392.9531300", \
+					  "3.2114909, 3.2905281, 3.7771763, 6.7735679, 25.4802240, 141.3515500, 392.9532400", \
+					  "3.2106697, 3.2904874, 3.7778269, 6.7735195, 25.5215670, 141.3361000, 393.0507500", \
+					  "3.2108660, 3.2896834, 3.7783954, 6.7733827, 25.4694790, 141.4070400, 393.0291500", \
+					  "3.2098209, 3.2887673, 3.7788621, 6.7736474, 25.5227630, 141.5059100, 392.9544100", \
+					  "3.2093097, 3.2890202, 3.7788488, 6.7735528, 25.5131060, 141.3877200, 393.2771600", \
+					  "3.2093146, 3.2890162, 3.7788386, 6.7735502, 25.5112320, 141.3971100, 393.2844100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.9064214, 8.9064222, 8.9065031, 8.9065033, 8.9065042, 8.9065052, 9.0472732", \
+					  "8.9702183, 8.9771310, 8.9771314, 8.9782288, 8.9782291, 8.9782300, 9.0550900", \
+					  "9.0019432, 9.0019441, 9.0020862, 9.0020872, 9.0020881, 9.0020891, 9.0571257", \
+					  "9.0125587, 9.0128784, 9.0128789, 9.0128799, 9.0128809, 9.0128818, 9.0579473", \
+					  "9.0073327, 9.0127239, 9.0127244, 9.0127254, 9.0127264, 9.0127273, 9.0770031", \
+					  "9.0118064, 9.0163610, 9.0163618, 9.0163627, 9.0163637, 9.0163646, 9.0709396", \
+					  "9.0105292, 9.0110426, 9.0110431, 9.0110441, 9.0110450, 9.0110460, 9.0714138");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.5839340, 10.6336430, 10.9255030, 12.7349140, 19.4375800, 36.2568780, 132.7553600", \
+					  "10.6376530, 10.6861350, 10.9783840, 12.7872060, 19.4902600, 36.3118250, 132.8079400", \
+					  "10.6538750, 10.7039400, 10.9958900, 12.8046520, 19.5079470, 36.3289980, 132.8252100", \
+					  "10.6614670, 10.7113280, 11.0033800, 12.8121340, 19.5154910, 36.3364730, 132.8318600", \
+					  "10.6628070, 10.7124110, 11.0043200, 12.8138410, 19.5164290, 36.3355430, 132.8342700", \
+					  "10.6634580, 10.7127980, 11.0045350, 12.8120390, 19.5161010, 36.3364660, 132.8343000", \
+					  "10.6598260, 10.7090380, 11.0006970, 12.8102680, 19.5131770, 36.3327390, 132.8304400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2530731, 3.3381178, 3.8630925, 6.2111778, 9.1435943, 27.8940000, 171.6491500", \
+					  "3.2532759, 3.3387013, 3.8631778, 6.2112373, 9.1437089, 27.8580520, 171.6424800", \
+					  "3.2531866, 3.3381065, 3.8628198, 6.2111556, 9.1439958, 27.8998990, 171.6271200", \
+					  "3.2534031, 3.3381098, 3.8628238, 6.2111707, 9.1440722, 27.9046580, 171.6689000", \
+					  "3.2529999, 3.3381526, 3.8630721, 6.2111543, 9.1433439, 27.8904010, 171.6499000", \
+					  "3.2528149, 3.3386784, 3.8628758, 6.2115686, 9.1440953, 27.8930750, 171.6517100", \
+					  "3.2532208, 3.3382297, 3.8631507, 6.2114354, 9.1445536, 27.8900420, 171.6509000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.9570770, 13.0214740, 13.4060840, 15.3720090, 20.1292160, 41.2017120, 82.8712320", \
+					  "13.0577930, 13.1207950, 13.5042710, 15.4709860, 20.2251500, 41.3047480, 82.9700400", \
+					  "13.1149580, 13.1777890, 13.5591500, 15.5280110, 20.2850520, 41.3586710, 83.0277290", \
+					  "13.1636010, 13.2274190, 13.6114260, 15.5770600, 20.3336900, 41.4041710, 83.0768270", \
+					  "13.2063840, 13.2694440, 13.6520050, 15.6211490, 20.3742500, 41.4443340, 83.1151110", \
+					  "13.2482680, 13.3112070, 13.6961070, 15.6595890, 20.4162410, 41.4476740, 83.1620280", \
+					  "13.2865130, 13.3491400, 13.7330900, 15.7037050, 20.4590990, 41.5291300, 83.2023300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.6534813, 3.7054451, 3.9697805, 4.7005626, 7.9155598, 26.0760890, 57.2906420", \
+					  "3.6542598, 3.7082482, 3.9665538, 4.7053810, 7.9271292, 26.0588510, 57.2930110", \
+					  "3.6532344, 3.7051133, 3.9651269, 4.7020534, 7.9173947, 26.0696350, 57.2942160", \
+					  "3.6525885, 3.7051620, 3.9698009, 4.6983524, 7.9168530, 26.0941350, 57.2932490", \
+					  "3.6540593, 3.7080243, 3.9653050, 4.7074793, 7.9231519, 26.0795920, 57.2929050", \
+					  "3.6542969, 3.7081147, 3.9698627, 4.7086584, 7.9257179, 26.0888430, 57.2961550", \
+					  "3.6561587, 3.7096262, 3.9652086, 4.6957567, 7.9156965, 26.0800340, 57.2965530");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("9.0003436, 9.0007442, 9.0007444, 9.0007453, 9.0007463, 9.0007473, 9.0007482", \
+					  "9.0603880, 9.0603881, 9.0603891, 9.0603900, 9.0603910, 9.0603920, 9.1291046", \
+					  "9.0857517, 9.0857521, 9.0858432, 9.0858436, 9.0858446, 9.0858455, 9.1320880", \
+					  "9.0961159, 9.0962260, 9.0962263, 9.0962272, 9.0962282, 9.0962291, 9.1524281", \
+					  "9.1001082, 9.1007273, 9.1007276, 9.1007286, 9.1007295, 9.1007305, 9.1561247", \
+					  "9.1003001, 9.1009422, 9.1013828, 9.1013837, 9.1013847, 9.1013856, 9.1412629", \
+					  "9.0832426, 9.0955270, 9.0955272, 9.0955282, 9.0955291, 9.0955301, 9.1496180");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1746672, 8.1766762, 8.1799332, 8.1799341, 8.1799350, 8.1799360, 8.1799369", \
+					  "8.2393958, 8.2393966, 8.2393976, 8.2393985, 8.2393995, 8.2394004, 8.2930560", \
+					  "8.2607539, 8.2607542, 8.2607551, 8.2607561, 8.2607570, 8.2607580, 8.2722726", \
+					  "8.2440579, 8.2440582, 8.2440591, 8.2451370, 8.2451377, 8.2451387, 8.3237035", \
+					  "8.2402680, 8.2405052, 8.2408329, 8.2409191, 8.2409196, 8.2409206, 8.2810629", \
+					  "8.2385956, 8.2385965, 8.2392626, 8.2395157, 8.2395158, 8.2395168, 8.2775209", \
+					  "8.2381781, 8.2383700, 8.2383705, 8.2383714, 8.2383724, 8.2383733, 8.2763263");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4572766, 7.4572771, 7.4572776, 7.4572781, 7.4572785, 7.4572790, 489.5824200", \
+					  "7.5193789, 7.5193790, 7.5250313, 7.5250314, 7.5250319, 7.5250323, 7.5250328", \
+					  "7.5403994, 7.5403998, 7.5508746, 7.5508750, 7.5508755, 7.5508760, 7.5508764", \
+					  "7.5268138, 7.5395752, 7.5558466, 7.5558470, 7.5558475, 7.5558479, 7.5558484", \
+					  "7.5535986, 7.5535987, 7.5645605, 7.5645607, 7.5645612, 7.5645617, 7.5645621", \
+					  "7.5298558, 7.5482749, 7.5482753, 7.5482758, 7.5482762, 7.5482767, 7.5482772", \
+					  "7.5246600, 7.5513222, 7.5630533, 7.5630534, 7.5630539, 7.5630544, 7.5630549");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0588110, 11.1071520, 11.3974360, 13.1804520, 19.9670290, 36.8030160, 133.2898600", \
+					  "11.1617140, 11.2084360, 11.4998600, 13.2816620, 20.0674320, 36.9005130, 133.3928200", \
+					  "11.2164470, 11.2629200, 11.5569150, 13.3361360, 20.1242510, 36.9578570, 133.4470000", \
+					  "11.2678100, 11.3160230, 11.6040400, 13.3869480, 20.1738680, 37.0093680, 133.4983300", \
+					  "11.3095660, 11.3550720, 11.6480940, 13.4305190, 20.2147760, 37.0506080, 133.5409500", \
+					  "11.3498010, 11.3967900, 11.6895210, 13.4667210, 20.2575330, 37.0924660, 133.5813900", \
+					  "11.3885230, 11.4315470, 11.7290830, 13.5118690, 20.2994260, 37.1306510, 133.6242400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2598040, 3.3448684, 3.8673398, 6.2944374, 9.3162145, 27.9142170, 171.6451500", \
+					  "3.2596463, 3.3441847, 3.8672940, 6.2947327, 9.3163726, 27.8990020, 171.6408200", \
+					  "3.2590328, 3.3443202, 3.8671120, 6.2943837, 9.3164330, 27.9059830, 171.6378900", \
+					  "3.2589587, 3.3441478, 3.8673824, 6.2945982, 9.3165582, 27.9114470, 171.6420300", \
+					  "3.2597192, 3.3441983, 3.8674122, 6.2944654, 9.3157633, 27.9003010, 171.6401800", \
+					  "3.2588927, 3.3444136, 3.8674175, 6.2945471, 9.3163273, 27.9070030, 171.6402200", \
+					  "3.2596401, 3.3443213, 3.8674193, 6.2946675, 9.3165839, 27.8994230, 171.6402100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4945800, 21.7080540, 23.0315060, 31.1345830, 81.2163350, 389.5465900, 2293.6499000", \
+					  "21.5723140, 21.7968860, 23.1055490, 31.2320270, 81.4404540, 389.8079800, 2293.0465000", \
+					  "21.6522640, 21.8643730, 23.1891930, 31.3007540, 81.3500660, 390.2108900, 2294.4521000", \
+					  "21.6849840, 21.9066170, 23.2198400, 31.3482860, 81.4215040, 390.1781200, 2294.3154000", \
+					  "21.7284130, 21.9487330, 23.2629360, 31.3907160, 81.4583960, 390.2197000, 2294.0694000", \
+					  "21.7852170, 21.9959200, 23.2985740, 31.4339490, 81.4638310, 390.2704300, 2292.3582000", \
+					  "21.8219310, 22.0345520, 23.3394920, 31.4625270, 81.6448780, 390.1378100, 2292.9596000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8733100, 16.3170690, 18.9301800, 35.1962370, 135.1450200, 751.6173900, 4555.5853000", \
+					  "15.8848600, 16.3188730, 18.8965960, 35.1389070, 134.8727600, 751.4224800, 4554.0692000", \
+					  "15.8732030, 16.3173650, 18.9302260, 35.2051290, 135.2001800, 751.6337100, 4552.6626000", \
+					  "15.8944000, 16.3181400, 18.9203270, 35.1976260, 135.4464400, 751.6679300, 4555.7608000", \
+					  "15.8943560, 16.3181590, 18.9203760, 35.1982550, 135.4473900, 751.6895800, 4553.6976000", \
+					  "15.8758040, 16.3154840, 18.9183410, 35.2062130, 135.2361200, 750.9806300, 4553.7258000", \
+					  "15.8739990, 16.3190420, 18.9197870, 35.1742140, 134.7604300, 751.2919600, 4555.1210000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7027594, 8.7027603, 8.7027612, 8.7027622, 8.7027631, 8.7027641, 8.7027650", \
+					  "8.7511989, 8.7511993, 8.7512002, 8.7512012, 8.7512022, 8.7512031, 8.7512041", \
+					  "8.7457694, 8.7468708, 8.7468715, 8.7468725, 8.7468734, 8.7468744, 8.7468753", \
+					  "8.7746859, 8.7746864, 8.7746873, 8.7746883, 8.7746892, 8.7746902, 8.7746912", \
+					  "8.7738847, 8.7745962, 8.7745967, 8.7745977, 8.7745986, 8.7745996, 8.7746006", \
+					  "8.7762437, 8.7766727, 8.7766729, 8.7766738, 8.7766748, 8.7766758, 8.7766767", \
+					  "8.7651664, 8.7664809, 8.7664810, 8.7664819, 8.7664829, 8.7664838, 8.7664848");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4878468, 7.4878471, 7.4914510, 7.4914511, 7.4914516, 7.4914520, 542.3619300", \
+					  "7.5194597, 7.5624831, 7.5624836, 7.5624841, 7.5624846, 7.5624850, 7.6463840", \
+					  "7.5886470, 7.5889943, 7.5934938, 7.5934942, 7.5934947, 7.5934952, 7.7126989", \
+					  "7.5535604, 7.5638393, 7.5668352, 7.5668357, 7.5668362, 7.5668366, 7.6881612", \
+					  "7.5938278, 7.5938280, 7.5938285, 7.5938290, 7.5938294, 7.5938299, 7.6681239", \
+					  "7.5992599, 7.5992601, 7.5992606, 7.6044246, 7.6044248, 7.6044252, 7.6772029", \
+					  "7.5550721, 7.5550726, 7.5550731, 7.5550735, 7.5550740, 7.5550745, 7.6761273");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.0623320, 14.1244460, 14.5099020, 16.8183230, 30.7723620, 105.2678200, 179.6494900", \
+					  "14.1630550, 14.2218410, 14.6091650, 16.9154060, 30.8918400, 105.3716500, 179.7629400", \
+					  "14.2185920, 14.2820980, 14.6673660, 16.9760380, 30.9078980, 105.4254200, 179.8127000", \
+					  "14.2666890, 14.3282820, 14.7137390, 17.0226140, 30.9646210, 105.4715200, 179.8563000", \
+					  "14.3092370, 14.3679510, 14.7532220, 17.0656160, 30.9946170, 105.5158700, 179.8938600", \
+					  "14.3531490, 14.4090770, 14.7991080, 17.1067160, 31.0407200, 105.5516200, 179.9163600", \
+					  "14.3879340, 14.4507270, 14.8369540, 17.1441490, 31.0803790, 105.5905400, 179.9674300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8702237, 3.9635317, 4.5272546, 8.0711509, 30.4336570, 86.8535040, 73.9988980", \
+					  "3.8702570, 3.9640375, 4.5227959, 8.0742781, 30.4588670, 86.8878940, 74.0829620", \
+					  "3.8696615, 3.9633403, 4.5273696, 8.0709048, 30.4162100, 86.8298570, 74.0500710", \
+					  "3.8707522, 3.9634211, 4.5267146, 8.0722122, 30.4102810, 86.8761130, 74.0427450", \
+					  "3.8715964, 3.9634451, 4.5273350, 8.0725915, 30.4217200, 86.8797800, 74.1716800", \
+					  "3.8723624, 3.9640180, 4.5260196, 8.0611056, 30.4040240, 86.8761770, 73.9880190", \
+					  "3.8714161, 3.9633830, 4.5285802, 8.0600824, 30.4044150, 86.8761210, 73.9973560");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1579543, 8.1579547, 8.1579557, 8.1579567, 8.1579576, 8.1579586, 8.1579595", \
+					  "8.2195628, 8.2230986, 8.2230993, 8.2231002, 8.2231012, 8.2231021, 8.2998648", \
+					  "8.2396108, 8.2424672, 8.2424675, 8.2424684, 8.2424694, 8.2424703, 8.3008436", \
+					  "8.2278460, 8.2278467, 8.2291409, 8.2296783, 8.2296787, 8.2296796, 8.2665448", \
+					  "8.2256024, 8.2258387, 8.2258715, 8.2258716, 8.2258726, 8.2258735, 8.2650971", \
+					  "8.2223828, 8.2227345, 8.2231102, 8.2231107, 8.2231117, 8.2231126, 8.2542488", \
+					  "8.2217406, 8.2217412, 8.2225311, 8.2225318, 8.2225328, 8.2225338, 8.2619040");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4675803, 7.4675806, 7.4976256, 7.4976261, 7.4976266, 7.4976271, 1133.0413000", \
+					  "7.5686790, 7.5688824, 7.5688828, 7.5688832, 7.5688837, 7.5688842, 7.6621474", \
+					  "7.5924973, 7.5985556, 7.5985559, 7.5985563, 7.5985568, 7.5985573, 7.6761033", \
+					  "7.5697919, 7.5697921, 7.5697926, 7.5697930, 7.5697935, 7.5697940, 7.6863369", \
+					  "7.5727113, 7.5727118, 7.5727122, 7.5727127, 7.5727132, 7.5727137, 7.6917939", \
+					  "7.6022468, 7.6022470, 7.6084108, 7.6106348, 7.6106351, 7.6106356, 7.6807023", \
+					  "7.5561539, 7.5561541, 7.5561545, 7.5561550, 7.5561555, 7.5561560, 7.6809679");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7352197, 8.7352205, 8.7352214, 8.7352224, 8.7352233, 8.7352243, 8.7352253", \
+					  "8.7563432, 8.7575627, 8.7575632, 8.7575641, 8.7575651, 8.7575660, 8.7575670", \
+					  "8.7887164, 8.7887168, 8.7887178, 8.7887188, 8.7887197, 8.7887207, 8.7887216", \
+					  "8.7975236, 8.7975240, 8.7975250, 8.7975259, 8.7975269, 8.7975278, 8.7975288", \
+					  "8.7957721, 8.7957731, 8.7957740, 8.7957750, 8.7957759, 8.7957769, 8.7957778", \
+					  "8.7948751, 8.7948757, 8.7948766, 8.7948776, 8.7948785, 8.7948795, 8.7948804", \
+					  "8.7884970, 8.7884975, 8.7884985, 8.7884994, 8.7885004, 8.7885013, 8.7885023");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4582953, 7.4582956, 7.4994233, 7.4994238, 7.4994243, 7.4994247, 7.4994252", \
+					  "7.5220186, 7.5220187, 7.5220192, 7.5220197, 7.5220202, 7.5220206, 7.5220211", \
+					  "7.5126989, 7.5126994, 7.5126999, 7.5305282, 7.5305284, 7.5305288, 7.5305293", \
+					  "7.5332229, 7.5368218, 7.5456663, 7.5456665, 7.5456670, 7.5456675, 7.5456679", \
+					  "7.5561220, 7.5561221, 7.5562143, 7.5562146, 7.5562151, 7.5562156, 7.5562161", \
+					  "7.5348581, 7.5405939, 7.5532984, 7.5532988, 7.5532992, 7.5532997, 7.5533002", \
+					  "7.5293558, 7.5498150, 7.5549114, 7.5549119, 7.5549124, 7.5549129, 7.5549133");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4301930, 10.4770050, 10.7681850, 12.5520740, 19.3376780, 36.1717090, 132.6608600", \
+					  "10.4837140, 10.5307820, 10.8203500, 12.6051310, 19.3897130, 36.2253660, 132.7149900", \
+					  "10.4996860, 10.5464360, 10.8403890, 12.6203770, 19.4079420, 36.2403300, 132.7339600", \
+					  "10.5060320, 10.5566450, 10.8450540, 12.6293230, 19.4138680, 36.2496590, 132.7389500", \
+					  "10.5111310, 10.5583340, 10.8500760, 12.6322030, 19.4178330, 36.2536380, 132.7414600", \
+					  "10.5103010, 10.5572970, 10.8491840, 12.6307680, 19.4160150, 36.2520080, 132.7415200", \
+					  "10.5067170, 10.5538240, 10.8456800, 12.6270750, 19.4124560, 36.2481640, 132.7377100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2589746, 3.3441316, 3.8672523, 6.2947021, 9.3171764, 27.9069690, 171.6679100", \
+					  "3.2589684, 3.3440815, 3.8670493, 6.2949450, 9.3164108, 27.9118490, 171.6394000", \
+					  "3.2589369, 3.3447540, 3.8673011, 6.2944285, 9.3168655, 27.9057220, 171.6423800", \
+					  "3.2597574, 3.3443006, 3.8669938, 6.2947607, 9.3163921, 27.9093210, 171.6427800", \
+					  "3.2597089, 3.3447318, 3.8671741, 6.2947105, 9.3171817, 27.9133940, 171.6094100", \
+					  "3.2599323, 3.3446790, 3.8671106, 6.2947616, 9.3169614, 27.9097550, 171.6403500", \
+					  "3.2599123, 3.3446785, 3.8671129, 6.2947625, 9.3169161, 27.9077720, 171.6403900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4289940, 10.4289947, 10.4289957, 10.4462940, 10.4462944, 10.4462953, 10.5210610", \
+					  "10.4726920, 10.4733980, 10.4785320, 10.4785324, 10.4901300, 10.4901310, 10.5475340", \
+					  "10.5160420, 10.5164330, 10.5164333, 10.5164342, 10.5164352, 10.5164362, 10.5785800", \
+					  "10.5128570, 10.5301980, 10.5516670, 10.5516677, 10.5516687, 10.5516696, 10.5697020", \
+					  "10.5326340, 10.5326343, 10.5326353, 10.5326362, 10.5326372, 10.5326381, 10.5763740", \
+					  "10.5516480, 10.5519090, 10.5519100, 10.5519109, 10.5519119, 10.5519128, 10.6149600", \
+					  "10.5316060, 10.5316062, 10.5316072, 10.5316082, 10.5316091, 10.5316101, 10.5754490");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0584940, 11.1061030, 11.3966050, 13.1827870, 19.9670020, 36.8026320, 133.2920500", \
+					  "11.1613020, 11.2089100, 11.4999410, 13.2815650, 20.0674570, 36.9004450, 133.3929000", \
+					  "11.2161090, 11.2626970, 11.5571450, 13.3364150, 20.1253730, 36.9605360, 133.4488800", \
+					  "11.2669830, 11.3134640, 11.6063030, 13.3853920, 20.1723330, 37.0079400, 133.4982000", \
+					  "11.3097890, 11.3532250, 11.6469520, 13.4302810, 20.2117400, 37.0488560, 133.5410200", \
+					  "11.3500880, 11.3984290, 11.6882070, 13.4665510, 20.2571560, 37.0955210, 133.5859800", \
+					  "11.3855450, 11.4375960, 11.7233710, 13.5100780, 20.3011950, 37.1293360, 133.6260500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2603238, 3.3446621, 3.8672874, 6.2947580, 9.3162784, 27.9084470, 171.6416400", \
+					  "3.2601666, 3.3446941, 3.8677044, 6.2949339, 9.3164738, 27.8948010, 171.6407900", \
+					  "3.2595573, 3.3448182, 3.8677523, 6.2945715, 9.3166372, 27.9035430, 171.6373800", \
+					  "3.2594816, 3.3446814, 3.8676968, 6.2945733, 9.3161381, 27.9065430, 171.6438300", \
+					  "3.2602414, 3.3446603, 3.8678216, 6.2946612, 9.3158254, 27.9003470, 171.6401700", \
+					  "3.2602627, 3.3446907, 3.8678372, 6.2947469, 9.3166683, 27.8998850, 171.6420100", \
+					  "3.2594822, 3.3446830, 3.8677803, 6.2949539, 9.3165342, 27.8992700, 171.6400100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1362850, 14.1980290, 14.5718100, 16.6120580, 21.4623410, 42.5803500, 84.2840320", \
+					  "14.2354640, 14.2968170, 14.6750330, 16.7146880, 21.5656750, 42.6741100, 84.3880980", \
+					  "14.2938520, 14.3527530, 14.7325530, 16.7691430, 21.6214180, 42.7382890, 84.4533470", \
+					  "14.3417560, 14.3997650, 14.7796790, 16.8187610, 21.6699780, 42.7876150, 84.5052030", \
+					  "14.3810150, 14.4446070, 14.8202220, 16.8602470, 21.7123080, 42.8311180, 84.5444320", \
+					  "14.4200550, 14.4869340, 14.8641730, 16.8834530, 21.7536370, 42.8680770, 84.5724060", \
+					  "14.4581020, 14.5215610, 14.8999040, 16.9357420, 21.7880750, 42.9070860, 84.6123240");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7623940, 3.8221013, 4.1206696, 4.9160289, 7.9666780, 26.1204850, 57.2241060", \
+					  "3.7637031, 3.8248027, 4.1168411, 4.9114428, 7.9773503, 26.1411830, 57.3042130", \
+					  "3.7623948, 3.8238102, 4.1200519, 4.9160356, 7.9806126, 26.1204300, 57.2558140", \
+					  "3.7636552, 3.8249910, 4.1165196, 4.9142921, 7.9611153, 26.1588490, 57.1217880", \
+					  "3.7649439, 3.8244150, 4.1174637, 4.9147340, 7.9594242, 26.1475590, 57.2676380", \
+					  "3.7645194, 3.8220449, 4.1203423, 4.9157345, 7.9804590, 26.1204370, 57.3008730", \
+					  "3.7654844, 3.8233781, 4.1147339, 4.9001745, 7.9740428, 26.1204340, 57.3010260");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0609450, 11.1102640, 11.4021180, 13.1632560, 23.8804900, 89.8050700, 424.2420200", \
+					  "11.1619090, 11.2069230, 11.5063990, 13.2643200, 23.9783180, 89.9081480, 424.3596000", \
+					  "11.2205070, 11.2680530, 11.5615300, 13.3221980, 24.0336000, 89.9657730, 424.3927700", \
+					  "11.2736190, 11.3182730, 11.6056650, 13.3671220, 24.0850400, 90.0087330, 424.4408800", \
+					  "11.3157810, 11.3616060, 11.6450280, 13.4118420, 24.1200840, 90.0480330, 424.4911300", \
+					  "11.3512450, 11.4052630, 11.6898080, 13.4499310, 24.1651210, 90.0967120, 424.5681300", \
+					  "11.3914530, 11.4397120, 11.7333880, 13.4903330, 24.2016980, 90.1342860, 424.6092800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2104335, 3.2913974, 3.7793004, 6.7737873, 25.5178700, 141.5115800, 392.8846600", \
+					  "3.2103946, 3.2910206, 3.7793249, 6.7739476, 25.5214550, 141.3553200, 392.9077900", \
+					  "3.2108072, 3.2908440, 3.7784691, 6.7739183, 25.4774020, 141.3443900, 393.0281600", \
+					  "3.2118934, 3.2913858, 3.7788626, 6.7739885, 25.4820270, 141.3765000, 392.8981600", \
+					  "3.2114718, 3.2909460, 3.7793999, 6.7741444, 25.5033310, 141.0711000, 392.8820100", \
+					  "3.2104917, 3.2910459, 3.7793106, 6.7741293, 25.5036840, 141.3518900, 393.0213100", \
+					  "3.2108924, 3.2910206, 3.7793293, 6.7738823, 25.5012830, 141.3875900, 393.0212800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1442790, 14.2117680, 14.5978630, 16.9041420, 30.8854450, 116.6375300, 496.8247300", \
+					  "14.2462060, 14.3145190, 14.6962430, 16.9934410, 30.9347750, 116.8506500, 496.9416500", \
+					  "14.3017690, 14.3678730, 14.7559020, 17.0668330, 31.0634930, 116.7971500, 496.9638800", \
+					  "14.3536390, 14.4153390, 14.8049190, 17.1017990, 31.1086910, 116.8886100, 497.0582900", \
+					  "14.3961460, 14.4583190, 14.8434140, 17.1405960, 31.1519660, 116.9118000, 497.0199300", \
+					  "14.4342780, 14.5010610, 14.8847610, 17.1957470, 31.1726320, 116.9720800, 497.0942800", \
+					  "14.4736430, 14.5359450, 14.9236680, 17.2290240, 31.1817030, 116.9962700, 497.1690800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8606456, 3.9507739, 4.5129744, 8.0501250, 30.4591020, 169.3091600, 358.3612900", \
+					  "3.8578842, 3.9551247, 4.5106270, 8.0571354, 30.4644310, 169.0832800, 358.4829400", \
+					  "3.8615364, 3.9507366, 4.5129434, 8.0457321, 30.4420740, 169.3746600, 358.4685000", \
+					  "3.8577763, 3.9501074, 4.5141211, 8.0515425, 30.4589920, 169.3129000, 358.5228100", \
+					  "3.8581192, 3.9500514, 4.5144435, 8.0580875, 30.4597560, 169.3044400, 358.3547300", \
+					  "3.8603987, 3.9509183, 4.5092401, 8.0463662, 30.4413170, 169.3139400, 358.4712000", \
+					  "3.8575281, 3.9495229, 4.5059250, 8.0546609, 30.4272310, 169.3180000, 358.5373900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1024414, 8.1050420, 8.1125888, 8.1128064, 8.1128068, 8.1128078, 8.1128088", \
+					  "8.1695273, 8.1695276, 8.1695285, 8.1695295, 8.1695304, 8.1695314, 8.2516793", \
+					  "8.1903533, 8.1903539, 8.1920710, 8.1920715, 8.1920724, 8.1920734, 8.3189615", \
+					  "8.2046832, 8.2046838, 8.2046848, 8.2046857, 8.2046867, 8.2046876, 8.3223481", \
+					  "8.2037204, 8.2037206, 8.2041245, 8.2041250, 8.2041259, 8.2041269, 8.3156380", \
+					  "8.2050917, 8.2050920, 8.2051805, 8.2051807, 8.2051816, 8.2051826, 8.3167961", \
+					  "8.2016616, 8.2016626, 8.2016635, 8.2016645, 8.2016655, 8.2016664, 8.3109351");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.5070918, 7.5070923, 7.5090583, 7.5090588, 7.5090592, 7.5090597, 7.5090602", \
+					  "7.5756832, 7.5792403, 7.5849123, 7.5849126, 7.5849131, 7.5849135, 7.6632141", \
+					  "7.5946565, 7.5966273, 7.5969524, 7.5969527, 7.5969532, 7.5969537, 7.6486071", \
+					  "7.6080831, 7.6081150, 7.6130995, 7.6130999, 7.6131003, 7.6131008, 7.6811295", \
+					  "7.6195974, 7.6195977, 7.6195982, 7.6195987, 7.6195992, 7.6195996, 7.6802165", \
+					  "7.6168787, 7.6182483, 7.6182488, 7.6182492, 7.6182497, 7.6182502, 7.6923454", \
+					  "7.6086959, 7.6102742, 7.6143953, 7.6143954, 7.6143959, 7.6143964, 7.6733686");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("6.5686567, 6.5774546, 6.5774549, 6.5926442, 6.5926445, 6.5926450, 6.5926455", \
+					  "6.6662125, 6.6682744, 6.6717001, 6.6717003, 6.6717008, 6.6717012, 6.7311383", \
+					  "6.7382229, 6.7382234, 6.7393335, 6.7403594, 6.7403596, 6.7403601, 6.7403605", \
+					  "6.7826869, 6.7838060, 6.7875177, 6.7875178, 6.7875183, 6.7875188, 6.8452213", \
+					  "6.8248309, 6.8248313, 6.8282060, 6.8282064, 6.8282068, 6.8282073, 6.8706356", \
+					  "6.8519190, 6.8702009, 6.8737123, 6.8737124, 6.8737128, 6.8737133, 6.9303336", \
+					  "6.9116646, 6.9116648, 6.9148420, 6.9148424, 6.9148429, 6.9148434, 6.9329489");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.0324910, 14.0926640, 14.4687500, 16.5061870, 21.4086380, 44.8303010, 141.2317900", \
+					  "14.1321660, 14.1944690, 14.5710300, 16.5945210, 21.5076540, 44.9477330, 141.3391300", \
+					  "14.1915250, 14.2500600, 14.6258630, 16.6636180, 21.5701250, 44.9882300, 141.3907400", \
+					  "14.2369270, 14.2991090, 14.6732640, 16.7061350, 21.6125590, 45.0483030, 141.4160100", \
+					  "14.2796860, 14.3416030, 14.7152750, 16.7484200, 21.6545320, 45.0879480, 141.4586700", \
+					  "14.3206840, 14.3819510, 14.7554720, 16.7925050, 21.7007660, 45.1154100, 141.5267300", \
+					  "14.3587110, 14.4189160, 14.7963450, 16.8226060, 21.7340650, 45.1660330, 141.5199500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7590882, 3.8201757, 4.1116284, 4.9256008, 8.0210061, 39.8559410, 90.3707470", \
+					  "3.7590682, 3.8173478, 4.1150958, 4.9351492, 8.0205469, 39.8746210, 90.5764000", \
+					  "3.7589412, 3.8199959, 4.1116106, 4.9255515, 8.0184348, 39.8568470, 90.3741010", \
+					  "3.7570071, 3.8163610, 4.1169228, 4.9354760, 8.0205709, 39.8299490, 90.5208780", \
+					  "3.7570120, 3.8163637, 4.1157953, 4.9354760, 8.0205860, 39.8301870, 90.5211190", \
+					  "3.7599586, 3.8211860, 4.1135553, 4.9183666, 8.0116207, 39.8468850, 90.5640650", \
+					  "3.7569960, 3.8163539, 4.1109587, 4.9349955, 8.0162650, 39.8302080, 90.4805400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("6.5692949, 6.5706192, 6.5742012, 6.5742014, 6.5742019, 6.5742023, 6.5742028", \
+					  "6.6640640, 6.6676145, 6.6715882, 6.6715887, 6.6715892, 6.6715897, 6.6715901", \
+					  "6.7303101, 6.7303103, 6.7303107, 6.7303112, 6.7303117, 6.7303122, 6.7998536", \
+					  "6.7799784, 6.7810855, 6.7847172, 6.7847174, 6.7847178, 6.7847183, 6.8446995", \
+					  "6.8235901, 6.8240751, 6.8284569, 6.8284572, 6.8284576, 6.8284581, 6.8889241", \
+					  "6.8689254, 6.8695285, 6.8733330, 6.8733333, 6.8733337, 6.8733342, 6.9307364", \
+					  "6.9099491, 6.9103550, 6.9150436, 6.9150441, 6.9150446, 6.9150451, 6.9695352");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2846840, 18.5069100, 19.8430570, 28.0815460, 78.7201490, 390.4305600, 2311.9555000", \
+					  "18.3829090, 18.6058650, 19.9339740, 28.1811780, 78.8263890, 390.5347500, 2312.1015000", \
+					  "18.4436570, 18.6535000, 20.0085600, 28.2376860, 78.8766390, 390.5943800, 2312.1675000", \
+					  "18.4936390, 18.6985310, 20.0486310, 28.2886940, 78.9351380, 390.6434100, 2312.0999000", \
+					  "18.5362300, 18.7487710, 20.0923630, 28.3308770, 78.9770810, 390.6832000, 2312.7163000", \
+					  "18.5836450, 18.7887770, 20.1359370, 28.3761300, 79.0147750, 390.7222200, 2312.4005000", \
+					  "18.6138000, 18.8315660, 20.1757420, 28.4113640, 79.0588930, 390.7603900, 2312.4496000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6785160, 17.1263220, 19.7274550, 36.0839390, 136.9303500, 759.4691200, 4595.2747000", \
+					  "16.6706330, 17.1239950, 19.7697130, 36.0853680, 137.1533500, 759.4917400, 4596.3861000", \
+					  "16.7034330, 17.0970530, 19.7285090, 36.0853710, 136.9186200, 759.2407800, 4595.2343000", \
+					  "16.7038130, 17.1097500, 19.7272650, 36.0852680, 136.8835400, 758.2448200, 4595.4625000", \
+					  "16.7044490, 17.1006250, 19.7677290, 36.0853110, 136.8830200, 759.3395700, 4596.5899000", \
+					  "16.7009320, 17.1306950, 19.7687220, 36.0838190, 137.0364400, 759.4639400, 4597.1333000", \
+					  "16.6745570, 17.1302950, 19.7408010, 36.0860890, 136.8793100, 759.4655400, 4596.0578000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4884860, 21.7029790, 23.0130630, 31.1376420, 81.2018190, 390.0773300, 2292.4751000", \
+					  "21.5841760, 21.7891660, 23.1208850, 31.2866570, 81.3685330, 389.8002800, 2292.9230000", \
+					  "21.6456080, 21.8605720, 23.1825140, 31.2959120, 81.3156690, 390.2124800, 2293.3557000", \
+					  "21.6753550, 21.8905660, 23.2183070, 31.3362880, 81.5422960, 389.8616700, 2293.0819000", \
+					  "21.7239170, 21.9410980, 23.2491890, 31.3759720, 81.5848220, 389.9042700, 2293.8295000", \
+					  "21.7742620, 21.9899320, 23.2868430, 31.4283780, 81.3945110, 390.0913400, 2293.1579000", \
+					  "21.8042530, 22.0320860, 23.3282920, 31.4454350, 81.6328840, 389.9030800, 2293.7870000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8812950, 16.3114290, 18.9249430, 35.2045790, 135.2533300, 751.6345600, 4554.0260000", \
+					  "15.8930840, 16.3179000, 18.9235400, 35.1342320, 135.3931200, 751.6045500, 4555.8595000", \
+					  "15.8844740, 16.3093730, 18.9334130, 35.2069160, 135.2475900, 751.7247700, 4552.6217000", \
+					  "15.8841460, 16.2856410, 18.9194240, 35.1407830, 134.7572600, 751.4873900, 4552.4289000", \
+					  "15.8911020, 16.3167720, 18.8964700, 35.1401360, 134.7548700, 751.4971700, 4552.1224000", \
+					  "15.8882880, 16.3040730, 18.8900430, 35.2067570, 135.3395500, 751.3362400, 4551.8336000", \
+					  "15.8932000, 16.3083950, 18.8969800, 35.0837970, 135.3133100, 751.6530300, 4556.8963000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4531500, 10.4998550, 10.7909550, 12.5729210, 19.3463270, 36.1777200, 132.6641700", \
+					  "10.5057100, 10.5528520, 10.8442190, 12.6262440, 19.3986140, 36.2310250, 132.7163400", \
+					  "10.5221630, 10.5697070, 10.8607730, 12.6428180, 19.4162590, 36.2481350, 132.7342100", \
+					  "10.5281100, 10.5761170, 10.8659030, 12.6490160, 19.4215490, 36.2540330, 132.7400600", \
+					  "10.5332140, 10.5800950, 10.8715880, 12.6529810, 19.4263450, 36.2577990, 132.7442600", \
+					  "10.5317620, 10.5787280, 10.8703040, 12.6517260, 19.4242720, 36.2574220, 132.7427800", \
+					  "10.5279610, 10.5750670, 10.8665460, 12.6480490, 19.4209840, 36.2542810, 132.7381700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2582155, 3.3430230, 3.8663015, 6.2840968, 9.3018677, 27.8878090, 171.6404400", \
+					  "3.2575034, 3.3431040, 3.8663548, 6.2840071, 9.3016421, 27.8900650, 171.6144300", \
+					  "3.2575036, 3.3430365, 3.8663135, 6.2839334, 9.3020391, 27.8982830, 171.6404400", \
+					  "3.2575420, 3.3442604, 3.8662553, 6.2842052, 9.3019867, 27.8945420, 171.6420300", \
+					  "3.2582095, 3.3432954, 3.8662837, 6.2840506, 9.3018668, 27.8879140, 171.6412000", \
+					  "3.2579623, 3.3433269, 3.8662775, 6.2840644, 9.3024664, 27.8996790, 171.6414500", \
+					  "3.2579615, 3.3432690, 3.8662886, 6.2840315, 9.3024504, 27.9092940, 171.6052400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.7362000, 20.9448780, 22.3114360, 30.5352330, 80.8253380, 389.6882400, 2292.8209000", \
+					  "20.8286350, 21.0573350, 22.4030410, 30.6207080, 80.9307750, 389.6582000, 2293.5897000", \
+					  "20.8934810, 21.1037180, 22.4693260, 30.6910270, 80.9841150, 389.4950600, 2292.8170000", \
+					  "20.9382630, 21.1570390, 22.5069900, 30.7248910, 80.8596280, 389.5586100, 2293.1918000", \
+					  "20.9752020, 21.2048370, 22.5515140, 30.7634450, 81.0694870, 389.4530800, 2293.7727000", \
+					  "21.0184140, 21.2430610, 22.5924970, 30.7960270, 81.0047570, 389.6005900, 2293.2331000", \
+					  "21.0675760, 21.2779150, 22.6416240, 30.8630210, 81.1537360, 389.6526800, 2292.9632000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8804450, 16.2889330, 18.9011190, 35.2002760, 135.3865300, 752.0812900, 4554.1738000", \
+					  "15.8618750, 16.2739690, 18.9188720, 35.1688310, 134.9911400, 751.8459600, 4555.6235000", \
+					  "15.8815130, 16.2949850, 18.8955730, 35.1998710, 135.3817700, 751.1221700, 4554.4639000", \
+					  "15.8723200, 16.2694000, 18.9188450, 35.2002840, 135.2831000, 751.2405200, 4553.4180000", \
+					  "15.8621190, 16.3042290, 18.9188970, 35.1972000, 135.0070600, 751.6067600, 4553.6240000", \
+					  "15.8657140, 16.2673980, 18.9178540, 35.1821400, 135.4096600, 751.2229600, 4554.3011000", \
+					  "15.8815610, 16.2984330, 18.8998650, 35.1998360, 135.3878400, 751.2146600, 4553.9418000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0616370, 11.1108610, 11.4057270, 13.1684490, 23.8784210, 89.8052190, 424.2533300", \
+					  "11.1620050, 11.2109480, 11.4992930, 13.2691940, 23.9784120, 89.9081480, 424.3573200", \
+					  "11.2177850, 11.2642330, 11.5575780, 13.3262510, 24.0349960, 89.9657020, 424.3943600", \
+					  "11.2734090, 11.3226180, 11.6047090, 13.3676310, 24.0790910, 90.0107150, 424.4433300", \
+					  "11.3175550, 11.3627370, 11.6494380, 13.4102590, 24.1214980, 90.0512380, 424.4892600", \
+					  "11.3577730, 11.3993940, 11.6918580, 13.4561220, 24.1673650, 90.0919450, 424.5904100", \
+					  "11.3954930, 11.4415370, 11.7264160, 13.4928500, 24.2032240, 90.1365950, 424.6101900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2112746, 3.2902832, 3.7789065, 6.7732229, 25.5179150, 141.5076600, 392.9697200", \
+					  "3.2098613, 3.2892777, 3.7778780, 6.7732784, 25.5215000, 141.3556600, 393.2480300", \
+					  "3.2114063, 3.2905170, 3.7790509, 6.7730990, 25.5193320, 141.3459600, 392.8626000", \
+					  "3.2113545, 3.2902920, 3.7788985, 6.7737260, 25.5036310, 141.3005200, 392.8603600", \
+					  "3.2106879, 3.2905283, 3.7789412, 6.7735355, 25.5188650, 141.1306000, 392.8755900", \
+					  "3.2109255, 3.2902361, 3.7789434, 6.7738974, 25.5029050, 141.1305100, 393.0931300", \
+					  "3.2115208, 3.2905265, 3.7789878, 6.7723844, 25.5036900, 141.3173000, 393.0238100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4770670, 21.6983820, 23.0312110, 31.1408040, 81.1947630, 389.6734600, 2292.3412000", \
+					  "21.5710490, 21.7968400, 23.1053750, 31.2330460, 81.4401490, 389.8048500, 2294.0912000", \
+					  "21.6509000, 21.8656770, 23.1880100, 31.3006900, 81.3465210, 390.1193100, 2293.0724000", \
+					  "21.6989150, 21.9052260, 23.2188900, 31.3462250, 81.4144770, 390.1119800, 2293.6099000", \
+					  "21.7271070, 21.9460410, 23.2610180, 31.3882290, 81.4561500, 390.2135300, 2293.1508000", \
+					  "21.7643560, 21.9977760, 23.3211090, 31.4353490, 81.4645630, 389.9619100, 2293.1865000", \
+					  "21.8030020, 22.0268730, 23.3381100, 31.4665480, 81.5043040, 390.2483200, 2293.6717000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8932710, 16.3132210, 18.9303200, 35.2026640, 135.2003700, 751.5415100, 4555.2520000", \
+					  "15.8849880, 16.3188790, 18.8966350, 35.1388540, 134.8679000, 751.4228700, 4554.8245000", \
+					  "15.8734660, 16.3170450, 18.9296080, 35.2051190, 135.2100700, 751.1177400, 4553.4593000", \
+					  "15.8806120, 16.3181500, 18.9197030, 35.1976190, 135.4487400, 752.4053000, 4554.4266000", \
+					  "15.8943130, 16.3181590, 18.9196640, 35.1978640, 135.4485600, 751.6840700, 4554.7536000", \
+					  "15.8937980, 16.3158200, 18.9321450, 35.2059710, 135.2361600, 751.5249600, 4555.1210000", \
+					  "15.8930260, 16.3191030, 18.9197810, 35.1961940, 135.2268800, 751.0926100, 4554.0010000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2854710, 18.4942800, 19.8296120, 28.0819080, 78.7217830, 390.4417800, 2311.8212000", \
+					  "18.3827160, 18.6059540, 19.9341410, 28.1935520, 78.8265740, 390.5385000, 2312.1829000", \
+					  "18.4413660, 18.6543700, 20.0005130, 28.2385850, 78.8890590, 390.5950100, 2312.3266000", \
+					  "18.4947380, 18.7042950, 20.0504560, 28.2884770, 78.9350660, 390.6452300, 2312.0538000", \
+					  "18.5339370, 18.7486220, 20.0931250, 28.3306800, 78.9786230, 390.6843900, 2312.3732000", \
+					  "18.5735000, 18.7838050, 20.1342130, 28.3719570, 79.0114500, 390.7291300, 2312.3221000", \
+					  "18.6193550, 18.8281050, 20.1795840, 28.4162060, 79.0574430, 390.7727800, 2312.2252000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.7039270, 17.1155690, 19.7307610, 36.0854810, 136.9008700, 758.3858500, 4595.2052000", \
+					  "16.6715760, 17.1241790, 19.7703450, 36.0748160, 137.1562600, 759.4223300, 4593.1429000", \
+					  "16.6748250, 17.1032040, 19.7284070, 36.0849160, 136.8547200, 759.1551700, 4594.9946000", \
+					  "16.7037850, 17.1095810, 19.7686330, 36.0855310, 137.0514400, 759.7959700, 4595.0032000", \
+					  "16.7052030, 17.1025840, 19.7685210, 36.0856060, 136.8569700, 758.5609300, 4593.3230000", \
+					  "16.6871780, 17.1105870, 19.7313490, 36.0866040, 137.0752900, 759.6204900, 4596.6808000", \
+					  "16.7037050, 17.1051720, 19.7305070, 36.0819290, 136.8560200, 757.9466800, 4594.9055000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1523070, 14.2161260, 14.5986540, 16.9059860, 30.8804400, 116.6392100, 496.8455900", \
+					  "14.2462730, 14.3127830, 14.7015650, 16.9966890, 31.0013850, 116.7684500, 496.9381300", \
+					  "14.3093020, 14.3749310, 14.7543230, 17.0682010, 31.0435590, 116.8435400, 496.9991800", \
+					  "14.3532370, 14.4134550, 14.8054800, 17.1062420, 31.0878260, 116.8823400, 497.0119100", \
+					  "14.3916910, 14.4561220, 14.8482600, 17.1473770, 31.1640700, 116.9253600, 497.0471500", \
+					  "14.4404570, 14.5034930, 14.8847220, 17.1783620, 31.1775490, 116.9423900, 497.1199100", \
+					  "14.4736120, 14.5365130, 14.9229980, 17.2290870, 31.2404680, 116.9519200, 497.1314000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8567416, 3.9517190, 4.5132094, 8.0490752, 30.4718810, 169.3242500, 358.3816400", \
+					  "3.8570107, 3.9497121, 4.5122155, 8.0570022, 30.4557410, 169.3111100, 358.4400800", \
+					  "3.8572470, 3.9517123, 4.5167456, 8.0488958, 30.4664450, 168.8417500, 358.4538300", \
+					  "3.8576244, 3.9496539, 4.5169015, 8.0566833, 30.4723860, 169.3124900, 358.5286400", \
+					  "3.8571217, 3.9498800, 4.5168687, 8.0564506, 30.4760160, 169.3120300, 358.3899400", \
+					  "3.8579318, 3.9509680, 4.5166395, 8.0581781, 30.4627470, 169.2560800, 358.4951900", \
+					  "3.8577794, 3.9495602, 4.5167234, 8.0569649, 30.4684560, 169.3033900, 358.5287500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0597120, 11.1054710, 11.3964420, 13.1803320, 19.9652350, 36.8001310, 133.2899000", \
+					  "11.1617030, 11.2086870, 11.4999450, 13.2816990, 20.0676670, 36.9024310, 133.3914700", \
+					  "11.2164420, 11.2638200, 11.5542830, 13.3362110, 20.1257890, 36.9574420, 133.4518600", \
+					  "11.2674230, 11.3147300, 11.6059800, 13.3889570, 20.1736390, 37.0095740, 133.4987400", \
+					  "11.3102570, 11.3573490, 11.6487170, 13.4303090, 20.2161200, 37.0503200, 133.5454400", \
+					  "11.3467570, 11.3937930, 11.6913920, 13.4667990, 20.2534980, 37.0948390, 133.5832600", \
+					  "11.3898160, 11.4381990, 11.7322370, 13.5096610, 20.2969680, 37.1305830, 133.6173500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2595973, 3.3441461, 3.8672345, 6.2945413, 9.3160626, 27.9079670, 171.6068600", \
+					  "3.2589047, 3.3441085, 3.8671857, 6.2947745, 9.3164028, 27.8994840, 171.6403900", \
+					  "3.2589618, 3.3442373, 3.8672656, 6.2944157, 9.3166017, 27.9001070, 171.5664300", \
+					  "3.2588896, 3.3440528, 3.8671790, 6.2946524, 9.3160990, 27.9118030, 171.6438500", \
+					  "3.2596454, 3.3440959, 3.8673034, 6.2944969, 9.3157899, 27.9003970, 171.6395600", \
+					  "3.2589804, 3.3442076, 3.8673091, 6.2945786, 9.3161123, 27.9091400, 171.6397500", \
+					  "3.2596614, 3.3440990, 3.8673105, 6.2945262, 9.3166319, 27.9070030, 171.6397500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2842970, 18.4963160, 19.8425740, 28.0810240, 78.7204400, 390.4381500, 2311.8885000", \
+					  "18.3826610, 18.6058140, 19.9345930, 28.1932450, 78.8250890, 390.5388400, 2312.4394000", \
+					  "18.4451090, 18.6543510, 19.9896260, 28.2373250, 78.8848240, 390.5959400, 2312.2977000", \
+					  "18.4946210, 18.7054960, 20.0505050, 28.2886940, 78.9358620, 390.6403900, 2312.1409000", \
+					  "18.5382310, 18.7463470, 20.0930060, 28.3306870, 78.9778910, 390.6824000, 2312.7491000", \
+					  "18.5731060, 18.7990090, 20.1305550, 28.3740410, 79.0202250, 390.7262000, 2312.7948000", \
+					  "18.6210340, 18.8233620, 20.1752140, 28.4069110, 79.0586010, 390.7641400, 2312.6138000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.7051000, 17.0968020, 19.7284480, 36.0847530, 136.9194000, 758.6186800, 4597.3602000", \
+					  "16.6713310, 17.1233620, 19.7699550, 36.0711110, 137.1422100, 759.0820700, 4597.4684000", \
+					  "16.7038760, 17.1047000, 19.7288730, 36.0852820, 136.8831200, 758.5515500, 4597.6931000", \
+					  "16.7041120, 17.1007940, 19.7676840, 36.0851330, 136.8836000, 759.4274500, 4595.3116000", \
+					  "16.7045170, 17.0980150, 19.7676130, 36.0852250, 136.8823500, 758.7451000, 4598.8031000", \
+					  "16.7034920, 17.1261050, 19.7678350, 36.0855420, 136.8741100, 758.7878500, 4596.5744000", \
+					  "16.7044350, 17.1051710, 19.7669760, 36.0851620, 136.8815500, 758.5161400, 4596.6058000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4868900, 21.6973340, 23.0250170, 31.1376700, 81.1088580, 389.7022500, 2292.8473000", \
+					  "21.5852810, 21.7932930, 23.1208990, 31.2867070, 81.4353170, 389.7960100, 2293.5967000", \
+					  "21.6458440, 21.8601690, 23.1816570, 31.2930130, 81.3159460, 390.1346900, 2293.4021000", \
+					  "21.6764470, 21.9012350, 23.2090280, 31.3498670, 81.5419480, 389.8564400, 2294.5064000", \
+					  "21.7150170, 21.9423040, 23.2514060, 31.3752220, 81.5834800, 389.8975600, 2292.7716000", \
+					  "21.7777030, 21.9900030, 23.3117950, 31.4080780, 81.4074210, 390.3097900, 2292.7375000", \
+					  "21.8061800, 22.0200430, 23.3293460, 31.4922880, 81.6608430, 390.0911700, 2293.2056000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8887890, 16.3149650, 18.9335640, 35.2049130, 135.3341600, 751.9364500, 4551.9855000", \
+					  "15.8932670, 16.2980830, 18.9233620, 35.1344770, 134.7540900, 751.6060200, 4551.3198000", \
+					  "15.8846860, 16.3095170, 18.9328140, 35.2041880, 135.2484700, 750.9828500, 4553.0410000", \
+					  "15.8840430, 16.3179370, 18.8970850, 35.2078440, 134.7551100, 751.5013700, 4554.2438000", \
+					  "15.8839250, 16.3178860, 18.8963160, 35.1416280, 134.7551400, 751.5071200, 4553.5753000", \
+					  "15.8887640, 16.3043780, 18.9347310, 35.1066340, 135.3184400, 751.6872000, 4552.8077000", \
+					  "15.8818810, 16.3179070, 18.9006690, 35.0521890, 134.7554200, 751.3979200, 4553.3088000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.5846000, 10.6325540, 10.9281620, 12.7053300, 23.4858340, 89.4849990, 423.8008300", \
+					  "10.6382450, 10.6852590, 10.9850730, 12.7718320, 23.5401140, 89.5419990, 423.8911800", \
+					  "10.6554420, 10.7034320, 11.0019690, 12.7891770, 23.5590110, 89.5591230, 423.8990800", \
+					  "10.6653240, 10.7102270, 11.0087020, 12.7942900, 23.5630520, 89.5666900, 423.9125500", \
+					  "10.6647130, 10.7125660, 11.0081780, 12.7989840, 23.5715430, 89.5687790, 423.9065600", \
+					  "10.6634270, 10.7113920, 11.0066840, 12.7976570, 23.5704500, 89.5674930, 423.9056800", \
+					  "10.6596010, 10.7075700, 11.0033980, 12.7938580, 23.5661300, 89.5636770, 423.9017000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.1955287, 3.2751561, 3.7657859, 6.7695693, 25.5159400, 141.3742200, 392.8462300", \
+					  "3.1949190, 3.2745200, 3.7665782, 6.7694166, 25.4763410, 141.3384900, 392.8987300", \
+					  "3.1946965, 3.2746539, 3.7665786, 6.7694321, 25.4600110, 141.3581400, 392.7258100", \
+					  "3.1946972, 3.2745211, 3.7658525, 6.7681283, 25.5149410, 141.3170700, 392.8946300", \
+					  "3.1948311, 3.2748477, 3.7664178, 6.7693997, 25.4530690, 141.3693000, 392.7431200", \
+					  "3.1948479, 3.2748297, 3.7664165, 6.7693966, 25.4539410, 141.3701400, 392.7495400", \
+					  "3.1948177, 3.2747915, 3.7664192, 6.7694046, 25.4768720, 141.4047500, 392.7653400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.9734100, 13.0406640, 13.4344540, 15.7584200, 29.7676020, 115.6278700, 495.5089700", \
+					  "13.0749060, 13.1416590, 13.5327440, 15.8622590, 29.9210470, 115.6800000, 495.5522900", \
+					  "13.1293990, 13.1913160, 13.5922310, 15.9180510, 29.9118060, 115.8631100, 495.6098700", \
+					  "13.1869650, 13.2510590, 13.6359320, 15.9849520, 30.0254360, 115.7848600, 495.6801800", \
+					  "13.2278210, 13.2918640, 13.6771280, 16.0256630, 30.0653830, 115.8268400, 495.7114500", \
+					  "13.2642460, 13.3261770, 13.7270510, 16.0526450, 30.1157750, 115.8555700, 495.7417400", \
+					  "13.3122930, 13.3744390, 13.7604060, 16.1082030, 30.1473370, 115.9130200, 495.7808000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8184869, 3.9104213, 4.4802215, 8.0451121, 30.4307330, 169.2822700, 358.2180500", \
+					  "3.8191419, 3.9097352, 4.4780322, 8.0463431, 30.4657170, 169.3899800, 358.1974100", \
+					  "3.8141952, 3.9084354, 4.4791002, 8.0374898, 30.4475410, 169.0383000, 358.0831000", \
+					  "3.8167332, 3.9112833, 4.4765467, 8.0296649, 30.4505510, 169.3876200, 358.1962200", \
+					  "3.8170573, 3.9113810, 4.4766200, 8.0302609, 30.4496940, 169.3948700, 358.1874400", \
+					  "3.8141437, 3.9084012, 4.4790838, 8.0371461, 30.4591450, 169.3638300, 358.0817600", \
+					  "3.8176076, 3.9114876, 4.4767066, 8.0320612, 30.4461240, 169.3790900, 358.0912600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2035610, 18.4228990, 19.7767400, 28.1238800, 79.0319770, 391.0404300, 2312.5542000", \
+					  "18.2510700, 18.4817170, 19.8325570, 28.1754350, 79.0836480, 391.0942000, 2312.8898000", \
+					  "18.2736470, 18.4918750, 19.8459840, 28.1929590, 79.0963880, 391.1108000, 2313.0731000", \
+					  "18.2799500, 18.5058070, 19.8574790, 28.1996420, 79.1066980, 391.1174000, 2312.6217000", \
+					  "18.2818330, 18.5012340, 19.8549600, 28.2024130, 79.1060510, 391.1142700, 2312.6834000", \
+					  "18.2805950, 18.4998100, 19.8540370, 28.2008940, 79.1043680, 391.1127100, 2312.5885000", \
+					  "18.2770300, 18.4959370, 19.8501770, 28.1970200, 79.1004950, 391.1129600, 2312.6681000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6872540, 17.1270770, 19.7652380, 36.0844870, 136.8853500, 758.1160700, 4594.8741000", \
+					  "16.6670850, 17.1041580, 19.7637250, 36.0775130, 137.0706400, 757.8869400, 4595.8554000", \
+					  "16.6871070, 17.1270130, 19.7652560, 36.0833460, 136.8691600, 758.3689700, 4594.8154000", \
+					  "16.6867890, 17.1207790, 19.7633660, 36.0772570, 137.0536800, 759.1616500, 4594.5262000", \
+					  "16.6871990, 17.1269610, 19.7652450, 36.0846250, 136.8895100, 759.5139100, 4595.5862000", \
+					  "16.6872150, 17.1270290, 19.7652490, 36.0830900, 136.8653100, 759.5216400, 4595.7663000", \
+					  "16.6872330, 17.1270360, 19.7652490, 36.0831220, 136.8648600, 759.2395300, 4593.9610000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.7374600, 12.7987670, 13.1887570, 15.4802620, 29.4952100, 115.2458800, 495.4579800", \
+					  "12.8347660, 12.9005780, 13.2859070, 15.5957200, 29.5987590, 115.3063000, 495.4593500", \
+					  "12.8933290, 12.9546320, 13.3443050, 15.6362980, 29.6435600, 115.4155500, 495.5642800", \
+					  "12.9403170, 13.0064850, 13.3933160, 15.7011680, 29.7107140, 115.3756300, 495.5583100", \
+					  "12.9896560, 13.0527280, 13.4299650, 15.7463770, 29.7057080, 115.3619800, 495.6620500", \
+					  "13.0269260, 13.0877560, 13.4776800, 15.7742530, 29.7949110, 115.5673800, 495.6401700", \
+					  "13.0691710, 13.1303090, 13.5168690, 15.8107500, 29.8233580, 115.4591800, 495.6822300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8509849, 3.9425023, 4.5103619, 8.0561104, 30.4631310, 169.3219900, 358.5734000", \
+					  "3.8554830, 3.9447028, 4.5072279, 8.0457410, 30.4526430, 169.3773600, 358.5106800", \
+					  "3.8509760, 3.9426027, 4.5101385, 8.0560092, 30.4569450, 169.3086300, 358.5235200", \
+					  "3.8549470, 3.9453094, 4.5091508, 8.0490690, 30.4645340, 169.4212700, 358.4305500", \
+					  "3.8578034, 3.9500740, 4.5092734, 8.0512326, 30.4759350, 169.4737900, 358.5982700", \
+					  "3.8520862, 3.9445114, 4.5115094, 8.0558991, 30.4741230, 169.2813600, 358.5584800", \
+					  "3.8509143, 3.9421764, 4.5103272, 8.0569080, 30.4601820, 169.4835800, 358.5607000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1218392, -1.1538117, -1.1857842, -1.1881724, -1.1904651, -1.1928533, -1.1952415");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1350004, 1.1801873, 1.2253742, 1.2297782, 1.2340061, 1.2384101, 1.2428141");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157734;
+			capacitance : 0.157084;
+			fall_capacitance : 0.156434;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0506915, -0.0486500, -0.0466084, -0.0470285, -0.0474319, -0.0478520, -0.0482721");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0464420, 0.0466485, 0.0468551, 0.0471839, 0.0474996, 0.0478284, 0.0481572");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150934;
+			capacitance : 0.150216;
+			fall_capacitance : 0.149498;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0511628, -0.0489576, -0.0467524, -0.0471323, -0.0474969, -0.0478767, -0.0482565");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0469464, 0.0469784, 0.0470105, 0.0472893, 0.0475570, 0.0478359, 0.0481147");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v95.lib
new file mode 100644
index 0000000..4ca2b7b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v95.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ss_100C_1v95_1v95") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.950000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.950000);
+	voltage_map("VDDIO_Q",1.950000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.950000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.487; 
+			 vih : 1.462; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p95v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 3.767540e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "376.1510000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "224.8850000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "354.2630000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "376.7540000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.4390000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.9760000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.6600000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.9290000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "349.7580000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "370.2000000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.3610000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.4860000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.8380000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "352.6460000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "349.9440000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.1360000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.8520000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "376.3280000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006916;
+			capacitance : 0.006789;
+			fall_capacitance : 0.006662;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.8920315, 2.8229939, 2.7941112, 2.7748639, 2.7725740, 2.7765533, 2.7748315", \
+					  "3.2140913, 3.1483232, 3.1168344, 3.0946632, 3.0979173, 3.0999765, 3.0955834", \
+					  "3.4478354, 3.3787977, 3.3554346, 3.3315924, 3.3329795, 3.3354266, 3.3340473", \
+					  "3.6161847, 3.5426607, 3.5182504, 3.5005044, 3.4911205, 3.5041439, 3.5150820", \
+					  "3.7559518, 3.6896300, 3.6540325, 3.6473376, 3.6475632, 3.6378168, 3.6524832", \
+					  "3.9038198, 3.8403217, 3.8069719, 3.7875288, 3.7881474, 3.7922343, 3.7834543", \
+					  "4.0170416, 3.9463475, 3.9276247, 3.8994921, 3.9009989, 3.9007507, 3.8951338");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5960274, 2.5025475, 2.4300062, 2.3744580, 2.3444864, 2.2869564, 2.2316187", \
+					  "2.9228829, 2.8217624, 2.7751732, 2.6911962, 2.6482603, 2.6158374, 2.5462670", \
+					  "3.1518326, 3.0583703, 2.9964924, 2.9342624, 2.8731860, 2.8308951, 2.7936949", \
+					  "3.3171306, 3.2236507, 3.1286083, 3.1060006, 3.0576333, 3.0088452, 2.9640617", \
+					  "3.4721542, 3.3634349, 3.2847705, 3.2421033, 3.1910375, 3.1316885, 3.1186181", \
+					  "3.5986601, 3.4898080, 3.4326389, 3.3670511, 3.3162615, 3.2750301, 3.2362997", \
+					  "3.7347701, 3.6260502, 3.5733263, 3.4903367, 3.4467314, 3.3723440, 3.3423675");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4363704, -2.3662751, -2.3615420, -2.3347774, -2.3193804, -2.3275961, -2.3161201", \
+					  "-2.7571218, -2.6865811, -2.6683624, -2.6610596, -2.6400470, -2.6515487, -2.6843070", \
+					  "-2.9890869, -2.9185462, -2.9098030, -2.8770499, -2.8751867, -2.8927302, -2.8876743", \
+					  "-3.1522091, -3.0795450, -3.0711171, -3.0671505, -3.0383795, -3.0432323, -3.0444202", \
+					  "-3.2976013, -3.2234130, -3.2118954, -3.2018223, -3.2007045, -3.2106559, -3.2139722", \
+					  "-3.4467777, -3.3805487, -3.3549458, -3.3408462, -3.3383491, -3.3362750, -3.3463638", \
+					  "-3.5539315, -3.4879685, -3.4784921, -3.4560006, -3.4352596, -3.4469243, -3.4831593");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4928452, -2.3963493, -2.3268362, -2.2609372, -2.2476540, -2.1915573, -2.1303556", \
+					  "-2.8135966, -2.7170881, -2.6448920, -2.5918369, -2.5558761, -2.5206323, -2.4526707", \
+					  "-3.0455618, -2.9453173, -2.8966176, -2.8214175, -2.7913187, -2.7492277, -2.6979383", \
+					  "-3.2138662, -3.1167213, -3.0570008, -2.9953972, -2.9517985, -2.9044489, -2.8725608", \
+					  "-3.3705029, -3.2526321, -3.1876976, -3.1388454, -3.1167144, -3.0452962, -3.0117715", \
+					  "-3.4863221, -3.3941358, -3.3322726, -3.2699092, -3.2421676, -3.1723725, -3.1349018", \
+					  "-3.6209062, -3.5347200, -3.4328562, -3.3885274, -3.3571898, -3.2972871, -3.2278406");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438783, 0.0442684, 0.0446585, 0.0468853, 0.0490231, 0.0512499, 0.0534767");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560602, 0.0570242, 0.0579881, 0.0608404, 0.0635786, 0.0664309, 0.0692832");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004887;
+			capacitance : 0.005011;
+			rise_capacitance : 0.005135;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4882760, -2.4095567, -2.3845245, -2.3692270, -2.3630140, -2.3572137, -2.3618964", \
+					  "-2.8090274, -2.7303079, -2.7072866, -2.6909003, -2.6759028, -2.6793718, -2.6859314", \
+					  "-3.0409923, -2.9634119, -2.9391447, -2.9219664, -2.9172233, -2.9111586, -2.9208746", \
+					  "-3.2056422, -3.1321347, -3.1001339, -3.0866198, -3.0689622, -3.0679339, -3.0808009", \
+					  "-3.3647678, -3.2819669, -3.2528122, -3.2468499, -3.2269869, -3.2252502, -3.2277069", \
+					  "-3.5017377, -3.4192932, -3.3936919, -3.3687903, -3.3634386, -3.3622176, -3.3677287", \
+					  "-3.6043144, -3.5240694, -3.4991249, -3.4867935, -3.4790139, -3.4744702, -3.4816565");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4867532, -2.3521291, -2.3039363, -2.2306212, -2.1962032, -2.1524055, -2.1045323", \
+					  "-2.8075046, -2.7030997, -2.6247315, -2.5559840, -2.5008386, -2.4711430, -2.4253481", \
+					  "-3.0394700, -2.9350650, -2.8541047, -2.7818309, -2.7354158, -2.7098019, -2.6586901", \
+					  "-3.2041180, -3.0767097, -3.0258786, -2.9379869, -2.9116700, -2.8504898, -2.8015512", \
+					  "-3.3434066, -3.2423042, -3.1820979, -3.0946900, -3.0447164, -3.0149791, -2.9875970", \
+					  "-3.4803759, -3.3655731, -3.2954339, -3.2437408, -3.1922395, -3.1626394, -3.0839126", \
+					  "-3.6043146, -3.4968579, -3.4505114, -3.3450073, -3.2981180, -3.2674072, -3.2264935");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.8951075, 2.8255059, 2.7946563, 2.7573086, 2.7702983, 2.7629806, 2.7645149", \
+					  "3.2189112, 3.1493095, 3.1212062, 3.1041372, 3.0941135, 3.0902252, 3.0864280", \
+					  "3.4509096, 3.3813079, 3.3450232, 3.3223400, 3.3219730, 3.3176169, 3.3107972", \
+					  "3.6192467, 3.5507586, 3.5196932, 3.5003432, 3.4866048, 3.4829198, 3.4980448", \
+					  "3.7605521, 3.6900301, 3.6533379, 3.6290273, 3.6292518, 3.6240840, 3.6204400", \
+					  "3.9114732, 3.8415149, 3.8101538, 3.7898660, 3.7762391, 3.7844148, 3.7824315", \
+					  "4.0185911, 3.9474635, 3.9088491, 3.8912281, 3.8904846, 3.8805970, 3.8861081");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5609395, 2.4591469, 2.3857534, 2.3532334, 2.2812721, 2.2515239, 2.1868165", \
+					  "2.8859018, 2.7753951, 2.7093599, 2.6825915, 2.6137555, 2.5391323, 2.5102254", \
+					  "3.1171841, 3.0121401, 2.9406424, 2.8766321, 2.8462442, 2.8000225, 2.7558309", \
+					  "3.2886023, 3.1796215, 3.1083638, 3.0752838, 3.0077310, 2.9655041, 2.9184369", \
+					  "3.4372450, 3.3221606, 3.2668082, 3.2146108, 3.1566079, 3.1270396, 3.0835079", \
+					  "3.5713009, 3.4619144, 3.4006929, 3.3314363, 3.2852017, 3.2514039, 3.2228625", \
+					  "3.6869481, 3.5978030, 3.4982067, 3.4636514, 3.4068746, 3.4097761, 3.3370782");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0525882, 0.0529264, 0.0532647, 0.0555015, 0.0576488, 0.0598856, 0.0621224");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0651412, 0.0659237, 0.0667062, 0.0694996, 0.0721812, 0.0749746, 0.0777679");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006557;
+			capacitance : 0.006682;
+			rise_capacitance : 0.006808;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9118677, 2.8458882, 2.8139524, 2.7947474, 2.7954302, 2.7937346, 2.7984380", \
+					  "3.2356711, 3.1681658, 3.1432686, 3.1131005, 3.1192469, 3.1160122, 3.1169456", \
+					  "3.4676571, 3.4016779, 3.3697330, 3.3497270, 3.3512389, 3.3550931, 3.3540626", \
+					  "3.6359836, 3.5679247, 3.5380648, 3.5203412, 3.5227173, 3.5163246, 3.5236048", \
+					  "3.7773138, 3.7104273, 3.6780452, 3.6580197, 3.6643489, 3.6637585, 3.6646921", \
+					  "3.9190778, 3.8579675, 3.8160771, 3.8104647, 3.8034987, 3.8015158, 3.8081918", \
+					  "4.0307738, 3.9678459, 3.9336750, 3.9198132, 3.9178086, 3.9212063, 3.9243571");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5731382, 2.4761678, 2.4132623, 2.3603224, 2.3186977, 2.2764577, 2.1958358", \
+					  "2.8979704, 2.8041108, 2.7212653, 2.7108078, 2.6600069, 2.6026894, 2.5300851", \
+					  "3.1314938, 3.0251999, 2.9730994, 2.9137297, 2.8862257, 2.8292436, 2.7566563", \
+					  "3.3025256, 3.2086660, 3.1426051, 3.0835055, 3.0408372, 2.9825574, 2.9458518", \
+					  "3.4646726, 3.3647099, 3.2910193, 3.2502383, 3.2005650, 3.1643661, 3.1080550", \
+					  "3.5797246, 3.4768511, 3.4259076, 3.3554076, 3.3073147, 3.2711945, 3.2490384", \
+					  "3.6817563, 3.5878969, 3.5081758, 3.4723023, 3.4388932, 3.4465602, 3.3375081");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5202942, -2.4482145, -2.4265010, -2.3969493, -2.4058115, -2.4072750, -2.4166460", \
+					  "-2.8410458, -2.7652939, -2.7477935, -2.7263338, -2.7265549, -2.7214970, -2.7360536", \
+					  "-3.0730273, -3.0009346, -2.9812319, -2.9528621, -2.9597817, -2.9509577, -2.9715578", \
+					  "-3.2413496, -3.1709859, -3.1495697, -3.1269424, -3.1182965, -3.1216909, -3.1350833", \
+					  "-3.3765861, -3.3151874, -3.3013070, -3.2745819, -3.2668321, -3.2876450, -3.2902494", \
+					  "-3.5183489, -3.4461185, -3.4256952, -3.4051583, -3.4023321, -3.4047937, -3.4118308", \
+					  "-3.6285190, -3.5567273, -3.5428013, -3.5159089, -3.5231244, -3.5108471, -3.5206422");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4821640, -2.3872672, -2.3207211, -2.2519297, -2.2085846, -2.1729731, -2.1073647", \
+					  "-2.8029154, -2.7094588, -2.6433622, -2.5763227, -2.5365771, -2.4907342, -2.4417472", \
+					  "-3.0348805, -2.9414239, -2.8719118, -2.8050695, -2.7652555, -2.7334572, -2.6682756", \
+					  "-3.1995286, -3.0965967, -3.0335081, -2.9663924, -2.9393163, -2.8938675, -2.8305429", \
+					  "-3.3418690, -3.2551816, -3.1960849, -3.1132416, -3.0758982, -3.0231279, -2.9887867", \
+					  "-3.4879936, -3.3774969, -3.3176816, -3.2565334, -3.2197851, -3.1658995, -3.1163935", \
+					  "-3.6043028, -3.5047427, -3.4297769, -3.3710119, -3.3479841, -3.2944969, -3.2492626");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439064, 0.0442959, 0.0446854, 0.0469109, 0.0490474, 0.0512729, 0.0534984");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559461, 0.0568955, 0.0578449, 0.0607009, 0.0634427, 0.0662987, 0.0691547");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.027231;
+			capacitance : 0.027301;
+			fall_capacitance : 0.027371;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0398177, 0.0391747, 0.0385318, 0.0384125, 0.0382980, 0.0381788, 0.0380596");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0046878, -0.0057546, -0.0068215, -0.0069370, -0.0070479, -0.0071634, -0.0072789");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032912;
+			capacitance : 0.033164;
+			rise_capacitance : 0.033417;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1206561, 0.1304902, 0.1403243, 0.1519897, 0.1631885, 0.1748539, 0.1865194");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1426148, 0.1535193, 0.1644237, 0.1761560, 0.1874191, 0.1991514, 0.2108837");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051938;
+			capacitance : 0.052413;
+			rise_capacitance : 0.052887;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0209069, -0.0213329, -0.0217589, -0.0217611, -0.0217632, -0.0217654, -0.0217675");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0215435, 0.0216427, 0.0217419, 0.0217443, 0.0217466, 0.0217490, 0.0217514");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016887;
+			capacitance : 0.016854;
+			fall_capacitance : 0.016820;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263132, 0.0325647, 0.0388162, 0.0480764, 0.0569662, 0.0662263, 0.0754865");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0310981, 0.0372182, 0.0433382, 0.0521959, 0.0606993, 0.0695570, 0.0784148");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.037156;
+			capacitance : 0.037557;
+			rise_capacitance : 0.037958;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.7903708, -2.7435487, -2.7227846, -2.7170750, -2.7094535, -2.6983719, -2.7159003", \
+					  "-3.1065445, -3.0626159, -3.0404709, -3.0468927, -3.0302049, -3.0237501, -3.0441336", \
+					  "-3.3385096, -3.2977911, -3.2765785, -3.2773415, -3.2654858, -3.2585281, -3.2806659", \
+					  "-3.5046836, -3.4609133, -3.4415208, -3.4360561, -3.4268181, -3.4247020, -3.4299352", \
+					  "-3.6531275, -3.6073610, -3.5847840, -3.5811400, -3.5752620, -3.5792493, -3.5801830", \
+					  "-3.7931486, -3.7412475, -3.7284728, -3.7197660, -3.7216081, -3.7116412, -3.7247820", \
+					  "-3.9048802, -3.8576428, -3.8403358, -3.8301128, -3.8301026, -3.8266707, -3.8516991");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.6642762, -1.6056760, -1.5501522, -1.5251459, -1.4948539, -1.4576425, -1.4219551", \
+					  "-3.2209894, -1.9294792, -1.8754813, -1.8474232, -1.8095858, -1.7844974, -1.7289736", \
+					  "-2.2200448, -2.1568789, -2.1043948, -3.2405824, -3.1965574, -3.1532427, -3.1140099", \
+					  "-2.3831656, -2.3183339, -2.2690416, -2.2388544, -2.2106916, -2.1719543, -2.1423705", \
+					  "-2.5285573, -2.4682091, -2.4174851, -2.3863753, -2.3606610, -2.3173460, -2.2706199", \
+					  "-2.6640005, -2.6038746, -2.5483508, -2.5157149, -2.4838970, -2.4436339, -2.4064206", \
+					  "-2.7787836, -2.7186575, -2.6600819, -2.6378461, -2.6032576, -2.5813051, -2.5028930");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.1483756, 3.0817256, 3.0582970, 3.0506791, 3.0460948, 3.0516079, 3.0525416", \
+					  "3.4752308, 3.4085808, 3.3809460, 3.3742503, 3.3734715, 3.3708339, 3.3809227", \
+					  "3.7056996, 3.6354029, 3.6156210, 3.6058714, 3.6034187, 3.6135098, 3.6145238", \
+					  "3.8786115, 3.8134871, 3.7851001, 3.7763311, 3.7702271, 3.7757400, 3.7812516", \
+					  "4.0168724, 3.9497421, 3.9313448, 3.9190143, 3.9178312, 3.9201050, 3.9225643", \
+					  "4.1708444, 4.1010673, 4.0726896, 4.0636852, 4.0641595, 4.0732917, 4.0689068", \
+					  "4.2703332, 4.2015860, 4.1868320, 4.1678268, 4.1664426, 4.1705140, 4.1683961");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9271315, 2.8242726, 2.7641712, 2.7071215, 2.6630965, 2.6211702, 2.5856200", \
+					  "3.2490111, 3.1431007, 3.0860508, 3.0290011, 2.9865020, 2.9447130, 2.9105514", \
+					  "3.4815793, 3.3806181, 3.3220425, 3.2649925, 3.2224936, 3.1791787, 3.1435472", \
+					  "3.6609816, 3.5520192, 3.4949695, 3.4424974, 3.3938948, 3.3521057, 3.3118406", \
+					  "3.8111428, 3.7037043, 3.6426755, 3.5987620, 3.5424588, 3.5013015, 3.4745844", \
+					  "3.9537176, 3.8516606, 3.7724893, 3.7216943, 3.6814341, 3.6326771, 3.5954642", \
+					  "4.0634783, 3.9635711, 3.9019430, 3.8434685, 3.7856103, 3.7346662, 3.7143375");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2216372, 0.2891404, 0.3566437, 0.3877972, 0.4177047, 0.4488583, 0.4800119");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3073747, 0.3659302, 0.4244857, 0.4429484, 0.4606727, 0.4791354, 0.4975981");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.010793;
+			capacitance : 0.010979;
+			rise_capacitance : 0.011165;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0051864, 0.0879155, 0.1810175, 0.5853789, 0.9735659, 1.3779273, 1.7822887");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0672002, 0.1061275, 0.1450548, 0.5128662, 0.8659651, 1.2337764, 1.6015878");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006956;
+			capacitance : 0.007082;
+			rise_capacitance : 0.007207;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.0202052, 2.9530401, 2.9260554, 2.9046188, 2.9087657, 2.9095327, 2.9030054", \
+					  "3.3424830, 3.2768364, 3.2476253, 3.2329802, 3.2291101, 3.2381755, 3.2283350", \
+					  "3.5744785, 3.5087353, 3.4859089, 3.4744008, 3.4590020, 3.4593968, 3.4650363", \
+					  "3.7443389, 3.6714519, 3.6520121, 3.6281973, 3.6343362, 3.6277315, 3.6248132", \
+					  "3.8917539, 3.8252004, 3.7950654, 3.7755108, 3.7818400, 3.7751462, 3.7699766", \
+					  "4.0319120, 3.9598398, 3.9401889, 3.9312702, 3.9296276, 3.9199779, 3.9208968", \
+					  "4.1390205, 4.0727961, 4.0420279, 4.0308608, 4.0291066, 4.0300419, 4.0281749");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5761915, 2.4659080, 2.3854583, 2.3446354, 2.3041265, 2.2636431, 2.1912896", \
+					  "2.8999954, 2.7815259, 2.7322365, 2.6624390, 2.6293070, 2.6033525, 2.5119403", \
+					  "3.1320307, 3.0131018, 2.9572236, 2.8970982, 2.8572354, 2.8163421, 2.7601286", \
+					  "3.3019263, 3.1901346, 3.1161434, 3.0684709, 2.9966448, 2.9806458, 2.9268259", \
+					  "3.4431611, 3.3344037, 3.2710357, 3.2033050, 3.1787877, 3.1237726, 3.0650189", \
+					  "3.5818731, 3.4698598, 3.4158510, 3.3496462, 3.3131376, 3.2779415, 3.1959391", \
+					  "3.6994814, 3.5875523, 3.5166739, 3.4526920, 3.4275407, 3.3707499, 3.3009467");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5172419, -2.4467008, -2.4234820, -2.4001836, -2.4104616, -2.4073840, -2.4121302", \
+					  "-2.8379934, -2.7637843, -2.7447288, -2.7263293, -2.7311326, -2.7230040, -2.7314759", \
+					  "-3.0699585, -2.9995870, -2.9766342, -2.9543920, -2.9643545, -2.9567301, -2.9699869", \
+					  "-3.2346065, -3.1642863, -3.1377782, -3.1232532, -3.1238711, -3.1195261, -3.1250375", \
+					  "-3.3861022, -3.3156928, -3.2927642, -3.2807869, -3.2744067, -3.2783098, -3.2952240", \
+					  "-3.5245975, -3.4493714, -3.4307770, -3.4129337, -3.4085816, -3.4110430, -3.4135025", \
+					  "-3.6348031, -3.5642620, -3.5392473, -3.5176395, -3.5279441, -3.5197227, -3.5252889");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4791122, -2.3948381, -2.3222488, -1.6731561, -1.7759089, -2.1761117, -2.1225707", \
+					  "-2.1361064, -2.0502795, -1.9807668, -2.1480213, -2.5425577, -2.5141280, -2.4448860", \
+					  "-2.3680715, -2.2822446, -2.2500135, -2.8214175, -2.3407558, -2.1876769, -2.6876139", \
+					  "-2.5668935, -2.4551443, -2.4146227, -2.3834960, -2.9468922, -2.2938446, -2.2737587", \
+					  "-3.3354077, -3.2480747, -2.8717726, -3.1358040, -3.0782281, -3.0273408, -2.4035185", \
+					  "-3.4863221, -3.3834726, -2.7114757, -3.2745277, -2.6330253, -3.1739575, -2.4725075", \
+					  "-3.6056473, -3.5045617, -3.4328600, -3.3812868, -2.6969659, -2.7205513, -2.6234512");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0440218, 0.0443954, 0.0447689, 0.0469958, 0.0491337, 0.0513606, 0.0535876");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560062, 0.0569667, 0.0579272, 0.0607811, 0.0635209, 0.0663748, 0.0692287");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017812;
+			capacitance : 0.017776;
+			fall_capacitance : 0.017741;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1414426, 0.2078608, 0.2742789, 0.2996381, 0.3239830, 0.3493422, 0.3747014");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1938045, 0.2500689, 0.3063333, 0.3180856, 0.3293679, 0.3411202, 0.3528725");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005554;
+			capacitance : 0.005670;
+			rise_capacitance : 0.005786;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4958941, -2.4148738, -2.3895954, -2.3751982, -2.3704942, -2.3680242, -2.3634223", \
+					  "-2.8166455, -2.7371511, -2.7100779, -2.6992788, -2.6802851, -2.6946747, -2.6859130", \
+					  "-3.0486107, -2.9715296, -2.9419529, -2.9363538, -2.9246953, -2.9258864, -2.9227642", \
+					  "-3.2132587, -3.1327700, -3.1076177, -3.0931868, -3.0845597, -3.0874827, -3.0809514", \
+					  "-3.3555991, -3.2840177, -3.2584064, -3.2511362, -3.2549789, -3.2379943, -3.2322826", \
+					  "-3.4956202, -3.4192884, -3.3967413, -3.3808902, -3.3721923, -3.3744220, -3.3739047", \
+					  "-3.6088776, -3.5431162, -3.5076888, -3.4840514, -3.4879570, -3.4854406, -3.4876939");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4668809, -2.3851596, -2.3285198, -1.7416440, -2.1950681, -2.1414374, -2.1029065", \
+					  "-2.3222392, -2.7073330, -1.9471246, -2.5734476, -2.4961472, -2.4851007, -2.4236339", \
+					  "-3.0196001, -2.9393005, -2.8642848, -2.8051325, -2.7296409, -2.7196256, -2.6417716", \
+					  "-3.1879074, -2.4424256, -3.0394495, -2.9642028, -2.4066151, -2.8801803, -2.8052129", \
+					  "-3.3216498, -3.2505056, -2.8790130, -3.1142781, -3.0490099, -2.9958957, -2.9667286", \
+					  "-3.4681129, -3.3658749, -3.2989055, -3.2423384, -3.1926255, -3.1459117, -3.0965350", \
+					  "-3.5966250, -3.4919114, -3.4298601, -3.3655951, -3.2914069, -2.7405091, -3.2080895");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9897019, 2.9217347, 2.8951659, 2.8773664, 2.8499230, 2.8646461, 2.8648584", \
+					  "3.3119796, 3.2440133, 3.2142958, 3.1927486, 3.1736862, 3.1785730, 3.1779808", \
+					  "3.5455130, 3.4775634, 3.4450250, 3.4302384, 3.4057053, 3.4155623, 3.4156605", \
+					  "3.7099357, 3.6396544, 3.6137571, 3.5931358, 3.5700299, 3.5856845, 3.5775729", \
+					  "3.8583179, 3.7903323, 3.7632580, 3.7408603, 3.7239758, 3.7264374, 3.7243193", \
+					  "4.0013284, 3.9329307, 3.8993917, 3.8727126, 3.8703607, 3.8701733, 3.8662489", \
+					  "4.1099464, 4.0364839, 4.0071322, 3.9898123, 3.9856126, 3.9826434, 3.9775381");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5715983, 2.4530831, 2.3994875, 2.3636042, 2.2859777, 2.2562250, 2.1759643", \
+					  "2.8950274, 2.7915695, 2.7259671, 2.6979301, 2.6113594, 2.5675886, 2.5225964", \
+					  "3.1278660, 3.0072840, 2.9572798, 2.9090999, 2.8437055, 2.7864642, 2.7305449", \
+					  "3.2992804, 3.1942969, 3.1243222, 3.0862140, 3.0120866, 2.9764032, 2.9164160", \
+					  "3.4433428, 3.3460408, 3.2712309, 3.1992589, 3.1520427, 3.1329964, 3.0859340", \
+					  "3.5818353, 3.4693975, 3.4005681, 3.3484720, 3.2887278, 3.2536103, 3.2111012", \
+					  "3.6959758, 3.5894659, 3.5177592, 3.4853327, 3.4203114, 3.3310064, 3.3371033");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0521698, 0.0525490, 0.0529281, 0.0550674, 0.0571211, 0.0592603, 0.0613996");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0630739, 0.0637824, 0.0644909, 0.0673080, 0.0700123, 0.0728294, 0.0756464");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005353;
+			capacitance : 0.005111;
+			fall_capacitance : 0.004868;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0118577, 0.0118426, 0.0118275, 0.0118294, 0.0118311, 0.0118329, 0.0118348");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0117595, -0.0116539, -0.0115483, -0.0114831, -0.0114205, -0.0113553, -0.0112901");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.8920315, 3.2140913, 3.4478354, 3.6161847, 3.7559518, 3.9038198, 4.0170416", \
+					  "2.8229939, 3.1483232, 3.3787977, 3.5426607, 3.6896300, 3.8403217, 3.9463475", \
+					  "2.7941112, 3.1168344, 3.3554346, 3.5182504, 3.6540325, 3.8069719, 3.9276247", \
+					  "2.7748639, 3.0946632, 3.3315924, 3.5005044, 3.6473376, 3.7875288, 3.8994921", \
+					  "2.7725740, 3.0979173, 3.3329795, 3.4911205, 3.6475632, 3.7881474, 3.9009989", \
+					  "2.7765533, 3.0999765, 3.3354266, 3.5041439, 3.6378168, 3.7922343, 3.9007507", \
+					  "2.7748315, 3.0955834, 3.3340473, 3.5150820, 3.6524832, 3.7834543, 3.8951338");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4363704, -2.7571218, -2.9890869, -3.1522091, -3.2976013, -3.4467777, -3.5539315", \
+					  "-2.3662751, -2.6865811, -2.9185462, -3.0795450, -3.2234130, -3.3805487, -3.4879685", \
+					  "-2.3615420, -2.6683624, -2.9098030, -3.0711171, -3.2118954, -3.3549458, -3.4784921", \
+					  "-2.3347774, -2.6610596, -2.8770499, -3.0671505, -3.2018223, -3.3408462, -3.4560006", \
+					  "-2.3193804, -2.6400470, -2.8751867, -3.0383795, -3.2007045, -3.3383491, -3.4352596", \
+					  "-2.3275961, -2.6515487, -2.8927302, -3.0432323, -3.2106559, -3.3362750, -3.4469243", \
+					  "-2.3161201, -2.6843070, -2.8876743, -3.0444202, -3.2139722, -3.3463638, -3.4831593");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.7903708, -3.1065445, -3.3385096, -3.5046836, -3.6531275, -3.7931486, -3.9048802", \
+					  "-2.7435487, -3.0626159, -3.2977911, -3.4609133, -3.6073610, -3.7412475, -3.8576428", \
+					  "-2.7227846, -3.0404709, -3.2765785, -3.4415208, -3.5847840, -3.7284728, -3.8403358", \
+					  "-2.7170750, -3.0468927, -3.2773415, -3.4360561, -3.5811400, -3.7197660, -3.8301128", \
+					  "-2.7094535, -3.0302049, -3.2654858, -3.4268181, -3.5752620, -3.7216081, -3.8301026", \
+					  "-2.6983719, -3.0237501, -3.2585281, -3.4247020, -3.5792493, -3.7116412, -3.8266707", \
+					  "-2.7159003, -3.0441336, -3.2806659, -3.4299352, -3.5801830, -3.7247820, -3.8516991");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.1483756, 3.4752308, 3.7056996, 3.8786115, 4.0168724, 4.1708444, 4.2703332", \
+					  "3.0817256, 3.4085808, 3.6354029, 3.8134871, 3.9497421, 4.1010673, 4.2015860", \
+					  "3.0582970, 3.3809460, 3.6156210, 3.7851001, 3.9313448, 4.0726896, 4.1868320", \
+					  "3.0506791, 3.3742503, 3.6058714, 3.7763311, 3.9190143, 4.0636852, 4.1678268", \
+					  "3.0460948, 3.3734715, 3.6034187, 3.7702271, 3.9178312, 4.0641595, 4.1664426", \
+					  "3.0516079, 3.3708339, 3.6135098, 3.7757400, 3.9201050, 4.0732917, 4.1705140", \
+					  "3.0525416, 3.3809227, 3.6145238, 3.7812516, 3.9225643, 4.0689068, 4.1683961");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.0202052, 3.3424830, 3.5744785, 3.7443389, 3.8917539, 4.0319120, 4.1390205", \
+					  "2.9530401, 3.2768364, 3.5087353, 3.6714519, 3.8252004, 3.9598398, 4.0727961", \
+					  "2.9260554, 3.2476253, 3.4859089, 3.6520121, 3.7950654, 3.9401889, 4.0420279", \
+					  "2.9046188, 3.2329802, 3.4744008, 3.6281973, 3.7755108, 3.9312702, 4.0308608", \
+					  "2.9087657, 3.2291101, 3.4590020, 3.6343362, 3.7818400, 3.9296276, 4.0291066", \
+					  "2.9095327, 3.2381755, 3.4593968, 3.6277315, 3.7751462, 3.9199779, 4.0300419", \
+					  "2.9030054, 3.2283350, 3.4650363, 3.6248132, 3.7699766, 3.9208968, 4.0281749");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.5172419, -2.8379934, -3.0699585, -3.2346065, -3.3861022, -3.5245975, -3.6348031", \
+					  "-2.4467008, -2.7637843, -2.9995870, -3.1642863, -3.3156928, -3.4493714, -3.5642620", \
+					  "-2.4234820, -2.7447288, -2.9766342, -3.1377782, -3.2927642, -3.4307770, -3.5392473", \
+					  "-2.4001836, -2.7263293, -2.9543920, -3.1232532, -3.2807869, -3.4129337, -3.5176395", \
+					  "-2.4104616, -2.7311326, -2.9643545, -3.1238711, -3.2744067, -3.4085816, -3.5279441", \
+					  "-2.4073840, -2.7230040, -2.9567301, -3.1195261, -3.2783098, -3.4110430, -3.5197227", \
+					  "-2.4121302, -2.7314759, -2.9699869, -3.1250375, -3.2952240, -3.4135025, -3.5252889");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4958941, -2.8166455, -3.0486107, -3.2132587, -3.3555991, -3.4956202, -3.6088776", \
+					  "-2.4148738, -2.7371511, -2.9715296, -3.1327700, -3.2840177, -3.4192884, -3.5431162", \
+					  "-2.3895954, -2.7100779, -2.9419529, -3.1076177, -3.2584064, -3.3967413, -3.5076888", \
+					  "-2.3751982, -2.6992788, -2.9363538, -3.0931868, -3.2511362, -3.3808902, -3.4840514", \
+					  "-2.3704942, -2.6802851, -2.9246953, -3.0845597, -3.2549789, -3.3721923, -3.4879570", \
+					  "-2.3680242, -2.6946747, -2.9258864, -3.0874827, -3.2379943, -3.3744220, -3.4854406", \
+					  "-2.3634223, -2.6859130, -2.9227642, -3.0809514, -3.2322826, -3.3739047, -3.4876939");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.9897019, 3.3119796, 3.5455130, 3.7099357, 3.8583179, 4.0013284, 4.1099464", \
+					  "2.9217347, 3.2440133, 3.4775634, 3.6396544, 3.7903323, 3.9329307, 4.0364839", \
+					  "2.8951659, 3.2142958, 3.4450250, 3.6137571, 3.7632580, 3.8993917, 4.0071322", \
+					  "2.8773664, 3.1927486, 3.4302384, 3.5931358, 3.7408603, 3.8727126, 3.9898123", \
+					  "2.8499230, 3.1736862, 3.4057053, 3.5700299, 3.7239758, 3.8703607, 3.9856126", \
+					  "2.8646461, 3.1785730, 3.4155623, 3.5856845, 3.7264374, 3.8701733, 3.9826434", \
+					  "2.8648584, 3.1779808, 3.4156605, 3.5775729, 3.7243193, 3.8662489, 3.9775381");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4882760, -2.8090274, -3.0409923, -3.2056422, -3.3647678, -3.5017377, -3.6043144", \
+					  "-2.4095567, -2.7303079, -2.9634119, -3.1321347, -3.2819669, -3.4192932, -3.5240694", \
+					  "-2.3845245, -2.7072866, -2.9391447, -3.1001339, -3.2528122, -3.3936919, -3.4991249", \
+					  "-2.3692270, -2.6909003, -2.9219664, -3.0866198, -3.2468499, -3.3687903, -3.4867935", \
+					  "-2.3630140, -2.6759028, -2.9172233, -3.0689622, -3.2269869, -3.3634386, -3.4790139", \
+					  "-2.3572137, -2.6793718, -2.9111586, -3.0679339, -3.2252502, -3.3622176, -3.4744702", \
+					  "-2.3618964, -2.6859314, -2.9208746, -3.0808009, -3.2277069, -3.3677287, -3.4816565");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.8951075, 3.2189112, 3.4509096, 3.6192467, 3.7605521, 3.9114732, 4.0185911", \
+					  "2.8255059, 3.1493095, 3.3813079, 3.5507586, 3.6900301, 3.8415149, 3.9474635", \
+					  "2.7946563, 3.1212062, 3.3450232, 3.5196932, 3.6533379, 3.8101538, 3.9088491", \
+					  "2.7573086, 3.1041372, 3.3223400, 3.5003432, 3.6290273, 3.7898660, 3.8912281", \
+					  "2.7702983, 3.0941135, 3.3219730, 3.4866048, 3.6292518, 3.7762391, 3.8904846", \
+					  "2.7629806, 3.0902252, 3.3176169, 3.4829198, 3.6240840, 3.7844148, 3.8805970", \
+					  "2.7645149, 3.0864280, 3.3107972, 3.4980448, 3.6204400, 3.7824315, 3.8861081");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8117794, -3.1294791, -3.3614444, -3.5276184, -3.6760621, -3.8191350, -3.9293406", \
+					  "-2.7603885, -3.0781122, -3.3111249, -3.4757730, -3.6303446, -3.7662395, -3.8815632", \
+					  "-2.7483546, -3.0753031, -3.3137512, -3.4703375, -3.6153016, -3.7618852, -3.8659935", \
+					  "-2.7458491, -3.0576188, -3.3077718, -3.4692666, -3.6181999, -3.7525322, -3.8603584", \
+					  "-2.7308158, -3.0539049, -3.2914682, -3.4489333, -3.6057796, -3.7416512, -3.8453251", \
+					  "-2.7332773, -3.0525029, -3.2859939, -3.4586031, -3.6051892, -3.7500791, -3.8697642", \
+					  "-2.7433662, -3.0519105, -3.2869276, -3.4500498, -3.6076488, -3.7491958, -3.8487202");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2033523, 3.5302077, 3.7561024, 3.9244499, 4.0642203, 4.2075111, 4.3222584", \
+					  "3.1347168, 3.4615720, 3.6889927, 3.8593016, 3.9975910, 4.1358235, 4.2549424", \
+					  "3.1068812, 3.4367882, 3.6591046, 3.8259212, 3.9736554, 4.1105078, 4.2270563", \
+					  "3.0992749, 3.4276560, 3.6550767, 3.8188464, 3.9704180, 4.1019075, 4.2181812", \
+					  "3.0918710, 3.4202523, 3.6491988, 3.8129684, 3.9638269, 4.1021331, 4.2153550", \
+					  "3.0943324, 3.4196619, 3.6501345, 3.8123782, 3.9643558, 4.0954394, 4.2147644", \
+					  "3.0778158, 3.4236474, 3.6617492, 3.8209412, 3.9655548, 4.1015394, 4.2202760");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5136078, 2.8374115, 3.0694168, 3.2338506, 3.3912591, 3.5284476, 3.6369215", \
+					  "2.4475624, 2.7691567, 3.0051952, 3.1719514, 3.3175843, 3.4492316, 3.5728800", \
+					  "2.4233174, 2.7484846, 2.9785115, 3.1470509, 3.2965282, 3.4422257, 3.5452453", \
+					  "2.4002047, 2.7410106, 2.9567671, 3.1333832, 3.2820578, 3.4228913, 3.5225034", \
+					  "2.4129470, 2.7351326, 2.9683088, 3.1294590, 3.2728471, 3.4107630, 3.5345640", \
+					  "2.4036258, 2.7286620, 2.9609942, 3.1248780, 3.2882073, 3.4147503, 3.5332997", \
+					  "2.4161280, 2.7354759, 2.9755838, 3.1327719, 3.2806667, 3.4187358, 3.5446109");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4302604, -2.7525377, -2.9845028, -3.1476252, -3.3036984, -3.4269349, -3.5417182", \
+					  "-2.3677684, -2.6895721, -2.9215374, -3.0809546, -3.2309386, -3.3570983, -3.4726492", \
+					  "-2.3412731, -2.6576636, -2.8919102, -3.0543514, -3.2042497, -3.3399669, -3.4480800", \
+					  "-2.3134259, -2.6290574, -2.8703837, -3.0317350, -3.1730418, -3.3377092, -3.4268237", \
+					  "-2.3193600, -2.6356310, -2.8736471, -3.0368516, -3.1757305, -3.3322478, -3.4352514", \
+					  "-2.3184485, -2.6425603, -2.8795341, -3.0371287, -3.1886244, -3.3271196, -3.4378784", \
+					  "-2.3161201, -2.6452948, -2.8730500, -3.0319589, -3.1834546, -3.3290583, -3.4380061");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.9118677, 3.2356711, 3.4676571, 3.6359836, 3.7773138, 3.9190778, 4.0307738", \
+					  "2.8458882, 3.1681658, 3.4016779, 3.5679247, 3.7104273, 3.8579675, 3.9678459", \
+					  "2.8139524, 3.1432686, 3.3697330, 3.5380648, 3.6780452, 3.8160771, 3.9336750", \
+					  "2.7947474, 3.1131005, 3.3497270, 3.5203412, 3.6580197, 3.8104647, 3.9198132", \
+					  "2.7954302, 3.1192469, 3.3512389, 3.5227173, 3.6643489, 3.8034987, 3.9178086", \
+					  "2.7937346, 3.1160122, 3.3550931, 3.5163246, 3.6637585, 3.8015158, 3.9212063", \
+					  "2.7984380, 3.1169456, 3.3540626, 3.5236048, 3.6646921, 3.8081918, 3.9243571");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.5202942, -2.8410458, -3.0730273, -3.2413496, -3.3765861, -3.5183489, -3.6285190", \
+					  "-2.4482145, -2.7652939, -3.0009346, -3.1709859, -3.3151874, -3.4461185, -3.5567273", \
+					  "-2.4265010, -2.7477935, -2.9812319, -3.1495697, -3.3013070, -3.4256952, -3.5428013", \
+					  "-2.3969493, -2.7263338, -2.9528621, -3.1269424, -3.2745819, -3.4051583, -3.5159089", \
+					  "-2.4058115, -2.7265549, -2.9597817, -3.1182965, -3.2668321, -3.4023321, -3.5231244", \
+					  "-2.4072750, -2.7214970, -2.9509577, -3.1216909, -3.2876450, -3.4047937, -3.5108471", \
+					  "-2.4166460, -2.7360536, -2.9715578, -3.1350833, -3.2902494, -3.4118308, -3.5206422");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2247894, 0.5424894, 0.7801252, 0.9421752, 1.0782871, 1.2060596, 1.2861465", \
+					  "0.1604017, 0.4610433, 0.7130150, 0.8864519, 1.0213962, 1.1190537, 1.2452064", \
+					  "0.1274050, 0.4496527, 0.6595254, 0.8659485, 0.9804632, 1.1222036, 1.2289900", \
+					  "0.1146058, 0.4399852, 0.6746785, 0.8274832, 0.9309350, 1.0896647, 1.1658017", \
+					  "0.1133054, 0.4365851, 0.6712185, 0.8486416, 0.9640145, 1.0711845, 1.1593625", \
+					  "0.1127152, 0.4381399, 0.6701117, 0.8499874, 0.9691068, 1.0837235, 1.1850114", \
+					  "0.1182264, 0.4285589, 0.6765788, 0.8531253, 0.9840470, 1.1036101, 1.2050994");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1561638, -0.1391346, -0.3670535, -0.5307428, -0.6624020, -0.7764833, -0.8744819", \
+					  "0.2275066, -0.0880790, -0.3085108, -0.4662470, -0.5963802, -0.7119874, -0.8084601", \
+					  "0.2434119, -0.0576072, -0.2814587, -0.4385595, -0.5705863, -0.6828601, -0.7802081", \
+					  "0.2647532, -0.0499739, -0.2681198, -0.4339786, -0.5657507, -0.6671121, -0.7495821", \
+					  "0.2564098, -0.0421303, -0.2666390, -0.4375547, -0.5777365, -0.6922171, -0.7860144", \
+					  "0.2572674, -0.0376073, -0.2605009, -0.4342850, -0.5821793, -0.6979609, -0.7945851", \
+					  "0.2519653, -0.0378282, -0.2625817, -0.4365413, -0.5710457, -0.6959080, -0.7998329");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.5096083, -2.8303597, -3.0623248, -3.2269729, -3.3677873, -3.5078087, -3.6210661", \
+					  "-2.4359812, -2.7567326, -2.9890290, -3.1536444, -3.3051004, -3.4317182, -3.5459131", \
+					  "-2.4143442, -2.7352726, -2.9674653, -3.1350817, -3.2866496, -3.4165776, -3.5275777", \
+					  "-2.3870483, -2.7263511, -2.9421665, -3.1080402, -3.2682181, -3.3961489, -3.5091267", \
+					  "-2.3949109, -2.7078987, -2.9471882, -3.1064046, -3.2581490, -3.3917968, -3.5123819", \
+					  "-2.3855935, -2.7109634, -2.9459017, -3.1057934, -3.2759701, -3.3942584, -3.5055816", \
+					  "-2.4076027, -2.7268984, -2.9592353, -3.1174081, -3.2739533, -3.4012954, -3.5192755");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.9393299, 3.2616075, 3.4951336, 3.6634718, 3.8079857, 3.9510060, 4.0626802", \
+					  "2.8748340, 3.1971117, 3.4306376, 3.5974371, 3.7428703, 3.8813431, 3.9966642", \
+					  "2.8460021, 3.1736925, 3.4017984, 3.5701416, 3.7101698, 3.8546045, 3.9657178", \
+					  "2.8251715, 3.1435939, 3.3823673, 3.5492592, 3.6947983, 3.8383592, 3.9489592", \
+					  "2.8276355, 3.1514451, 3.3834442, 3.5536772, 3.6968993, 3.8390778, 3.9505735", \
+					  "2.8212002, 3.1434780, 3.3855728, 3.5453421, 3.6883299, 3.8363693, 3.9491337", \
+					  "2.8346839, 3.1474632, 3.3843668, 3.5478017, 3.7045225, 3.8356085, 3.9458479");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024905;
+			capacitance : 0.025515;
+			rise_capacitance : 0.025728;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.8117794, -2.7603885, -2.7483546, -2.7458491, -2.7308158, -2.7332773, -2.7433662", \
+					  "-3.1294791, -3.0781122, -3.0753031, -3.0576188, -3.0539049, -3.0525029, -3.0519105", \
+					  "-3.3614444, -3.3111249, -3.3137512, -3.3077718, -3.2914682, -3.2859939, -3.2869276", \
+					  "-3.5276184, -3.4757730, -3.4703375, -3.4692666, -3.4489333, -3.4586031, -3.4500498", \
+					  "-3.6760621, -3.6303446, -3.6153016, -3.6181999, -3.6057796, -3.6051892, -3.6076488", \
+					  "-3.8191350, -3.7662395, -3.7618852, -3.7525322, -3.7416512, -3.7500791, -3.7491958", \
+					  "-3.9293406, -3.8815632, -3.8659935, -3.8603584, -3.8453251, -3.8697642, -3.8487202");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.6246049, -1.5558913, -1.5272639, -1.5510859, -1.4872244, -1.4614949, -1.4723090", \
+					  "-3.2347240, -1.8796946, -1.8648567, -1.8733632, -1.7927170, -1.7463505, -1.6795460", \
+					  "-2.1803734, -2.1202459, -2.0906620, -2.0397157, -3.2057128, -3.1532427, -3.1241944", \
+					  "-2.3563075, -2.2657054, -2.2299748, -2.1748332, -2.2005430, -2.1673984, -2.1134005", \
+					  "-2.5114179, -2.4198161, -2.4094992, -2.3692305, -2.3666617, -2.2897608, -2.2250983", \
+					  "-2.6089264, -2.5310575, -2.5290567, -2.5537181, -2.4430642, -2.3942652, -2.3581492", \
+					  "-2.7435105, -2.6837050, -2.6217557, -2.6394697, -2.5542503, -2.5506083, -2.4181789");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.2033523, 3.1347168, 3.1068812, 3.0992749, 3.0918710, 3.0943324, 3.0778158", \
+					  "3.5302077, 3.4615720, 3.4367882, 3.4276560, 3.4202523, 3.4196619, 3.4236474", \
+					  "3.7561024, 3.6889927, 3.6591046, 3.6550767, 3.6491988, 3.6501345, 3.6617492", \
+					  "3.9244499, 3.8593016, 3.8259212, 3.8188464, 3.8129684, 3.8123782, 3.8209412", \
+					  "4.0642203, 3.9975910, 3.9736554, 3.9704180, 3.9638269, 3.9643558, 3.9655548", \
+					  "4.2075111, 4.1358235, 4.1105078, 4.1019075, 4.1021331, 4.0954394, 4.1015394", \
+					  "4.3222584, 4.2549424, 4.2270563, 4.2181812, 4.2153550, 4.2147644, 4.2202760");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9378098, 2.8400791, 2.7779068, 2.7210385, 2.6722545, 2.6487875, 2.6067311", \
+					  "3.2631391, 3.1654084, 3.1057102, 3.0370311, 2.9869025, 2.9713361, 2.9007142", \
+					  "3.4951329, 3.3974022, 3.3337040, 3.2705508, 3.2326293, 3.2002832, 3.1612724", \
+					  "3.6665180, 3.5694304, 3.5066150, 3.4419359, 3.4067218, 3.3569004, 3.3317098", \
+					  "3.8047808, 3.7110828, 3.6508483, 3.5679917, 3.5392341, 3.4714967, 3.4724302", \
+					  "3.9480715, 3.8437030, 3.7967325, 3.7219637, 3.6888812, 3.6441957, 3.5943586", \
+					  "4.0627389, 3.9659922, 3.9084374, 3.8335826, 3.7956609, 3.7589547, 3.7359589");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006848;
+			capacitance : 0.006979;
+			rise_capacitance : 0.007110;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5136078, 2.4475624, 2.4233174, 2.4002047, 2.4129470, 2.4036258, 2.4161280", \
+					  "2.8374115, 2.7691567, 2.7484846, 2.7410106, 2.7351326, 2.7286620, 2.7354759", \
+					  "3.0694168, 3.0051952, 2.9785115, 2.9567671, 2.9683088, 2.9609942, 2.9755838", \
+					  "3.2338506, 3.1719514, 3.1470509, 3.1333832, 3.1294590, 3.1248780, 3.1327719", \
+					  "3.3912591, 3.3175843, 3.2965282, 3.2820578, 3.2728471, 3.2882073, 3.2806667", \
+					  "3.5284476, 3.4492316, 3.4422257, 3.4228913, 3.4107630, 3.4147503, 3.4187358", \
+					  "3.6369215, 3.5728800, 3.5452453, 3.5225034, 3.5345640, 3.5332997, 3.5446109");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.0675232, 2.9708612, 2.9030263, 2.8361494, 2.7947023, 2.7443432, 2.6985417", \
+					  "3.3924781, 3.2972217, 3.2127226, 3.1628995, 3.1181094, 3.0678269, 3.0234613", \
+					  "3.6237906, 3.5221202, 3.4517030, 3.3956300, 3.3477181, 3.3038686, 3.2599914", \
+					  "3.7952053, 3.6976176, 3.6171842, 3.5671748, 3.5238068, 3.4741113, 3.4363468", \
+					  "3.9423192, 3.8441635, 3.7803345, 3.7010597, 3.6553856, 3.6151225, 3.5566750", \
+					  "4.0820656, 3.9840303, 3.9193687, 3.8530319, 3.8073924, 3.7452235, 3.7048789", \
+					  "4.1873236, 4.0814026, 4.0095858, 3.9666784, 3.9130470, 3.8798283, 3.8199806");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4302604, -2.3677684, -2.3412731, -2.3134259, -2.3193600, -2.3184485, -2.3161201", \
+					  "-2.7525377, -2.6895721, -2.6576636, -2.6290574, -2.6356310, -2.6425603, -2.6452948", \
+					  "-2.9845028, -2.9215374, -2.8919102, -2.8703837, -2.8736471, -2.8795341, -2.8730500", \
+					  "-3.1476252, -3.0809546, -3.0543514, -3.0317350, -3.0368516, -3.0371287, -3.0319589", \
+					  "-3.3036984, -3.2309386, -3.2042497, -3.1730418, -3.1757305, -3.1886244, -3.1834546", \
+					  "-3.4269349, -3.3570983, -3.3399669, -3.3377092, -3.3322478, -3.3271196, -3.3290583", \
+					  "-3.5417182, -3.4726492, -3.4480800, -3.4268237, -3.4352514, -3.4378784, -3.4380061");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5767659, -2.4710546, -2.4107478, -2.3401909, -2.3030990, -2.2925994, -2.1902191", \
+					  "-2.8959914, -2.7930944, -2.7301934, -2.6738402, -2.6266637, -2.6008928, -2.5124200", \
+					  "-3.1294851, -3.0316174, -2.9741482, -2.9069890, -2.8516873, -2.8144877, -2.7577638", \
+					  "-3.2977924, -3.1997105, -3.1252427, -3.0753757, -2.9954856, -2.9765188, -2.9215094", \
+					  "-3.4330607, -3.3441340, -3.2700944, -3.2088840, -3.1539113, -3.1245575, -3.0594997", \
+					  "-3.5856271, -3.4819913, -3.4165572, -3.3513836, -3.3016502, -3.2708009, -3.2116451", \
+					  "-3.6973546, -3.5867011, -3.5222014, -3.4632912, -3.4161766, -3.3853300, -3.3176901");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0436947, 0.0442077, 0.0447207, 0.0468876, 0.0489679, 0.0511348, 0.0533017");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0562427, 0.0571653, 0.0580880, 0.0609906, 0.0637771, 0.0666797, 0.0695823");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.015877;
+			capacitance : 0.016621;
+			rise_capacitance : 0.017365;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0175042, 0.0106402, 0.0387846, 0.0497057, 0.0601899, 0.0711111, 0.0820322");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0911652, 0.2582067, 0.4252483, 1.4342783, 2.4029470, 3.4119770, 4.4210070");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.032154;
+			capacitance : 0.032074;
+			fall_capacitance : 0.031993;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1075523, 0.1248621, 0.1421718, 0.1419712, 0.1417787, 0.1415781, 0.1413775");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0065339, -0.0069787, -0.0074235, -0.0077089, -0.0079829, -0.0082683, -0.0085537");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007350;
+			capacitance : 0.007227;
+			fall_capacitance : 0.007104;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2247894, 0.1604017, 0.1274050, 0.1146058, 0.1133054, 0.1127152, 0.1182264", \
+					  "0.5424894, 0.4610433, 0.4496527, 0.4399852, 0.4365851, 0.4381399, 0.4285589", \
+					  "0.7801252, 0.7130150, 0.6595254, 0.6746785, 0.6712185, 0.6701117, 0.6765788", \
+					  "0.9421752, 0.8864519, 0.8659485, 0.8274832, 0.8486416, 0.8499874, 0.8531253", \
+					  "1.0782871, 1.0213962, 0.9804632, 0.9309350, 0.9640145, 0.9691068, 0.9840470", \
+					  "1.2060596, 1.1190537, 1.1222036, 1.0896647, 1.0711845, 1.0837235, 1.1036101", \
+					  "1.2861465, 1.2452064, 1.2289900, 1.1658017, 1.1593625, 1.1850114, 1.2050994");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0361816, -0.1481491, -0.2014381, -0.2697665, -0.3154666, -0.3679367, -0.4158153", \
+					  "0.2594761, 0.1726688, 0.0977987, 0.0566604, 0.0022106, -0.0471876, -0.0829755", \
+					  "0.5068862, 0.4041686, 0.3428664, 0.2702113, 0.2176693, 0.1403471, 0.1371780", \
+					  "0.6766921, 0.5414867, 0.4930083, 0.4414165, 0.3973916, 0.3606285, 0.3335483", \
+					  "0.8143300, 0.7101259, 0.6282297, 0.5774485, 0.5304518, 0.4993439, 0.4332987", \
+					  "0.9329472, 0.8001310, 0.7490443, 0.6866385, 0.6447034, 0.6027024, 0.5502302", \
+					  "1.0385396, 0.9214631, 0.8388766, 0.7585979, 0.7604634, 0.6655702, 0.6710815");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1561638, 0.2275066, 0.2434119, 0.2647532, 0.2564098, 0.2572674, 0.2519653", \
+					  "-0.1391346, -0.0880790, -0.0576072, -0.0499739, -0.0421303, -0.0376073, -0.0378282", \
+					  "-0.3670535, -0.3085108, -0.2814587, -0.2681198, -0.2666390, -0.2605009, -0.2625817", \
+					  "-0.5307428, -0.4662470, -0.4385595, -0.4339786, -0.4375547, -0.4342850, -0.4365413", \
+					  "-0.6624020, -0.5963802, -0.5705863, -0.5657507, -0.5777365, -0.5821793, -0.5710457", \
+					  "-0.7764833, -0.7119874, -0.6828601, -0.6671121, -0.6922171, -0.6979609, -0.6959080", \
+					  "-0.8744819, -0.8084601, -0.7802081, -0.7495821, -0.7860144, -0.7945851, -0.7998329");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8183821, 0.8839234, 0.8760462, 0.9132595, 0.3789764, 0.4161878, 0.9874587", \
+					  "-0.1955560, -0.1105250, -0.0559924, 0.6205700, 0.6695205, 0.7484616, 0.7398985", \
+					  "0.3041779, 0.3601361, 0.4360987, -0.2133190, -0.1470231, -0.1125483, -0.0562800", \
+					  "0.1390763, 0.2051121, 0.2620800, 0.2614360, 0.2444258, 0.3386491, -0.2430901", \
+					  "-0.0238827, 0.0357893, 0.1046050, 0.0978582, 0.1601937, -0.4373605, 0.2117302", \
+					  "-0.1245130, -0.0618241, -0.0458150, -0.0573801, 0.0400506, -0.5346155, -0.0412252", \
+					  "-0.1883034, -0.1674165, -0.1681921, -0.1539018, -0.0535555, -0.0054423, 0.0316587");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0437905, 0.0442857, 0.0447810, 0.0469482, 0.0490287, 0.0511959, 0.0533631");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0557955, 0.0566860, 0.0575764, 0.0604414, 0.0631917, 0.0660566, 0.0689216");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014501;
+			capacitance : 0.014448;
+			fall_capacitance : 0.014394;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5096083, -2.4359812, -2.4143442, -2.3870483, -2.3949109, -2.3855935, -2.4076027", \
+					  "-2.8303597, -2.7567326, -2.7352726, -2.7263511, -2.7078987, -2.7109634, -2.7268984", \
+					  "-3.0623248, -2.9890290, -2.9674653, -2.9421665, -2.9471882, -2.9459017, -2.9592353", \
+					  "-3.2269729, -3.1536444, -3.1350817, -3.1080402, -3.1064046, -3.1057934, -3.1174081", \
+					  "-3.3677873, -3.3051004, -3.2866496, -3.2682181, -3.2581490, -3.2759701, -3.2739533", \
+					  "-3.5078087, -3.4317182, -3.4165776, -3.3961489, -3.3917968, -3.3942584, -3.4012954", \
+					  "-3.6210661, -3.5459131, -3.5275777, -3.5091267, -3.5123819, -3.5055816, -3.5192755");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4653776, -2.3857309, -2.3191952, -2.2565025, -2.2046526, -2.1745556, -2.1089370", \
+					  "-2.3894004, -2.7063731, -2.6353710, -2.5810984, -2.5394306, -2.5124828, -2.4401742", \
+					  "-3.0180942, -2.9383382, -2.8688600, -2.8199220, -2.7946849, -2.7196447, -2.6683022", \
+					  "-3.1863987, -3.1182247, -3.0371645, -2.9745634, -2.9219278, -2.8969142, -2.8357252", \
+					  "-3.3247247, -3.2283622, -3.1788487, -3.1131779, -3.0770743, -3.0166169, -2.9866942", \
+					  "-3.4710614, -3.3705331, -3.3079692, -3.2592970, -3.2263680, -3.1707925, -3.1302958", \
+					  "-3.6134564, -3.4833462, -3.4276039, -3.3786264, -3.3495448, -3.2816858, -3.2325746");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9393299, 2.8748340, 2.8460021, 2.8251715, 2.8276355, 2.8212002, 2.8346839", \
+					  "3.2616075, 3.1971117, 3.1736925, 3.1435939, 3.1514451, 3.1434780, 3.1474632", \
+					  "3.4951336, 3.4306376, 3.4017984, 3.3823673, 3.3834442, 3.3855728, 3.3843668", \
+					  "3.6634718, 3.5974371, 3.5701416, 3.5492592, 3.5536772, 3.5453421, 3.5478017", \
+					  "3.8079857, 3.7428703, 3.7101698, 3.6947983, 3.6968993, 3.6883299, 3.7045225", \
+					  "3.9510060, 3.8813431, 3.8546045, 3.8383592, 3.8390778, 3.8363693, 3.8356085", \
+					  "4.0626802, 3.9966642, 3.9657178, 3.9489592, 3.9505735, 3.9491337, 3.9458479");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5914493, 2.4827147, 2.4151122, 2.3533644, 2.3142422, 2.2748919, 2.2125220", \
+					  "2.9164303, 2.8163172, 2.7382004, 2.6980900, 2.6542775, 2.6183016, 2.5303231", \
+					  "3.1476409, 3.0495145, 2.9709369, 2.8986283, 2.8705982, 2.8332206, 2.7575711", \
+					  "3.3190671, 3.2208037, 3.1408372, 3.1029062, 3.0362440, 2.9979100, 2.9405814", \
+					  "3.4616148, 3.3694548, 3.2940660, 3.2532975, 3.1849874, 3.1314054, 3.0998249", \
+					  "3.5883803, 3.4847488, 3.4330392, 3.3539223, 3.3085748, 3.2750527, 3.2463037", \
+					  "3.7116086, 3.6069621, 3.5380168, 3.4900714, 3.4509480, 3.3947783, 3.3642578");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439212, 0.0444377, 0.0449542, 0.0471220, 0.0492032, 0.0513710, 0.0535389");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560523, 0.0569857, 0.0579191, 0.0608469, 0.0636576, 0.0665854, 0.0695132");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.952830;
+			max_transition : 1.505041;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.6940513, 0.7046118, 0.7252380, 0.7604811, 0.8238023, 1.0744548, 1.2509089", \
+					  "-0.4647693, -0.4637836, -0.4628658, -0.4630038, -0.4641500, -0.3457081, -0.1739898", \
+					  "0.0916033, 0.0917987, 0.0926200, 0.0938811, 0.0938228, 0.0877752, -0.0112363", \
+					  "0.2015040, 0.2034697, 0.2044279, 0.2055404, 0.2039616, 0.1968290, 0.2092499", \
+					  "0.3005014, 0.3016297, 0.2993576, 0.3029179, 0.3014763, 0.2937847, 0.3110400", \
+					  "0.3817816, 0.3923268, 0.3882045, 0.3934366, 0.3790366, 0.3885898, 0.3989255", \
+					  "0.4624409, 0.4760468, 0.4665198, 0.4772223, 0.4700491, 0.4665749, 0.4868551");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.8547844, 0.8689458, 0.8957473, 0.9362711, 0.9951719, 1.1331682, 1.3762208", \
+					  "2.5778717, 2.5781983, 2.5952138, 2.5809264, 2.5830518, 2.5852935, 2.9005728", \
+					  "2.8191731, 2.8193511, 2.8390023, 2.8217509, 2.8430563, 2.8443558, 2.8267638", \
+					  "2.8410336, 2.8415044, 2.8635198, 2.8436563, 2.8653473, 2.8672999, 2.8496808", \
+					  "2.8657382, 2.8667821, 2.8896935, 2.8664642, 2.8866033, 2.8907267, 2.8729161", \
+					  "2.8840955, 2.8845325, 2.9043126, 2.8845110, 2.9096558, 2.9107630, 2.8926063", \
+					  "2.9029346, 2.9154333, 2.9198655, 2.9016065, 2.9261634, 2.9279842, 2.9244907");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.8630710, 0.8679583, 0.8804735, 0.9262459, 1.1654092, 1.2667934, 1.2485159", \
+					  "-0.2220396, -0.2173076, -0.2069942, -0.1669690, 0.0601427, 0.1692908, 0.1459441", \
+					  "0.7848762, 0.7858311, 0.7870893, 0.7866813, 0.7869933, 0.7843820, 0.6467027", \
+					  "1.3103039, 1.3109157, 1.3114659, 1.3091995, 1.3146979, 1.3180188, 1.2783348", \
+					  "1.8952526, 1.8986169, 1.8991002, 1.8977363, 1.9001847, 1.9039152, 1.9086538", \
+					  "2.5654168, 2.5641412, 2.5647011, 2.5514851, 2.5666940, 2.5707294, 2.5344622", \
+					  "3.2492522, 3.2509702, 3.2501157, 3.2379206, 3.2591397, 3.2646290, 3.2368964");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.2651568, 1.2775857, 1.3069878, 1.3623953, 1.4615451, 1.5502346, 1.5748019", \
+					  "2.7151924, 2.7287933, 2.7589697, 2.8184087, 2.9229165, 3.0149132, 3.0416722", \
+					  "2.9219843, 2.9234887, 2.9246031, 2.9271401, 2.9321968, 2.9468539, 3.0301989", \
+					  "2.9453781, 2.9459200, 2.9470721, 2.9507080, 2.9690744, 2.9719630, 2.9539001", \
+					  "2.9640314, 2.9668685, 2.9674373, 2.9689445, 2.9870225, 2.9831363, 2.9727291", \
+					  "2.9835431, 2.9853117, 2.9819135, 2.9849645, 3.0075382, 3.0069113, 2.9938255", \
+					  "3.0067262, 2.9970804, 3.0032172, 3.0183544, 3.0189802, 3.0299038, 3.0075525");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.7676792, 0.7736566, 0.7860708, 0.8314329, 1.0676766, 1.1708833, 1.1516012", \
+					  "-0.6477766, -0.6355826, -0.6377547, -0.6274177, -0.4269439, -0.3270045, -0.3529804", \
+					  "-0.1894554, -0.1735627, -0.1722789, -0.1738505, -0.1688591, -0.1696841, -0.3283806", \
+					  "-0.1537808, -0.1353916, -0.1326258, -0.1499619, -0.1323084, -0.1423100, -0.1457959", \
+					  "-0.1055171, -0.1038856, -0.0880835, -0.1036581, -0.0822498, -0.0977649, -0.1030844", \
+					  "-0.0529129, -0.0413275, -0.0308911, -0.0457324, -0.0316358, -0.0454636, -0.0489402", \
+					  "0.0147207, 0.0328453, 0.0316460, 0.0185664, 0.0341955, 0.0209467, 0.0075055");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.1552383, 1.1690198, 1.1999865, 1.2614586, 1.3724478, 1.4795706, 1.5145548", \
+					  "2.6404241, 2.6541670, 2.6839253, 2.7472774, 2.8585940, 2.9657062, 3.0022317", \
+					  "2.7365441, 2.7372462, 2.7385004, 2.7407798, 2.7526941, 2.9276865, 3.0022989", \
+					  "2.9049382, 2.9065292, 2.9074410, 2.9104748, 2.9123134, 2.9127089, 2.9344975", \
+					  "2.9284457, 2.9415433, 2.9325604, 2.9358918, 2.9371804, 2.9397227, 2.9378520", \
+					  "2.9513336, 2.9512033, 2.9451233, 2.9517545, 2.9509112, 2.9590013, 2.9592424", \
+					  "2.9823145, 2.9682702, 2.9715262, 2.9722991, 2.9937650, 2.9771838, 2.9697089");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("2.2941517, 2.2940311, 2.3034503, 2.3134100, 2.3258228, 2.3322755, 2.3195606", \
+					  "2.2912311, 2.2943009, 2.3014307, 2.3125140, 2.3233521, 2.3312245, 2.3191311", \
+					  "2.2950895, 2.2957880, 2.3026373, 2.3137883, 2.3243882, 2.3300004, 2.3160285", \
+					  "2.2915518, 2.2947350, 2.2989177, 2.3139009, 2.3204042, 2.3314999, 2.3204456", \
+					  "2.2931563, 2.2969090, 2.3018469, 2.3130367, 2.3245984, 2.3309588, 2.3189135", \
+					  "2.2931490, 2.2968769, 2.3019946, 2.3134630, 2.3245622, 2.3307539, 2.3190204", \
+					  "2.2909661, 2.2953974, 2.3020484, 2.3121976, 2.3222820, 2.3306874, 2.3201988");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.0773061, 1.0852415, 1.1002199, 1.1235573, 1.1579249, 1.2542829, 1.6121135", \
+					  "1.0754113, 1.0839267, 1.0962028, 1.1221076, 1.1521411, 1.2529475, 1.6106444", \
+					  "1.0763756, 1.0841710, 1.0976306, 1.1218291, 1.1573781, 1.2537710, 1.6124394", \
+					  "1.0755087, 1.0839854, 1.0989773, 1.1222050, 1.1567146, 1.2530725, 1.6107406", \
+					  "1.0759010, 1.0843783, 1.0993739, 1.1260565, 1.1543686, 1.2536110, 1.6111348", \
+					  "1.0761252, 1.0846025, 1.0995927, 1.1228246, 1.1567888, 1.2531783, 1.6118652", \
+					  "1.0725744, 1.0841729, 1.0991621, 1.1214013, 1.1557820, 1.2529777, 1.6137049");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.2378937, 1.2465836, 1.2662090, 1.3094286, 1.4079252, 1.6418212, 2.2035898", \
+					  "2.8023734, 2.8111797, 2.8306852, 2.8739697, 2.9729723, 3.2066954, 3.7679042", \
+					  "3.9500825, 3.9588457, 3.9783696, 4.0216368, 4.1207286, 4.3544848, 4.9151345", \
+					  "4.1979180, 4.2067239, 4.2262251, 4.2695119, 4.3684798, 4.6039306, 5.1634563", \
+					  "5.6208882, 5.6208885, 5.6392002, 5.6824749, 5.7926104, 6.0153025, 6.5761347", \
+					  "6.7125709, 6.7223103, 6.7266250, 6.7700703, 6.8820478, 7.1150188, 7.6648163", \
+					  "7.5307609, 7.5334530, 7.5400122, 7.6054789, 7.6826208, 7.9083726, 8.4861576", \
+					  "8.6652383, 8.6652389, 8.6876479, 8.7283132, 8.8295602, 9.0696162, 9.6239061", \
+					  "22.6600750, 22.8520380, 22.8520384, 22.8899420, 22.9581210, 23.2528010, 23.5706170", \
+					  "31.3781780, 31.4198270, 31.4198275, 31.4720910, 31.4720927, 31.7006400, 32.2511650", \
+					  "67.3277470, 67.3277474, 67.3277550, 67.4179860, 67.4697350, 67.7684970, 68.2815010", \
+					  "197.8684000, 198.0444800, 198.0444870, 198.0445023, 198.0445176, 198.3734600, 198.7839200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0596593, 0.0693775, 0.0929781, 0.1510671, 0.2951227, 0.6488474, 1.4995110", \
+					  "0.0595186, 0.0693842, 0.0929267, 0.1508390, 0.2948320, 0.6489269, 1.4991157", \
+					  "0.0595148, 0.0692124, 0.0930083, 0.1510769, 0.2954381, 0.6483040, 1.4996770", \
+					  "0.0595242, 0.0693791, 0.0929551, 0.1508552, 0.2947498, 0.6493134, 1.4989067", \
+					  "0.0596773, 0.0693807, 0.0929603, 0.1510400, 0.2950174, 0.6494366, 1.4998277", \
+					  "0.0595240, 0.0693691, 0.0930923, 0.1508816, 0.2946166, 0.6493879, 1.4987114", \
+					  "0.0595139, 0.0693996, 0.0930103, 0.1509291, 0.2950934, 0.6481910, 1.4986988", \
+					  "0.0596661, 0.0693825, 0.0928582, 0.1508793, 0.2953385, 0.6493130, 1.4986270", \
+					  "0.0596682, 0.0693539, 0.0930658, 0.1508883, 0.2954411, 0.6493967, 1.4986787", \
+					  "0.0596802, 0.0692589, 0.0930630, 0.1509289, 0.2954350, 0.6493480, 1.4985850", \
+					  "0.0594966, 0.0692580, 0.0930099, 0.1510927, 0.2952996, 0.6493003, 1.4997086", \
+					  "0.0595123, 0.0693371, 0.0929270, 0.1509049, 0.2954501, 0.6487350, 1.4997776");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.0347615, 1.0482737, 1.0765975, 1.1321667, 1.2400102, 1.4609248, 1.9607187", \
+					  "2.2601896, 2.2734754, 2.3016500, 2.3574445, 2.4652556, 2.6863145, 3.1858636", \
+					  "2.9410243, 2.9569796, 2.9825011, 3.0382712, 3.1462919, 3.3670964, 3.8666816", \
+					  "3.0784353, 3.0893483, 3.1199079, 3.1756779, 3.2836573, 3.5044738, 4.0040771", \
+					  "3.8237746, 3.8351669, 3.8648080, 3.9209436, 4.0292436, 4.2496180, 4.7494679", \
+					  "4.3667288, 4.3861510, 4.4173025, 4.4695505, 4.5773705, 4.8019904, 5.2924607", \
+					  "4.7666724, 4.7791868, 4.8057549, 4.8620707, 4.9686166, 5.1906093, 5.6923279", \
+					  "5.2931144, 5.3046469, 5.3362932, 5.3920175, 5.4927036, 5.7209779, 6.2189223", \
+					  "11.3302770, 11.3438620, 11.3756930, 11.4298520, 11.5308630, 11.7598760, 12.2554600", \
+					  "14.7791070, 14.7864370, 14.8201640, 14.8762170, 14.9829550, 15.2049270, 15.7048740", \
+					  "28.5878150, 28.6004270, 28.6296910, 28.6853550, 28.7932310, 29.0141420, 29.5135970", \
+					  "75.8834060, 75.8994220, 75.9395600, 75.9819510, 76.1003990, 76.3248380, 76.8184380");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0832984, 0.0939032, 0.1167812, 0.1647626, 0.2738341, 0.5401191, 1.2063558", \
+					  "0.0831048, 0.0939804, 0.1172764, 0.1656694, 0.2747083, 0.5402717, 1.2067877", \
+					  "0.0830957, 0.0939549, 0.1172706, 0.1663927, 0.2753925, 0.5404726, 1.2072500", \
+					  "0.0829496, 0.0939411, 0.1172783, 0.1663894, 0.2754218, 0.5403791, 1.2072567", \
+					  "0.0831898, 0.0940472, 0.1166517, 0.1656585, 0.2759168, 0.5398577, 1.2058942", \
+					  "0.0831205, 0.0939283, 0.1168596, 0.1656910, 0.2753797, 0.5398206, 1.2068112", \
+					  "0.0832160, 0.0938364, 0.1172773, 0.1656846, 0.2755586, 0.5398479, 1.2059012", \
+					  "0.0831715, 0.0940315, 0.1168987, 0.1655536, 0.2751389, 0.5401562, 1.2077092", \
+					  "0.0832331, 0.0940361, 0.1169099, 0.1661019, 0.2751672, 0.5399768, 1.2059047", \
+					  "0.0831943, 0.0939666, 0.1169048, 0.1657009, 0.2756609, 0.5398277, 1.2061799", \
+					  "0.0831646, 0.0939686, 0.1172534, 0.1664278, 0.2753462, 0.5399370, 1.2070728", \
+					  "0.0832172, 0.0940637, 0.1169707, 0.1663906, 0.2755212, 0.5406868, 1.2073390");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("2.7403013, 2.7489859, 2.7685050, 2.8112723, 2.9096301, 3.1442344, 3.7039882", \
+					  "4.3807518, 4.3894208, 4.4087063, 4.4517106, 4.5499893, 4.7847202, 5.3444724", \
+					  "5.6450902, 5.6536642, 5.6738156, 5.7159686, 5.8144125, 6.0481122, 6.6091710", \
+					  "5.9357954, 5.9444654, 5.9648229, 6.0067236, 6.1054735, 6.3398446, 6.8993522", \
+					  "7.7740010, 7.7825835, 7.8008142, 7.8446982, 7.9431208, 8.1770057, 8.7368166", \
+					  "9.5010773, 9.5111989, 9.5111995, 9.5407575, 9.6703410, 9.9040198, 10.4462640", \
+					  "10.8746350, 10.8896550, 10.8964000, 10.9549440, 11.0478440, 11.2776370, 11.8189440", \
+					  "12.7845410, 12.7884730, 12.8116400, 12.8532530, 12.9509610, 13.1802840, 13.7480510", \
+					  "36.0684780, 36.4519400, 36.4519406, 36.5039980, 36.5462040, 36.5462056, 37.1748140", \
+					  "51.0889570, 51.0889607, 51.0889645, 51.0889683, 51.2274380, 51.4096500, 52.0046160", \
+					  "113.0308500, 113.0308571, 113.0308648, 113.0482700, 113.0607400, 113.2939100, 113.8569600", \
+					  "332.0250500, 332.0250702, 332.0312200, 332.0312348, 332.1577300, 332.3798100, 332.9603300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0586470, 0.0684566, 0.0921332, 0.1502138, 0.2948961, 0.6476627, 1.4995195", \
+					  "0.0585897, 0.0683588, 0.0921844, 0.1502801, 0.2947720, 0.6469144, 1.4991538", \
+					  "0.0586730, 0.0685061, 0.0920653, 0.1503744, 0.2940231, 0.6476426, 1.4999657", \
+					  "0.0585494, 0.0683939, 0.0920900, 0.1502603, 0.2947232, 0.6471616, 1.5043358", \
+					  "0.0586007, 0.0683171, 0.0921505, 0.1501151, 0.2947141, 0.6476851, 1.4991219", \
+					  "0.0586081, 0.0684725, 0.0920615, 0.1501918, 0.2945562, 0.6476897, 1.4992412", \
+					  "0.0586017, 0.0684285, 0.0921053, 0.1503578, 0.2936588, 0.6476859, 1.4991941", \
+					  "0.0584564, 0.0684716, 0.0921458, 0.1502210, 0.2943215, 0.6476863, 1.5012810", \
+					  "0.0586235, 0.0684054, 0.0921503, 0.1502434, 0.2939273, 0.6475386, 1.4988987", \
+					  "0.0586721, 0.0685057, 0.0921127, 0.1503676, 0.2942982, 0.6476503, 1.4999016", \
+					  "0.0586665, 0.0685059, 0.0920568, 0.1503814, 0.2939467, 0.6476313, 1.4992146", \
+					  "0.0586708, 0.0685065, 0.0921130, 0.1503825, 0.2947100, 0.6476478, 1.4995499");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("2.6286093, 2.6420097, 2.6687808, 2.7221361, 2.8260383, 3.0435725, 3.5423098", \
+					  "4.4579056, 4.4703632, 4.4970854, 4.5504347, 4.6549480, 4.8723510, 5.3709828", \
+					  "5.8055214, 5.8180198, 5.8447158, 5.8980305, 6.0026837, 6.2201435, 6.7186217", \
+					  "6.0812524, 6.0938019, 6.1204628, 6.1738397, 6.2784005, 6.4958274, 6.9939583", \
+					  "7.5868876, 7.5993949, 7.6260784, 7.6816642, 7.7840543, 7.9991302, 8.4966398", \
+					  "8.7185050, 8.7248750, 8.7512948, 8.8094776, 8.9136929, 9.1286996, 9.6306767", \
+					  "9.5326840, 9.5372066, 9.5652277, 9.6218935, 9.7524699, 9.9433004, 10.4467950", \
+					  "10.6340330, 10.6460480, 10.6681930, 10.7188160, 10.8290020, 11.0467700, 11.5244730", \
+					  "23.8863700, 23.9173480, 23.9295000, 23.9883420, 24.0799750, 24.3038890, 24.8081630", \
+					  "31.7359050, 31.7359057, 31.7874370, 31.8187500, 31.9223550, 32.1402780, 32.6403970", \
+					  "64.1982470, 64.2108090, 64.2375260, 64.3101430, 64.3956640, 64.6322820, 65.1160330", \
+					  "180.1192600, 180.1514900, 180.2410700, 180.2625600, 180.3403600, 180.5429300, 181.1073500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0780269, 0.0883407, 0.1106411, 0.1585995, 0.2671294, 0.5355488, 1.2069383", \
+					  "0.0781229, 0.0883897, 0.1105309, 0.1593616, 0.2682521, 0.5353668, 1.2086171", \
+					  "0.0782198, 0.0884748, 0.1111738, 0.1591583, 0.2684554, 0.5353855, 1.2064114", \
+					  "0.0781053, 0.0885377, 0.1111037, 0.1592458, 0.2689682, 0.5357038, 1.2070519", \
+					  "0.0781223, 0.0885280, 0.1111803, 0.1590331, 0.2688802, 0.5356330, 1.2081962", \
+					  "0.0781214, 0.0885288, 0.1111309, 0.1589952, 0.2684361, 0.5354129, 1.2060339", \
+					  "0.0781161, 0.0885620, 0.1112247, 0.1594124, 0.2685932, 0.5356297, 1.2069502", \
+					  "0.0780915, 0.0885272, 0.1111837, 0.1596993, 0.2685179, 0.5351625, 1.2069409", \
+					  "0.0781320, 0.0885254, 0.1112064, 0.1593335, 0.2685535, 0.5357047, 1.2066050", \
+					  "0.0781484, 0.0886470, 0.1110302, 0.1590887, 0.2687963, 0.5357061, 1.2070200", \
+					  "0.0780388, 0.0884947, 0.1111384, 0.1592852, 0.2687563, 0.5356621, 1.2069969", \
+					  "0.0781283, 0.0885283, 0.1111814, 0.1593855, 0.2687010, 0.5356238, 1.2069433");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("2.7193749, 2.7284179, 2.7474563, 2.7903921, 2.8886480, 3.1230754, 3.6836187", \
+					  "3.9121590, 3.9206762, 3.9399826, 3.9826080, 4.0812611, 4.3152530, 4.8755315", \
+					  "4.4059916, 4.4155852, 4.4350035, 4.4787196, 4.5763371, 4.8100048, 5.3707856", \
+					  "4.4941939, 4.5024975, 4.5218949, 4.5647890, 4.6632267, 4.8973079, 5.4575469", \
+					  "4.9103144, 4.9217461, 4.9394435, 4.9821605, 5.0799791, 5.3142388, 5.8745502", \
+					  "5.1985958, 5.2058531, 5.2267826, 5.2678271, 5.3653717, 5.6001306, 6.1597429", \
+					  "5.4164659, 5.4243698, 5.4452061, 5.4860139, 5.5851244, 5.8187686, 6.3790062", \
+					  "5.7651999, 5.7723160, 5.7925660, 5.8327272, 5.9348371, 6.1690932, 6.7275558", \
+					  "12.0016090, 12.0033890, 12.0180550, 12.0539360, 12.1685680, 12.4037850, 12.9652710", \
+					  "14.4466140, 14.4905120, 14.5098060, 14.5606310, 14.6505180, 14.8534760, 15.4448510", \
+					  "22.6812740, 22.6812754, 22.6812773, 22.6812792, 22.9191850, 23.1521880, 23.5642260", \
+					  "42.5833590, 42.6036240, 42.6036244, 42.6415560, 42.7638870, 43.0458440, 43.2888530");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0586608, 0.0682948, 0.0921415, 0.1505520, 0.2948361, 0.6473023, 1.5024970", \
+					  "0.0586109, 0.0684037, 0.0921300, 0.1502356, 0.2943521, 0.6497139, 1.4980626", \
+					  "0.0586744, 0.0685059, 0.0921119, 0.1503749, 0.2939073, 0.6472626, 1.5031026", \
+					  "0.0586722, 0.0685064, 0.0921120, 0.1503546, 0.2938878, 0.6475736, 1.4993725", \
+					  "0.0585489, 0.0684221, 0.0921453, 0.1503772, 0.2937157, 0.6469588, 1.5019292", \
+					  "0.0586633, 0.0685088, 0.0920587, 0.1503784, 0.2940083, 0.6476486, 1.4996561", \
+					  "0.0586019, 0.0685066, 0.0921982, 0.1501076, 0.2938476, 0.6476853, 1.4989470", \
+					  "0.0585693, 0.0684042, 0.0921120, 0.1503763, 0.2946987, 0.6472044, 1.5009212", \
+					  "0.0586723, 0.0685012, 0.0921382, 0.1502358, 0.2939383, 0.6476036, 1.5009428", \
+					  "0.0586756, 0.0684055, 0.0921468, 0.1502385, 0.2943358, 0.6469487, 1.5029381", \
+					  "0.0586309, 0.0684016, 0.0921138, 0.1503752, 0.2940075, 0.6476497, 1.5014949", \
+					  "0.0586281, 0.0683971, 0.0921120, 0.1503149, 0.2938774, 0.6472973, 1.5002276");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.6220937, 3.6334384, 3.6616183, 3.7160408, 3.8200434, 4.0374903, 4.5361048", \
+					  "5.4847749, 5.4973670, 5.5240119, 5.5813270, 5.6820189, 5.8992411, 6.3979217", \
+					  "7.2189184, 7.2314359, 7.2672433, 7.3125705, 7.4161228, 7.6335285, 8.1320115", \
+					  "7.5764213, 7.5888975, 7.6152755, 7.6650997, 7.7719795, 7.9910265, 8.4895095", \
+					  "9.5219681, 9.5484012, 9.5752375, 9.6294590, 9.7243413, 9.9503152, 10.4489820", \
+					  "11.0097770, 11.0260410, 11.0509210, 11.1010730, 11.2278050, 11.4265540, 11.9241730", \
+					  "12.0851840, 12.0959500, 12.1224440, 12.1776530, 12.2776480, 12.4986310, 12.9988160", \
+					  "13.5358540, 13.5479380, 13.5720420, 13.6334100, 13.7150300, 13.9502170, 14.4449150", \
+					  "30.8357360, 30.8722220, 30.9032410, 30.9519200, 31.0812390, 31.2349360, 31.7550960", \
+					  "41.0710610, 41.0828350, 41.0919550, 41.1409890, 41.2642260, 41.4624000, 41.9825770", \
+					  "83.5164260, 83.5362070, 83.5610830, 83.6269790, 83.7200180, 83.9359440, 84.4345890", \
+					  "236.8353100, 236.8624300, 236.8699400, 236.9287000, 237.0266300, 237.2468700, 237.7455500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0779077, 0.0884618, 0.1106440, 0.1583356, 0.2673162, 0.5356063, 1.2070134", \
+					  "0.0781508, 0.0885904, 0.1111087, 0.1593280, 0.2683593, 0.5351101, 1.2057197", \
+					  "0.0781198, 0.0885883, 0.1111863, 0.1589808, 0.2683644, 0.5356374, 1.2069488", \
+					  "0.0781203, 0.0885267, 0.1111820, 0.1591078, 0.2687838, 0.5356372, 1.2069490", \
+					  "0.0781421, 0.0883565, 0.1111346, 0.1593181, 0.2688151, 0.5353784, 1.2058685", \
+					  "0.0781190, 0.0885793, 0.1111041, 0.1597283, 0.2685281, 0.5356486, 1.2062794", \
+					  "0.0781184, 0.0885230, 0.1111701, 0.1590831, 0.2685873, 0.5356293, 1.2069400", \
+					  "0.0781201, 0.0885655, 0.1111819, 0.1590134, 0.2687905, 0.5357051, 1.2069394", \
+					  "0.0782319, 0.0884955, 0.1111424, 0.1592469, 0.2684316, 0.5356701, 1.2069458", \
+					  "0.0782646, 0.0885848, 0.1111804, 0.1595130, 0.2683780, 0.5356632, 1.2069427", \
+					  "0.0782948, 0.0885366, 0.1108950, 0.1591520, 0.2686627, 0.5357054, 1.2070379", \
+					  "0.0780427, 0.0885994, 0.1111074, 0.1592657, 0.2684975, 0.5356807, 1.2069853");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("14.3590140, 14.3673780, 14.3868660, 14.4296500, 14.5288570, 14.7627010, 15.3252790", \
+					  "14.4641580, 14.4729900, 14.4922570, 14.5351440, 14.6339510, 14.8680320, 15.4311340", \
+					  "14.5344240, 14.5430930, 14.5620900, 14.6048600, 14.7041400, 14.9375370, 15.5002730", \
+					  "14.5959480, 14.6045100, 14.6222560, 14.6651450, 14.7655500, 14.9982980, 15.5613530", \
+					  "14.6445790, 14.6532110, 14.6729540, 14.7157590, 14.8141100, 15.0482540, 15.6112780", \
+					  "14.6934190, 14.7020500, 14.7218900, 14.7646770, 14.8629490, 15.0971060, 15.6601330", \
+					  "14.7400740, 14.7487170, 14.7657720, 14.8085730, 14.9097660, 15.1414400, 15.7041880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0585851, 0.0684981, 0.0920073, 0.1503059, 0.2943172, 0.6482836, 1.5029081", \
+					  "0.0586281, 0.0683049, 0.0921709, 0.1503293, 0.2943627, 0.6484470, 1.5037308", \
+					  "0.0585720, 0.0684051, 0.0919307, 0.1503300, 0.2949345, 0.6483605, 1.5023153", \
+					  "0.0586344, 0.0684089, 0.0920909, 0.1503511, 0.2949278, 0.6482606, 1.5036976", \
+					  "0.0586803, 0.0683866, 0.0921964, 0.1503407, 0.2940227, 0.6481228, 1.5025353", \
+					  "0.0586803, 0.0683866, 0.0919293, 0.1503304, 0.2940227, 0.6481402, 1.5025921", \
+					  "0.0585130, 0.0683176, 0.0920725, 0.1503031, 0.2947345, 0.6484752, 1.5028857");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("9.1391570, 9.1516785, 9.1782990, 9.2315879, 9.3361159, 9.5535873, 10.0521390", \
+					  "9.2047010, 9.2171977, 9.2438777, 9.2971622, 9.4016368, 9.6191188, 10.1177090", \
+					  "9.2282404, 9.2407788, 9.2674659, 9.3207069, 9.4254604, 9.6429371, 10.1412240", \
+					  "9.2397698, 9.2523189, 9.2789456, 9.3322310, 9.4368415, 9.6543173, 10.1527790", \
+					  "9.2438874, 9.2564356, 9.2830632, 9.3363477, 9.4415720, 9.6590895, 10.1568960", \
+					  "9.2449808, 9.2575290, 9.2841548, 9.3374419, 9.4419930, 9.6594714, 10.1579930", \
+					  "9.2417496, 9.2542987, 9.2809245, 9.3346770, 9.4392352, 9.6567359, 10.1547600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0779365, 0.0883658, 0.1108927, 0.1590039, 0.2686978, 0.5358422, 1.2051526", \
+					  "0.0781214, 0.0883418, 0.1108931, 0.1590101, 0.2686338, 0.5356705, 1.2051529", \
+					  "0.0779797, 0.0883829, 0.1104476, 0.1587846, 0.2686761, 0.5357576, 1.2046619", \
+					  "0.0781223, 0.0883535, 0.1108928, 0.1590083, 0.2686865, 0.5357797, 1.2051493", \
+					  "0.0781220, 0.0883531, 0.1108930, 0.1590093, 0.2683557, 0.5355794, 1.2051514", \
+					  "0.0781263, 0.0883570, 0.1108920, 0.1590015, 0.2686648, 0.5357330, 1.2051395", \
+					  "0.0781255, 0.0883563, 0.1108924, 0.1590560, 0.2685141, 0.5353296, 1.2051399");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.176100;
+			max_transition : 3.758029;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452202, 0.06013295, 0.1474581, 0.3615971, 0.8867092, 2.17439");
+					values("1.2551777, 1.2562361, 1.2616077, 1.2699196, 1.2770857, 1.2743741, 1.2499789", \
+					  "-0.1645549, -0.1629419, -0.1603788, -0.1534595, -0.1482510, -0.1531042, -0.1791534", \
+					  "0.0925046, 0.0936873, 0.0935137, 0.0948724, 0.0572687, -0.0129960, -0.0407236", \
+					  "0.2017101, 0.2037212, 0.2036793, 0.2053696, 0.2093559, 0.1999835, 0.0871934", \
+					  "0.2991033, 0.3012825, 0.2985985, 0.3064952, 0.3087573, 0.3123653, 0.1648266", \
+					  "0.3860171, 0.3760695, 0.3853604, 0.3930995, 0.3967976, 0.4066004, 0.3502110", \
+					  "0.4776721, 0.4607484, 0.4672874, 0.4846516, 0.4782513, 0.4850724, 0.4909104");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452202, 0.06013295, 0.1474581, 0.3615971, 0.8867092, 2.17439");
+					values("1.3108054, 1.3170465, 1.3315536, 1.3554349, 1.3801124, 1.3937315, 1.3997087", \
+					  "2.8252812, 2.8325768, 2.8487928, 2.8757840, 2.9043341, 2.9204588, 2.9274890", \
+					  "2.8199385, 2.8210806, 2.8232108, 2.8288669, 2.8356295, 2.8464861, 2.9409168", \
+					  "2.8414048, 2.8423164, 2.8448951, 2.8497695, 2.8583717, 2.8648516, 2.8826377", \
+					  "2.8657740, 2.8650801, 2.8654564, 2.8745836, 2.8818029, 2.8851806, 2.8895757", \
+					  "2.8976049, 2.8827511, 2.8850175, 2.8948916, 2.9148808, 2.9038701, 2.9125832", \
+					  "2.9163586, 2.9014595, 2.9037881, 2.9166801, 2.9335083, 2.9252850, 2.9307201");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.1646764, 1.1659658, 1.1712162, 1.1786061, 1.1828171, 1.1802009, 1.1562010", \
+					  "-0.3326902, -0.3308543, -0.3272740, -0.3214382, -0.3129746, -0.3231074, -0.3473564", \
+					  "-0.1740294, -0.1744324, -0.1720577, -0.1698385, -0.1679215, -0.2851816, -0.2983999", \
+					  "-0.1348150, -0.1333433, -0.1313428, -0.1294320, -0.1233733, -0.1396640, -0.2627897", \
+					  "-0.0916433, -0.0911669, -0.0827994, -0.0875580, -0.0781716, -0.0909995, -0.1570283", \
+					  "-0.0307074, -0.0332908, -0.0306792, -0.0268711, -0.0259361, -0.0353752, -0.0076547", \
+					  "0.0353273, 0.0321224, 0.0313529, 0.0259009, 0.0391588, 0.0320323, 0.0355122");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.4073526, 1.4166528, 1.4355273, 1.4690170, 1.5046896, 1.5290512, 1.5414304", \
+					  "2.8941211, 2.9035793, 2.9227751, 2.9554248, 2.9925634, 3.0170523, 3.0294352", \
+					  "2.8070510, 2.8193960, 2.8503675, 2.9081329, 2.9810089, 3.0168637, 3.0319894", \
+					  "2.9076956, 2.9248908, 2.9108467, 2.9144857, 2.9223570, 2.9521004, 3.0439746", \
+					  "2.9322469, 2.9569994, 2.9284227, 2.9343218, 2.9445001, 2.9582556, 3.0140469", \
+					  "2.9506083, 2.9678233, 2.9546070, 2.9608852, 2.9624105, 2.9739735, 2.9747485", \
+					  "2.9825246, 2.9847717, 2.9713469, 2.9776567, 2.9869828, 2.9923017, 2.9961923");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.2596622, 1.2618050, 1.2667125, 1.2738647, 1.2791846, 1.2787663, 1.2450628", \
+					  "0.1618503, 0.1663028, 0.1693888, 0.1779062, 0.1791545, 0.1794583, 0.1541486", \
+					  "0.7851891, 0.7726549, 0.7880711, 0.7875066, 0.7170294, 0.6837534, 0.6551253", \
+					  "1.3087198, 1.3084196, 1.3118449, 1.3151013, 1.3202486, 1.2739100, 1.1977765", \
+					  "1.8930745, 1.8851463, 1.8995055, 1.9034156, 1.8949007, 1.9108716, 1.7601924", \
+					  "2.5597031, 2.5486054, 2.5696147, 2.5659028, 2.5582982, 2.5755106, 2.4845321", \
+					  "3.2393738, 3.2396843, 3.2534169, 3.2557386, 3.2626692, 3.2711774, 3.2419486");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.4905577, 1.4972559, 1.5139088, 1.5421081, 1.5703318, 1.5889176, 1.5974008", \
+					  "2.9544017, 2.9623012, 2.9791814, 3.0069597, 3.0377907, 3.0463117, 3.0654815", \
+					  "2.9235308, 2.9239809, 2.9266123, 2.9328714, 2.9394865, 3.0603488, 3.0674723", \
+					  "2.9458252, 2.9518753, 2.9502871, 2.9555716, 2.9801630, 2.9905009, 3.0831975", \
+					  "2.9643931, 2.9830886, 2.9680171, 2.9744417, 2.9987438, 3.0095375, 3.0076219", \
+					  "2.9843456, 2.9999748, 2.9877218, 2.9927016, 3.0161407, 3.0257177, 3.0250943", \
+					  "3.0039140, 3.0163198, 3.0039506, 3.0063573, 3.0299758, 3.0411773, 3.0422724");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.9338319, 2.0042475, 2.2792405, 2.3101203, 2.3436124, 2.3516274, 2.3375122", \
+					  "1.9326608, 2.0025495, 2.2769665, 2.3121066, 2.3308143, 2.3547758, 2.3469299", \
+					  "1.9335420, 2.0042161, 2.2783447, 2.3081982, 2.3356539, 2.3466572, 2.3373392", \
+					  "1.9327165, 2.0026018, 2.2779770, 2.3102259, 2.3353870, 2.3408104, 2.3441293", \
+					  "1.9335872, 2.0038496, 2.2776032, 2.3143794, 2.3313860, 2.3558293, 2.3376242", \
+					  "1.9335560, 2.0038793, 2.2781666, 2.3097867, 2.3318860, 2.3534345, 2.3376579", \
+					  "1.9325171, 2.0034773, 2.2782976, 2.3087017, 2.3327994, 2.3509895, 2.3356038");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.5760054, 1.5852566, 1.5960402, 1.6263625, 1.6661312, 1.7029103, 1.7138201", \
+					  "1.5697714, 1.5796905, 1.5971737, 1.6218868, 1.6673301, 1.6967558, 1.7133673", \
+					  "1.5706595, 1.5817683, 1.5884166, 1.6256728, 1.6654542, 1.6959427, 1.7166962", \
+					  "1.5706277, 1.5787485, 1.5973709, 1.6217212, 1.6641034, 1.6966564, 1.7157273", \
+					  "1.5705553, 1.5820941, 1.5977487, 1.6222160, 1.6645735, 1.6995378, 1.7078045", \
+					  "1.5712085, 1.5813350, 1.5966309, 1.6214517, 1.6652796, 1.6968898, 1.7138906", \
+					  "1.5714016, 1.5813802, 1.5963634, 1.6232828, 1.6649165, 1.6995744, 1.7139529");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("3.3128960, 3.3476142, 3.4247256, 3.5837939, 3.8937538, 4.5379358, 6.0222658", \
+					  "4.8770938, 4.9122324, 4.9888360, 5.1462905, 5.4571001, 6.1012160, 7.5849167", \
+					  "6.0256373, 6.0605490, 6.1376859, 6.2955294, 6.6055432, 7.2504815, 8.7322345", \
+					  "6.2730381, 6.3079488, 6.3845267, 6.5429853, 6.8540325, 7.4978619, 8.9842622", \
+					  "7.6861069, 7.7305575, 7.8078397, 7.9560829, 8.2671923, 8.9103382, 10.3956120", \
+					  "8.7738430, 8.8236058, 8.8990966, 9.0428109, 9.3697921, 10.0006990, 11.4840720", \
+					  "9.6025170, 9.6150066, 9.7144488, 9.8780752, 10.1711260, 10.8130260, 12.3198030", \
+					  "10.7399070, 10.7654900, 10.8439340, 11.0018080, 11.3100180, 11.9541500, 13.4421390", \
+					  "24.8701170, 24.8701182, 24.8701201, 25.1998650, 25.4990960, 26.1239260, 27.4828640", \
+					  "33.4518870, 33.4518872, 33.5662130, 33.7836280, 34.0163100, 34.6876200, 36.1420190", \
+					  "69.4092960, 69.4383000, 69.5134900, 69.6990550, 69.9699920, 70.6350460, 72.1164070", \
+					  "199.8883300, 200.0261100, 200.0532500, 200.3129000, 200.5637900, 201.0979500, 202.8062100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("0.3602419, 0.3865060, 0.4457524, 0.5808788, 0.8959458, 1.6888739, 3.7455288", \
+					  "0.3598836, 0.3845064, 0.4441198, 0.5806848, 0.8923216, 1.6894759, 3.7455274", \
+					  "0.3548299, 0.3865090, 0.4478537, 0.5817397, 0.8951715, 1.6893321, 3.7321537", \
+					  "0.3590336, 0.3857737, 0.4464168, 0.5795341, 0.8960275, 1.6871337, 3.7372621", \
+					  "0.3589758, 0.3865477, 0.4465295, 0.5799168, 0.8950529, 1.6852241, 3.7440211", \
+					  "0.3589286, 0.3862320, 0.4456109, 0.5782710, 0.8959715, 1.6884814, 3.7383145", \
+					  "0.3572368, 0.3865559, 0.4460354, 0.5783564, 0.8944354, 1.6909918, 3.7500203", \
+					  "0.3590786, 0.3858805, 0.4461407, 0.5782708, 0.8955074, 1.6893715, 3.7424055", \
+					  "0.3587892, 0.3850435, 0.4461413, 0.5782715, 0.8952184, 1.6895543, 3.7485663", \
+					  "0.3587196, 0.3851325, 0.4459692, 0.5790937, 0.8948401, 1.6898231, 3.7532355", \
+					  "0.3572321, 0.3865328, 0.4458626, 0.5808672, 0.8947917, 1.6888281, 3.7449568", \
+					  "0.3557083, 0.3864841, 0.4450842, 0.5809595, 0.8957475, 1.6883898, 3.7492822");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("3.0208958, 3.0484641, 3.1128693, 3.2461187, 3.4973398, 3.9723989, 4.9753588", \
+					  "4.2455319, 4.2739230, 4.3379593, 4.4710813, 4.7219242, 5.1973625, 6.2009873", \
+					  "4.9256168, 4.9539932, 5.0184479, 5.1521263, 5.4022511, 5.8772582, 6.8819719", \
+					  "5.0637095, 5.0920894, 5.1560036, 5.2893081, 5.5393694, 6.0153327, 7.0177895", \
+					  "5.7953100, 5.8373493, 5.9009388, 6.0344458, 6.2858838, 6.7598838, 7.7642586", \
+					  "6.3574479, 6.3851622, 6.4487318, 6.5856649, 6.8341199, 7.3084054, 8.3138980", \
+					  "6.7487682, 6.7744930, 6.8383947, 6.9707191, 7.2253874, 7.7017441, 8.7022016", \
+					  "7.2746977, 7.3022304, 7.3679178, 7.4981186, 7.7500300, 8.2308951, 9.2345340", \
+					  "13.3127450, 13.3401740, 13.4066220, 13.5370510, 13.7882600, 14.2492270, 15.2665060", \
+					  "16.7681230, 16.7894800, 16.8521980, 16.9868710, 17.2350130, 17.7156600, 18.7059330", \
+					  "30.5568050, 30.5653030, 30.6571980, 30.7917820, 31.0315970, 31.5178990, 32.5202230", \
+					  "77.8708870, 77.8994080, 77.9636840, 78.0931730, 78.3448610, 78.8207970, 79.8204100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("0.2758931, 0.2996628, 0.3523298, 0.4592388, 0.6768407, 1.1711677, 2.4328188", \
+					  "0.2774412, 0.2997712, 0.3510766, 0.4577150, 0.6751012, 1.1707084, 2.4295530", \
+					  "0.2763628, 0.2987609, 0.3517056, 0.4597134, 0.6764016, 1.1718467, 2.4288305", \
+					  "0.2771247, 0.2995892, 0.3530310, 0.4597795, 0.6762049, 1.1715520, 2.4282014", \
+					  "0.2771213, 0.2985642, 0.3526404, 0.4585497, 0.6774712, 1.1705377, 2.4275895", \
+					  "0.2774460, 0.2985476, 0.3528499, 0.4583479, 0.6771456, 1.1708784, 2.4272662", \
+					  "0.2761827, 0.2997011, 0.3511625, 0.4585809, 0.6773104, 1.1718727, 2.4288789", \
+					  "0.2771276, 0.2995902, 0.3525031, 0.4591482, 0.6777027, 1.1716278, 2.4260742", \
+					  "0.2771568, 0.2990004, 0.3530389, 0.4588115, 0.6775777, 1.1704472, 2.4310094", \
+					  "0.2771202, 0.2998592, 0.3525313, 0.4586194, 0.6765881, 1.1714107, 2.4264770", \
+					  "0.2771105, 0.2996107, 0.3530529, 0.4591317, 0.6765962, 1.1713942, 2.4305202", \
+					  "0.2770270, 0.2997274, 0.3530232, 0.4589659, 0.6769344, 1.1714724, 2.4277838");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("3.5182564, 3.5532759, 3.6309258, 3.7861487, 4.0964316, 4.7395270, 6.2251173", \
+					  "4.7094155, 4.7449595, 4.8210893, 4.9774500, 5.2881410, 5.9301488, 7.4169235", \
+					  "5.2053908, 5.2404654, 5.3170508, 5.4737832, 5.7839413, 6.4273853, 7.9130249", \
+					  "5.2930718, 5.3281286, 5.4036002, 5.5592668, 5.8700009, 6.5144543, 7.9981319", \
+					  "5.7094200, 5.7446323, 5.8213478, 5.9779048, 6.2902061, 6.9303789, 8.4174063", \
+					  "5.9962169, 6.0305467, 6.1083418, 6.2638423, 6.5742531, 7.2158088, 8.7025693", \
+					  "6.2148446, 6.2515841, 6.3262333, 6.4849122, 6.7927544, 7.4370443, 8.9234504", \
+					  "6.5637531, 6.5992478, 6.6742807, 6.8331341, 7.1407462, 7.7863040, 9.2606074", \
+					  "12.7992150, 12.8341350, 12.9058170, 13.0667590, 13.3698570, 14.0125250, 15.5071390", \
+					  "15.2901350, 15.3147250, 15.4033710, 15.5608610, 15.8589340, 16.5044650, 18.0001900", \
+					  "23.5330400, 23.5926570, 23.5926581, 23.5969450, 23.8939710, 24.5355040, 26.2447040", \
+					  "43.1417110, 43.4555930, 43.4555951, 43.6178450, 43.9736030, 44.5486140, 46.0899660");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.3529396, 0.3838404, 0.4416565, 0.5751730, 0.8912285, 1.6849043, 3.7372767", \
+					  "0.3534040, 0.3816148, 0.4408825, 0.5756687, 0.8920080, 1.6893973, 3.7429513", \
+					  "0.3579078, 0.3841009, 0.4428351, 0.5777191, 0.8905701, 1.6887789, 3.7412766", \
+					  "0.3535018, 0.3799384, 0.4394934, 0.5750827, 0.8916342, 1.6928929, 3.7389887", \
+					  "0.3575943, 0.3816806, 0.4426686, 0.5782809, 0.8933129, 1.6883891, 3.7395584", \
+					  "0.3541333, 0.3849230, 0.4416449, 0.5761642, 0.8929000, 1.6898875, 3.7415115", \
+					  "0.3575588, 0.3831020, 0.4422529, 0.5771730, 0.8927458, 1.6895364, 3.7430294", \
+					  "0.3578226, 0.3813921, 0.4410198, 0.5751164, 0.8920803, 1.6898405, 3.7501833", \
+					  "0.3542802, 0.3813833, 0.4409584, 0.5755033, 0.8925839, 1.6846632, 3.7403831", \
+					  "0.3575839, 0.3830989, 0.4423107, 0.5782307, 0.8921075, 1.6847146, 3.7538914", \
+					  "0.3543464, 0.3832830, 0.4431571, 0.5782181, 0.8931436, 1.6889155, 3.7515271", \
+					  "0.3543231, 0.3835493, 0.4429973, 0.5750261, 0.8922861, 1.6898778, 3.7513632");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("4.1411350, 4.1707171, 4.2378829, 4.3776920, 4.6387045, 5.1270437, 6.1429919", \
+					  "6.0018208, 6.0315393, 6.0985501, 6.2385230, 6.4997390, 6.9887558, 8.0029237", \
+					  "7.7358600, 7.7654017, 7.8321358, 7.9722291, 8.2336795, 8.7216776, 9.7359631", \
+					  "8.0931839, 8.1229325, 8.1897049, 8.3299323, 8.5908587, 9.0797272, 10.0921310", \
+					  "10.0496160, 10.0799320, 10.1498820, 10.2894460, 10.5507440, 11.0390590, 12.0529230", \
+					  "11.5304810, 11.5577620, 11.6280790, 11.7653590, 12.0222590, 12.5156260, 13.5303430", \
+					  "12.6025020, 12.6214580, 12.7005580, 12.8699840, 13.1137230, 13.5871230, 14.5973360", \
+					  "14.0542310, 14.0825110, 14.1491950, 14.2905730, 14.5520320, 15.0389120, 16.0280320", \
+					  "31.3887440, 31.4208960, 31.4753700, 31.6074920, 31.8712910, 32.3630010, 33.3757840", \
+					  "41.5884140, 41.6188470, 41.6915360, 41.8257040, 42.0870770, 42.5597260, 43.5903080", \
+					  "84.0350150, 84.0703580, 84.1353510, 84.2772540, 84.5380370, 85.0342160, 86.0415380", \
+					  "237.3876800, 237.3929100, 237.4538000, 237.6055600, 237.8750000, 238.3638500, 239.3653100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.2823196, 0.3081670, 0.3634463, 0.4773926, 0.7003535, 1.1955565, 2.4410016", \
+					  "0.2829977, 0.3069760, 0.3633162, 0.4771683, 0.6989709, 1.1958047, 2.4443991", \
+					  "0.2828016, 0.3081511, 0.3630183, 0.4767999, 0.6997813, 1.1911868, 2.4456408", \
+					  "0.2822723, 0.3078160, 0.3634969, 0.4769129, 0.6999376, 1.1949389, 2.4383355", \
+					  "0.2827476, 0.3073611, 0.3630815, 0.4769537, 0.6993951, 1.1917002, 2.4470701", \
+					  "0.2828980, 0.3082018, 0.3638641, 0.4768460, 0.6966970, 1.1947323, 2.4451474", \
+					  "0.2824468, 0.3075475, 0.3634550, 0.4770247, 0.6999087, 1.1949741, 2.4410647", \
+					  "0.2819705, 0.3075597, 0.3633280, 0.4768300, 0.6999043, 1.1952930, 2.4438442", \
+					  "0.2827187, 0.3081218, 0.3637108, 0.4769905, 0.6999948, 1.1947254, 2.4468174", \
+					  "0.2830249, 0.3082757, 0.3636906, 0.4770244, 0.6999954, 1.1918078, 2.4433084", \
+					  "0.2827509, 0.3082031, 0.3636740, 0.4772845, 0.7001695, 1.1948831, 2.4464992", \
+					  "0.2830673, 0.3079816, 0.3641317, 0.4773314, 0.7003924, 1.1944266, 2.4458935");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("3.5398178, 3.5742154, 3.6507741, 3.8069090, 4.1169876, 4.7602788, 6.2457626", \
+					  "5.1797575, 5.2146172, 5.2916276, 5.4477955, 5.7578307, 6.4000067, 7.8866043", \
+					  "6.4436612, 6.4786816, 6.5556813, 6.7115002, 7.0215336, 7.6643571, 9.1454790", \
+					  "6.7346249, 6.7694788, 6.8463337, 7.0031003, 7.3130071, 7.9558138, 9.4428332", \
+					  "8.5665084, 8.6073078, 8.6839647, 8.8414014, 9.1496419, 9.7920738, 11.2782000", \
+					  "10.2985450, 10.3343750, 10.4099760, 10.5687460, 10.8776940, 11.4920490, 13.0117770", \
+					  "11.6753290, 11.7071780, 11.7976970, 11.9436990, 12.2514390, 12.8911580, 14.3849760", \
+					  "13.5818010, 13.6003390, 13.6958400, 13.8472310, 14.1609830, 14.8038610, 16.2898410", \
+					  "37.0177490, 37.2033600, 37.2033635, 37.3016820, 37.5922870, 38.2373100, 39.8187330", \
+					  "51.8182150, 51.8182164, 51.8869360, 52.1056800, 52.3722900, 53.0782850, 54.5276610", \
+					  "113.7573200, 113.7573205, 113.9761200, 113.9761239, 114.4623200, 114.9121600, 116.1469900", \
+					  "332.7586200, 332.7586213, 332.9168100, 333.0611400, 333.3407800, 333.8786000, 335.4601900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.3550485, 0.3829259, 0.4433290, 0.5771750, 0.8907115, 1.6867063, 3.7375032", \
+					  "0.3538937, 0.3842762, 0.4430656, 0.5777754, 0.8897215, 1.6879661, 3.7500745", \
+					  "0.3541621, 0.3813385, 0.4415326, 0.5759385, 0.8928483, 1.6898856, 3.7304373", \
+					  "0.3575578, 0.3834071, 0.4424380, 0.5783402, 0.8927710, 1.6896909, 3.7329890", \
+					  "0.3541258, 0.3834541, 0.4423460, 0.5759706, 0.8915247, 1.6892268, 3.7413628", \
+					  "0.3542402, 0.3833581, 0.4421876, 0.5770627, 0.8929015, 1.6885501, 3.7458263", \
+					  "0.3575433, 0.3834550, 0.4416608, 0.5750281, 0.8929167, 1.6898370, 3.7373424", \
+					  "0.3541384, 0.3833903, 0.4412669, 0.5749825, 0.8920108, 1.6895676, 3.7441668", \
+					  "0.3576071, 0.3835676, 0.4417800, 0.5770894, 0.8929134, 1.6898958, 3.7537999", \
+					  "0.3541428, 0.3813833, 0.4410379, 0.5782170, 0.8927358, 1.6845220, 3.7414813", \
+					  "0.3534762, 0.3817586, 0.4411411, 0.5750002, 0.8925106, 1.6895573, 3.7390366", \
+					  "0.3541018, 0.3816689, 0.4412467, 0.5749408, 0.8921687, 1.6896512, 3.7389185");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("3.1466767, 3.1764076, 3.2432135, 3.3837091, 3.6450645, 4.1328225, 5.1483413", \
+					  "4.9750963, 5.0049276, 5.0716986, 5.2117941, 5.4729523, 5.9608523, 6.9762116", \
+					  "6.3229333, 6.3524905, 6.4194174, 6.5591421, 6.8220878, 7.3092856, 8.3226155", \
+					  "6.5984134, 6.6280941, 6.6949872, 6.8348296, 7.0971287, 7.5843749, 8.5996801", \
+					  "8.1038793, 8.1335223, 8.2005442, 8.3405709, 8.6045162, 9.0915240, 10.1038900", \
+					  "9.2293568, 9.2589794, 9.3259871, 9.4656469, 9.7200115, 10.2200120, 11.2110940", \
+					  "10.0425500, 10.0621880, 10.1391380, 10.2788290, 10.5385980, 11.0326780, 12.0414930", \
+					  "11.1501700, 11.1782710, 11.2471470, 11.3871930, 11.6402520, 12.1295990, 13.1577660", \
+					  "24.4274630, 24.4451250, 24.4957210, 24.6620200, 24.9103990, 25.3993560, 26.4176610", \
+					  "32.2437440, 32.2755720, 32.3384520, 32.4804080, 32.7740110, 33.2298030, 34.2435310", \
+					  "64.7434960, 64.7455780, 64.8298480, 64.9525450, 65.2133140, 65.7215440, 66.7174050", \
+					  "180.6293100, 180.6807900, 180.8111100, 180.8935800, 181.2281900, 181.6256400, 182.6668100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.2827303, 0.3076236, 0.3640251, 0.4771719, 0.6998485, 1.1951655, 2.4406783", \
+					  "0.2829950, 0.3078162, 0.3630986, 0.4773004, 0.6997938, 1.1936145, 2.4460109", \
+					  "0.2830867, 0.3076378, 0.3637436, 0.4768151, 0.6999213, 1.1953872, 2.4368765", \
+					  "0.2826025, 0.3075875, 0.3637798, 0.4768693, 0.6998809, 1.1939437, 2.4435463", \
+					  "0.2818196, 0.3080379, 0.3636199, 0.4770796, 0.7001643, 1.1911508, 2.4417317", \
+					  "0.2819005, 0.3080150, 0.3635848, 0.4770649, 0.6999282, 1.1928276, 2.4378057", \
+					  "0.2828423, 0.3080655, 0.3631863, 0.4772042, 0.6997562, 1.1937357, 2.4452258", \
+					  "0.2818955, 0.3075520, 0.3629239, 0.4768676, 0.6998347, 1.1953075, 2.4455258", \
+					  "0.2829795, 0.3075486, 0.3638436, 0.4769473, 0.6993782, 1.1935883, 2.4438449", \
+					  "0.2828373, 0.3082935, 0.3632682, 0.4769702, 0.6999352, 1.1917133, 2.4452682", \
+					  "0.2830728, 0.3076874, 0.3636270, 0.4772311, 0.6998251, 1.1942818, 2.4456284", \
+					  "0.2824150, 0.3082688, 0.3638691, 0.4773246, 0.7002990, 1.1929200, 2.4432871");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("13.5726940, 13.6077110, 13.6843990, 13.8412610, 14.1523330, 14.7982650, 16.2857440", \
+					  "13.6778500, 13.7127190, 13.7892420, 13.9462980, 14.2573030, 14.9034760, 16.3909440", \
+					  "13.7482580, 13.7828150, 13.8595610, 14.0166670, 14.3276450, 14.9737200, 16.4589570", \
+					  "13.8096650, 13.8428000, 13.9195220, 14.0765760, 14.3875770, 15.0337310, 16.5228060", \
+					  "13.8584500, 13.8937550, 13.9705050, 14.1275860, 14.4385770, 15.0846680, 16.5693720", \
+					  "13.9072910, 13.9426200, 14.0193720, 14.1764690, 14.4874480, 15.1335280, 16.6182090", \
+					  "13.9516160, 13.9865510, 14.0633700, 14.2204740, 14.5314040, 15.1775250, 16.6671800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("0.3597718, 0.3842107, 0.4431893, 0.5773508, 0.8927865, 1.6926043, 3.7379655", \
+					  "0.3588342, 0.3842122, 0.4442413, 0.5767895, 0.8929238, 1.6932495, 3.7392507", \
+					  "0.3589560, 0.3852470, 0.4438106, 0.5772421, 0.8927912, 1.6908078, 3.7448435", \
+					  "0.3589182, 0.3849839, 0.4442254, 0.5767813, 0.8927796, 1.6933542, 3.7403529", \
+					  "0.3598637, 0.3852122, 0.4438346, 0.5772671, 0.8928047, 1.6902851, 3.7451389", \
+					  "0.3598636, 0.3852359, 0.4437912, 0.5772309, 0.8927968, 1.6905933, 3.7451291", \
+					  "0.3597371, 0.3853802, 0.4433071, 0.5773910, 0.8923753, 1.6926596, 3.7393333");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("11.8835790, 11.9232310, 12.0135780, 12.2060210, 12.5624380, 13.1791950, 14.3212140", \
+					  "11.9490090, 11.9888020, 12.0792640, 12.2718820, 12.6283820, 13.2431090, 14.3880000", \
+					  "11.9730250, 12.0125530, 12.1029660, 12.2954770, 12.6520940, 13.2668990, 14.4117220", \
+					  "11.9840540, 12.0237580, 12.1143200, 12.3069330, 12.6629220, 13.2784040, 14.4219130", \
+					  "11.9881870, 12.0290770, 12.1184410, 12.3110600, 12.6680980, 13.2842590, 14.4279400", \
+					  "11.9892040, 12.0291010, 12.1194480, 12.3117530, 12.6686780, 13.2834330, 14.4281800", \
+					  "11.9866300, 12.0266600, 12.1168790, 12.3095010, 12.6662630, 13.2804900, 14.4258300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("0.4192966, 0.4528059, 0.5284022, 0.6804002, 0.9472891, 1.4627481, 2.6707243", \
+					  "0.4182349, 0.4527247, 0.5277870, 0.6811397, 0.9494335, 1.4643513, 2.6747120", \
+					  "0.4189211, 0.4527319, 0.5286542, 0.6798894, 0.9493346, 1.4641899, 2.6744531", \
+					  "0.4182288, 0.4528837, 0.5277871, 0.6811368, 0.9475531, 1.4661941, 2.6698357", \
+					  "0.4182324, 0.4529125, 0.5277871, 0.6811385, 0.9485276, 1.4656559, 2.6667781", \
+					  "0.4181065, 0.4527244, 0.5277824, 0.6801369, 0.9494184, 1.4642461, 2.6758233", \
+					  "0.4181933, 0.4529069, 0.5277915, 0.6811158, 0.9496278, 1.4665739, 2.6761418");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.189247;
+			max_capacitance : 551.189000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.2591939, 9.2477724, 9.2742391, 9.3598850, 9.2376304, 7.5485865, -16.2060242", \
+					  "9.1907062, 9.1864327, 9.2011544, 9.2403149, 9.2058642, 7.7543274, -15.7942934", \
+					  "9.1348715, 9.1369324, 9.1440126, 9.1934488, 9.1548746, 7.6931723, -15.7949537", \
+					  "9.1025584, 9.1093142, 9.1270613, 9.1441509, 9.1358659, 7.7311883, -16.2906062", \
+					  "9.1005027, 9.1093749, 9.1100949, 9.1321363, 9.1125235, 7.5878290, -15.9604867", \
+					  "9.0867727, 9.0878942, 9.0954454, 9.1374890, 9.1055814, 7.6911538, -16.1528433", \
+					  "9.0714996, 9.0731276, 9.0803181, 9.1088248, 9.0904410, 7.5784344, -16.0163309");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5887292, 5.5921301, 5.6286695, 5.8478592, 6.7463718, 8.1446005, 9.1198300", \
+					  "5.5282005, 5.5360575, 5.5821616, 5.7945985, 6.6976049, 8.0848333, 9.0434146", \
+					  "5.4823132, 5.4894056, 5.5360584, 5.7532870, 6.6444296, 8.0395979, 8.9888889", \
+					  "5.4776624, 5.4848862, 5.5326713, 5.7438050, 6.6473461, 8.0353018, 8.9939863", \
+					  "5.4765264, 5.4824305, 5.5305604, 5.7436634, 6.6387889, 8.0324276, 8.9983269", \
+					  "5.4728544, 5.4823221, 5.5200562, 5.7394241, 6.6370316, 8.0274871, 8.9878699", \
+					  "5.4710793, 5.4807690, 5.5187490, 5.7370484, 6.6302475, 8.0258920, 8.9856867");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598234, 0.2598316, 0.2598643, 0.2598214, 0.2598644, 0.2598665, 0.2597713", \
+					  "0.3963432, 0.3963681, 0.3964450, 0.3964539, 0.3965811, 0.3967195, 0.3966051", \
+					  "0.5663652, 0.5660153, 0.5663721, 0.5665213, 0.5668103, 0.5669744, 0.5670056", \
+					  "0.7914641, 0.7906269, 0.7903462, 0.7893975, 0.7877266, 0.7874079, 0.7873622", \
+					  "1.2606236, 1.2610469, 1.2616875, 1.2644999, 1.2611608, 1.2578376, 1.2571775", \
+					  "1.8111425, 1.8140015, 1.8289767, 1.8957777, 1.9891162, 2.0225940, 2.0290144", \
+					  "2.2500941, 2.2548139, 2.2807911, 2.3854199, 2.5296355, 2.5817557, 2.5932159");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0094169, 8.0099712, 8.0038641, 8.0191435, 8.0295475, 7.7705013, -0.2399028", \
+					  "8.0042804, 8.0042058, 8.0049084, 8.0081888, 7.9962920, 7.7236490, -1.1297280", \
+					  "8.0068834, 8.0084715, 8.0080942, 8.0117068, 8.0139073, 7.6581858, -1.1461675", \
+					  "8.0054122, 8.0065121, 8.0080568, 8.0107951, 7.9951037, 7.7559278, -0.3904207", \
+					  "8.0057500, 8.0061456, 8.0075481, 8.0097347, 8.0123038, 7.7895549, -0.2653562", \
+					  "8.0053423, 8.0062868, 8.0082184, 8.0144747, 8.0021408, 7.5328903, -0.2764459", \
+					  "8.0055201, 8.0063545, 8.0081378, 8.0146673, 7.9943832, 7.7548118, -0.3327074");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.0321047, 9.0332843, 9.0350563, 9.0459616, 9.0701055, 8.3904617, 0.5852239", \
+					  "8.9660925, 8.9658765, 8.9624209, 8.9765641, 8.9692557, 8.5715027, 0.5759460", \
+					  "8.9078786, 8.9076331, 8.9098319, 8.9147776, 8.9087989, 8.6421016, 0.5688199", \
+					  "8.8907894, 8.8904875, 8.8923628, 8.8964671, 8.8837186, 8.3981314, 0.5597086", \
+					  "8.8741967, 8.8754059, 8.8755585, 8.8886444, 8.8852756, 8.6066876, 0.5527217", \
+					  "8.8588829, 8.8602178, 8.8606497, 8.8713955, 8.8493367, 8.6248245, 0.5489486", \
+					  "8.8448649, 8.8443306, 8.8475902, 8.8517231, 8.8515930, 8.6105636, -0.3466898");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3862202, 5.3836949, 5.4064878, 5.5167434, 5.6903710, 5.5722840, 5.5473240", \
+					  "5.3364164, 5.3330792, 5.3607016, 5.4519442, 5.5710993, 5.5983024, 5.5730587", \
+					  "5.2896579, 5.2906462, 5.3248810, 5.3950506, 5.5248998, 5.5556018, 5.5970773", \
+					  "5.2865852, 5.2893105, 5.3162524, 5.3956529, 5.5190811, 5.5592495, 5.6235739", \
+					  "5.2801158, 5.2865980, 5.3191085, 5.3911667, 5.5424545, 5.5611484, 5.5364975", \
+					  "5.2804527, 5.2797380, 5.3038108, 5.3867488, 5.5094579, 5.5599895, 5.5856231", \
+					  "5.2816228, 5.2838879, 5.3026819, 5.3789611, 5.4834192, 5.5395735, 5.5631051");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588941, 0.2588949, 0.2590245, 0.2592581, 0.2589235, 0.2588683, 0.2592256", \
+					  "0.3722435, 0.3723506, 0.3722446, 0.3722422, 0.3722479, 0.3723328, 0.3722451", \
+					  "0.4875754, 0.4875796, 0.4875766, 0.4875751, 0.4876183, 0.4879904, 0.4875731", \
+					  "0.6729241, 0.6729485, 0.6728757, 0.6729100, 0.6729150, 0.6731150, 0.6728976", \
+					  "1.0426903, 1.0426898, 1.0426876, 1.0428281, 1.0430209, 1.0423776, 1.0430491", \
+					  "1.4668728, 1.4670668, 1.4668919, 1.4669166, 1.4669681, 1.4662987, 1.4669523", \
+					  "1.6041007, 1.6040819, 1.6040373, 1.6034038, 1.6030482, 1.6022741, 1.6028959");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5951689, 3.5679975, 3.5932455, 3.5460662, 3.5348430, 3.6580110, 3.5168259", \
+					  "3.5943735, 3.5964495, 3.5975936, 3.5994758, 3.5886867, 3.5470993, 3.6144391", \
+					  "3.6093433, 3.6028767, 3.5945167, 3.6023754, 3.6116635, 3.6162814, 3.6417024", \
+					  "3.5958597, 3.5982939, 3.6013878, 3.6026546, 3.6236989, 3.6409772, 3.6106889", \
+					  "3.5955634, 3.5976143, 3.6029165, 3.6007233, 3.5892039, 3.5715622, 3.6100423", \
+					  "3.5951223, 3.5985965, 3.5974889, 3.6018911, 3.6144193, 3.5687757, 3.6048311", \
+					  "3.5973041, 3.5977825, 3.6015975, 3.6263230, 3.6153162, 3.5980006, 3.5960538");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.6847206, 4.6954620, 4.7264329, 4.9642205, 6.5497018, 7.8294160, 8.0841992", \
+					  "4.6281938, 4.6383741, 4.6743162, 4.9038306, 6.4901129, 7.7787006, 8.0393246", \
+					  "4.5822567, 4.5878482, 4.6304404, 4.8563161, 6.4454200, 7.7328095, 8.0057335", \
+					  "4.5768430, 4.5852330, 4.6230452, 4.8541993, 6.4402028, 7.7084339, 7.9887375", \
+					  "4.5769851, 4.5858998, 4.6210440, 4.8496871, 6.4485700, 7.7243806, 8.0078613", \
+					  "4.5762703, 4.5827049, 4.6182667, 4.8478005, 6.4386270, 7.7223718, 7.9809176", \
+					  "4.5690768, 4.5780961, 4.6171699, 4.8454738, 6.4324674, 7.7205564, 7.9935940");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2833650, 0.2855938, 0.2841160, 0.2857998, 0.2852723, 0.2844446, 0.2852835", \
+					  "0.3919320, 0.3919252, 0.3920812, 0.3919222, 0.3919319, 0.3918850, 0.3918061", \
+					  "0.7500685, 0.7500742, 0.7503920, 0.7506370, 0.7504550, 0.7504818, 0.7507320", \
+					  "1.1780965, 1.1780588, 1.1780925, 1.1779689, 1.1777681, 1.1772783, 1.1771939", \
+					  "1.3537240, 1.3537176, 1.3538548, 1.3539059, 1.3538955, 1.3532625, 1.3533221", \
+					  "1.5263711, 1.5265414, 1.5265340, 1.5259596, 1.5266472, 1.5264160, 1.5263024", \
+					  "1.6592454, 1.6592387, 1.6586651, 1.6588373, 1.6581341, 1.6576586, 1.6571928");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2589422, 0.2587155, 0.2588854, 0.2589163, 0.2589202, 0.2588585, 0.2591135", \
+					  "0.3722461, 0.3722460, 0.3723324, 0.3722474, 0.3722500, 0.3723216, 0.3723356", \
+					  "0.4875818, 0.4875932, 0.4879722, 0.4875792, 0.4875790, 0.4879959, 0.4879945", \
+					  "0.6729180, 0.6731263, 0.6731207, 0.6728943, 0.6731018, 0.6731542, 0.6730972", \
+					  "1.0420242, 1.0414127, 1.0414588, 1.0422321, 1.0417021, 1.0417422, 1.0417480", \
+					  "1.4641331, 1.4634099, 1.4634059, 1.4640174, 1.4634663, 1.4634700, 1.4634696", \
+					  "1.6021244, 1.6010800, 1.6009114, 1.6011038, 1.6000327, 1.5999176, 1.5998639");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6034222, 3.6009182, 3.6073340, 3.6256536, 3.5903797, 3.5104148, 3.4918227", \
+					  "3.5897210, 3.5958706, 3.5959339, 3.5983764, 3.6086100, 3.6506588, 3.6291664", \
+					  "3.5996189, 3.5986509, 3.6004563, 3.6138887, 3.6299362, 3.6173838, 3.6573241", \
+					  "3.5990290, 3.5987749, 3.5996778, 3.6025657, 3.6102717, 3.5822521, 3.5931140", \
+					  "3.5983910, 3.5969213, 3.6001643, 3.6002936, 3.6102665, 3.6455616, 3.5968211", \
+					  "3.5958821, 3.5981892, 3.5922895, 3.5973414, 3.6005198, 3.6016596, 3.5255494", \
+					  "3.5966709, 3.5973097, 3.5986637, 3.6046676, 3.6157212, 3.6061698, 3.6176715");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.0632941, 5.0685841, 5.0945659, 5.1935028, 5.4648682, 7.8540734, 8.8407520", \
+					  "5.0063571, 5.0129893, 5.0384629, 5.1382711, 5.4099027, 7.8197658, 8.7723580", \
+					  "4.9612023, 4.9657334, 4.9934777, 5.0933874, 5.3618579, 7.7750777, 8.6944499", \
+					  "4.9538592, 4.9611402, 4.9906340, 5.0883735, 5.3618570, 7.7715545, 8.6577466", \
+					  "4.9550225, 4.9597145, 4.9868577, 5.0859139, 5.3587556, 7.7685389, 8.6647829", \
+					  "4.9522066, 4.9574810, 4.9847886, 5.0832407, 5.3563000, 7.7784571, 8.6726967", \
+					  "4.9498994, 4.9558521, 4.9838349, 5.0821608, 5.3505260, 7.7638880, 8.6804456");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2852536, 0.2852782, 0.2852514, 0.2852495, 0.2854709, 0.2850736, 0.2852735", \
+					  "0.3917599, 0.3917596, 0.3918066, 0.3917439, 0.3918301, 0.3918010, 0.3918033", \
+					  "0.7568660, 0.7568444, 0.7569086, 0.7568809, 0.7570792, 0.7574294, 0.7574446", \
+					  "1.2175169, 1.2176216, 1.2181521, 1.2171555, 1.2165000, 1.2155673, 1.2153244", \
+					  "1.4151085, 1.4151712, 1.4156124, 1.4154539, 1.4160750, 1.4142489, 1.4136717", \
+					  "1.5944155, 1.5943400, 1.5953437, 1.5945180, 1.5961387, 1.5956775, 1.5951371", \
+					  "1.7261379, 1.7266368, 1.7272613, 1.7262725, 1.7267693, 1.7258473, 1.7256612");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.8699629, 4.8813735, 4.9159309, 5.1380068, 6.0248004, 7.4417859, 8.3885980", \
+					  "4.8680352, 4.8760531, 4.9138684, 5.1330958, 6.0277842, 7.4215278, 8.3843809", \
+					  "4.8701937, 4.8781444, 4.9166778, 5.1356819, 6.0356416, 7.4253945, 8.3771471", \
+					  "4.8696246, 4.8777782, 4.9173026, 5.1322600, 6.0426472, 7.4224645, 8.3863966", \
+					  "4.8679107, 4.8775106, 4.9163216, 5.1346231, 6.0352273, 7.4232230, 8.3876685", \
+					  "4.8685320, 4.8758061, 4.9158236, 5.1342587, 6.0348590, 7.4208714, 8.3858617", \
+					  "4.8694870, 4.8752532, 4.9176897, 5.1351980, 6.0430409, 7.4237467, 8.3826594");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2589194, 0.2595998, 0.2589241, 0.2588881, 0.2589224, 0.2589240, 0.2587557", \
+					  "0.3723425, 0.3723425, 0.3722522, 0.3723364, 0.3723333, 0.3722470, 0.3722453", \
+					  "0.4880012, 0.4880012, 0.4875814, 0.4879903, 0.4879838, 0.4875690, 0.4879618", \
+					  "0.6730687, 0.6730692, 0.6728470, 0.6730547, 0.6730450, 0.6730761, 0.6730371", \
+					  "1.0493425, 1.0493430, 1.0499660, 1.0493868, 1.0495300, 1.0496759, 1.0497154", \
+					  "1.5207820, 1.5210940, 1.5216817, 1.5197496, 1.5196710, 1.5188511, 1.5186771", \
+					  "1.7359579, 1.7359457, 1.7365268, 1.7352989, 1.7332105, 1.7304770, 1.7295968");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7987852, 8.8568959, 8.8129024, 8.7751808, 8.6414207, 7.0933422, -16.9122812", \
+					  "8.7353482, 8.7325189, 8.7346058, 8.6933775, 8.4893280, 6.7836139, -16.8263204", \
+					  "8.6814981, 8.6778760, 8.6725113, 8.6345105, 8.4306380, 6.7461009, -16.6887947", \
+					  "8.6622795, 8.6662563, 8.6512310, 8.6208716, 8.4157067, 6.7443289, -16.7604482", \
+					  "8.6444829, 8.6346991, 8.6386066, 8.5992882, 8.3876788, 6.7368869, -16.8864577", \
+					  "8.6292506, 8.6263328, 8.6222259, 8.6047346, 8.3826493, 6.7370390, -16.9467913", \
+					  "8.6138778, 8.6091984, 8.6070794, 8.5713320, 8.3722412, 6.6990939, -17.2568109");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.2990989, 4.3039583, 4.3473780, 4.2813839, 4.4162556, 4.1732629, 4.1989837", \
+					  "4.2572978, 4.2647034, 4.2436950, 4.2608904, 4.2753086, 4.2779198, 4.2742712", \
+					  "4.2064566, 4.1967895, 4.2159625, 4.2167340, 4.1360802, 4.2786354, 4.1594716", \
+					  "4.2083912, 4.2221615, 4.2020608, 4.1885356, 4.1550684, 4.2928710, 4.1888383", \
+					  "4.1948780, 4.2004538, 4.2130826, 4.2001468, 4.2192413, 4.2561215, 4.2899222", \
+					  "4.2124620, 4.2065270, 4.1983600, 4.2060994, 4.2079599, 4.2252057, 4.2473676", \
+					  "4.2035832, 4.2021581, 4.1947044, 4.1987064, 4.2216061, 4.1946350, 4.2080809");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598714, 0.2598626, 0.2600669, 0.2598204, 0.2598207, 0.2597908, 0.2598094", \
+					  "0.3965030, 0.3965054, 0.3965156, 0.3965497, 0.3965010, 0.3963733, 0.3965958", \
+					  "0.5663378, 0.5663437, 0.5663729, 0.5665187, 0.5664917, 0.5666416, 0.5670039", \
+					  "0.7909524, 0.7908828, 0.7904983, 0.7890993, 0.7874654, 0.7864985, 0.7873565", \
+					  "1.2596037, 1.2603919, 1.2618727, 1.2647107, 1.2621786, 1.2589398, 1.2573528", \
+					  "1.8108623, 1.8137073, 1.8299896, 1.8967142, 1.9914947, 2.0252728, 2.0299874", \
+					  "2.2542052, 2.2589301, 2.2855605, 2.3900299, 2.5345363, 2.5877606, 2.5973222");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7828447, 9.7874677, 9.8249750, 10.0238199, 10.2076099, 8.3857764, -0.5638029", \
+					  "9.7777802, 9.7826417, 9.8201864, 10.0204083, 10.2001933, 8.3736109, -0.4664915", \
+					  "9.7822193, 9.7885433, 9.8261460, 10.0184437, 10.2126567, 8.3796156, -0.4247905", \
+					  "9.7798401, 9.7876932, 9.8235811, 10.0067818, 10.2193138, 8.3704701, -0.3780170", \
+					  "9.7762921, 9.7844999, 9.8230793, 10.0202712, 10.1915322, 8.3756746, -0.4643537", \
+					  "9.7782722, 9.7881601, 9.8232752, 10.0224043, 10.2093423, 8.3549316, -0.4280411", \
+					  "9.7792297, 9.7829282, 9.8238711, 10.0184535, 10.1970275, 8.3837852, -0.4254365");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7984045, 8.8583218, 8.7983082, 8.7905930, 8.4596459, 7.1182441, -17.3077792", \
+					  "8.7359450, 8.7325553, 8.7263320, 8.6906409, 8.4823518, 6.8360966, -16.8228714", \
+					  "8.6814426, 8.6615219, 8.6724957, 8.6352148, 8.4385258, 6.7418092, -17.0861187", \
+					  "8.6664168, 8.6680648, 8.6512232, 8.6209774, 8.4158221, 6.7586442, -16.6607542", \
+					  "8.6436130, 8.6418704, 8.6385979, 8.6019848, 8.3874576, 6.7705557, -17.0884807", \
+					  "8.6292203, 8.6263623, 8.6222137, 8.5974141, 8.3825200, 6.6996956, -17.1975823", \
+					  "8.6132455, 8.6125525, 8.6067654, 8.5715263, 8.3730886, 6.7072627, -17.0087989");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.2990525, 4.3076745, 4.3449741, 4.3238786, 4.4188239, 4.5164450, 4.1945229", \
+					  "4.2571230, 4.2574539, 4.2519744, 4.2623962, 4.2752882, 4.2596909, 4.2722373", \
+					  "4.2064770, 4.2050099, 4.2159777, 4.2088028, 4.2269181, 4.2686300, 4.1536300", \
+					  "4.2032369, 4.2090157, 4.2303312, 4.1957395, 4.1553065, 4.3073845, 4.2503343", \
+					  "4.2013659, 4.1935327, 4.2085307, 4.2008558, 4.2184616, 4.1752917, 4.2882404", \
+					  "4.2104991, 4.2125301, 4.1984042, 4.2059646, 4.1859090, 4.2245296, 4.2551774", \
+					  "4.2024036, 4.2019387, 4.1979041, 4.2041357, 4.2217375, 4.2307906, 4.1953923");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.9393924, 8.9414229, 8.9498979, 8.9427898, 8.9640385, 8.7111748, 0.7244327", \
+					  "8.8764793, 8.8766753, 8.8783293, 8.8878564, 8.8864131, 8.5679743, 0.5913172", \
+					  "8.8213176, 8.8184970, 8.8219708, 8.8222483, 8.8245833, 8.5763278, 0.5240695", \
+					  "8.8018735, 8.8043863, 8.8039491, 8.8096113, 8.8060958, 8.4612424, 0.5100035", \
+					  "8.7844847, 8.7879628, 8.7893983, 8.7884641, 8.7953059, 8.5280101, 0.5050309", \
+					  "8.7698378, 8.7714312, 8.7735744, 8.7832334, 8.7794543, 8.5018942, 0.4870143", \
+					  "8.7568008, 8.7564981, 8.7582129, 8.7660790, 8.7595720, 8.5065401, 0.4586398");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3300800, 0.3302466, 0.3299586, 0.3294978, 0.3280915, 0.3272892, 0.3271158", \
+					  "0.4215005, 0.4215078, 0.4215393, 0.4216771, 0.4208470, 0.4199318, 0.4196556", \
+					  "0.5614515, 0.5615581, 0.5613864, 0.5601044, 0.5591863, 0.5578586, 0.5573394", \
+					  "1.0206078, 1.0207820, 1.0214866, 1.0214531, 1.0160645, 1.0117067, 1.0103391", \
+					  "1.5541652, 1.5564178, 1.5699460, 1.6245369, 1.6969809, 1.7212196, 1.7254935", \
+					  "2.0025548, 2.0069727, 2.0317324, 2.1311574, 2.2710557, 2.3224680, 2.3322052", \
+					  "2.3278434, 2.3325454, 2.3617167, 2.4727052, 2.6241253, 2.6789876, 2.6891245");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2589310, 0.2589163, 0.2588806, 0.2589233, 0.2593043, 0.2588852, 0.2589204", \
+					  "0.3722454, 0.3722368, 0.3723290, 0.3722405, 0.3723180, 0.3723278, 0.3723266", \
+					  "0.4875899, 0.4875874, 0.4879998, 0.4875793, 0.4879910, 0.4880453, 0.4879895", \
+					  "0.6727672, 0.6727648, 0.6729771, 0.6727540, 0.6729646, 0.6729619, 0.6729596", \
+					  "1.0428806, 1.0428796, 1.0422461, 1.0429163, 1.0424111, 1.0425290, 1.0425629", \
+					  "1.4413754, 1.4413656, 1.4406479, 1.4410698, 1.4395860, 1.4379050, 1.4377284", \
+					  "1.6067211, 1.6067240, 1.6060860, 1.6070295, 1.6063486, 1.6054942, 1.6044811");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5605386, 4.5649699, 4.5927350, 4.6929301, 4.9628400, 7.3758829, 8.3094547", \
+					  "4.5589227, 4.5619971, 4.5873830, 4.6872493, 4.9652860, 7.3745975, 8.2960262", \
+					  "4.5604237, 4.5678947, 4.5925794, 4.6923212, 4.9631679, 7.3761327, 8.2925308", \
+					  "4.5609614, 4.5663329, 4.5887456, 4.6917357, 4.9565013, 7.3733215, 8.3007030", \
+					  "4.5589664, 4.5621691, 4.5866786, 4.6926234, 4.9674369, 7.3732967, 8.2962737", \
+					  "4.5588444, 4.5623494, 4.5883048, 4.6899943, 4.9604628, 7.3755344, 8.2964375", \
+					  "4.5580720, 4.5631188, 4.5911311, 4.6910421, 4.9707189, 7.3656977, 8.3247300");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.2764218, 11.2821428, 11.3388758, 11.5817648, 11.9746778, 10.3534788, 1.7538943", \
+					  "11.2087396, 11.2157486, 11.2648406, 11.5231816, 11.9102266, 10.2696006, 1.4834319", \
+					  "11.1520503, 11.1592023, 11.2071813, 11.4542143, 11.8505473, 10.1913763, 1.4684060", \
+					  "11.1310118, 11.1419838, 11.1921088, 11.4493378, 11.8313168, 10.1706438, 1.4360223", \
+					  "11.1211923, 11.1239713, 11.1744243, 11.4197763, 11.8073053, 10.1581683, 1.4447796", \
+					  "11.1046227, 11.1082487, 11.1618087, 11.4126847, 11.7993877, 10.1618667, 1.3841295", \
+					  "11.0896201, 11.0950061, 11.1461151, 11.3898331, 11.7865531, 10.1324631, 1.3555801");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6805889, 6.6908342, 6.7505685, 7.0456220, 8.0544782, 9.4834462, 10.4435943", \
+					  "6.6220330, 6.6329314, 6.6935660, 6.9886815, 7.9920022, 9.4232367, 10.3996068", \
+					  "6.5717586, 6.5810086, 6.6498125, 6.9418978, 7.9534472, 9.3801462, 10.3454383", \
+					  "6.5689067, 6.5787113, 6.6453525, 6.9386253, 7.9496724, 9.3738127, 10.3412936", \
+					  "6.5661786, 6.5754399, 6.6448427, 6.9343858, 7.9456203, 9.3706783, 10.3336263", \
+					  "6.5672394, 6.5771117, 6.6379324, 6.9347397, 7.9360646, 9.3684223, 10.3358716", \
+					  "6.5644985, 6.5770952, 6.6371751, 6.9309293, 7.9430025, 9.3665253, 10.3378749");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1850481, 4.1872196, 4.2249819, 4.4687439, 6.0540501, 7.3345045, 7.5875504", \
+					  "4.1790187, 4.1835637, 4.2246454, 4.4571699, 6.0440151, 7.3289352, 7.5913651", \
+					  "4.1846774, 4.1885567, 4.2250526, 4.4561464, 6.0486109, 7.3345341, 7.5947226", \
+					  "4.1786678, 4.1832357, 4.2249376, 4.4592207, 6.0443342, 7.3317055, 7.5920467", \
+					  "4.1807043, 4.1853876, 4.2241366, 4.4632725, 6.0439192, 7.3306234, 7.5953986", \
+					  "4.1815971, 4.1877359, 4.2233385, 4.4592301, 6.0619450, 7.3302989, 7.5958660", \
+					  "4.1801066, 4.1847621, 4.2277169, 4.4616865, 6.0568280, 7.3247105, 7.5915110");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588699, 0.2595614, 0.2593709, 0.2589217, 0.2588938, 0.2589276, 0.2583046", \
+					  "0.3723363, 0.3723351, 0.3722470, 0.3723285, 0.3723615, 0.3722441, 0.3723295", \
+					  "0.4877005, 0.4877555, 0.4880083, 0.4880006, 0.4879986, 0.4875839, 0.4879981", \
+					  "0.6731055, 0.6731047, 0.6731008, 0.6734092, 0.6732766, 0.6730909, 0.6730887", \
+					  "1.0354473, 1.0354484, 1.0354447, 1.0354524, 1.0355663, 1.0355468, 1.0355582", \
+					  "1.3993338, 1.3995281, 1.3995082, 1.3994394, 1.3990079, 1.3987083, 1.3987363", \
+					  "1.5430351, 1.5430310, 1.5435068, 1.5430908, 1.5433417, 1.5427917, 1.5426015");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588931, 0.2588682, 0.2589738, 0.2588645, 0.2589204, 0.2588961, 0.2588900", \
+					  "0.3723450, 0.3723223, 0.3722553, 0.3723374, 0.3722465, 0.3722500, 0.3722452", \
+					  "0.4882466, 0.4876761, 0.4875827, 0.4879891, 0.4875678, 0.4875703, 0.4875658", \
+					  "0.6731138, 0.6734268, 0.6728486, 0.6730548, 0.6728288, 0.6728259, 0.6728199", \
+					  "1.0493486, 1.0493498, 1.0495425, 1.0493930, 1.0501712, 1.0504427, 1.0507613", \
+					  "1.5203696, 1.5203453, 1.5210334, 1.5199970, 1.5196853, 1.5188656, 1.5186875", \
+					  "1.7287130, 1.7296294, 1.7304269, 1.7288539, 1.7278190, 1.7249486, 1.7239460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6459121, 3.6443318, 3.6575530, 3.7154490, 4.1388056, 5.6083957, 6.6584789", \
+					  "3.6388406, 3.6420975, 3.6514125, 3.7165778, 4.1180128, 5.6315382, 6.4266708", \
+					  "3.6420455, 3.6442325, 3.6555945, 3.7202386, 4.1165747, 5.6089547, 6.4707064", \
+					  "3.6412444, 3.6428815, 3.6547436, 3.7196569, 4.1333165, 5.5861500, 6.4703754", \
+					  "3.6436152, 3.6422630, 3.6546394, 3.7178436, 4.1188033, 5.6346524, 6.4726019", \
+					  "3.6404922, 3.6448104, 3.6540820, 3.7194044, 4.1184306, 5.5729364, 6.4590813", \
+					  "3.6395607, 3.6424342, 3.6544893, 3.7187712, 4.1202599, 5.6161540, 6.4487302");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588664, 0.2588683, 0.2586257, 0.2589279, 0.2593168, 0.2589294, 0.2588928", \
+					  "0.3723355, 0.3722495, 0.3724280, 0.3722501, 0.3722495, 0.3722497, 0.3722495", \
+					  "0.4879967, 0.4879987, 0.4879688, 0.4879958, 0.4875937, 0.4875768, 0.4875768", \
+					  "0.6731362, 0.6731372, 0.6731306, 0.6731204, 0.6728800, 0.6728899, 0.6728893", \
+					  "1.0414163, 1.0414837, 1.0413588, 1.0416097, 1.0423970, 1.0424361, 1.0424417", \
+					  "1.4634303, 1.4634281, 1.4634473, 1.4632784, 1.4641372, 1.4640677, 1.4642060", \
+					  "1.6011426, 1.6011149, 1.6009477, 1.6004787, 1.6006811, 1.6006016, 1.6005726");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6037735, 3.6010118, 3.6073618, 3.5901885, 3.6254034, 3.7423307, 3.5718559", \
+					  "3.5971343, 3.5957982, 3.5980524, 3.6003388, 3.6039661, 3.6551756, 3.6208931", \
+					  "3.5997117, 3.5997043, 3.5970680, 3.6090228, 3.6389516, 3.6173825, 3.6409439", \
+					  "3.6011714, 3.5986704, 3.5986877, 3.6030475, 3.6099114, 3.6416837, 3.5919275", \
+					  "3.5993026, 3.5970995, 3.5996027, 3.6002888, 3.6102118, 3.5709160, 3.5944493", \
+					  "3.5986190, 3.5981819, 3.5990783, 3.6049360, 3.6115948, 3.6470954, 3.6052526", \
+					  "3.5958838, 3.5974446, 3.5975882, 3.6051568, 3.6140399, 3.6043028, 3.6000372");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.7172628, 10.7226958, 10.7597888, 10.9581018, 11.1340628, 9.3105738, 0.4741757", \
+					  "10.6518946, 10.6592186, 10.6921586, 10.8847446, 11.0790216, 9.2568764, 0.4940143", \
+					  "10.5938803, 10.5976033, 10.6379013, 10.8293183, 11.0333033, 9.1881501, 0.3552133", \
+					  "10.5760798, 10.5824248, 10.6201748, 10.8139758, 11.0019798, 9.1775045, 0.3249786", \
+					  "10.5603103, 10.5656083, 10.6045823, 10.7947163, 11.0038773, 9.1769173, 0.3387316", \
+					  "10.5438807, 10.5519847, 10.5886217, 10.7786767, 10.9733817, 9.1515360, 0.3327428", \
+					  "10.5304801, 10.5380361, 10.5732101, 10.7719051, 10.9687801, 9.1368163, 0.2753862");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3296741, 0.3298777, 0.3300319, 0.3295818, 0.3280844, 0.3273312, 0.3272733", \
+					  "0.4214744, 0.4215088, 0.4215442, 0.4217241, 0.4209017, 0.4201197, 0.4198365", \
+					  "0.5616137, 0.5615694, 0.5614770, 0.5605345, 0.5592834, 0.5574078, 0.5574693", \
+					  "1.0208674, 1.0211331, 1.0216453, 1.0218713, 1.0164863, 1.0118349, 1.0106396", \
+					  "1.5548971, 1.5573934, 1.5709535, 1.6260179, 1.6976755, 1.7218967, 1.7261694", \
+					  "2.0057497, 2.0102056, 2.0350895, 2.1344675, 2.2744816, 2.3257487, 2.3353125", \
+					  "2.3337280, 2.3388244, 2.3675057, 2.4784462, 2.6290846, 2.6838993, 2.6943740");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7793249, 9.7869872, 9.8198394, 10.0278869, 10.1949229, 8.3820130, -0.5905982", \
+					  "9.7770082, 9.7799347, 9.8219063, 10.0075863, 10.2164043, 8.3840097, -0.4572533", \
+					  "9.7829531, 9.7885884, 9.8242396, 10.0174717, 10.2178237, 8.3668567, -0.4893881", \
+					  "9.7802911, 9.7878077, 9.8237320, 10.0200148, 10.2183228, 8.3700650, -0.3849163", \
+					  "9.7801059, 9.7876909, 9.8227887, 10.0205462, 10.2020802, 8.3748072, -0.3878945", \
+					  "9.7778767, 9.7883448, 9.8230150, 10.0213723, 10.1961073, 8.3400745, -0.4293102", \
+					  "9.7776746, 9.7829646, 9.8232518, 10.0211725, 10.1970505, 8.3395506, -0.4290863");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598245, 0.2598575, 0.2597973, 0.2598550, 0.2598141, 0.2600416, 0.2600541", \
+					  "0.3964104, 0.3965754, 0.3965389, 0.3965444, 0.3965769, 0.3965896, 0.3965904", \
+					  "0.5659934, 0.5663272, 0.5665146, 0.5668130, 0.5668072, 0.5669589, 0.5669931", \
+					  "0.7906949, 0.7901370, 0.7896784, 0.7885134, 0.7877186, 0.7874008, 0.7873549", \
+					  "1.2608074, 1.2603811, 1.2618724, 1.2647073, 1.2613389, 1.2580911, 1.2573498", \
+					  "1.8121341, 1.8132181, 1.8299274, 1.8966752, 1.9898329, 2.0235581, 2.0299809", \
+					  "2.2552267, 2.2588475, 2.2854855, 2.3899905, 2.5338298, 2.5870886, 2.5973185");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598641, 0.2598226, 0.2598320, 0.2598022, 0.2598589, 0.2598688, 0.2598230", \
+					  "0.3964169, 0.3966029, 0.3965982, 0.3964656, 0.3965835, 0.3965233, 0.3965091", \
+					  "0.5663263, 0.5663312, 0.5663641, 0.5661846, 0.5668141, 0.5666405, 0.5666720", \
+					  "0.7909596, 0.7914335, 0.7905025, 0.7891757, 0.7878370, 0.7876502, 0.7875997", \
+					  "1.2598841, 1.2597108, 1.2613710, 1.2653269, 1.2611604, 1.2588347, 1.2583067", \
+					  "1.8102667, 1.8131090, 1.8288831, 1.8971637, 1.9888395, 2.0242853, 2.0304417", \
+					  "2.2492267, 2.2540389, 2.2792858, 2.3859557, 2.5296264, 2.5836522, 2.5939091");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0104126, 8.0115550, 8.0114188, 8.0215461, 7.9801755, 7.6198674, -1.3675458", \
+					  "8.0035640, 8.0049969, 8.0048191, 8.0101299, 8.0074801, 7.7149762, -1.1349252", \
+					  "8.0070942, 8.0056890, 8.0078427, 8.0116634, 7.9957699, 7.6554267, -0.3007043", \
+					  "8.0056152, 8.0064982, 8.0092946, 8.0095426, 7.9963128, 7.7537759, -0.2861289", \
+					  "8.0058489, 8.0060987, 8.0068585, 8.0088656, 7.9978145, 7.7546245, -0.2671199", \
+					  "8.0065123, 8.0056519, 8.0075566, 8.0163682, 8.0013914, 7.7542818, -0.3308644", \
+					  "8.0055791, 8.0052165, 8.0074690, 8.0140315, 8.0046822, 7.7499233, -0.3360889");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588847, 0.2589197, 0.2589182, 0.2589346, 0.2588588, 0.2589145, 0.2588805", \
+					  "0.3723303, 0.3723366, 0.3723330, 0.3723307, 0.3722451, 0.3722411, 0.3722437", \
+					  "0.4880307, 0.4875638, 0.4879955, 0.4879921, 0.4879820, 0.4875742, 0.4875738", \
+					  "0.6731435, 0.6729448, 0.6731410, 0.6731280, 0.6731331, 0.6728630, 0.6729002", \
+					  "1.0419813, 1.0426533, 1.0420422, 1.0421957, 1.0422971, 1.0430491, 1.0430076", \
+					  "1.4661173, 1.4668412, 1.4661464, 1.4661581, 1.4661657, 1.4669149, 1.4669169", \
+					  "1.6037707, 1.6040411, 1.6032216, 1.6027322, 1.6023267, 1.6029959, 1.6028649");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5987459, 3.5975610, 3.6163793, 3.5815292, 3.6533025, 3.6614665, 3.6518124", \
+					  "3.5944026, 3.5963650, 3.6063128, 3.5991778, 3.5886134, 3.6461450, 3.6051457", \
+					  "3.6001029, 3.6027865, 3.5957652, 3.6023741, 3.6116895, 3.6196749, 3.5987789", \
+					  "3.5963432, 3.5992788, 3.6052445, 3.6024893, 3.6002424, 3.5685868, 3.6081085", \
+					  "3.5837053, 3.5979560, 3.6026667, 3.6008435, 3.5885894, 3.6625936, 3.6062185", \
+					  "3.5967577, 3.5980726, 3.6030170, 3.6021262, 3.6188017, 3.5891201, 3.6148968", \
+					  "3.5959627, 3.5946760, 3.5982088, 3.6046710, 3.6136617, 3.6118341, 3.6013968");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.8024448, 10.8195768, 10.8424128, 11.0478048, 11.2490368, 9.3685959, 0.5028800", \
+					  "10.7371906, 10.7455206, 10.7815686, 10.9705196, 11.1619196, 9.3226154, 0.4351707", \
+					  "10.6801813, 10.6871243, 10.7254843, 10.9194933, 11.0991733, 9.2839589, 0.3785923", \
+					  "10.6618628, 10.6695628, 10.7079468, 10.9043268, 11.0785328, 9.2676919, 0.3758093", \
+					  "10.6464603, 10.6574903, 10.6920483, 10.8847233, 11.0851953, 9.2557552, 0.4766709", \
+					  "10.6317027, 10.6405177, 10.6765267, 10.8679927, 11.0555657, 9.2387197, 0.3321468", \
+					  "10.6169501, 10.6264181, 10.6619831, 10.8509811, 11.0565611, 9.2231456, 0.3358152");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3873204, 5.3987596, 5.4139207, 5.5023456, 5.6317165, 5.7463909, 5.5939082", \
+					  "5.3423084, 5.3442088, 5.3677503, 5.4437706, 5.5769640, 5.6466830, 5.5920040", \
+					  "5.2971324, 5.2977712, 5.3188745, 5.3971721, 5.4697135, 5.5947268, 5.5768509", \
+					  "5.2939179, 5.2981215, 5.3183948, 5.4053079, 5.4844599, 5.5329914, 5.5669742", \
+					  "5.2901408, 5.2928534, 5.3114002, 5.4036524, 5.5093959, 5.5768853, 5.5543261", \
+					  "5.2859453, 5.2918772, 5.3104877, 5.3951648, 5.5469622, 5.5433852, 5.5285988", \
+					  "5.2772539, 5.2815438, 5.3064059, 5.3961852, 5.5253588, 5.5607150, 5.6042189");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4400608, 5.4394610, 5.4671143, 5.6132235, 6.1463990, 7.7350679, 8.5070363", \
+					  "5.3809858, 5.3866952, 5.4187784, 5.5626360, 6.0776516, 7.5974281, 8.4527456", \
+					  "5.3387111, 5.3436772, 5.3698528, 5.5083826, 6.0300738, 7.5572303, 8.4190799", \
+					  "5.3311641, 5.3366077, 5.3671297, 5.5085713, 6.0323424, 7.5340370, 8.4335371", \
+					  "5.3294717, 5.3356009, 5.3632312, 5.5072574, 6.0263427, 7.5584561, 8.4117084", \
+					  "5.3267521, 5.3320677, 5.3619470, 5.5080662, 6.0235120, 7.5438054, 8.4015282", \
+					  "5.3236113, 5.3307935, 5.3610284, 5.5062959, 6.0163961, 7.5592340, 8.4446551");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.4857938, 9.4796928, 9.4971597, 9.5552851, 9.7075019, 9.7545866, 1.8309796", \
+					  "9.4204304, 9.4202379, 9.4325778, 9.5090227, 9.6738380, 9.6115310, 1.6003041", \
+					  "9.3594774, 9.3642115, 9.3779410, 9.4421006, 9.6326799, 9.5655374, 0.7607756", \
+					  "9.3423379, 9.3460814, 9.3573658, 9.4253063, 9.6121091, 9.5522325, 1.5994459", \
+					  "9.3296033, 9.3314464, 9.3468759, 9.4178825, 9.6076223, 9.5343215, 1.4346328", \
+					  "9.3134871, 9.3155012, 9.3276607, 9.3941873, 9.5938797, 9.5256785, 1.5311800", \
+					  "9.2967378, 9.3009619, 9.3114040, 9.3785526, 9.5712371, 9.5319641, 1.4841056");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.3479670, 4.3528099, 4.3714356, 4.4420731, 4.8483831, 6.3420437, 7.2539579", \
+					  "4.2996658, 4.3041011, 4.3139890, 4.3792844, 4.7894125, 6.2614971, 7.1310190", \
+					  "4.2563100, 4.2584138, 4.2683477, 4.3355005, 4.7217194, 6.2713487, 7.1327955", \
+					  "4.2493724, 4.2532746, 4.2622336, 4.3341856, 4.7207574, 6.2430749, 7.1136250", \
+					  "4.2459652, 4.2509677, 4.2578732, 4.3322408, 4.7247254, 6.2208715, 6.9985272", \
+					  "4.2471725, 4.2487420, 4.2586329, 4.3246687, 4.7272529, 6.2116610, 7.0492019", \
+					  "4.2452994, 4.2460111, 4.2575240, 4.3268127, 4.7130971, 6.2085506, 7.0610821");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.2620215, 9.2371212, 9.2510129, 9.2293930, 9.2205407, 7.5443980, -15.2912462", \
+					  "9.1782552, 9.1799856, 9.1911616, 9.2072520, 9.1855593, 7.6489855, -15.8848894", \
+					  "9.1254919, 9.1217813, 9.1345046, 9.1737657, 9.1302693, 7.6391895, -15.5603277", \
+					  "9.1116613, 9.1113751, 9.1161031, 9.1393302, 9.1215189, 7.6037191, -15.5768172", \
+					  "9.0926999, 9.0995651, 9.1104028, 9.1336290, 9.1153632, 7.5786706, -15.6829607", \
+					  "9.0752749, 9.0838436, 9.0890443, 9.1126313, 9.0860666, 7.6240330, -15.8010503", \
+					  "9.0661644, 9.0778114, 9.0691438, 9.0938732, 9.0756005, 7.5559472, -15.7900969");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.2774448, 4.2767034, 4.2976877, 4.3808623, 4.8344579, 5.7963468, 6.2687854", \
+					  "4.4091407, 4.4130694, 4.4302055, 4.5204242, 4.9661863, 5.9299186, 6.4011246", \
+					  "4.5837451, 4.5865968, 4.6011657, 4.6945107, 5.1484029, 6.0918389, 6.5803205", \
+					  "4.8049261, 4.8081922, 4.8251369, 4.9148195, 5.3661901, 6.3089552, 6.7857764", \
+					  "5.2424551, 5.2466499, 5.2652061, 5.3573653, 5.7994273, 6.7516243, 7.2239541", \
+					  "5.6817510, 5.6871436, 5.7127571, 5.8448116, 6.3524421, 7.3106500, 7.7900932", \
+					  "5.9816867, 5.9872068, 6.0218634, 6.1802364, 6.7177886, 7.6902071, 8.1741248");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7033454, 8.7074089, 8.7126259, 8.7604180, 8.7233398, 7.4236179, -7.2039436", \
+					  "8.8146135, 8.8164220, 8.8256982, 8.8674045, 8.8492053, 7.5588666, -7.1174702", \
+					  "8.9347808, 8.9360680, 8.9432089, 8.9901292, 8.9618327, 7.6321846, -6.8909968", \
+					  "9.1177753, 9.1196587, 9.1292263, 9.1729418, 9.1428416, 7.8480682, -6.6354976", \
+					  "9.4873640, 9.4907359, 9.4994546, 9.5448497, 9.5107060, 8.2325840, -6.2866340", \
+					  "9.9231789, 9.9256030, 9.9342037, 9.9793547, 9.9450752, 8.6292511, -5.8352132", \
+					  "10.0935875, 10.0943585, 10.1038216, 10.1484978, 10.1137322, 8.8391565, -5.6019111");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1567407, 16.1749664, 16.1881702, 16.3096348, 16.3715674, 14.2938268, -9.8791979", \
+					  "16.0807956, 16.0830758, 16.1081729, 16.2278567, 16.3045900, 14.2344683, -9.8940968", \
+					  "16.1075040, 16.1065503, 16.1351892, 16.2544350, 16.3351546, 14.2959392, -9.9276903", \
+					  "16.2237474, 16.2309362, 16.2526286, 16.3699133, 16.4494891, 14.3763621, -9.6816899", \
+					  "16.2610631, 16.2660472, 16.2916762, 16.4051008, 16.4929292, 14.4502189, -9.6831374", \
+					  "16.2939532, 16.2988693, 16.3232748, 16.4468195, 16.5217682, 14.5130891, -9.7267776", \
+					  "16.3142707, 16.3199694, 16.3412914, 16.4566234, 16.5484285, 14.5109562, -9.8543911");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.6394678, 8.6489155, 8.7019230, 8.8983220, 9.7705908, 11.3419418, 12.0730829", \
+					  "8.5835927, 8.5922684, 8.6314131, 8.8382841, 9.6661826, 11.2646849, 12.0649220", \
+					  "8.5525568, 8.5565719, 8.6077581, 8.8045283, 9.6055049, 11.2615118, 12.0313708", \
+					  "8.6985690, 8.7100629, 8.7552062, 8.9493867, 9.7497741, 11.3970421, 12.1966042", \
+					  "8.8709520, 8.8795504, 8.9329051, 9.1499208, 10.0045021, 11.6146527, 12.4225803", \
+					  "9.0233598, 9.0313800, 9.0765847, 9.3162571, 10.1857691, 11.8089219, 12.6187284", \
+					  "9.1249833, 9.1349192, 9.1844000, 9.4263101, 10.2985114, 11.9222079, 12.7429390");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3943667, 5.3968257, 5.3968261, 5.3974154, 5.3974159, 5.3974164, 5.4067857", \
+					  "5.4628586, 5.4641154, 5.4641159, 5.4641164, 5.4641169, 5.4641173, 5.4879665", \
+					  "5.4791629, 5.4812830, 5.4812835, 5.4812840, 5.4812844, 5.4812849, 5.5639422", \
+					  "5.4926232, 5.4942175, 5.4942177, 5.4942182, 5.4942186, 5.4942191, 5.5892269", \
+					  "5.4916383, 5.4916385, 5.4940843, 5.4940846, 5.4940851, 5.4940856, 5.5348623", \
+					  "5.5036882, 5.5036886, 5.5036891, 5.5036896, 5.5036901, 5.5036905, 5.5739795", \
+					  "5.4913403, 5.4934497, 5.4934500, 5.4934505, 5.4934509, 5.4934514, 5.5714811");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.9928660, 15.2107180, 16.5585020, 24.7646350, 74.8641750, 381.3079600, 2269.4937000", \
+					  "15.0497360, 15.2692420, 16.6158610, 24.8230410, 74.9208910, 381.3924500, 2269.4555000", \
+					  "15.0712920, 15.2903820, 16.6361320, 24.8436130, 74.9427900, 381.3727600, 2269.5463000", \
+					  "15.0786810, 15.2983690, 16.6458310, 24.8538670, 74.9520690, 381.3999000, 2270.0729000", \
+					  "15.0831450, 15.3017240, 16.6481020, 24.8549890, 74.9548830, 381.4327800, 2269.7398000", \
+					  "15.0809370, 15.3006460, 16.6484270, 24.8550870, 74.9540020, 381.4253100, 2269.3105000", \
+					  "15.0780210, 15.2977700, 16.6437140, 24.8510390, 74.9511880, 381.3867100, 2269.6638000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0656220, 16.5016660, 19.1029380, 35.1642590, 134.4026800, 746.6147200, 4518.9072000", \
+					  "16.0757790, 16.5014220, 19.1050380, 35.1652890, 134.4936700, 745.2353500, 4518.8849000", \
+					  "16.0533670, 16.5016390, 19.1079330, 35.1635660, 134.5465100, 746.6671300, 4519.6693000", \
+					  "16.0699760, 16.5013480, 19.1023710, 35.0270040, 134.5339500, 746.6279000, 4517.5257000", \
+					  "16.0338090, 16.4774970, 19.1076630, 35.0536060, 134.5215100, 745.4834800, 4518.9436000", \
+					  "16.0729850, 16.5016360, 19.1028700, 35.1616300, 134.5117400, 745.1580500, 4518.6889000", \
+					  "16.0718780, 16.5015500, 19.1071510, 35.0674760, 134.5496200, 746.5984600, 4518.5134000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.9604946, 9.0085397, 9.3031147, 10.6129900, 14.0326830, 28.3286120, 58.1291320", \
+					  "9.0584855, 9.1072954, 9.4016492, 10.7105500, 14.1300630, 28.4205940, 58.2254350", \
+					  "9.1177181, 9.1662047, 9.4621013, 10.7701290, 14.1889730, 28.4913500, 58.2918180", \
+					  "9.1686392, 9.2162420, 9.5130375, 10.8211140, 14.2404600, 28.5432780, 58.3372920", \
+					  "9.2106971, 9.2594155, 9.5553601, 10.8633470, 14.2821690, 28.5845390, 58.3839360", \
+					  "9.2548520, 9.3037498, 9.5975343, 10.9072720, 14.3279320, 28.6238960, 58.4287360", \
+					  "9.2948822, 9.3429220, 9.6377022, 10.9473530, 14.3672470, 28.6631310, 58.4691370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7086053, 2.7336224, 2.8597098, 3.3130860, 5.9512315, 17.2430210, 42.1266400", \
+					  "2.7069502, 2.7333893, 2.8639906, 3.3206036, 5.9583076, 17.2425520, 42.0932620", \
+					  "2.7060301, 2.7304587, 2.8647631, 3.3205956, 5.9566871, 17.2827760, 42.0961010", \
+					  "2.7061224, 2.7311562, 2.8648850, 3.3206817, 5.9583014, 17.2839220, 42.0960550", \
+					  "2.7059095, 2.7304647, 2.8648834, 3.3206562, 5.9565881, 17.2827330, 42.0982080", \
+					  "2.7085936, 2.7336626, 2.8597293, 3.3130256, 5.9509864, 17.2436110, 42.0990180", \
+					  "2.7085216, 2.7336835, 2.8598746, 3.3130976, 5.9513243, 17.2430320, 42.0986020");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("5.2531322, 5.2586246, 5.2956541, 5.5264131, 7.1245818, 17.5183550, 82.2890980", \
+					  "5.3184310, 5.3244791, 5.3612892, 5.5921650, 7.1901569, 17.5841760, 82.3546880", \
+					  "5.3424660, 5.3482858, 5.3851563, 5.6158131, 7.2133561, 17.6083470, 82.3830390", \
+					  "5.3527516, 5.3589888, 5.3956777, 5.6259646, 7.2237869, 17.6176340, 82.3937110", \
+					  "5.3567368, 5.3630771, 5.3996803, 5.6302785, 7.2278059, 17.6217760, 82.3979960", \
+					  "5.3568585, 5.3629572, 5.3998206, 5.6302452, 7.2269155, 17.6229110, 82.3932070", \
+					  "5.3547455, 5.3607616, 5.3976721, 5.6286220, 7.2264785, 17.6195820, 82.3940880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("1.0400537, 1.0539351, 1.1428481, 1.7385769, 5.7652412, 31.6213080, 191.2780000", \
+					  "1.0430458, 1.0571096, 1.1471405, 1.7391735, 5.7647873, 31.6008770, 191.2887100", \
+					  "1.0440661, 1.0594547, 1.1439112, 1.7396700, 5.7649316, 31.5816950, 191.2192600", \
+					  "1.0391104, 1.0529621, 1.1430337, 1.7412525, 5.7594920, 31.6052710, 191.7837400", \
+					  "1.0388748, 1.0527081, 1.1432671, 1.7411629, 5.7586940, 31.6076590, 191.7824200", \
+					  "1.0376612, 1.0536088, 1.1435883, 1.7407882, 5.7656449, 31.6106430, 191.2767300", \
+					  "1.0402330, 1.0540450, 1.1467377, 1.7385561, 5.7640488, 31.6050870, 191.1191400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("84.5755890, 84.5842080, 84.6321200, 84.9403390, 86.8191990, 95.6032120, 134.8268800", \
+					  "84.6781280, 84.6799180, 84.7346800, 85.0361130, 86.9131400, 95.6948090, 134.8832500", \
+					  "84.7325200, 84.7411240, 84.7890790, 85.0971630, 86.9757880, 95.7597520, 134.9837400", \
+					  "84.7807730, 84.7855550, 84.8372750, 85.1372800, 87.0242760, 95.8052910, 134.9314300", \
+					  "84.8269720, 84.8356270, 84.8835530, 85.1917150, 87.0692030, 95.8518740, 135.0781700", \
+					  "84.8700450, 84.8779110, 84.9265760, 85.2340070, 87.1135910, 95.8964320, 135.1204400", \
+					  "84.9120950, 84.9206360, 84.9683630, 85.2740530, 87.1459990, 95.9345530, 135.0492600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("42.7268000, 42.7156370, 42.6499300, 42.3250520, 40.4059360, 38.1871490, 110.6783900", \
+					  "42.5997120, 42.6938700, 42.5480200, 42.2799610, 40.4641830, 38.3870430, 111.4888600", \
+					  "42.7230870, 42.7130970, 42.6490100, 42.3248000, 40.4592800, 38.2014990, 110.6789800", \
+					  "42.5876830, 42.5430000, 42.5226360, 42.3437180, 40.5000480, 38.2579480, 111.4205000", \
+					  "42.7268850, 42.7169800, 42.6515320, 42.3251620, 40.4585630, 38.2658030, 110.6778500", \
+					  "42.7268000, 42.7168910, 42.6491550, 42.3239400, 40.4607830, 38.2129420, 110.6779300", \
+					  "42.5494870, 42.5417890, 42.4889670, 42.3794940, 40.4631320, 38.2361410, 111.3756600");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("5.2353424, 5.2406501, 5.2772089, 5.5063238, 7.0940400, 17.7508910, 85.0935610", \
+					  "5.3003393, 5.3063451, 5.3430291, 5.5716205, 7.1599553, 17.8165570, 85.1537580", \
+					  "5.3245164, 5.3302927, 5.3666405, 5.5953437, 7.1838548, 17.8383970, 85.1774120", \
+					  "5.3350724, 5.3407914, 5.3775375, 5.6059180, 7.1944997, 17.8509830, 85.1880630", \
+					  "5.3389613, 5.3451013, 5.3818727, 5.6103171, 7.1991235, 17.8551840, 85.1930370", \
+					  "5.3391811, 5.3451497, 5.3819322, 5.6104072, 7.1992980, 17.8551090, 85.1975630", \
+					  "5.3368518, 5.3428195, 5.3795937, 5.6081264, 7.1974182, 17.8528430, 85.1951970");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("1.0599105, 1.0753680, 1.1624427, 1.7494940, 5.7680412, 31.5776490, 191.6062800", \
+					  "1.0603958, 1.0744464, 1.1609771, 1.7550673, 5.7680847, 31.5754040, 191.7949800", \
+					  "1.0620326, 1.0739393, 1.1634991, 1.7548460, 5.7680927, 31.5815460, 191.7657600", \
+					  "1.0597210, 1.0737167, 1.1598211, 1.7548271, 5.7680345, 31.5745190, 191.7939000", \
+					  "1.0594595, 1.0732523, 1.1591754, 1.7547567, 5.7670091, 31.5745650, 191.7885300", \
+					  "1.0592306, 1.0730538, 1.1588893, 1.7547170, 5.7664868, 31.5744120, 191.5541400", \
+					  "1.0607758, 1.0750614, 1.1647006, 1.7506325, 5.7625797, 31.6213190, 191.5333600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("46.3183770, 46.3294900, 46.3864860, 46.7528240, 48.8456880, 70.4562380, 103.9130700", \
+					  "46.4142430, 46.4253520, 46.4825050, 46.8488890, 48.9377680, 70.5561900, 104.0125600", \
+					  "46.4753280, 46.4863850, 46.5433170, 46.9096900, 49.0026050, 70.6135030, 104.0741500", \
+					  "46.5268820, 46.5335290, 46.5933250, 46.9400130, 49.0596150, 70.7086440, 104.1146100", \
+					  "46.5697380, 46.5808870, 46.6378190, 47.0041820, 49.0971320, 70.7073940, 104.1686300", \
+					  "46.6128260, 46.6231750, 46.6801350, 47.0463850, 49.1402190, 70.7495720, 104.2108200", \
+					  "46.6552490, 46.6671890, 46.7257770, 47.0852830, 49.1795010, 70.8520820, 104.2478300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("71.5474610, 71.5459120, 71.5235300, 71.3772220, 70.9395070, 70.0938330, 72.1314070", \
+					  "71.5444410, 71.5430700, 71.5208020, 71.3717510, 70.9362030, 70.1019540, 72.0626050", \
+					  "71.5452020, 71.5436100, 71.5216260, 71.3766400, 70.9379220, 70.0916370, 72.0280580", \
+					  "71.5443490, 71.5651960, 71.5451450, 71.3656190, 70.9320320, 70.0911330, 72.1040440", \
+					  "71.5466020, 71.5451160, 71.5228910, 71.3764190, 70.9387750, 70.0919710, 72.0299060", \
+					  "71.5468500, 71.5453370, 71.5230470, 71.3638570, 70.9391090, 70.0921490, 72.0292020", \
+					  "71.5501760, 71.5469280, 71.5545170, 71.4063690, 70.9346320, 70.0920990, 72.1249620");
+				}
+			}	
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1466317, 7.1466320, 7.1626847, 7.1626852, 7.1626857, 7.1626862, 7.1626866", \
+					  "7.0369546, 7.0379289, 7.0379293, 7.0379298, 7.0379303, 7.0379308, 7.0379313", \
+					  "7.0016668, 7.0016673, 7.0016678, 7.0016683, 7.0016687, 7.0016692, 7.0016697", \
+					  "6.9244419, 6.9244421, 6.9244426, 6.9244431, 6.9244435, 6.9244440, 6.9244445", \
+					  "6.7943966, 6.8014416, 6.8014420, 6.8014424, 6.8014429, 6.8014434, 6.8014439", \
+					  "6.7621508, 6.7628765, 6.7628768, 6.7628773, 6.7628778, 6.7628782, 6.7628787", \
+					  "6.7118000, 6.7118004, 6.7118009, 6.7118013, 6.7118018, 6.7118023, 6.7118028");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8532010, 5.8654361, 5.8654364, 5.8654368, 5.8654373, 5.8654378, 5.8654383", \
+					  "5.9457039, 5.9457043, 5.9457047, 5.9457052, 5.9457057, 5.9457062, 5.9457067", \
+					  "5.9678928, 5.9678929, 5.9678934, 5.9678939, 5.9678944, 5.9678948, 5.9678953", \
+					  "5.9793357, 5.9793361, 5.9793366, 5.9793370, 5.9793375, 5.9793380, 5.9793385", \
+					  "5.9772156, 5.9827099, 5.9827102, 5.9827106, 5.9827111, 5.9827116, 5.9827121", \
+					  "5.9835430, 5.9835432, 5.9835437, 5.9835442, 5.9835446, 5.9835451, 5.9835456", \
+					  "5.9817382, 5.9817384, 5.9817389, 5.9817393, 5.9817398, 5.9817403, 5.9817408");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5394277, 7.5763609, 7.8163085, 9.2531431, 18.0023050, 71.7585420, 292.1144400", \
+					  "7.5981283, 7.6365705, 7.8748972, 9.3119148, 18.0608540, 71.8169990, 292.1731800", \
+					  "7.6184907, 7.6571869, 7.8949958, 9.3327586, 18.0812220, 71.8376900, 292.1974600", \
+					  "7.6269853, 7.6660092, 7.9027025, 9.3428376, 18.0900290, 71.8462940, 292.2074000", \
+					  "7.6302138, 7.6687421, 7.9069480, 9.3418748, 18.0932210, 71.8495490, 292.2089900", \
+					  "7.6296818, 7.6681248, 7.9064142, 9.3434460, 18.0926970, 71.8487740, 292.2079100", \
+					  "7.6254025, 7.6627193, 7.9031821, 9.3385673, 18.0898300, 71.8458390, 292.1938700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7159404, 2.7829006, 3.1963534, 5.7919132, 22.1413910, 122.9219500, 252.7863500", \
+					  "2.7162788, 2.7830660, 3.1962666, 5.7920531, 22.1306160, 122.5944400, 252.6916800", \
+					  "2.7166582, 2.7833023, 3.1961325, 5.7921365, 22.1095270, 122.8994100, 252.7460500", \
+					  "2.7171454, 2.7839264, 3.1959475, 5.7888130, 22.0827160, 122.8797700, 252.7555400", \
+					  "2.7163893, 2.7831470, 3.1962240, 5.7921477, 22.1417320, 122.8992700, 252.7572200", \
+					  "2.7163005, 2.7830669, 3.1962628, 5.7920606, 22.0630020, 122.9037300, 252.7597500", \
+					  "2.7159395, 2.7830207, 3.1970042, 5.7918803, 22.1401120, 122.9314800, 252.9940600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.4888640, 16.7029660, 18.0440660, 26.1281490, 75.6064650, 379.2604800, 2251.3730000", \
+					  "16.5875400, 16.7981270, 18.1420230, 26.2242350, 75.7427190, 379.5027200, 2251.4653000", \
+					  "16.6312790, 16.8490000, 18.1831400, 26.2999900, 75.6638770, 379.1697300, 2250.5931000", \
+					  "16.6819780, 16.9000800, 18.2343580, 26.3527530, 75.7001640, 379.4776500, 2250.9510000", \
+					  "16.7241390, 16.9419960, 18.2760790, 26.3927830, 75.7532850, 379.3336100, 2251.4307000", \
+					  "16.7828600, 16.9975520, 18.3377380, 26.4187690, 75.8872220, 379.5646500, 2251.1827000", \
+					  "16.8224460, 17.0366510, 18.3776760, 26.4616990, 75.9444350, 379.5904300, 2251.2511000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3874050, 15.7795540, 18.3371420, 34.2444260, 132.6912400, 739.4172600, 4480.5397000", \
+					  "15.3696430, 15.7688370, 18.3545780, 34.2725350, 132.7537400, 739.6290600, 4480.0331000", \
+					  "15.3657730, 15.7803250, 18.3516850, 34.2901990, 132.6350500, 738.4285800, 4480.8717000", \
+					  "15.3657800, 15.7800670, 18.3515900, 34.2647160, 132.6547900, 739.6581600, 4484.2755000", \
+					  "15.3658380, 15.7803570, 18.3516830, 34.2899040, 132.6423700, 739.9257800, 4479.8571000", \
+					  "15.3922600, 15.7795710, 18.3350930, 34.2527390, 132.3329100, 739.5761900, 4478.9708000", \
+					  "15.3865030, 15.7798180, 18.3380160, 34.2441490, 132.3299300, 739.5341300, 4480.7011000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1585391, 7.1585396, 7.1597777, 7.1597779, 7.1597784, 7.1597789, 7.1597794", \
+					  "7.0466180, 7.0470150, 7.0470155, 7.0470160, 7.0470164, 7.0470169, 7.0470174", \
+					  "6.9966695, 6.9966696, 6.9966701, 6.9966705, 6.9966710, 6.9966715, 6.9966720", \
+					  "6.9197186, 6.9197190, 6.9197195, 6.9197200, 6.9197205, 6.9197209, 6.9197214", \
+					  "6.8132406, 6.8132408, 6.8132413, 6.8132418, 6.8132422, 6.8132427, 6.8132432", \
+					  "6.7674990, 6.7735884, 6.7735885, 6.7735889, 6.7735894, 6.7735899, 6.7735904", \
+					  "6.7098447, 6.7098449, 6.7098454, 6.7098458, 6.7098463, 6.7098468, 6.7098473");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3914002, 5.3927787, 5.3927792, 5.3927796, 5.3927801, 5.3927806, 5.4256768", \
+					  "5.4398441, 5.4511298, 5.4511302, 5.4511307, 5.4511312, 5.4511316, 5.4511321", \
+					  "5.4674842, 5.4706422, 5.4706424, 5.4706429, 5.4706433, 5.4706438, 5.5744880", \
+					  "5.4757452, 5.4783738, 5.4783743, 5.4783748, 5.4783752, 5.4783757, 5.6815721", \
+					  "5.4851954, 5.4851959, 5.4851964, 5.4851969, 5.4851974, 5.4851978, 5.6050027", \
+					  "5.4774860, 5.4869917, 5.4869922, 5.4869926, 5.4869931, 5.4869936, 5.5916836", \
+					  "5.4764677, 5.4799560, 5.4799564, 5.4799569, 5.4799574, 5.4799579, 5.6928631");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.8819414, 8.9307344, 9.2305150, 11.0133510, 21.7882810, 75.4314090, 124.2079400", \
+					  "8.9801171, 9.0289598, 9.3287644, 11.1115730, 21.8862630, 75.5273320, 124.3081200", \
+					  "9.0408117, 9.0895727, 9.3893400, 11.1722150, 21.9472460, 75.5894550, 124.3652000", \
+					  "9.0904519, 9.1377395, 9.4365546, 11.2233190, 21.9965060, 75.7188090, 124.4237200", \
+					  "9.1337933, 9.1829255, 9.4827017, 11.2653000, 22.0405560, 75.6828840, 124.4736600", \
+					  "9.1761683, 9.2256647, 9.5246593, 11.3076390, 22.0826820, 75.7261560, 124.5031600", \
+					  "9.2177794, 9.2649994, 9.5629060, 11.3490890, 22.1239630, 75.7671540, 124.5582300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.1012113, 3.1762841, 3.6482846, 6.6056174, 25.1264520, 55.5094390, 61.2938680", \
+					  "3.1011840, 3.1786713, 3.6483172, 6.6055699, 25.1263810, 55.5146150, 61.2859030", \
+					  "3.1012488, 3.1762293, 3.6482335, 6.6056889, 25.1263280, 55.5107890, 61.2944430", \
+					  "3.1029874, 3.1760556, 3.6465992, 6.6039041, 25.1289300, 55.5039210, 61.3647230", \
+					  "3.1012570, 3.1762299, 3.6482362, 6.6057066, 25.1263610, 55.5117690, 61.2633430", \
+					  "3.1012477, 3.1762351, 3.6482388, 6.6056987, 25.1263770, 55.5120430, 61.2969730", \
+					  "3.1027583, 3.1779084, 3.6471595, 6.6056232, 25.1265040, 55.5126820, 61.2612890");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3932041, 5.3934834, 5.3934835, 5.3995626, 5.3995631, 5.3995636, 5.4270579", \
+					  "5.4516263, 5.4525309, 5.4525311, 5.4525316, 5.4525321, 5.4525326, 5.4525331", \
+					  "5.4683222, 5.4728448, 5.4728449, 5.4728454, 5.4728458, 5.4728463, 5.5767084", \
+					  "5.4782521, 5.4805245, 5.4805248, 5.4805253, 5.4805258, 5.4805263, 5.6831833", \
+					  "5.4805618, 5.4827964, 5.4827965, 5.4827970, 5.4827974, 5.4827979, 5.6084519", \
+					  "5.4998543, 5.4998544, 5.4998549, 5.4998553, 5.4998558, 5.4998563, 5.5941296", \
+					  "5.4782849, 5.4818075, 5.4818080, 5.4818085, 5.4818090, 5.4818094, 5.6942993");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.8632088, 8.9111554, 9.2059613, 10.5307390, 13.9867030, 31.4661540, 95.8302730", \
+					  "8.9614254, 9.0094110, 9.3042294, 10.6289890, 14.0849390, 31.5644150, 95.9192260", \
+					  "9.0220507, 9.0698862, 9.3647738, 10.6895010, 14.1454710, 31.6249530, 95.9793380", \
+					  "9.0712167, 9.1195371, 9.4129717, 10.7378160, 14.1941160, 31.6875100, 96.0198610", \
+					  "9.1153183, 9.1632950, 9.4582955, 10.7828260, 14.2388210, 31.7179990, 96.0735490", \
+					  "9.1573078, 9.2053654, 9.5009511, 10.8249040, 14.2816520, 31.7611180, 96.1156700", \
+					  "9.1969499, 9.2455146, 9.5401065, 10.8665560, 14.3224430, 31.8009940, 96.1543730");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7157179, 2.7408944, 2.8787230, 3.3508356, 5.9869683, 30.0067560, 61.0516510", \
+					  "2.7157232, 2.7408502, 2.8787102, 3.3508614, 5.9870109, 30.0065610, 61.1100930", \
+					  "2.7156659, 2.7408451, 2.8786789, 3.3507599, 5.9869643, 30.0067740, 61.0960100", \
+					  "2.7161788, 2.7425473, 2.8788802, 3.3426750, 5.9884560, 29.9913910, 61.1395090", \
+					  "2.7156941, 2.7408811, 2.8787146, 3.3508023, 5.9869727, 30.0068340, 61.0962870", \
+					  "2.7157063, 2.7408864, 2.8787386, 3.3508185, 5.9869758, 30.0068560, 61.0964290", \
+					  "2.7155449, 2.7413147, 2.8784393, 3.3508669, 5.9869896, 30.0079070, 61.1136880");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8909310, 15.1080940, 16.4344250, 24.5361670, 74.3268060, 380.4980500, 2269.1909000", \
+					  "14.9911690, 15.2076080, 16.5296950, 24.6345420, 74.4267720, 380.5717800, 2269.2418000", \
+					  "15.0519470, 15.2649520, 16.5916930, 24.6966090, 74.4855200, 380.6576700, 2269.1995000", \
+					  "15.1030480, 15.3176260, 16.6439770, 24.7467520, 74.5357200, 380.7170700, 2268.9428000", \
+					  "15.1454550, 15.3626290, 16.6850550, 24.7880670, 74.5740540, 380.7526500, 2268.8898000", \
+					  "15.1911960, 15.4018720, 16.7287690, 24.8318660, 74.6219800, 380.7951700, 2268.8969000", \
+					  "15.2322000, 15.4425770, 16.7686790, 24.8720370, 74.6620900, 380.8430300, 2268.7641000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0530610, 16.4886680, 19.1006610, 35.0578300, 134.5034200, 745.3546700, 4519.0754000", \
+					  "16.0549250, 16.5061510, 19.1092140, 35.0293520, 134.4141100, 745.8548900, 4518.6757000", \
+					  "16.0796960, 16.4844740, 19.0937830, 35.0649930, 134.5016000, 745.2491100, 4519.9713000", \
+					  "16.0528920, 16.4593900, 19.1088640, 35.1699430, 134.4854200, 745.7919100, 4519.4520000", \
+					  "16.0605910, 16.4578870, 19.0976600, 35.0201110, 134.5129600, 745.5337800, 4519.5789000", \
+					  "16.0626770, 16.4714230, 19.1066490, 35.0677460, 134.5280500, 745.5303700, 4517.6266000", \
+					  "16.0559390, 16.4708090, 19.1033570, 35.0706810, 134.5177100, 745.7756500, 4519.2342000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9154907, 9.9639754, 10.2583560, 11.5797750, 15.0031830, 29.3054040, 59.1149740", \
+					  "10.0143660, 10.0629860, 10.3571190, 11.6787390, 15.1026640, 29.4041000, 59.2138890", \
+					  "10.0740120, 10.1222040, 10.4168450, 11.7377940, 15.1615320, 29.4549190, 59.2648560", \
+					  "10.1252570, 10.1736220, 10.4675240, 11.7879700, 15.2134310, 29.5147480, 59.3242360", \
+					  "10.1664050, 10.2152570, 10.5098550, 11.8312880, 15.2546010, 29.5578140, 59.3652560", \
+					  "10.2107620, 10.2581110, 10.5545270, 11.8754970, 15.2979500, 29.6014800, 59.4113560", \
+					  "10.2511800, 10.2973190, 10.5941930, 11.9153490, 15.3386620, 29.6364110, 59.4503860");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7223923, 2.7470639, 2.8743739, 3.3277712, 5.9553948, 17.2769890, 42.0973340", \
+					  "2.7224010, 2.7471192, 2.8743694, 3.3277776, 5.9554108, 17.2772290, 42.0980510", \
+					  "2.7225149, 2.7472913, 2.8742686, 3.3277785, 5.9554632, 17.2453020, 42.0985950", \
+					  "2.7222908, 2.7470979, 2.8744991, 3.3268757, 5.9553980, 17.2764720, 42.0966340", \
+					  "2.7224256, 2.7471510, 2.8743627, 3.3277812, 5.9554286, 17.2773650, 42.0967830", \
+					  "2.7225278, 2.7471132, 2.8743425, 3.3277860, 5.9554761, 17.2767360, 42.0974120", \
+					  "2.7222176, 2.7472564, 2.8751697, 3.3277734, 5.9550778, 17.2592680, 42.0984280");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.5664100, 14.7825670, 16.1088970, 24.2112370, 74.0009090, 380.1657200, 2268.2461000", \
+					  "14.6238360, 14.8401260, 16.1664180, 24.2700420, 74.0592580, 380.1889900, 2267.9860000", \
+					  "14.6434690, 14.8589170, 16.1863710, 24.2913710, 74.0796300, 380.2521200, 2268.3953000", \
+					  "14.6523730, 14.8679430, 16.1957220, 24.3002450, 74.0884620, 380.2528000, 2268.8703000", \
+					  "14.6588450, 14.8704700, 16.1979030, 24.3010640, 74.0918220, 380.2546500, 2268.4576000", \
+					  "14.6555380, 14.8699760, 16.1979120, 24.3015830, 74.0907850, 380.2628900, 2268.2918000", \
+					  "14.6533430, 14.8695000, 16.1919760, 24.2986160, 74.0900600, 380.2054200, 2268.4812000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0310720, 16.5030320, 19.0948320, 35.0537380, 134.4748000, 745.1629900, 4518.8499000", \
+					  "16.0463480, 16.4611630, 19.0678190, 35.1644370, 134.4864800, 746.5942500, 4518.2132000", \
+					  "16.0492700, 16.4822400, 19.0835970, 35.0599980, 134.4829600, 745.5811300, 4519.3883000", \
+					  "16.0493170, 16.4822680, 19.0980210, 35.0586160, 134.4824900, 745.1771500, 4519.3974000", \
+					  "16.0169830, 16.4816800, 19.0882310, 35.0626730, 134.6091700, 745.0634600, 4519.1787000", \
+					  "16.0454120, 16.4818470, 19.0670930, 35.1639040, 134.4877800, 745.5086600, 4519.2337000", \
+					  "16.0359900, 16.5030760, 19.0365930, 35.0539860, 134.5477800, 746.6613900, 4517.9399000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.9137810, 16.1152620, 17.4221420, 25.3782190, 74.7497420, 378.1559300, 2250.8343000", \
+					  "16.0059150, 16.2308000, 17.5123030, 25.5001100, 74.7922190, 378.3773900, 2249.4844000", \
+					  "16.0730010, 16.2766560, 17.5847980, 25.5708240, 74.8828910, 378.2648200, 2249.5219000", \
+					  "16.1248900, 16.3420760, 17.6367610, 25.6017060, 74.7779940, 378.4872700, 2250.6508000", \
+					  "16.1658490, 16.3686240, 17.6776390, 25.6642650, 74.9754610, 378.3619900, 2251.0012000", \
+					  "16.2093570, 16.4100090, 17.7179890, 25.6708630, 75.0465010, 378.4404000, 2250.0899000", \
+					  "16.2452750, 16.4500750, 17.7522830, 25.7274130, 75.0694940, 378.5542900, 2250.1247000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3729850, 15.7676310, 18.3653710, 34.2075520, 132.7851000, 739.6627100, 4479.9685000", \
+					  "15.3551070, 15.7928910, 18.3653200, 34.2506180, 132.9674700, 739.4631300, 4477.4561000", \
+					  "15.3788130, 15.7872450, 18.3631300, 34.2537310, 132.9227900, 738.9352800, 4480.3451000", \
+					  "15.3744390, 15.7862700, 18.3410510, 34.2727340, 132.3221100, 738.9777500, 4480.3255000", \
+					  "15.3786440, 15.7843290, 18.3633410, 34.2553360, 132.9243400, 738.9434700, 4478.9394000", \
+					  "15.3740680, 15.7685280, 18.3652760, 34.2126080, 132.7779000, 739.3665000, 4479.0631000", \
+					  "15.3627670, 15.7793670, 18.3650040, 34.2211980, 132.8199200, 739.3867900, 4479.2960000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8423595, 5.8423598, 5.8556653, 5.8567875, 5.8567880, 5.8567884, 5.9329759", \
+					  "5.9191541, 5.9191545, 5.9191549, 5.9191554, 5.9191559, 5.9191564, 5.9733587", \
+					  "5.9345395, 5.9345396, 5.9345401, 5.9345406, 5.9345410, 5.9345415, 5.9983023", \
+					  "5.9457230, 5.9457233, 5.9457238, 5.9457243, 5.9457248, 5.9457253, 5.9992389", \
+					  "5.9321694, 5.9321697, 5.9444298, 5.9444302, 5.9444306, 5.9444311, 5.9960912", \
+					  "5.9459691, 5.9459694, 5.9459699, 5.9459703, 5.9459708, 5.9459713, 5.9927827", \
+					  "5.9260636, 5.9262337, 5.9262341, 5.9265211, 5.9265216, 5.9265221, 5.9576308");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8597953, 5.8630687, 5.8630689, 5.8630693, 5.8630698, 5.8630703, 5.8630708", \
+					  "5.9438290, 5.9438293, 5.9438298, 5.9438303, 5.9438308, 5.9438313, 5.9438317", \
+					  "5.9664833, 5.9664834, 5.9664839, 5.9664844, 5.9664848, 5.9664853, 5.9664858", \
+					  "5.9772813, 5.9772814, 5.9772819, 5.9772823, 5.9772828, 5.9772833, 5.9772838", \
+					  "5.9771481, 5.9810699, 5.9810703, 5.9810708, 5.9810713, 5.9810718, 5.9810722", \
+					  "5.9788867, 5.9812573, 5.9812577, 5.9812582, 5.9812587, 5.9812592, 5.9812596", \
+					  "5.9791594, 5.9791596, 5.9791601, 5.9791606, 5.9791611, 5.9791616, 5.9791620");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9226430, 7.9615505, 8.1996232, 9.6370281, 18.3877750, 72.1430380, 292.5409000", \
+					  "8.0212654, 8.0595042, 8.2979650, 9.7347082, 18.4849260, 72.2417250, 292.6312800", \
+					  "8.0829015, 8.1205540, 8.3558529, 9.7975104, 18.5459950, 72.3012830, 292.7230600", \
+					  "8.1316074, 8.1707494, 8.4072855, 9.8486828, 18.5950140, 72.3524350, 292.7805800", \
+					  "8.1708080, 8.2122433, 8.4500140, 9.8878878, 18.6388520, 72.3940940, 292.8041500", \
+					  "8.2116749, 8.2575591, 8.4922025, 9.9346051, 18.6825120, 72.4389580, 292.8532000", \
+					  "8.2588567, 8.2983647, 8.5303737, 9.9755733, 18.7221620, 72.4796510, 292.9068600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7178280, 2.7850082, 3.1975278, 5.7922773, 22.1324470, 122.9057700, 252.7821700", \
+					  "2.7177876, 2.7844831, 3.1974747, 5.7923568, 22.1391050, 122.9154900, 253.0209100", \
+					  "2.7188498, 2.7854716, 3.1984984, 5.7888223, 22.1416090, 122.6410500, 253.0733800", \
+					  "2.7187150, 2.7856193, 3.1971963, 5.7900440, 22.0888530, 122.8753200, 252.8390400", \
+					  "2.7178690, 2.7848552, 3.1984755, 5.7922676, 22.1357460, 122.8911900, 252.8448700", \
+					  "2.7180183, 2.7855556, 3.1974350, 5.7925025, 22.0999410, 122.8862800, 252.7784500", \
+					  "2.7185945, 2.7855147, 3.1974376, 5.7925273, 22.0809930, 122.8756500, 252.7127700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.3136293, 7.3136294, 7.3136299, 7.3136304, 7.3136309, 7.3136313, 7.3719524", \
+					  "7.3624893, 7.3650930, 7.3650935, 7.3650940, 7.3650945, 7.3650950, 7.4251201", \
+					  "7.3905344, 7.3906201, 7.3906205, 7.3917996, 7.3917997, 7.3918002, 7.4567632", \
+					  "7.3915749, 7.3915751, 7.3915756, 7.3915761, 7.3915766, 7.3915771, 7.4515745", \
+					  "7.3978521, 7.3978522, 7.3978527, 7.3978532, 7.3978537, 7.3978541, 7.4500464", \
+					  "7.3884099, 7.3884538, 7.3886737, 7.3887709, 7.3887713, 7.3887718, 7.4488726", \
+					  "7.3848661, 7.3849211, 7.3849214, 7.3855873, 7.3855875, 7.3855880, 7.4399913");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.5664370, 14.7826080, 16.1084730, 24.2111950, 74.0026990, 380.1658600, 2268.6413000", \
+					  "14.6226990, 14.8401300, 16.1666150, 24.2711130, 74.0607080, 380.2314000, 2268.0063000", \
+					  "14.6434860, 14.8579640, 16.1863770, 24.2891410, 74.0793240, 380.2516100, 2268.5999000", \
+					  "14.6523740, 14.8679480, 16.1957290, 24.3002650, 74.0885110, 380.2528000, 2268.7268000", \
+					  "14.6560270, 14.8704720, 16.1979050, 24.3013640, 74.0918440, 380.2624600, 2268.6263000", \
+					  "14.6555290, 14.8699830, 16.1979090, 24.3015940, 74.0908280, 380.2624600, 2268.4642000", \
+					  "14.6533780, 14.8695080, 16.1920110, 24.2985720, 74.0901030, 380.2061900, 2268.1952000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0327700, 16.5031050, 19.0942830, 35.0265630, 134.5475900, 745.0654500, 4519.5166000", \
+					  "16.0511160, 16.4613870, 19.1060890, 35.0348980, 134.5172600, 747.0368900, 4517.9208000", \
+					  "16.0493290, 16.4798820, 19.0840660, 35.0542490, 134.4865300, 745.2371200, 4518.0509000", \
+					  "16.0494250, 16.4823710, 19.0980870, 35.0581470, 134.4832900, 745.2064200, 4520.8990000", \
+					  "16.0452650, 16.4817870, 19.0882630, 35.0372670, 134.6088000, 745.4251000, 4518.5425000", \
+					  "16.0455450, 16.4819520, 19.0670180, 35.1639140, 134.4886900, 745.4789900, 4517.5971000", \
+					  "16.0363140, 16.5031150, 19.0366410, 35.0539510, 134.5475600, 746.6729200, 4517.8144000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.9137570, 16.1153440, 17.4222170, 25.3778810, 74.7502260, 378.1915700, 2249.6909000", \
+					  "16.0212660, 16.2199250, 17.5123040, 25.5106940, 74.7920480, 378.3318600, 2249.9721000", \
+					  "16.0730240, 16.2756510, 17.5846110, 25.5707690, 74.8830620, 378.2801900, 2249.3884000", \
+					  "16.1247660, 16.3413890, 17.6369690, 25.5956360, 74.7783420, 378.5249600, 2251.0626000", \
+					  "16.1658700, 16.3684430, 17.6775160, 25.6639560, 74.9751190, 378.3717400, 2249.2766000", \
+					  "16.2093650, 16.4110090, 17.7180490, 25.6714830, 75.0468200, 378.4551000, 2249.9803000", \
+					  "16.2455490, 16.4503880, 17.7522550, 25.7258070, 75.0694580, 378.5667700, 2250.7409000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3728390, 15.7678000, 18.3649900, 34.2077160, 132.7830400, 739.6120100, 4479.7157000", \
+					  "15.3709600, 15.7889220, 18.3653160, 34.2626580, 132.9673400, 739.6163800, 4479.3646000", \
+					  "15.3788020, 15.7847410, 18.3628400, 34.2790330, 132.9230200, 739.0302100, 4480.9990000", \
+					  "15.3744800, 15.7955800, 18.3404650, 34.2758070, 132.3231700, 738.9783100, 4479.3164000", \
+					  "15.3786460, 15.7837580, 18.3630110, 34.2531730, 132.9277000, 738.9850800, 4481.5952000", \
+					  "15.3739740, 15.7696790, 18.3648990, 34.2094070, 132.7766300, 739.3898000, 4482.0495000", \
+					  "15.3638790, 15.7803260, 18.3645880, 34.2186970, 132.8192400, 739.4334600, 4481.2473000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5242941, 7.5632514, 7.8012761, 9.2407797, 17.9875210, 71.7451410, 292.1760300", \
+					  "7.5830222, 7.6200939, 7.8598559, 9.2957269, 18.0470800, 71.8030510, 292.2300800", \
+					  "7.6033304, 7.6418161, 7.8798017, 9.3190673, 18.0670780, 71.8237270, 292.2555200", \
+					  "7.6123934, 7.6512201, 7.8887883, 9.3283132, 18.0762410, 71.8327020, 292.2653800", \
+					  "7.6154061, 7.6531350, 7.8881364, 9.3310106, 18.0782060, 71.8355860, 292.2677100", \
+					  "7.6150082, 7.6535702, 7.8917708, 9.3292165, 18.0786110, 71.8352100, 292.2664900", \
+					  "7.6114786, 7.6482296, 7.8881106, 9.3239745, 18.0754270, 71.8318130, 292.2561500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7178386, 2.7849512, 3.1975382, 5.7892846, 22.1352410, 122.9288800, 252.8211700", \
+					  "2.7180262, 2.7844063, 3.1975418, 5.7923817, 22.1403300, 122.6086300, 252.8423400", \
+					  "2.7183986, 2.7849965, 3.1975167, 5.7892025, 22.1170510, 122.8958000, 252.8075500", \
+					  "2.7187328, 2.7855682, 3.1972731, 5.7887206, 22.1242650, 122.8801100, 252.8219100", \
+					  "2.7183147, 2.7854288, 3.1976202, 5.7899081, 22.1350320, 122.9012800, 252.8166200", \
+					  "2.7182889, 2.7849476, 3.1974414, 5.7924887, 22.1285250, 122.9014800, 252.8184700", \
+					  "2.7177678, 2.7844123, 3.1976704, 5.7923470, 22.1302570, 122.9285300, 252.8743700");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("6.2750232, 6.2750233, 6.2750237, 6.2750242, 6.2750247, 6.2750252, 6.3584937", \
+					  "6.3366836, 6.3366840, 6.3366845, 6.3366850, 6.3366855, 6.3366859, 6.3868173", \
+					  "6.3594627, 6.3594630, 6.3594635, 6.3594640, 6.3594645, 6.3594649, 6.3925465", \
+					  "6.3677215, 6.3678649, 6.3679195, 6.3695689, 6.3695691, 6.3695696, 6.4201027", \
+					  "6.3702350, 6.3702353, 6.3702357, 6.3702362, 6.3702367, 6.3702372, 6.4168080", \
+					  "6.3637149, 6.3652559, 6.3652561, 6.3652566, 6.3652571, 6.3652576, 6.4219696", \
+					  "6.3498398, 6.3498400, 6.3498405, 6.3498409, 6.3498414, 6.3498419, 6.4148966");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.6287598, 7.6683762, 7.9094766, 9.4111323, 13.6565040, 25.2178470, 93.5350640", \
+					  "7.6873006, 7.7268165, 7.9682341, 9.4686996, 13.7151690, 25.2761940, 93.5936130", \
+					  "7.7076860, 7.7468183, 7.9881826, 9.4895967, 13.7354550, 25.2953210, 93.6139840", \
+					  "7.7162374, 7.7558440, 7.9969178, 9.4982902, 13.7444210, 25.3046700, 93.6215370", \
+					  "7.7193407, 7.7591649, 8.0002307, 9.4998471, 13.7472840, 25.3081640, 93.6270510", \
+					  "7.7189570, 7.7583096, 7.9995601, 9.5012078, 13.7467970, 25.3077670, 93.6249830", \
+					  "7.7162330, 7.7555615, 7.9971452, 9.4984500, 13.7443410, 25.3048070, 93.6223190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8042806, 2.8776224, 3.3181418, 4.3971999, 5.9522529, 19.8189340, 124.0876100", \
+					  "2.8042759, 2.8775802, 3.3178009, 4.3968917, 5.9525007, 19.8215240, 124.0649100", \
+					  "2.8043077, 2.8776479, 3.3176903, 4.3971284, 5.9491927, 19.8491250, 123.9938000", \
+					  "2.8039879, 2.8775751, 3.3178291, 4.3970920, 5.9476166, 19.8452650, 123.9823300", \
+					  "2.8043701, 2.8774247, 3.3178247, 4.3967239, 5.9419758, 19.8500650, 123.9629800", \
+					  "2.8042366, 2.8773213, 3.3179950, 4.3972395, 5.9494738, 19.8327680, 123.9473900", \
+					  "2.8041700, 2.8775935, 3.3181866, 4.3970458, 5.9544152, 19.8486080, 123.9407700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.1285193, 9.1786383, 9.4774872, 10.7174660, 14.1048700, 28.3632960, 58.1512690", \
+					  "9.2274952, 9.2775156, 9.5753867, 10.8163760, 14.2035090, 28.4692770, 58.2546380", \
+					  "9.2889554, 9.3374695, 9.6365316, 10.8780410, 14.2613830, 28.5292960, 58.3152050", \
+					  "9.3386623, 9.3869632, 9.6860422, 10.9275900, 14.3114950, 28.5855800, 58.3534150", \
+					  "9.3821138, 9.4311012, 9.7300275, 10.9704340, 14.3577850, 28.6224640, 58.4083570", \
+					  "9.4227417, 9.4739923, 9.7722985, 11.0129250, 14.4007210, 28.6658520, 58.4493590", \
+					  "9.4624504, 9.5129469, 9.8111750, 11.0524480, 14.4391290, 28.7047770, 58.4895720");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.6038622, 2.6260973, 2.7457412, 3.1694829, 5.9254650, 17.1960990, 42.1098890", \
+					  "2.6037272, 2.6254874, 2.7423377, 3.1697223, 5.9171810, 17.2024940, 42.0914540", \
+					  "2.6010811, 2.6238192, 2.7462848, 3.1755751, 5.9248002, 17.1936920, 42.0921080", \
+					  "2.6011004, 2.6241809, 2.7458642, 3.1756726, 5.9266134, 17.2384920, 42.0905370", \
+					  "2.6012559, 2.6235525, 2.7474718, 3.1698490, 5.9200644, 17.1935370, 42.0920830", \
+					  "2.6044225, 2.6265599, 2.7431080, 3.1697518, 5.9183445, 17.1980100, 42.0832470", \
+					  "2.6043969, 2.6266018, 2.7420641, 3.1700687, 5.9243934, 17.1979600, 42.0925090");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("6.3426908, 6.3426912, 6.3426917, 6.3426922, 6.3426927, 6.3426931, 6.3431909", \
+					  "6.4003691, 6.4022299, 6.4042438, 6.4042442, 6.4042447, 6.4042452, 6.4706560", \
+					  "6.4205192, 6.4292456, 6.4292458, 6.4292462, 6.4292467, 6.4292472, 6.4934933", \
+					  "6.4239289, 6.4239290, 6.4239295, 6.4239300, 6.4239305, 6.4239309, 6.5007932", \
+					  "6.4303558, 6.4303563, 6.4303568, 6.4309291, 6.4309295, 6.4309299, 6.4965016", \
+					  "6.4127179, 6.4133134, 6.4133137, 6.4133142, 6.4133146, 6.4133151, 6.5001484", \
+					  "6.4178085, 6.4178088, 6.4178093, 6.4178098, 6.4178103, 6.4178107, 6.4940813");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8601457, 5.8638072, 5.8638075, 5.8638080, 5.8638084, 5.8638089, 5.9402745", \
+					  "5.9062777, 5.9062779, 5.9062784, 5.9062789, 5.9062794, 5.9062799, 5.9486176", \
+					  "5.9190670, 5.9195591, 5.9195593, 5.9195598, 5.9195602, 5.9195607, 6.0055232", \
+					  "5.9306555, 5.9309055, 5.9309056, 5.9320016, 5.9320019, 5.9320024, 5.9627294", \
+					  "5.9088188, 5.9088190, 5.9295711, 5.9295714, 5.9295719, 5.9295724, 5.9911116", \
+					  "5.9527432, 5.9527433, 5.9527438, 5.9527443, 5.9527448, 5.9527452, 5.9951692", \
+					  "5.9455214, 5.9455216, 5.9455221, 5.9455226, 5.9455231, 5.9455236, 5.9899770");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8631722, 5.8631935, 5.8631938, 5.8631943, 5.8631948, 5.8631952, 5.8631957", \
+					  "5.9437997, 5.9437998, 5.9438003, 5.9438007, 5.9438012, 5.9438017, 5.9438022", \
+					  "5.9663381, 5.9663384, 5.9663389, 5.9663394, 5.9663399, 5.9663404, 5.9663408", \
+					  "5.9770882, 5.9770883, 5.9770887, 5.9770892, 5.9770897, 5.9770902, 5.9770906", \
+					  "5.9767027, 5.9808043, 5.9808047, 5.9808052, 5.9808057, 5.9808062, 5.9808066", \
+					  "5.9811534, 5.9811538, 5.9811543, 5.9811547, 5.9811552, 5.9811557, 5.9811562", \
+					  "5.9790217, 5.9790218, 5.9790223, 5.9790228, 5.9790233, 5.9790237, 5.9790242");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9180023, 7.9565527, 8.1963147, 9.6736095, 13.9937840, 25.5568350, 93.8677120", \
+					  "8.0167695, 8.0554461, 8.2934157, 9.7736219, 14.0910320, 25.6556840, 93.9668040", \
+					  "8.0783522, 8.1154257, 8.3529876, 9.8327257, 14.1509880, 25.7152170, 94.0245780", \
+					  "8.1268032, 8.1661051, 8.4043421, 9.8848760, 14.2017580, 25.7663380, 94.0778190", \
+					  "8.1706242, 8.2092084, 8.4468530, 9.9262563, 14.2440580, 25.8091340, 94.1195850", \
+					  "8.2131608, 8.2525178, 8.4865217, 9.9675814, 14.2857820, 25.8532700, 94.1634820", \
+					  "8.2540019, 8.2922034, 8.5308551, 10.0083280, 14.3286760, 25.8912430, 94.2030100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8065184, 2.8796163, 3.3248984, 4.4714712, 6.0564513, 19.8345040, 124.0055100", \
+					  "2.8064471, 2.8796714, 3.3249195, 4.4716533, 6.0586185, 19.8302550, 123.9878200", \
+					  "2.8064897, 2.8795502, 3.3248955, 4.4718211, 6.0591314, 19.8120260, 123.9896400", \
+					  "2.8066098, 2.8795188, 3.3248981, 4.4719983, 6.0583032, 19.8528340, 123.9590700", \
+					  "2.8063074, 2.8796596, 3.3248935, 4.4715307, 6.0519967, 19.8446790, 123.9603300", \
+					  "2.8064018, 2.8795322, 3.3248897, 4.4713366, 6.0583161, 19.8543080, 123.9871600", \
+					  "2.8063925, 2.8790517, 3.3248839, 4.4720152, 6.0583134, 19.8437730, 123.9642400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8188820, 17.0322440, 18.3337030, 26.3067990, 75.5688560, 379.2573000, 2251.5851000", \
+					  "16.9174260, 17.1309370, 18.4319810, 26.3990390, 75.6302820, 379.3679500, 2250.9450000", \
+					  "16.9719230, 17.1877430, 18.4830840, 26.4601430, 75.6828480, 379.3263900, 2250.3750000", \
+					  "17.0289930, 17.2420460, 18.5447870, 26.5166750, 75.7853020, 379.3915900, 2252.3589000", \
+					  "17.0693730, 17.2836430, 18.5852420, 26.5461550, 75.7480050, 379.5286400, 2251.2386000", \
+					  "17.1109210, 17.3283960, 18.6062970, 26.6032600, 75.8220860, 379.4754400, 2250.6147000", \
+					  "17.1564590, 17.3686350, 18.6706690, 26.6458620, 75.9254560, 379.4928300, 2251.3675000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3694120, 15.7931040, 18.3571100, 34.2775190, 132.3794800, 739.5979700, 4480.0659000", \
+					  "15.3724180, 15.7921200, 18.3557310, 34.2801200, 132.5470200, 739.5144600, 4480.0086000", \
+					  "15.3782410, 15.7942830, 18.3481980, 34.2522670, 132.3548400, 739.2005700, 4481.1791000", \
+					  "15.3660600, 15.7955280, 18.3610530, 34.2768520, 132.4854400, 739.7280800, 4480.6930000", \
+					  "15.3794420, 15.7890780, 18.3543620, 34.2811180, 132.6516600, 739.4580200, 4479.6138000", \
+					  "15.3796990, 15.7952900, 18.3684750, 34.2754410, 132.3503500, 739.7190400, 4481.1459000", \
+					  "15.3710820, 15.7958410, 18.3618120, 34.2724820, 132.9717100, 739.6915900, 4480.8712000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1594690, 7.1594694, 7.1607942, 7.1607945, 7.1607950, 7.1607955, 7.1607960", \
+					  "7.0464039, 7.0464042, 7.0464047, 7.0464051, 7.0464056, 7.0464061, 7.0464066", \
+					  "6.9973125, 6.9973128, 6.9973133, 6.9973138, 6.9973143, 6.9973147, 7.0192558", \
+					  "6.9205246, 6.9205249, 6.9205254, 6.9205258, 6.9205263, 6.9205268, 6.9205273", \
+					  "6.8141590, 6.8234995, 6.8235000, 6.8235004, 6.8235009, 6.8235014, 6.8235019", \
+					  "6.7732278, 6.7732280, 6.7732285, 6.7732289, 6.7732294, 6.7732299, 6.7732304", \
+					  "6.7101178, 6.7101181, 6.7101186, 6.7101191, 6.7101195, 6.7101200, 6.7101205");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3913074, 5.3953335, 5.3953336, 5.3961284, 5.3961285, 5.3961289, 5.4550044", \
+					  "5.4551306, 5.4623133, 5.4623135, 5.4623139, 5.4623144, 5.4623149, 5.4890981", \
+					  "5.4780394, 5.4843206, 5.4843209, 5.4843214, 5.4843219, 5.4843224, 5.5885803", \
+					  "5.4905285, 5.4924092, 5.4924095, 5.4924100, 5.4924105, 5.4924109, 5.5866503", \
+					  "5.4903562, 5.4923719, 5.4924505, 5.4924510, 5.4924515, 5.4924520, 5.5334515", \
+					  "5.4930851, 5.4975642, 5.4975646, 5.4975651, 5.4975656, 5.4975660, 5.5723817", \
+					  "5.4895413, 5.4918234, 5.4918235, 5.4918240, 5.4918244, 5.4918249, 5.5698206");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.8577626, 9.9056203, 10.2027580, 11.9914290, 22.7514980, 76.4288330, 125.1973700", \
+					  "9.9564907, 10.0048940, 10.3020360, 12.0904070, 22.8498160, 76.5285580, 125.2965400", \
+					  "10.0137510, 10.0634110, 10.3642220, 12.1467050, 22.9160670, 76.5161940, 125.3504800", \
+					  "10.0674270, 10.1158150, 10.4136430, 12.2014390, 22.9588760, 76.6177020, 125.4067400", \
+					  "10.1091450, 10.1575840, 10.4543740, 12.2424630, 23.0011730, 76.6827530, 125.4492600", \
+					  "10.1516270, 10.2022510, 10.4974560, 12.2825200, 23.0440150, 76.7263940, 125.5032800", \
+					  "10.1936890, 10.2392220, 10.5394320, 12.3274430, 23.0872000, 76.7072950, 125.5300900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.1011740, 3.1787477, 3.6489727, 6.6019190, 25.1218340, 55.5253730, 61.2666400", \
+					  "3.1012155, 3.1787448, 3.6489383, 6.6018515, 25.1217500, 55.5255290, 61.2670950", \
+					  "3.0986720, 3.1746377, 3.6495362, 6.6059327, 25.1174780, 55.5095420, 61.3330760", \
+					  "3.1004888, 3.1788074, 3.6495977, 6.6023960, 25.1214840, 55.5169560, 61.3485370", \
+					  "3.1011880, 3.1787513, 3.6489944, 6.6019417, 25.1217110, 55.5257640, 61.2671870", \
+					  "3.0987182, 3.1783607, 3.6490213, 6.5982584, 25.1204730, 55.5256500, 61.3843620", \
+					  "3.1002232, 3.1788232, 3.6495351, 6.6037562, 25.1214640, 55.5069060, 61.3389370");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8296954, 5.8296955, 5.8448109, 5.8448113, 5.8448117, 5.8448122, 5.9289915", \
+					  "5.8887113, 5.9028817, 5.9033862, 5.9033864, 5.9033869, 5.9033874, 5.9871570", \
+					  "5.8829022, 5.8829024, 5.8829029, 5.8829034, 5.8829039, 5.8829043, 5.9871992", \
+					  "5.9310494, 5.9310496, 5.9310501, 5.9310506, 5.9310511, 5.9310515, 5.9648984", \
+					  "5.9005010, 5.9005011, 5.9005015, 5.9014211, 5.9014214, 5.9014218, 5.9627605", \
+					  "5.8961103, 5.8961108, 5.8961113, 5.8968097, 5.8968099, 5.8968103, 5.9754783", \
+					  "5.9018936, 5.9018939, 5.9018944, 5.9058234, 5.9058235, 5.9058240, 5.9763412");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3966271, 5.3979621, 5.3979624, 5.3979629, 5.3979633, 5.3979638, 5.4595266", \
+					  "5.4620255, 5.4620650, 5.4620655, 5.4620660, 5.4620665, 5.4620669, 5.4873497", \
+					  "5.4800120, 5.4818257, 5.4818261, 5.4818266, 5.4818271, 5.4818275, 5.5607408", \
+					  "5.4927258, 5.4945590, 5.4945591, 5.4945596, 5.4945601, 5.4945605, 5.5889848", \
+					  "5.4928955, 5.4982499, 5.4982503, 5.4982508, 5.4982512, 5.4982517, 5.5379283", \
+					  "5.4953531, 5.4984377, 5.4984382, 5.4984386, 5.4984391, 5.4984396, 5.5713545", \
+					  "5.4918488, 5.4939346, 5.4939349, 5.4939354, 5.4939359, 5.4939364, 5.5703939");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1456192, 7.1456197, 7.1597892, 7.1597894, 7.1597899, 7.1597903, 7.1597908", \
+					  "7.0355357, 7.0363422, 7.0363424, 7.0363429, 7.0363434, 7.0363439, 7.0363443", \
+					  "6.9997115, 6.9997118, 6.9997123, 6.9997128, 6.9997132, 6.9997137, 6.9997142", \
+					  "6.9228689, 6.9228690, 6.9228695, 6.9228700, 6.9228704, 6.9228709, 6.9228714", \
+					  "6.7935586, 6.7948909, 6.8029014, 6.8029016, 6.8029020, 6.8029025, 6.8041754", \
+					  "6.7615242, 6.7630412, 6.7630413, 6.7630418, 6.7630423, 6.7630427, 6.7630432", \
+					  "6.7112782, 6.7112783, 6.7112787, 6.7112792, 6.7112797, 6.7112802, 6.7112806");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8557026, 5.8641234, 5.8646248, 5.8646253, 5.8646257, 5.8646262, 5.8646267", \
+					  "5.9456413, 5.9456418, 5.9456423, 5.9456428, 5.9456432, 5.9456437, 5.9456442", \
+					  "5.9678249, 5.9678252, 5.9678257, 5.9678262, 5.9678267, 5.9678271, 5.9678276", \
+					  "5.9792891, 5.9792893, 5.9792898, 5.9792903, 5.9792908, 5.9792913, 5.9792917", \
+					  "5.9771188, 5.9826677, 5.9826682, 5.9826687, 5.9826692, 5.9826696, 5.9826701", \
+					  "5.9834933, 5.9834936, 5.9834941, 5.9834946, 5.9834950, 5.9834955, 5.9834960", \
+					  "5.9816827, 5.9816831, 5.9816835, 5.9816840, 5.9816845, 5.9816850, 5.9816855");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5198878, 7.5589810, 7.7966416, 9.2756274, 13.5939230, 25.1591780, 93.4685500", \
+					  "7.5787696, 7.6173823, 7.8551849, 9.3355101, 13.6525730, 25.2181510, 93.5292730", \
+					  "7.5983930, 7.6371167, 7.8748057, 9.3546557, 13.6728460, 25.2378810, 93.5468240", \
+					  "7.6073521, 7.6461726, 7.8839770, 9.3630579, 13.6811710, 25.2468020, 93.5561600", \
+					  "7.6103310, 7.6490476, 7.8872651, 9.3669152, 13.6849550, 25.2500210, 93.5593080", \
+					  "7.6102120, 7.6488860, 7.8868458, 9.3664623, 13.6845290, 25.2494040, 93.5587110", \
+					  "7.6070101, 7.6455819, 7.8835960, 9.3620978, 13.6815790, 25.2464040, 93.5572400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8064371, 2.8796310, 3.3248539, 4.4716644, 6.0521379, 19.8418850, 123.9573600", \
+					  "2.8063116, 2.8796301, 3.3246288, 4.4717385, 6.0568053, 19.8410820, 123.9882000", \
+					  "2.8067579, 2.8794824, 3.3248237, 4.4718016, 6.0585625, 19.8487790, 123.9935000", \
+					  "2.8065004, 2.8795311, 3.3248617, 4.4717545, 6.0584315, 19.8436520, 123.9894400", \
+					  "2.8066725, 2.8789895, 3.3248535, 4.4719228, 6.0578071, 19.8330580, 123.9581000", \
+					  "2.8067872, 2.8794807, 3.3248537, 4.4719353, 6.0583982, 19.8379220, 123.9615100", \
+					  "2.8063232, 2.8795948, 3.3248666, 4.4716977, 6.0588849, 19.8354240, 123.9011000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.3709741, 7.3709744, 7.3709748, 7.3709753, 7.3752884, 7.3752888, 7.4271886", \
+					  "7.4077287, 7.4115860, 7.4115861, 7.4115866, 7.4115870, 7.4115875, 7.5206668", \
+					  "7.4315345, 7.4315346, 7.4315351, 7.4315355, 7.4315360, 7.4315365, 7.4795254", \
+					  "7.4372921, 7.4372924, 7.4372929, 7.4372933, 7.4372938, 7.4372943, 7.5203337", \
+					  "7.4416731, 7.4477806, 7.4724067, 7.4724072, 7.4724076, 7.4724081, 7.5339335", \
+					  "7.4689446, 7.4689448, 7.4689453, 7.4689458, 7.4689463, 7.4689467, 7.5100157", \
+					  "7.4375976, 7.4376088, 7.4376090, 7.4380231, 7.4380234, 7.4380239, 7.5098878");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9190139, 7.9560269, 8.1944984, 9.6735366, 13.9918890, 25.5588550, 93.8664830", \
+					  "8.0165448, 8.0550269, 8.2930240, 9.7741317, 14.0911730, 25.6556310, 93.9668250", \
+					  "8.0777101, 8.1145934, 8.3529237, 9.8328421, 14.1514250, 25.7162910, 94.0241730", \
+					  "8.1265759, 8.1661460, 8.4046095, 9.8849444, 14.2015480, 25.7660170, 94.0773500", \
+					  "8.1704030, 8.2083398, 8.4456442, 9.9254178, 14.2442870, 25.8098840, 94.1195000", \
+					  "8.2131244, 8.2518845, 8.4901508, 9.9710054, 14.2861850, 25.8530280, 94.1605190", \
+					  "8.2537808, 8.2930898, 8.5302005, 10.0107900, 14.3279160, 25.8936130, 94.2021000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8071299, 2.8799381, 3.3252567, 4.4715986, 6.0539032, 19.8436380, 124.0167500", \
+					  "2.8069149, 2.8800518, 3.3251513, 4.4717914, 6.0586349, 19.8446790, 123.8981600", \
+					  "2.8068976, 2.8799443, 3.3252392, 4.4718544, 6.0586993, 19.8544450, 123.9991300", \
+					  "2.8072371, 2.8799130, 3.3252343, 4.4721351, 6.0589493, 19.8525050, 123.9828400", \
+					  "2.8067175, 2.8799496, 3.3251772, 4.4716648, 6.0595204, 19.8433540, 123.9892400", \
+					  "2.8068070, 2.8799085, 3.3252112, 4.4721054, 6.0583347, 19.8592310, 123.9777400", \
+					  "2.8068068, 2.8794498, 3.3252112, 4.4721031, 6.0583321, 19.8592480, 123.9775600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9144764, 9.9626751, 10.2577100, 11.5788670, 15.0033250, 29.2950290, 59.1080710", \
+					  "10.0132540, 10.0617510, 10.3564940, 11.6775950, 15.1017940, 29.3942680, 59.2095400", \
+					  "10.0725390, 10.1213080, 10.4165720, 11.7362400, 15.1608630, 29.4604380, 59.2695000", \
+					  "10.1237120, 10.1722810, 10.4673890, 11.7882470, 15.2109490, 29.5036560, 59.3173790", \
+					  "10.1646870, 10.2152430, 10.5090450, 11.8290280, 15.2545250, 29.5480850, 59.3606980", \
+					  "10.2096720, 10.2585890, 10.5529120, 11.8725390, 15.2977360, 29.5903920, 59.4042890", \
+					  "10.2503300, 10.2957200, 10.5944440, 11.9164250, 15.3387380, 29.6297140, 59.4460050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7227902, 2.7474507, 2.8744531, 3.3278365, 5.9554548, 17.2445880, 42.1222740", \
+					  "2.7228082, 2.7475757, 2.8744607, 3.3278391, 5.9553558, 17.2447340, 42.0914790", \
+					  "2.7224838, 2.7480138, 2.8747520, 3.3274343, 5.9539893, 17.2528020, 42.0977950", \
+					  "2.7227656, 2.7476210, 2.8744753, 3.3278285, 5.9554961, 17.2442740, 42.1223380", \
+					  "2.7228102, 2.7476847, 2.8744662, 3.3278404, 5.9551146, 17.2447730, 42.0906550", \
+					  "2.7227831, 2.7476237, 2.8742941, 3.3276175, 5.9544134, 17.2445260, 42.1222060", \
+					  "2.7227491, 2.7476643, 2.8744900, 3.3278245, 5.9554983, 17.2441920, 42.0932270");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9224254, 7.9606366, 8.1993230, 9.6368664, 18.3864660, 72.1429390, 292.5296800", \
+					  "8.0208808, 8.0593701, 8.2980645, 9.7351451, 18.4850780, 72.2417750, 292.6313400", \
+					  "8.0811198, 8.1181266, 8.3571301, 9.7976001, 18.5453770, 72.3008570, 292.7319300", \
+					  "8.1321598, 8.1703471, 8.4073468, 9.8479731, 18.5967080, 72.3516750, 292.7772900", \
+					  "8.1716029, 8.2100273, 8.4487661, 9.8859392, 18.6367450, 72.3929220, 292.8055700", \
+					  "8.2172349, 8.2556193, 8.4924769, 9.9335171, 18.6813460, 72.4384960, 292.8657900", \
+					  "8.2573957, 8.2981790, 8.5327789, 9.9751274, 18.7193920, 72.4790040, 292.9064600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7183793, 2.7853322, 3.1989087, 5.7924319, 22.1147370, 122.5868700, 253.0299800", \
+					  "2.7185227, 2.7850118, 3.1978804, 5.7925975, 22.1392820, 122.9164200, 253.0217700", \
+					  "2.7193439, 2.7855520, 3.1975982, 5.7887712, 22.0562980, 122.6375200, 252.8415700", \
+					  "2.7191709, 2.7860620, 3.1976168, 5.7902967, 22.0890590, 122.8776800, 252.8442500", \
+					  "2.7183324, 2.7853193, 3.1988829, 5.7925078, 22.1183270, 122.8896900, 252.8190900", \
+					  "2.7190192, 2.7855456, 3.1975906, 5.7927734, 22.0987460, 122.8763400, 252.7238200", \
+					  "2.7190590, 2.7859599, 3.1978424, 5.7927769, 22.0994160, 122.8771700, 252.7241100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9186153, 9.9681126, 10.2638560, 12.0540870, 22.8125290, 89.1978050, 314.6883200", \
+					  "10.0164550, 10.0671010, 10.3628030, 12.1528950, 22.9363830, 89.2902960, 314.7928900", \
+					  "10.0745870, 10.1250960, 10.4230340, 12.2137770, 22.9863590, 89.3204440, 314.9802400", \
+					  "10.1279060, 10.1779680, 10.4727880, 12.2630140, 23.0471980, 89.4079120, 314.8965100", \
+					  "10.1688290, 10.2195590, 10.5156360, 12.3062920, 23.0898730, 89.4389420, 315.0684100", \
+					  "10.2138130, 10.2633020, 10.5597340, 12.3520740, 23.1129870, 89.4943000, 315.0844300", \
+					  "10.2546630, 10.3017180, 10.5989020, 12.3883140, 23.1740400, 89.5336290, 315.1224300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0860920, 3.1600218, 3.6281369, 6.5888224, 25.2276670, 140.4019500, 200.6181700", \
+					  "3.0870391, 3.1601208, 3.6285255, 6.5887429, 25.2355740, 140.3577000, 200.8130100", \
+					  "3.0879512, 3.1624621, 3.6300625, 6.5860655, 25.3162750, 140.3526300, 200.5744300", \
+					  "3.0867946, 3.1601275, 3.6282093, 6.5893744, 25.3117650, 140.4069000, 200.6284200", \
+					  "3.0873610, 3.1606497, 3.6286423, 6.5885755, 25.3106850, 140.3506600, 200.5889700", \
+					  "3.0853431, 3.1613865, 3.6287400, 6.5820420, 25.3197640, 140.4071200, 200.6451600", \
+					  "3.0850935, 3.1590168, 3.6275722, 6.5896675, 25.2182080, 140.4106300, 200.6608400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8301279, 5.8343326, 5.8418563, 5.8423080, 5.8423083, 5.8423088, 5.9202327", \
+					  "5.9008358, 5.9008363, 5.9008368, 5.9008372, 5.9008377, 5.9008382, 5.9532210", \
+					  "5.9204806, 5.9205960, 5.9205964, 5.9205969, 5.9205974, 5.9205978, 5.9842686", \
+					  "5.9318821, 5.9318822, 5.9318827, 5.9318831, 5.9318836, 5.9318841, 5.9857324", \
+					  "5.9322072, 5.9322074, 5.9322079, 5.9322083, 5.9322088, 5.9322093, 5.9653757", \
+					  "5.9311964, 5.9311965, 5.9311970, 5.9311975, 5.9311979, 5.9311984, 5.9785732", \
+					  "5.9213843, 5.9213846, 5.9213851, 5.9260215, 5.9260219, 5.9260223, 5.9565330");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3938671, 5.3941736, 5.3941739, 5.3941744, 5.3941749, 5.3941753, 5.4289377", \
+					  "5.4490670, 5.4513789, 5.4513791, 5.4513796, 5.4513801, 5.4513805, 5.4513810", \
+					  "5.4692602, 5.4755009, 5.4755013, 5.4755018, 5.4755023, 5.4755028, 5.5769087", \
+					  "5.4751816, 5.4775660, 5.4775661, 5.4775665, 5.4775670, 5.4775675, 5.6823133", \
+					  "5.4832738, 5.4877844, 5.4877847, 5.4877852, 5.4877856, 5.4877861, 5.6086851", \
+					  "5.4791851, 5.4889000, 5.4889005, 5.4889009, 5.4889014, 5.4889019, 5.5942371", \
+					  "5.4754543, 5.4782325, 5.4782327, 5.4782331, 5.4782336, 5.4782341, 5.6939191");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("4.7115574, 4.7121027, 4.7121032, 4.7121037, 4.7121041, 4.7121046, 4.7121051", \
+					  "4.8094040, 4.8116835, 4.8116839, 4.8116844, 4.8116849, 4.8116854, 4.8503535", \
+					  "4.8734941, 4.8737858, 4.8737863, 4.8737867, 4.8737872, 4.8737877, 4.9336064", \
+					  "4.9261630, 4.9263176, 4.9263180, 4.9263185, 4.9263189, 4.9263194, 4.9852673", \
+					  "4.9704010, 4.9710205, 4.9710210, 4.9710215, 4.9710219, 4.9710224, 5.0422861", \
+					  "5.0144187, 5.0147797, 5.0147799, 5.0147803, 5.0147808, 5.0147813, 5.0718141", \
+					  "5.0570970, 5.0578204, 5.0578206, 5.0578211, 5.0578216, 5.0578220, 5.1339186");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.8398774, 9.8865751, 10.1798870, 11.5050920, 14.9624600, 32.4586690, 96.7954320", \
+					  "9.9380388, 9.9853326, 10.2781490, 11.6037850, 15.0617120, 32.5574270, 96.8946240", \
+					  "9.9963753, 10.0459060, 10.3396550, 11.6631510, 15.1214790, 32.6211200, 96.9636320", \
+					  "10.0472230, 10.0962460, 10.3894280, 11.7146460, 15.1726610, 32.6683210, 97.0103000", \
+					  "10.0908110, 10.1391330, 10.4295940, 11.7568620, 15.2127310, 32.7113130, 97.0475180", \
+					  "10.1350210, 10.1826500, 10.4741520, 11.8009140, 15.2562940, 32.7570500, 97.0919980", \
+					  "10.1750180, 10.2231150, 10.5159260, 11.8414150, 15.2977540, 32.7947910, 97.1354200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7179583, 2.7426583, 2.8774156, 3.3517829, 5.9884964, 29.9901290, 61.1288800", \
+					  "2.7177860, 2.7426452, 2.8777467, 3.3517904, 5.9883321, 29.9902180, 61.1291640", \
+					  "2.7160023, 2.7420568, 2.8766192, 3.3437304, 5.9934706, 30.0088980, 60.9978630", \
+					  "2.7157088, 2.7420348, 2.8771741, 3.3431407, 5.9935679, 29.9896290, 61.0263910", \
+					  "2.7178169, 2.7426896, 2.8777327, 3.3518042, 5.9884750, 29.9901790, 61.1286880", \
+					  "2.7177747, 2.7426510, 2.8770384, 3.3516967, 5.9879186, 30.0088170, 61.1290220", \
+					  "2.7166098, 2.7426421, 2.8795661, 3.3524850, 5.9884737, 29.9901440, 61.0788150");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("4.7098410, 4.7167541, 4.7214201, 4.7214206, 4.7214211, 4.7214215, 4.7214220", \
+					  "4.8171365, 4.8174482, 4.8174484, 4.8193507, 4.8193510, 4.8193515, 4.8561639", \
+					  "4.8775983, 4.8789635, 4.8789638, 4.8789642, 4.8789647, 4.8789652, 4.9363789", \
+					  "4.9314766, 4.9314769, 4.9314774, 4.9314778, 4.9314783, 4.9314788, 4.9861906", \
+					  "4.9746172, 4.9758522, 4.9758523, 4.9758528, 4.9758533, 4.9758537, 5.0036446", \
+					  "5.0191136, 5.0196114, 5.0196116, 5.0196121, 5.0196126, 5.0196131, 5.0578381", \
+					  "5.0623021, 5.0636335, 5.0636337, 5.0636342, 5.0636347, 5.0636352, 5.0965432");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8907740, 15.1079980, 16.4332940, 24.5359450, 74.3267710, 380.4545400, 2268.6468000", \
+					  "14.9912380, 15.2072110, 16.5291890, 24.6342470, 74.4269640, 380.5641000, 2268.6347000", \
+					  "15.0523290, 15.2647510, 16.5944200, 24.6945810, 74.4853640, 380.6579800, 2269.1297000", \
+					  "15.1055770, 15.3161150, 16.6431030, 24.7454410, 74.5363020, 380.7089700, 2268.9774000", \
+					  "15.1451070, 15.3624580, 16.6842290, 24.7876920, 74.5731160, 380.7456900, 2268.7977000", \
+					  "15.1898800, 15.4029710, 16.7272700, 24.8320990, 74.6230310, 380.7924700, 2268.8214000", \
+					  "15.2317020, 15.4426130, 16.7660800, 24.8718340, 74.6617700, 380.8343400, 2269.1856000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0526700, 16.4875540, 19.0934680, 35.0574960, 134.4992500, 746.7570000, 4520.7275000", \
+					  "16.0499450, 16.5056820, 19.1085580, 35.0316580, 134.5394800, 746.6898100, 4521.1596000", \
+					  "16.0796990, 16.4839240, 19.0953190, 35.0174290, 134.5048300, 745.6136500, 4520.7967000", \
+					  "16.0421080, 16.4762230, 19.1085420, 35.1748640, 134.4793800, 745.6391700, 4520.8035000", \
+					  "16.0715100, 16.4440670, 19.0983300, 35.0184240, 134.5038200, 746.5581000, 4518.2546000", \
+					  "16.0507590, 16.4693680, 19.1036480, 35.0706910, 134.5906200, 745.3106200, 4522.0586000", \
+					  "16.0537720, 16.4666080, 19.1066810, 35.0689400, 134.5337600, 747.3497600, 4521.3087000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8064020, 17.0262450, 18.3221060, 26.3035140, 75.5451670, 379.1463100, 2250.4171000", \
+					  "16.9043530, 17.1246750, 18.4168150, 26.4031850, 75.6485150, 379.1936900, 2251.4964000", \
+					  "16.9635840, 17.1755090, 18.4764900, 26.4604160, 75.7762420, 379.3726100, 2250.4803000", \
+					  "17.0185380, 17.2355450, 18.5332030, 26.5148450, 75.7322950, 379.3510100, 2250.7945000", \
+					  "17.0540080, 17.2752730, 18.5660430, 26.5543570, 75.8073360, 379.5964300, 2250.8543000", \
+					  "17.1064340, 17.3239060, 18.6081350, 26.6007070, 75.7650010, 379.4660300, 2250.9710000", \
+					  "17.1479420, 17.3650000, 18.6596160, 26.6314080, 75.8074210, 379.5250600, 2251.0048000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3774200, 15.8142640, 18.3545160, 34.2379390, 132.3483800, 739.2885100, 4481.4419000", \
+					  "15.3769530, 15.8163060, 18.3598490, 34.2309010, 132.3489600, 739.4321500, 4481.1209000", \
+					  "15.3687640, 15.7909220, 18.3679100, 34.2620150, 132.8563100, 739.5551100, 4481.8694000", \
+					  "15.3781570, 15.8126790, 18.3496450, 34.2725170, 132.3425900, 739.2886800, 4479.7930000", \
+					  "15.3756310, 15.8212860, 18.3629020, 34.2426570, 132.3692400, 739.7071600, 4479.8180000", \
+					  "15.3778590, 15.7972910, 18.3639890, 34.2754590, 132.3411600, 739.1435000, 4481.8726000", \
+					  "15.4038220, 15.7907960, 18.3501460, 34.2725390, 132.3325400, 739.1029200, 4479.8653000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5349460, 7.5734432, 7.8115248, 9.2924548, 13.5989730, 25.1630200, 93.4708950", \
+					  "7.5934299, 7.6319191, 7.8700104, 9.3506802, 13.6572100, 25.2215190, 93.5276460", \
+					  "7.6136466, 7.6520772, 7.8899536, 9.3701660, 13.6769560, 25.2414600, 93.5477050", \
+					  "7.6226412, 7.6608782, 7.8988878, 9.3786481, 13.6856210, 25.2503260, 93.5564730", \
+					  "7.6257249, 7.6639823, 7.9020568, 9.3822585, 13.6893570, 25.2529130, 93.5616310", \
+					  "7.6251450, 7.6634405, 7.9015328, 9.3817123, 13.6887590, 25.2530320, 93.5591590", \
+					  "7.6216908, 7.6609616, 7.8988105, 9.3782830, 13.6862710, 25.2502980, 93.5581500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8059015, 2.8790610, 3.3239453, 4.4627151, 6.0507950, 19.8340080, 123.9887800", \
+					  "2.8058971, 2.8790343, 3.3238945, 4.4627355, 6.0505876, 19.8637020, 124.0149900", \
+					  "2.8061620, 2.8790526, 3.3239087, 4.4624642, 6.0490519, 19.8408350, 124.4110500", \
+					  "2.8058238, 2.8790261, 3.3238907, 4.4627408, 6.0495600, 19.8335210, 124.0036800", \
+					  "2.8061558, 2.8790310, 3.3238978, 4.4624371, 6.0506027, 19.8292010, 124.0322500", \
+					  "2.8061520, 2.8790366, 3.3239038, 4.4623860, 6.0505601, 19.8329850, 124.0073900", \
+					  "2.8059495, 2.8791418, 3.3239493, 4.4622563, 6.0508243, 19.8392750, 123.9125600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.4896100, 16.7022310, 18.0306510, 26.1417860, 75.6387170, 379.1568300, 2251.1463000", \
+					  "16.5748060, 16.7836360, 18.1297500, 26.2371760, 75.7084210, 379.0118200, 2250.9573000", \
+					  "16.6500360, 16.8652650, 18.2041760, 26.2982810, 75.7498810, 379.5438800, 2252.2415000", \
+					  "16.6994240, 16.9150380, 18.2535270, 26.3477600, 75.8853250, 379.3381900, 2251.0135000", \
+					  "16.7427330, 16.9590920, 18.2950600, 26.3728220, 75.7402600, 379.6446600, 2251.0271000", \
+					  "16.7841050, 16.9949960, 18.3391030, 26.4215940, 75.7870000, 379.6737600, 2250.3211000", \
+					  "16.8119790, 17.0336280, 18.3792390, 26.4576890, 75.8718030, 379.5964000, 2251.2531000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3741290, 15.7702630, 18.3504960, 34.2893000, 132.9349700, 739.5652200, 4480.5911000", \
+					  "15.3639930, 15.7831150, 18.3492810, 34.2739100, 132.9736800, 738.4504100, 4479.6866000", \
+					  "15.3699330, 15.7896400, 18.3256750, 34.2420710, 132.3235500, 739.6345200, 4480.0640000", \
+					  "15.3898260, 15.7923860, 18.3267640, 34.2442800, 132.6513800, 739.0237300, 4480.5965000", \
+					  "15.3722370, 15.8005560, 18.3381510, 34.2543060, 132.3597600, 739.6349700, 4482.2727000", \
+					  "15.3692370, 15.7677760, 18.3541060, 34.2724210, 132.3610400, 739.5754600, 4481.2737000", \
+					  "15.3616300, 15.7676700, 18.3486740, 34.2716820, 132.3585500, 738.5222600, 4480.8062000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9213240, 7.9612530, 8.1996081, 9.6369197, 18.3864750, 72.1437200, 292.5736700", \
+					  "8.0212450, 8.0596072, 8.2981018, 9.7347330, 18.4849450, 72.2417330, 292.6399500", \
+					  "8.0813676, 8.1199421, 8.3578229, 9.7991650, 18.5454670, 72.3010060, 292.7312200", \
+					  "8.1313765, 8.1712912, 8.4071257, 9.8480148, 18.5950010, 72.3527620, 292.7778000", \
+					  "8.1699323, 8.2120337, 8.4508800, 9.8878585, 18.6369800, 72.3946130, 292.8068500", \
+					  "8.2160989, 8.2571887, 8.4931013, 9.9310054, 18.6807100, 72.4394840, 292.8657900", \
+					  "8.2587377, 8.2975697, 8.5324352, 9.9757127, 18.7227050, 72.4799490, 292.9043900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7180176, 2.7849889, 3.1975373, 5.7922409, 22.1152800, 122.8857400, 252.8475400", \
+					  "2.7176652, 2.7846048, 3.1976337, 5.7924008, 22.1393450, 122.9151800, 252.7310100", \
+					  "2.7189140, 2.7855356, 3.1972451, 5.7888805, 22.0493790, 122.6318000, 252.8683200", \
+					  "2.7187428, 2.7856402, 3.1972553, 5.7902745, 22.0892900, 122.8758600, 252.8421400", \
+					  "2.7178833, 2.7848721, 3.1985079, 5.7923102, 22.1397090, 122.8882400, 252.7459600", \
+					  "2.7179281, 2.7855451, 3.1972320, 5.7923573, 22.1304750, 122.8760000, 252.8389000", \
+					  "2.7186318, 2.7855451, 3.1974730, 5.7925753, 22.0995260, 122.8759000, 252.7288800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8191670, 17.0322810, 18.3336880, 26.3018780, 75.5374290, 379.2537800, 2250.5974000", \
+					  "16.9175930, 17.1313700, 18.4320700, 26.4045090, 75.6298700, 379.3621800, 2251.0601000", \
+					  "16.9707360, 17.1879220, 18.4832450, 26.4563750, 75.6371680, 379.3246600, 2251.0383000", \
+					  "17.0298230, 17.2418990, 18.5443870, 26.5166180, 75.7827650, 379.4058900, 2252.0619000", \
+					  "17.0693450, 17.2831940, 18.5829150, 26.5485290, 75.7474370, 379.5361700, 2251.3716000", \
+					  "17.1127970, 17.3278090, 18.6298940, 26.6018270, 75.8651240, 379.3960600, 2251.4255000", \
+					  "17.1548390, 17.3678550, 18.6719670, 26.6449580, 75.9188410, 379.4966400, 2251.1842000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3679380, 15.7931610, 18.3570470, 34.2797220, 132.5361600, 739.5936400, 4480.3514000", \
+					  "15.3696520, 15.7919920, 18.3556760, 34.2783470, 132.5604600, 739.5563600, 4481.6775000", \
+					  "15.3781810, 15.7940880, 18.3510980, 34.2691210, 132.3503700, 739.1778400, 4481.8821000", \
+					  "15.3679220, 15.7953560, 18.3606340, 34.2773420, 132.4309300, 739.7266600, 4482.8944000", \
+					  "15.3733810, 15.7900110, 18.3535000, 34.2809900, 132.6511100, 739.4687000, 4481.9972000", \
+					  "15.3682610, 15.7955050, 18.3609570, 34.2750860, 132.4009700, 739.4937100, 4481.7402000", \
+					  "15.3708370, 15.7959420, 18.3620730, 34.2740340, 132.9598700, 739.7147800, 4481.9999000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8909700, 15.1080110, 16.4334960, 24.5361050, 74.3280070, 380.4636000, 2268.8182000", \
+					  "14.9913950, 15.2068810, 16.5296490, 24.6344620, 74.4266520, 380.5671200, 2269.1829000", \
+					  "15.0504090, 15.2681510, 16.5913670, 24.6976360, 74.4857760, 380.6575300, 2268.3857000", \
+					  "15.1036360, 15.3166100, 16.6430110, 24.7464570, 74.5305540, 380.7167300, 2268.9740000", \
+					  "15.1464000, 15.3622040, 16.6866700, 24.7879190, 74.5731940, 380.7505800, 2268.8571000", \
+					  "15.1904110, 15.4063910, 16.7284160, 24.8307530, 74.6244310, 380.8024800, 2269.0419000", \
+					  "15.2313580, 15.4428670, 16.7663480, 24.8720780, 74.6593900, 380.8438300, 2269.1167000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0529860, 16.4868440, 19.1085170, 35.0578940, 134.5673700, 746.7784800, 4520.5147000", \
+					  "16.0518740, 16.4892950, 19.1086030, 35.0304600, 134.4192700, 746.7847900, 4518.2414000", \
+					  "16.0485970, 16.4368910, 19.0938390, 35.0580760, 134.5021200, 745.2941900, 4519.9963000", \
+					  "16.0529830, 16.4790100, 19.1089460, 35.1743590, 134.4893700, 745.3151100, 4518.3615000", \
+					  "16.0595820, 16.4722140, 19.1006090, 35.0206120, 134.5189700, 745.5202000, 4517.8444000", \
+					  "16.0584860, 16.4456820, 19.1069240, 35.1699110, 134.5458300, 745.7949800, 4519.5989000", \
+					  "16.0576640, 16.4698810, 19.1061820, 35.0707050, 134.5292700, 745.7808200, 4521.5029000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9205817, 9.9708899, 10.2631600, 12.0509380, 22.8394600, 89.1752950, 314.7173400", \
+					  "10.0192390, 10.0689700, 10.3610980, 12.1501400, 22.9390870, 89.2889670, 314.8179800", \
+					  "10.0768740, 10.1277080, 10.4222670, 12.2114850, 22.9990960, 89.3563910, 314.8702200", \
+					  "10.1302030, 10.1794490, 10.4725610, 12.2591870, 23.0480590, 89.3984050, 315.0079200", \
+					  "10.1727900, 10.2216750, 10.5138300, 12.3023720, 23.0909460, 89.4435810, 314.9671100", \
+					  "10.2146580, 10.2638280, 10.5580290, 12.3444770, 23.1290760, 89.4771470, 315.1103600", \
+					  "10.2547820, 10.3070870, 10.5994600, 12.3833570, 23.1684770, 89.5150620, 315.1154700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0835472, 3.1627760, 3.6344465, 6.5889072, 25.3110940, 140.4157600, 200.6573500", \
+					  "3.0837344, 3.1615495, 3.6329277, 6.5888384, 25.3123230, 140.4153200, 200.6598100", \
+					  "3.0858684, 3.1585730, 3.6285233, 6.5893988, 25.2155580, 140.4074500, 200.6433000", \
+					  "3.0841971, 3.1630805, 3.6348333, 6.5880341, 25.3053840, 140.4151700, 200.7091500", \
+					  "3.0840224, 3.1615373, 3.6328682, 6.5889809, 25.3146360, 140.4144700, 200.6402700", \
+					  "3.0852927, 3.1628133, 3.6349064, 6.5883383, 25.2293080, 140.4164000, 200.6345900", \
+					  "3.0851002, 3.1638019, 3.6351826, 6.5870225, 25.2269920, 140.4160200, 200.7220300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9175848, 7.9566096, 8.1947569, 9.6740944, 13.9916430, 25.5569410, 93.8665390", \
+					  "8.0168290, 8.0553555, 8.2934797, 9.7737249, 14.0903880, 25.6556250, 93.9654190", \
+					  "8.0762383, 8.1148572, 8.3541067, 9.8328146, 14.1513000, 25.7151210, 94.0256300", \
+					  "8.1270999, 8.1666078, 8.4038128, 9.8844479, 14.2021300, 25.7670540, 94.0786080", \
+					  "8.1696019, 8.2091187, 8.4476337, 9.9262545, 14.2445610, 25.8092820, 94.1170770", \
+					  "8.2131439, 8.2518099, 8.4906020, 9.9698907, 14.2879210, 25.8512230, 94.1617490", \
+					  "8.2545144, 8.2907947, 8.5311092, 10.0084420, 14.3248730, 25.8921220, 94.1987610");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8067035, 2.8795442, 3.3248546, 4.4719908, 6.0574892, 19.8295570, 123.9471700", \
+					  "2.8063181, 2.8795970, 3.3248477, 4.4715964, 6.0530034, 19.8443110, 123.9688900", \
+					  "2.8068650, 2.8794835, 3.3248704, 4.4717061, 6.0589262, 19.8396640, 123.9491600", \
+					  "2.8067559, 2.8794447, 3.3248326, 4.4718513, 6.0589298, 19.8524630, 123.9783200", \
+					  "2.8067706, 2.8795626, 3.3248517, 4.4715631, 6.0523955, 19.8422400, 123.9412500", \
+					  "2.8067741, 2.8794427, 3.3248295, 4.4718753, 6.0595964, 19.8591240, 123.9787800", \
+					  "2.8063267, 2.8789779, 3.3248180, 4.4719988, 6.0583121, 19.8340530, 123.9790200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8907590, 15.1079200, 16.4342530, 24.5354010, 74.3277650, 380.4977700, 2268.1877000", \
+					  "14.9911990, 15.2068150, 16.5291020, 24.6350190, 74.4262750, 380.5607200, 2268.8002000", \
+					  "15.0523200, 15.2650980, 16.5928480, 24.6963410, 74.4853570, 380.6578100, 2269.2593000", \
+					  "15.1033670, 15.3171240, 16.6425740, 24.7459390, 74.5360540, 380.7111300, 2269.0251000", \
+					  "15.1447350, 15.3607700, 16.6856540, 24.7890920, 74.5729100, 380.7519100, 2269.4674000", \
+					  "15.1897890, 15.4028790, 16.7286900, 24.8309960, 74.6197700, 380.7962800, 2268.9180000", \
+					  "15.2318070, 15.4399120, 16.7666980, 24.8726710, 74.6629850, 380.8397700, 2269.1768000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0525870, 16.4869770, 19.0976980, 35.0576880, 134.5616300, 745.3283500, 4519.4388000", \
+					  "16.0499920, 16.4885740, 19.1067250, 35.0355160, 134.4136400, 746.7899600, 4518.8231000", \
+					  "16.0794540, 16.4838130, 19.0945040, 35.0759310, 134.4927400, 745.2918000, 4519.5106000", \
+					  "16.0538800, 16.4756050, 19.1085170, 35.1763030, 134.4772500, 745.6646400, 4520.8767000", \
+					  "16.0670710, 16.4619940, 19.0964860, 35.0200400, 134.5096200, 745.5485000, 4520.5134000", \
+					  "16.0797850, 16.4686220, 19.1083950, 35.0699590, 134.5219800, 745.7763900, 4520.3565000", \
+					  "16.0537290, 16.4654410, 19.1084410, 35.0692310, 134.5314600, 745.7424500, 4521.6202000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8076430, 17.0186070, 18.3180940, 26.3025330, 75.5429850, 379.1693100, 2250.6331000", \
+					  "16.9049590, 17.1247440, 18.4162340, 26.4017820, 75.6471790, 379.1967900, 2251.1401000", \
+					  "16.9669910, 17.1711500, 18.4709650, 26.4602190, 75.7768530, 379.3840600, 2250.3832000", \
+					  "17.0200300, 17.2378310, 18.5351810, 26.5070050, 75.7301920, 379.3587400, 2250.6108000", \
+					  "17.0570490, 17.2775230, 18.5671570, 26.5548580, 75.8045500, 379.5994700, 2251.5865000", \
+					  "17.1074000, 17.3245420, 18.6042700, 26.5932660, 75.9030100, 379.4705200, 2251.5874000", \
+					  "17.1500270, 17.3635650, 18.6613800, 26.6313980, 75.8077190, 379.5233000, 2251.6444000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3607030, 15.7935580, 18.3598910, 34.2473750, 132.3622000, 739.1885200, 4478.7857000", \
+					  "15.3774310, 15.8156780, 18.3611610, 34.2433490, 132.3594600, 739.3956000, 4479.4683000", \
+					  "15.3569390, 15.7831130, 18.3676980, 34.2602000, 132.8548200, 739.5621000, 4480.4669000", \
+					  "15.3780700, 15.8018470, 18.3465150, 34.2721340, 132.3548800, 739.2616200, 4480.0659000", \
+					  "15.3769920, 15.8199250, 18.3632430, 34.2325950, 132.3582100, 739.7001100, 4484.8325000", \
+					  "15.3776100, 15.7952120, 18.3666290, 34.2693060, 132.8595700, 739.1398100, 4481.8012000", \
+					  "15.4014330, 15.7915030, 18.3435900, 34.2745740, 132.3394100, 738.9813300, 4481.3023000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.6327176, 7.6695681, 7.9136004, 9.3668993, 18.1741410, 71.9873370, 292.2781200", \
+					  "7.6919644, 7.7320923, 7.9709377, 9.4282360, 18.2325570, 72.0464400, 292.3163200", \
+					  "7.7120212, 7.7504367, 7.9927460, 9.4470929, 18.2523060, 72.0662570, 292.3435400", \
+					  "7.7208728, 7.7601179, 8.0015701, 9.4578470, 18.2609250, 72.0751250, 292.3652600", \
+					  "7.7239219, 7.7634867, 8.0031359, 9.4604546, 18.2638720, 72.0779740, 292.3496000", \
+					  "7.7234423, 7.7629991, 8.0041751, 9.4603783, 18.2635400, 72.0776610, 292.3356400", \
+					  "7.7193310, 7.7595192, 7.9979383, 9.4546815, 18.2605770, 72.0740800, 292.3538000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7080733, 2.7753708, 3.1902425, 5.7900365, 22.1240130, 122.9033300, 252.6402300", \
+					  "2.7080906, 2.7758720, 3.1895226, 5.7879190, 22.1327600, 122.8906800, 252.5739800", \
+					  "2.7079441, 2.7752498, 3.1898471, 5.7894547, 22.1406930, 122.8818100, 252.8902000", \
+					  "2.7081217, 2.7750722, 3.1899874, 5.7867799, 22.0876350, 122.8838500, 252.6690300", \
+					  "2.7087272, 2.7757554, 3.1895453, 5.7892735, 22.1278910, 122.8865800, 252.6494700", \
+					  "2.7087264, 2.7757514, 3.1898280, 5.7863803, 22.1306620, 122.8856500, 252.6107600", \
+					  "2.7083495, 2.7757596, 3.1899616, 5.7895981, 22.1391490, 122.9160900, 252.5569300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.1363193, 9.1868495, 9.4836556, 11.3057070, 22.1070750, 88.3498220, 313.7923300", \
+					  "9.2357340, 9.2854622, 9.5865653, 11.3947420, 22.2192260, 88.5826170, 313.9798800", \
+					  "9.2889554, 9.3400141, 9.6484740, 11.4543980, 22.2871940, 88.5610660, 314.0042700", \
+					  "9.3414156, 9.3896784, 9.7002646, 11.5058210, 22.3179380, 88.6630220, 314.0545500", \
+					  "9.3822070, 9.4332364, 9.7416262, 11.5468880, 22.3802790, 88.5976660, 314.0640700", \
+					  "9.4312433, 9.4818109, 9.7787707, 11.5990710, 22.4001870, 88.6555820, 314.0775400", \
+					  "9.4704555, 9.5209947, 9.8177679, 11.6393470, 22.4442310, 88.6817520, 314.1590800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0576499, 3.1326495, 3.6027379, 6.5743504, 25.2314920, 140.4212900, 200.3226600", \
+					  "3.0583835, 3.1368568, 3.6088943, 6.5697185, 25.2283460, 140.4130900, 200.3327500", \
+					  "3.0515435, 3.1313134, 3.6103500, 6.5789441, 25.2321700, 140.4205200, 200.4334300", \
+					  "3.0559970, 3.1280933, 3.6099792, 6.5757533, 25.2175680, 140.4015400, 200.4555700", \
+					  "3.0513108, 3.1314475, 3.6103427, 6.5806960, 25.2338720, 140.4060200, 200.5058800", \
+					  "3.0577298, 3.1328422, 3.6026166, 6.5764274, 25.2310330, 140.4286500, 200.3589300", \
+					  "3.0576146, 3.1328846, 3.6027443, 6.5755557, 25.2325960, 140.4066800, 200.5043300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.9917480, 15.2106080, 16.5572000, 24.7650380, 74.8635070, 381.3339000, 2269.9726000", \
+					  "15.0494000, 15.2691480, 16.6151230, 24.8246060, 74.9210050, 381.3922500, 2270.0026000", \
+					  "15.0694510, 15.2899100, 16.6367420, 24.8432530, 74.9427190, 381.3790700, 2269.5272000", \
+					  "15.0787560, 15.2984860, 16.6438010, 24.8530460, 74.9511390, 381.4216400, 2269.5776000", \
+					  "15.0811540, 15.3004010, 16.6481120, 24.8547600, 74.9508830, 381.4260500, 2269.9226000", \
+					  "15.0792460, 15.2999600, 16.6467000, 24.8541100, 74.9539520, 381.4241700, 2269.3071000", \
+					  "15.0774150, 15.2971080, 16.6453780, 24.8507930, 74.9438560, 381.4200500, 2270.1440000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0794810, 16.4858740, 19.1079920, 35.1561940, 134.5218300, 745.1608300, 4519.8781000", \
+					  "16.0687610, 16.5011170, 19.1059610, 35.0272810, 134.4926200, 745.4366400, 4519.0754000", \
+					  "16.0687960, 16.4822310, 19.1028170, 35.1606810, 134.5468200, 746.6205100, 4520.5588000", \
+					  "16.0776100, 16.4825840, 19.0977560, 35.0370720, 134.5264600, 745.1815800, 4520.0595000", \
+					  "16.0726420, 16.4963010, 19.0247570, 35.0588710, 134.4823600, 745.5717500, 4519.3815000", \
+					  "16.0201400, 16.4970080, 19.1070130, 35.0690610, 134.5480200, 745.4556800, 4519.4279000", \
+					  "16.0728550, 16.5015930, 19.0938200, 35.0556810, 134.5089100, 745.4895000, 4521.1359000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.9624921, 9.0131813, 9.3109183, 11.1011000, 21.8594800, 88.1572790, 313.7751900", \
+					  "9.0641805, 9.1133758, 9.4075334, 11.1893890, 21.9679510, 88.2623970, 313.8078500", \
+					  "9.1244852, 9.1723829, 9.4721120, 11.2498620, 22.0362710, 88.2892620, 313.9763000", \
+					  "9.1735988, 9.2202725, 9.5229664, 11.3005930, 22.0880030, 88.2492230, 313.9649900", \
+					  "9.2175867, 9.2653698, 9.5653307, 11.3430250, 22.1297750, 88.3749180, 313.9868700", \
+					  "9.2573869, 9.3074624, 9.6062802, 11.3956120, 22.1521700, 88.4463420, 314.1206000", \
+					  "9.2985566, 9.3485708, 9.6441122, 11.4340680, 22.2097190, 88.5363250, 314.1350100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0831666, 3.1584397, 3.6275576, 6.5814758, 25.3198160, 140.4316100, 200.6282900", \
+					  "3.0813330, 3.1615739, 3.6247716, 6.5768080, 25.2166040, 140.4316900, 200.6532600", \
+					  "3.0859091, 3.1600980, 3.6283732, 6.5818839, 25.2288660, 140.4199800, 200.6033500", \
+					  "3.0828826, 3.1572704, 3.6291601, 6.5884542, 25.2352860, 140.3899300, 200.8049200", \
+					  "3.0858156, 3.1598397, 3.6284196, 6.5824475, 25.2293490, 140.4152100, 200.5228900", \
+					  "3.0831375, 3.1586742, 3.6278818, 6.5821717, 25.3203220, 140.4322600, 200.5698700", \
+					  "3.0821146, 3.1566194, 3.6253591, 6.5860095, 25.3139620, 140.3485300, 200.7012800");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.5304429, -1.5722080, -1.6139732, -1.6167581, -1.6194317, -1.6222167, -1.6250017");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.5607734, 1.6207820, 1.6807905, 1.6837550, 1.6866009, 1.6895653, 1.6925298");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157310;
+			capacitance : 0.156833;
+			fall_capacitance : 0.156357;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0690246, -0.0668255, -0.0646263, -0.0650668, -0.0654896, -0.0659301, -0.0663705");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0642772, 0.0645148, 0.0647524, 0.0651916, 0.0656132, 0.0660523, 0.0664915");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.149982;
+			capacitance : 0.149490;
+			fall_capacitance : 0.148998;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0695285, -0.0670273, -0.0645261, -0.0649589, -0.0653744, -0.0658073, -0.0662401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0646083, 0.0650653, 0.0655224, 0.0657446, 0.0659579, 0.0661801, 0.0664023");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p95v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v65_1v65.lib
new file mode 100644
index 0000000..9e0765c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v65_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v65_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.650000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.650000);
+	voltage_map("VCCHIB",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.495; 
+			 vih : 1.155; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+	 operating_conditions ("ff_ss_1p65v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.650000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.421660e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4057600";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.0023800";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3397100";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4012500";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4179200";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3230500";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3230600";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4012700";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3275600";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2527200";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3271300";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3228200";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3434600";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3072700";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2496400";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3854700";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4010300";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4216600";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006472;
+			capacitance : 0.006294;
+			fall_capacitance : 0.006116;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2821078, 4.1731532, 4.1069670, 4.0605793, 4.0272357, 4.0007054, 3.9818027", \
+					  "4.7234894, 4.6175866, 4.5498554, 4.5111162, 4.4716691, 4.4375093, 4.4070816", \
+					  "5.1820435, 5.0746143, 5.0114826, 4.9620408, 4.9302227, 4.9021669, 4.8762170", \
+					  "5.6147831, 5.5058281, 5.4380969, 5.3932541, 5.3599106, 5.3425360, 5.3031049", \
+					  "6.0371582, 5.8957162, 5.8325600, 5.7846681, 5.7497987, 5.7202170, 5.6921586", \
+					  "6.3724433, 6.2634882, 6.1972834, 6.2027945, 6.1496149, 6.0971448, 6.0927737", \
+					  "6.7872381, 6.6680739, 6.6019410, 6.5646553, 6.5164770, 6.4956261, 6.4869330");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0074657, 3.8469373, 3.6915329, 3.5835786, 3.4372581, 3.3419519, 3.2907832", \
+					  "4.4519271, 4.2899749, 4.1507591, 4.0198110, 3.9496364, 3.8073036, 3.7414945", \
+					  "4.9089682, 4.7323947, 4.5869107, 4.4772371, 4.3539655, 4.2767788, 4.2055060", \
+					  "5.3402125, 5.1782772, 5.0305300, 4.9419720, 4.8381368, 4.6530480, 4.6221194", \
+					  "5.7286138, 5.6034726, 5.3980325, 5.3143910, 5.2074170, 5.1011162, 5.0389851", \
+					  "6.1010133, 5.9359058, 5.7727719, 5.6728700, 5.5913090, 5.4662531, 5.3834291", \
+					  "6.5023252, 6.3519455, 6.1773003, 6.0810519, 5.9792942, 5.8640633, 5.7993963");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6997986, -3.5903835, -3.4971745, -3.4965823, -3.4769931, -3.4168719, -3.3898270", \
+					  "-4.1410228, -4.0198624, -3.9385044, -3.9271250, -3.8876779, -3.8641994, -3.8468746", \
+					  "-4.5964527, -4.4702692, -4.4640191, -4.3901848, -4.3644703, -4.3085990, -4.2861716", \
+					  "-5.0274844, -4.9281554, -4.8492740, -4.8547856, -4.7695618, -4.7476092, -4.7167396", \
+					  "-5.4278299, -5.3066695, -5.2313822, -5.2566571, -5.1607524, -5.1387998, -5.1562112", \
+					  "-5.8377069, -5.7180724, -5.6579707, -5.6680600, -5.5936226, -5.5593576, -5.5452005", \
+					  "-6.1966114, -6.0754510, -6.0168752, -5.9889002, -5.9586120, -5.9167362, -5.9191960");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7577987, -3.6618090, -3.4769662, -3.3470084, -3.2755633, -3.1909048, -3.0872620", \
+					  "-4.1990226, -4.0431038, -3.9204943, -3.8218679, -3.7047487, -3.5986964, -3.5285325", \
+					  "-4.6544530, -4.4936772, -4.3723937, -4.2487549, -4.1522316, -4.0697101, -3.9946088", \
+					  "-5.1037416, -5.0120625, -4.8245161, -4.6951742, -4.6245853, -4.5034156, -4.4314838", \
+					  "-5.5100393, -5.3525945, -5.2269559, -5.0990538, -5.0308537, -4.9494801, -4.8221455", \
+					  "-5.8957072, -5.7398612, -5.6140068, -5.4848301, -5.4226070, -5.3295055, -5.2184943", \
+					  "-6.2561371, -6.0971665, -5.9677740, -5.8455944, -5.7653391, -5.6608506, -5.6186684");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0303311, 0.0297232, 0.0291154, 0.0290206, 0.0289296, 0.0288349, 0.0287401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0379612, 0.0372196, 0.0364780, 0.0364483, 0.0364198, 0.0363902, 0.0363606");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004369;
+			capacitance : 0.004525;
+			rise_capacitance : 0.004681;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7990097, -3.6916165, -3.5979805, -3.5957645, -3.5425842, -3.5180625, -3.4666336", \
+					  "-4.2402337, -4.1313088, -4.0513137, -4.0522472, -3.9700751, -3.9341305, -3.9083014", \
+					  "-4.6956640, -4.5836869, -4.4946302, -4.4924186, -4.4346608, -4.4163288, -4.3632877", \
+					  "-5.1282211, -5.0162440, -4.9227237, -4.9330857, -4.8763733, -4.8472672, -4.7958448", \
+					  "-5.5270408, -5.4226363, -5.3243878, -5.3253213, -5.2614923, -5.2425182, -5.1885613", \
+					  "-5.9384437, -5.8249412, -5.7525755, -5.7351987, -5.6804923, -5.6577926, -5.6091897", \
+					  "-6.2973482, -6.1990472, -6.1069023, -6.0925768, -6.0335791, -6.0159182, -5.9762628");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6891545, -3.5969285, -3.4106650, -3.3302614, -3.2006994, -3.0491349, -3.0060702", \
+					  "-4.1288525, -4.0464065, -3.8518341, -3.7609291, -3.6487342, -3.5798506, -3.4685623", \
+					  "-4.5842829, -4.4876726, -4.3068950, -4.2269159, -4.1017440, -4.0179515, -3.8856879", \
+					  "-5.0168400, -4.9113044, -4.7398829, -4.6580581, -4.5428934, -4.4444947, -4.3387344", \
+					  "-5.4171860, -5.3332140, -5.1496591, -5.0574129, -4.9456312, -4.7652053, -4.7249775", \
+					  "-5.8270626, -5.7218165, -5.5481870, -5.4681697, -5.3477513, -5.1887207, -5.1026811", \
+					  "-6.1844411, -6.1004692, -5.9073028, -5.8169182, -5.7031537, -5.6054567, -5.4797426");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2806095, 4.1686248, 4.0916890, 4.0575275, 4.0058732, 3.9717139, 3.9655446", \
+					  "4.7220150, 4.6115567, 4.5529312, 4.5096147, 4.4488047, 4.4161713, 4.4064235", \
+					  "5.1805802, 5.0700899, 4.9947587, 4.9605505, 4.9073699, 4.8732105, 4.8430067", \
+					  "5.6118211, 5.5013134, 5.4320564, 5.3917913, 5.3386107, 5.3357450, 5.2738967", \
+					  "6.0017438, 5.8897592, 5.8189687, 5.7817132, 5.7392143, 5.7253756, 5.6606087", \
+					  "6.3710835, 6.3107620, 6.2384034, 6.1480015, 6.1480099, 6.0644865, 6.0905883", \
+					  "6.7739506, 6.6736490, 6.5967128, 6.5462913, 6.5007407, 6.4788977, 6.4619410");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9342194, 3.8066428, 3.6523143, 3.5505872, 3.3840828, 3.3164245, 3.2402059", \
+					  "4.3766886, 4.2491120, 4.1100601, 3.9867285, 3.8323156, 3.7443282, 3.6539530", \
+					  "4.8319249, 4.6969884, 4.5718494, 4.3976456, 4.3158120, 4.1839614, 4.1302973", \
+					  "5.2453435, 5.1192928, 4.9788252, 4.8566664, 4.6917721, 4.6110500, 4.5452418", \
+					  "5.6955168, 5.5694656, 5.4226462, 5.2918064, 5.1515288, 5.0483331, 4.9893248", \
+					  "6.0635912, 5.9344887, 5.8001868, 5.6563055, 5.5338128, 5.4278389, 5.3274658", \
+					  "6.4321648, 6.3061137, 6.1657850, 6.0387706, 5.9463361, 5.8303223, 5.7232919");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0363153, 0.0359920, 0.0356686, 0.0354764, 0.0352918, 0.0350996, 0.0349073");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439932, 0.0435211, 0.0430489, 0.0429732, 0.0429005, 0.0428248, 0.0427491");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006008;
+			capacitance : 0.006182;
+			rise_capacitance : 0.006356;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3049962, 4.1990929, 4.1329622, 4.0834676, 4.0485977, 4.0205419, 3.9948425", \
+					  "4.7463760, 4.6419986, 4.5757938, 4.5355287, 4.4930293, 4.4649735, 4.4445446", \
+					  "5.2049288, 5.0990259, 5.0343465, 4.9864520, 4.9515825, 4.9235263, 4.9023068", \
+					  "5.6361403, 5.5302374, 5.4655581, 5.4338097, 5.3812681, 5.3638932, 5.3320955", \
+					  "6.0260266, 5.9460630, 5.8554564, 5.8060235, 5.7726795, 5.7766674, 5.7272474", \
+					  "6.3998987, 6.2924704, 6.2232835, 6.1814214, 6.1877310, 6.1097032, 6.0836112", \
+					  "6.8101345, 6.7042312, 6.6380952, 6.5870796, 6.5506847, 6.5272062, 6.5128812");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9845436, 3.7861255, 3.6447434, 3.5020816, 3.4638433, 3.3881749, 3.1995891", \
+					  "4.4237376, 4.2433291, 4.1081887, 3.9580604, 3.9354571, 3.7931230, 3.7708426", \
+					  "4.8910625, 4.6911184, 4.5526252, 4.4000481, 4.3464399, 4.2877793, 4.1527304", \
+					  "5.2829490, 5.1028415, 4.9609457, 4.8325306, 4.7863511, 4.6405118, 4.5631945", \
+					  "5.7187777, 5.5386702, 5.3945584, 5.2531004, 5.2241920, 5.1385099, 4.9490712", \
+					  "6.1199075, 5.9399044, 5.7999701, 5.6664374, 5.6266980, 5.4999332, 5.4125298", \
+					  "6.5160018, 6.3160582, 6.1740731, 6.0426951, 5.9743173, 5.9020893, 5.7893883");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7944032, -3.6793463, -3.6317222, -3.5713503, -3.5349547, -3.5282610, -3.4727514", \
+					  "-4.2356271, -4.1220962, -4.0819012, -3.9988414, -3.9761787, -3.9437118, -3.9165526", \
+					  "-4.6895316, -4.5760006, -4.5298265, -4.4664785, -4.4316148, -4.4249153, -4.3775776", \
+					  "-5.1220887, -5.0085577, -4.9530342, -4.9144299, -4.8764554, -4.8467916, -4.8052506", \
+					  "-5.5209088, -5.3965092, -5.3245388, -5.2993820, -5.2584090, -5.2322792, -5.2024853", \
+					  "-5.8807712, -5.7672406, -5.6766216, -5.6793336, -5.6228597, -5.5921709, -5.5750308", \
+					  "-6.2956855, -6.1714737, -6.1238456, -6.0726328, -6.0412788, -5.9856828, -5.9803817");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7394880, -3.5904692, -3.4596752, -3.3179850, -3.2528528, -3.1494709, -3.0683837", \
+					  "-4.1807124, -4.0339485, -3.9004162, -3.8083716, -3.6542933, -3.5938287, -3.5096214", \
+					  "-4.6346164, -4.4858883, -4.3522605, -4.2131389, -4.1076544, -3.9920753, -3.9672982", \
+					  "-5.0671740, -4.9206063, -4.7833073, -4.6457542, -4.5850903, -4.4694428, -4.3976544", \
+					  "-5.4675195, -5.3207560, -5.1848549, -5.0477837, -4.9248625, -4.8772757, -4.7913506", \
+					  "-5.8773965, -5.7292555, -5.5938389, -5.4558986, -5.3877935, -5.2766051, -5.1971322", \
+					  "-6.2363010, -6.0880116, -5.9620688, -5.8125293, -5.7349514, -5.6088296, -5.5947249");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0377922, 0.0371147, 0.0364371, 0.0363938, 0.0363523, 0.0363090, 0.0362657");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0303497, 0.0297414, 0.0291332, 0.0290387, 0.0289481, 0.0288537, 0.0287593");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026263;
+			capacitance : 0.026317;
+			fall_capacitance : 0.026371;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031050, -0.0033608, -0.0036166, -0.0037283, -0.0038356, -0.0039474, -0.0040591");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251350, 0.0258826, 0.0266302, 0.0264941, 0.0263635, 0.0262275, 0.0260914");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032140;
+			capacitance : 0.032473;
+			rise_capacitance : 0.032807;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0773644, 0.0800679, 0.0827714, 0.0828741, 0.0829726, 0.0830752, 0.0831778");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0971981, 0.0989109, 0.1006237, 0.1007552, 0.1008815, 0.1010131, 0.1011447");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051191;
+			capacitance : 0.051443;
+			rise_capacitance : 0.051695;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0129460, -0.0131795, -0.0134130, -0.0134260, -0.0134385, -0.0134514, -0.0134644");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0132161, 0.0133194, 0.0134228, 0.0134159, 0.0134093, 0.0134024, 0.0133955");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016662;
+			capacitance : 0.016579;
+			fall_capacitance : 0.016496;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0180558, 0.0175901, 0.0171244, 0.0172483, 0.0173673, 0.0174912, 0.0176150");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0209379, 0.0205970, 0.0202562, 0.0203942, 0.0205267, 0.0206647, 0.0208028");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035430;
+			capacitance : 0.036059;
+			rise_capacitance : 0.036687;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8279706, -3.7434333, -3.6940961, -3.6430667, -3.6219298, -3.5999774, -3.5612381", \
+					  "-4.2600396, -4.1968644, -4.1321850, -4.0767747, -4.0509469, -4.0289942, -4.0009362", \
+					  "-4.7246249, -4.6400876, -4.5906671, -4.5398829, -4.5185839, -4.4981576, -4.4563666", \
+					  "-5.1541306, -5.0711188, -5.0217405, -4.9809403, -4.9511415, -4.9291946, -4.8904496", \
+					  "-5.5544762, -5.4639095, -5.4207485, -5.3728179, -5.3438575, -5.3234312, -5.2984245", \
+					  "-5.9628273, -5.8767637, -5.8307356, -5.7811433, -5.7613640, -5.7378855, -5.7128792", \
+					  "-6.3247835, -6.2295646, -6.1863987, -6.1429312, -6.1156908, -6.0922122, -6.0612210");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5843787, -2.4662505, -2.3542909, -2.2331543, -2.1647155, -2.0573136, -3.1166256", \
+					  "-3.0119224, -2.9090530, -2.7970936, -2.6759570, -2.6151474, -2.5062196, -2.4598509", \
+					  "-3.4795835, -3.3645069, -3.2464440, -3.1298850, -3.0599201, -2.9541955, -2.9015720", \
+					  "-3.9152541, -3.7971255, -4.8623347, -3.5625038, -3.4864353, -3.3916700, -3.3494496", \
+					  "-4.3019421, -4.1866019, -4.0662728, -3.9524563, -3.8761751, -3.7826291, -4.8195116", \
+					  "-4.7221824, -4.6005885, -4.4906955, -4.3729786, -4.3103221, -4.2007701, -4.1581611", \
+					  "-5.0764879, -4.9507302, -4.8387709, -4.7283157, -4.6587838, -4.5693353, -4.5195929");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1600357, 4.0543416, 4.0003301, 3.9584991, 3.9250019, 3.8969457, 3.8749913", \
+					  "4.5815785, 4.4863582, 4.4355602, 4.3981985, 4.3587514, 4.3230657, 4.3041631", \
+					  "5.0340277, 4.9525404, 4.9016438, 4.8621976, 4.8234104, 4.7984033, 4.7733971", \
+					  "5.4789713, 5.3868028, 5.3314307, 5.2864360, 5.2561442, 5.2280880, 5.2107108", \
+					  "5.8627488, 5.7858427, 5.7242156, 5.6846046, 5.6659551, 5.6225507, 5.5960172", \
+					  "6.2854524, 6.1597139, 6.1075552, 6.0501917, 6.0168477, 6.0360799, 5.9637848", \
+					  "6.6534367, 6.5623998, 6.4935415, 6.4519184, 6.4184025, 6.3926329, 6.3668660");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9006445, 3.7340573, 3.5868373, 3.4685342, 3.3482153, 3.2567589, 3.1771290", \
+					  "4.3613276, 4.1947102, 4.0564643, 3.9280142, 3.8324968, 3.7312880, 3.6363026", \
+					  "4.8001099, 4.6441742, 4.5018631, 4.3771549, 4.2520421, 4.1786266, 4.0857738", \
+					  "5.2303138, 5.0911624, 4.9254434, 4.7967069, 4.6783211, 4.6425337, 4.5127869", \
+					  "5.6663810, 5.4951864, 5.3554550, 5.2222718, 5.1193750, 5.0147134, 4.9447524", \
+					  "6.0435650, 5.8927497, 5.7493759, 5.6242054, 5.5154177, 5.4054510, 5.3351628", \
+					  "6.3878954, 6.2308557, 6.0819135, 5.9592591, 5.8662300, 5.7475511, 5.6737075");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1421489, 0.1670639, 0.1919789, 0.2314425, 0.2693276, 0.3087912, 0.3482549");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1997357, 0.2301227, 0.2605097, 0.2623937, 0.2642022, 0.2660861, 0.2679701");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009441;
+			capacitance : 0.009679;
+			rise_capacitance : 0.009917;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0040156, 0.0181896, 0.0403948, 0.2350375, 0.4218944, 0.6165371, 0.8111797");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352550, 0.0506936, 0.0661323, 0.1050706, 0.1424514, 0.1813897, 0.2203281");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006402;
+			capacitance : 0.006583;
+			rise_capacitance : 0.006763;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4026527, 4.2952231, 4.2290862, 4.1811237, 4.1447284, 4.1334570, 4.0903834", \
+					  "4.8425253, 4.7381479, 4.6720106, 4.6240485, 4.5952822, 4.5763813, 4.5362068", \
+					  "5.3026133, 5.1971501, 5.1137723, 5.0841360, 5.0538443, 5.0038505, 4.9933509", \
+					  "5.7460532, 5.6294687, 5.5618055, 5.5184211, 5.4820253, 5.4692280, 5.4380401", \
+					  "6.1222337, 6.0209067, 5.9840433, 5.9235925, 5.8996277, 5.8503667, 5.8295562", \
+					  "6.5448023, 6.3841308, 6.3696420, 6.2715578, 6.2929815, 6.2633994, 6.1791188", \
+					  "6.9077071, 6.7901061, 6.7223754, 6.6760067, 6.6558863, 6.6430886, 6.5888484");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9357507, 3.7796160, 3.6483552, 3.5306216, 3.4474717, 3.2926582, 3.2688253", \
+					  "4.3788686, 4.2242603, 4.0846715, 3.9731163, 3.8264412, 3.7718844, 3.7031780", \
+					  "4.8342435, 4.6798763, 4.5477522, 4.4342701, 4.3159678, 4.2496187, 4.1653563", \
+					  "5.2635103, 5.1057987, 4.9656455, 4.8605651, 4.7484108, 4.6511815, 4.6049887", \
+					  "5.6572247, 5.5059378, 5.3625760, 5.2515652, 5.1041911, 5.0682039, 4.9776870", \
+					  "6.0637422, 5.9121857, 5.7715875, 5.6621688, 5.5108205, 5.4298062, 5.3904417", \
+					  "6.4241921, 6.2662860, 6.1405750, 6.0299901, 5.9507064, 5.8310022, 5.7379997");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9225771, -3.8059944, -3.7474634, -3.7042380, -3.6707803, -3.6294252, -3.6405838", \
+					  "-4.3653269, -4.2478813, -4.1887149, -4.1331189, -4.1180856, -4.0823999, -4.0598797", \
+					  "-4.8192310, -4.7011228, -4.6501764, -4.5900747, -4.5554473, -4.5273436, -4.5036687", \
+					  "-5.2517885, -5.1342403, -5.0796817, -5.0226323, -4.9984485, -4.9597062, -4.9514847", \
+					  "-5.6506082, -5.5324995, -5.4757485, -5.4413493, -5.4081745, -5.3554745, -5.3411495", \
+					  "-6.0604852, -5.9393199, -5.8883784, -5.8343807, -5.7982194, -5.7684033, -5.7662161", \
+					  "-6.4193896, -6.3012810, -6.2503347, -6.1917593, -6.1706935, -6.1349367, -6.1115994");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.0253768, -2.8534950, -3.4229861, -3.3164075, -3.2543672, -3.1843057, -3.0472637", \
+					  "-4.1761347, -3.9942762, -3.8628769, -3.7535443, -3.0342082, -3.5938325, -3.5096245", \
+					  "-3.9205054, -3.7523799, -4.3215659, -4.2115562, -4.1334382, -3.9920757, -3.9598362", \
+					  "-5.0808537, -4.8989952, -4.7741346, -4.6532917, -3.9087526, -4.4369368, -3.9123595", \
+					  "-4.7928761, -4.6079662, -5.1732709, -5.0670674, -5.0081221, -4.9126434, -4.8068866", \
+					  "-5.8728189, -5.7212623, -4.8365087, -5.4543141, -5.3953128, -4.7692061, -5.1971322", \
+					  "-5.5221896, -6.0543274, -5.9185045, -5.8125093, -5.7349443, -4.8779129, -5.5793314");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0377417, 0.0371023, 0.0364630, 0.0364259, 0.0363902, 0.0363530, 0.0363159");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0304133, 0.0298044, 0.0291956, 0.0291034, 0.0290150, 0.0289229, 0.0288307");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017590;
+			capacitance : 0.017508;
+			fall_capacitance : 0.017426;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1232494, 0.1550963, 0.1869432, 0.1889779, 0.1909311, 0.1929657, 0.1950004");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0873076, 0.1134558, 0.1396039, 0.1794435, 0.2176896, 0.2575292, 0.2973689");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005037;
+			capacitance : 0.005194;
+			rise_capacitance : 0.005351;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9134351, -3.7770024, -3.7230045, -3.6644289, -3.6204870, -3.5896281, -3.5444534", \
+					  "-4.3546590, -4.2076082, -4.1581278, -4.1056529, -4.0570504, -4.0278245, -3.9902550", \
+					  "-4.8100894, -4.6736568, -4.6181327, -4.5687642, -4.5171695, -4.4832579, -4.4456853", \
+					  "-5.2426465, -5.0983308, -5.0461126, -5.0062778, -4.9466011, -4.9211521, -4.8812942", \
+					  "-5.6414666, -5.5050339, -5.4510358, -5.3894087, -5.3564928, -5.3132331, -5.2882345", \
+					  "-6.0513436, -5.9042968, -5.8609128, -5.8160700, -5.7584077, -5.7307538, -5.7055797", \
+					  "-6.4102476, -6.2738150, -6.2198173, -6.1719227, -6.1187426, -6.1074712, -6.0534730");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425167, -3.5829845, -3.4182332, -3.3641323, -3.1920832, -3.0525304, -3.0458482", \
+					  "-4.1837406, -4.0547261, -3.9203380, -3.7922787, -3.7188028, -3.5737550, -3.4180505", \
+					  "-4.6242825, -4.4739059, -4.2943224, -4.2456032, -4.1002896, -4.0126242, -3.9060215", \
+					  "-5.0884596, -4.9212982, -4.2973986, -4.7115660, -4.5526051, -4.4769230, -4.3181918", \
+					  "-5.4947573, -5.3657427, -5.1931909, -5.1162574, -4.9546560, -4.8817365, -4.7907284", \
+					  "-5.8804248, -5.7514102, -5.5644264, -4.8234480, -5.3416326, -5.1903696, -5.1512481", \
+					  "-6.2393292, -5.4477633, -5.9341227, -5.8550042, -5.6896529, -5.5621389, -5.5411082");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3858830, 4.2723334, 4.1985698, 4.1551822, 4.1111570, 4.0800495, 4.0489397", \
+					  "4.8304985, 4.7169489, 4.6446405, 4.5997977, 4.5603506, 4.5368720, 4.5027105", \
+					  "5.2871918, 5.1705904, 5.1044012, 5.0549652, 5.0124658, 4.9848706, 4.9505553", \
+					  "5.7132590, 5.6012349, 5.5274712, 5.4825578, 5.4400802, 5.4062024, 5.3764951", \
+					  "6.1104768, 5.9938759, 5.9291961, 5.8782497, 5.8357508, 5.8153240, 5.7826884", \
+					  "6.4746096, 6.3625854, 6.2903099, 6.2454342, 6.2029349, 6.1924966, 6.1819166", \
+					  "6.8760773, 6.7625277, 6.6887640, 6.6438506, 6.6013513, 6.5785655, 6.5574442");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9662551, 3.7909172, 3.6415618, 3.5008337, 3.3912851, 3.2723416, 3.1681480", \
+					  "4.4250202, 4.2527744, 4.0991293, 3.9726443, 3.8501383, 3.7377406, 3.6284911", \
+					  "4.8794123, 4.7128060, 4.5601445, 4.4247937, 4.2918556, 4.1854505, 4.0797866", \
+					  "5.3277425, 5.1264649, 4.9802019, 4.8522264, 4.7502427, 4.6511069, 4.5258533", \
+					  "5.7204013, 5.5019449, 5.3510283, 5.2640117, 5.1024885, 5.0253298, 4.9206997", \
+					  "6.1038506, 5.9420915, 5.7927177, 5.6635299, 5.5463827, 5.4214231, 5.3235841", \
+					  "6.4651247, 6.3000794, 6.1473505, 6.0154937, 5.9038186, 5.8271395, 5.7093208");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0360411, 0.0355566, 0.0350721, 0.0349580, 0.0348485, 0.0347344, 0.0346203");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425909, 0.0420323, 0.0414737, 0.0413784, 0.0412870, 0.0411918, 0.0410965");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004849;
+			capacitance : 0.004652;
+			fall_capacitance : 0.004455;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074680, -0.0074481, -0.0074282, -0.0074065, -0.0073856, -0.0073638, -0.0073421");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074082, 0.0073736, 0.0073390, 0.0073491, 0.0073587, 0.0073688, 0.0073788");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2821078, 4.7234894, 5.1820435, 5.6147831, 6.0371582, 6.3724433, 6.7872381", \
+					  "4.1731532, 4.6175866, 5.0746143, 5.5058281, 5.8957162, 6.2634882, 6.6680739", \
+					  "4.1069670, 4.5498554, 5.0114826, 5.4380969, 5.8325600, 6.1972834, 6.6019410", \
+					  "4.0605793, 4.5111162, 4.9620408, 5.3932541, 5.7846681, 6.2027945, 6.5646553", \
+					  "4.0272357, 4.4716691, 4.9302227, 5.3599106, 5.7497987, 6.1496149, 6.5164770", \
+					  "4.0007054, 4.4375093, 4.9021669, 5.3425360, 5.7202170, 6.0971448, 6.4956261", \
+					  "3.9818027, 4.4070816, 4.8762170, 5.3031049, 5.6921586, 6.0927737, 6.4869330");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6997986, -4.1410228, -4.5964527, -5.0274844, -5.4278299, -5.8377069, -6.1966114", \
+					  "-3.5903835, -4.0198624, -4.4702692, -4.9281554, -5.3066695, -5.7180724, -6.0754510", \
+					  "-3.4971745, -3.9385044, -4.4640191, -4.8492740, -5.2313822, -5.6579707, -6.0168752", \
+					  "-3.4965823, -3.9271250, -4.3901848, -4.8547856, -5.2566571, -5.6680600, -5.9889002", \
+					  "-3.4769931, -3.8876779, -4.3644703, -4.7695618, -5.1607524, -5.5936226, -5.9586120", \
+					  "-3.4168719, -3.8641994, -4.3085990, -4.7476092, -5.1387998, -5.5593576, -5.9167362", \
+					  "-3.3898270, -3.8468746, -4.2861716, -4.7167396, -5.1562112, -5.5452005, -5.9191960");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8279706, -4.2600396, -4.7246249, -5.1541306, -5.5544762, -5.9628273, -6.3247835", \
+					  "-3.7434333, -4.1968644, -4.6400876, -5.0711188, -5.4639095, -5.8767637, -6.2295646", \
+					  "-3.6940961, -4.1321850, -4.5906671, -5.0217405, -5.4207485, -5.8307356, -6.1863987", \
+					  "-3.6430667, -4.0767747, -4.5398829, -4.9809403, -5.3728179, -5.7811433, -6.1429312", \
+					  "-3.6219298, -4.0509469, -4.5185839, -4.9511415, -5.3438575, -5.7613640, -6.1156908", \
+					  "-3.5999774, -4.0289942, -4.4981576, -4.9291946, -5.3234312, -5.7378855, -6.0922122", \
+					  "-3.5612381, -4.0009362, -4.4563666, -4.8904496, -5.2984245, -5.7128792, -6.0612210");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1600357, 4.5815785, 5.0340277, 5.4789713, 5.8627488, 6.2854524, 6.6534367", \
+					  "4.0543416, 4.4863582, 4.9525404, 5.3868028, 5.7858427, 6.1597139, 6.5623998", \
+					  "4.0003301, 4.4355602, 4.9016438, 5.3314307, 5.7242156, 6.1075552, 6.4935415", \
+					  "3.9584991, 4.3981985, 4.8621976, 5.2864360, 5.6846046, 6.0501917, 6.4519184", \
+					  "3.9250019, 4.3587514, 4.8234104, 5.2561442, 5.6659551, 6.0168477, 6.4184025", \
+					  "3.8969457, 4.3230657, 4.7984033, 5.2280880, 5.6225507, 6.0360799, 6.3926329", \
+					  "3.8749913, 4.3041631, 4.7733971, 5.2107108, 5.5960172, 5.9637848, 6.3668660");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4026527, 4.8425253, 5.3026133, 5.7460532, 6.1222337, 6.5448023, 6.9077071", \
+					  "4.2952231, 4.7381479, 5.1971501, 5.6294687, 6.0209067, 6.3841308, 6.7901061", \
+					  "4.2290862, 4.6720106, 5.1137723, 5.5618055, 5.9840433, 6.3696420, 6.7223754", \
+					  "4.1811237, 4.6240485, 5.0841360, 5.5184211, 5.9235925, 6.2715578, 6.6760067", \
+					  "4.1447284, 4.5952822, 5.0538443, 5.4820253, 5.8996277, 6.2929815, 6.6558863", \
+					  "4.1334570, 4.5763813, 5.0038505, 5.4692280, 5.8503667, 6.2633994, 6.6430886", \
+					  "4.0903834, 4.5362068, 4.9933509, 5.4380401, 5.8295562, 6.1791188, 6.5888484");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9225771, -4.3653269, -4.8192310, -5.2517885, -5.6506082, -6.0604852, -6.4193896", \
+					  "-3.8059944, -4.2478813, -4.7011228, -5.1342403, -5.5324995, -5.9393199, -6.3012810", \
+					  "-3.7474634, -4.1887149, -4.6501764, -5.0796817, -5.4757485, -5.8883784, -6.2503347", \
+					  "-3.7042380, -4.1331189, -4.5900747, -5.0226323, -5.4413493, -5.8343807, -6.1917593", \
+					  "-3.6707803, -4.1180856, -4.5554473, -4.9984485, -5.4081745, -5.7982194, -6.1706935", \
+					  "-3.6294252, -4.0823999, -4.5273436, -4.9597062, -5.3554745, -5.7684033, -6.1349367", \
+					  "-3.6405838, -4.0598797, -4.5036687, -4.9514847, -5.3411495, -5.7662161, -6.1115994");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9134351, -4.3546590, -4.8100894, -5.2426465, -5.6414666, -6.0513436, -6.4102476", \
+					  "-3.7770024, -4.2076082, -4.6736568, -5.0983308, -5.5050339, -5.9042968, -6.2738150", \
+					  "-3.7230045, -4.1581278, -4.6181327, -5.0461126, -5.4510358, -5.8609128, -6.2198173", \
+					  "-3.6644289, -4.1056529, -4.5687642, -5.0062778, -5.3894087, -5.8160700, -6.1719227", \
+					  "-3.6204870, -4.0570504, -4.5171695, -4.9466011, -5.3564928, -5.7584077, -6.1187426", \
+					  "-3.5896281, -4.0278245, -4.4832579, -4.9211521, -5.3132331, -5.7307538, -6.1074712", \
+					  "-3.5444534, -3.9902550, -4.4456853, -4.8812942, -5.2882345, -5.7055797, -6.0534730");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3858830, 4.8304985, 5.2871918, 5.7132590, 6.1104768, 6.4746096, 6.8760773", \
+					  "4.2723334, 4.7169489, 5.1705904, 5.6012349, 5.9938759, 6.3625854, 6.7625277", \
+					  "4.1985698, 4.6446405, 5.1044012, 5.5274712, 5.9291961, 6.2903099, 6.6887640", \
+					  "4.1551822, 4.5997977, 5.0549652, 5.4825578, 5.8782497, 6.2454342, 6.6438506", \
+					  "4.1111570, 4.5603506, 5.0124658, 5.4400802, 5.8357508, 6.2029349, 6.6013513", \
+					  "4.0800495, 4.5368720, 4.9848706, 5.4062024, 5.8153240, 6.1924966, 6.5785655", \
+					  "4.0489397, 4.5027105, 4.9505553, 5.3764951, 5.7826884, 6.1819166, 6.5574442");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7990097, -4.2402337, -4.6956640, -5.1282211, -5.5270408, -5.9384437, -6.2973482", \
+					  "-3.6916165, -4.1313088, -4.5836869, -5.0162440, -5.4226363, -5.8249412, -6.1990472", \
+					  "-3.5979805, -4.0513137, -4.4946302, -4.9227237, -5.3243878, -5.7525755, -6.1069023", \
+					  "-3.5957645, -4.0522472, -4.4924186, -4.9330857, -5.3253213, -5.7351987, -6.0925768", \
+					  "-3.5425842, -3.9700751, -4.4346608, -4.8763733, -5.2614923, -5.6804923, -6.0335791", \
+					  "-3.5180625, -3.9341305, -4.4163288, -4.8472672, -5.2425182, -5.6577926, -6.0159182", \
+					  "-3.4666336, -3.9083014, -4.3632877, -4.7958448, -5.1885613, -5.6091897, -5.9762628");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2806095, 4.7220150, 5.1805802, 5.6118211, 6.0017438, 6.3710835, 6.7739506", \
+					  "4.1686248, 4.6115567, 5.0700899, 5.5013134, 5.8897592, 6.3107620, 6.6736490", \
+					  "4.0916890, 4.5529312, 4.9947587, 5.4320564, 5.8189687, 6.2384034, 6.5967128", \
+					  "4.0575275, 4.5096147, 4.9605505, 5.3917913, 5.7817132, 6.1480015, 6.5462913", \
+					  "4.0058732, 4.4488047, 4.9073699, 5.3386107, 5.7392143, 6.1480099, 6.5007407", \
+					  "3.9717139, 4.4161713, 4.8732105, 5.3357450, 5.7253756, 6.0644865, 6.4788977", \
+					  "3.9655446, 4.4064235, 4.8430067, 5.2738967, 5.6606087, 6.0905883, 6.4619410");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8844574, -4.3165260, -4.7811117, -5.2090911, -5.6124889, -6.0238913, -6.3843217", \
+					  "-3.7831060, -4.2005068, -4.6782344, -5.0945956, -5.5080857, -5.9033016, -6.2768668", \
+					  "-3.7336855, -4.1733840, -4.6288140, -5.0613715, -5.4764359, -5.8708369, -6.2313427", \
+					  "-3.6842653, -4.1214300, -4.5908936, -5.0185284, -5.4444127, -5.8099665, -6.1908729", \
+					  "-3.6402405, -4.0814642, -4.5527854, -4.9786070, -5.3881084, -5.7766229, -6.1584153", \
+					  "-3.6367424, -4.0587855, -4.5318759, -4.9605464, -5.3578646, -5.7731630, -6.1334108", \
+					  "-3.5826004, -4.0490448, -4.4929875, -4.9346999, -5.3365718, -5.7539357, -6.1092346");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2073667, 4.6258808, 5.0783411, 5.5248377, 5.9590060, 6.3495092, 6.7124038", \
+					  "4.0953332, 4.5230027, 4.9907219, 5.4189078, 5.8576533, 6.2374757, 6.5917332", \
+					  "4.0557986, 4.4751086, 4.9404856, 5.3710128, 5.7890904, 6.1820924, 6.5316315", \
+					  "3.9939312, 4.4287399, 4.8957800, 5.3265954, 5.7538852, 6.1361261, 6.4903903", \
+					  "3.9616606, 4.3970474, 4.8600633, 5.3175058, 5.7090126, 6.1022674, 6.4550079", \
+					  "3.9387656, 4.3680173, 4.8387310, 5.2839691, 5.6781325, 6.0185446, 6.4259392", \
+					  "3.9135950, 4.3440605, 4.8148199, 5.2624645, 5.6373118, 5.9933972, 6.4082244");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9174155, 4.3603701, 4.8189460, 5.2502130, 5.6723966, 6.0595100, 6.4122323", \
+					  "3.8054162, 4.2534245, 4.7084725, 5.1384339, 5.5619232, 5.9459849, 6.3002326", \
+					  "3.7498922, 4.1821851, 4.6469307, 5.0781124, 5.5052121, 5.8919872, 6.2431831", \
+					  "3.6990262, 4.1374404, 4.6203133, 5.0272473, 5.4525414, 5.8380743, 6.1892649", \
+					  "3.6778092, 4.1177122, 4.5793398, 5.0106069, 5.4327905, 5.8244816, 6.1695740", \
+					  "3.6283907, 4.0972854, 4.5314475, 4.9718698, 5.3848981, 5.7721661, 6.1552508", \
+					  "3.6415316, 4.0635942, 4.5186479, 4.9575444, 5.3782022, 5.7744709, 6.1143409");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7531957, -4.1928942, -4.6483241, -5.0808817, -5.4797013, -5.8911042, -5.7220546", \
+					  "-3.0293219, -4.0961560, -3.9259762, -4.9810922, -4.7588792, -5.7913147, -6.1502192", \
+					  "-3.0073674, -4.0269647, -4.4899592, -4.9089299, -4.7460797, -5.7177558, -6.0783593", \
+					  "-3.5517713, -3.9820566, -4.4299193, -4.8901636, -4.7622725, -5.6711748, -6.0300762", \
+					  "-3.5242737, -3.9365060, -3.8940888, -4.8519590, -5.2492532, -5.6621820, -6.0241378", \
+					  "-3.5099505, -3.9193433, -4.4066046, -4.8406876, -5.2028867, -5.6348337, -5.9644980", \
+					  "-3.4515443, -3.8950867, -4.3428800, -4.7769368, -5.1726856, -5.5918800, -5.9560501");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3049962, 4.7463760, 5.2049288, 5.6361403, 6.0260266, 6.3998987, 6.8101345", \
+					  "4.1990929, 4.6419986, 5.0990259, 5.5302374, 5.9460630, 6.2924704, 6.7042312", \
+					  "4.1329622, 4.5757938, 5.0343465, 5.4655581, 5.8554564, 6.2232835, 6.6380952", \
+					  "4.0834676, 4.5355287, 4.9864520, 5.4338097, 5.8060235, 6.1814214, 6.5870796", \
+					  "4.0485977, 4.4930293, 4.9515825, 5.3812681, 5.7726795, 6.1877310, 6.5506847", \
+					  "4.0205419, 4.4649735, 4.9235263, 5.3638932, 5.7766674, 6.1097032, 6.5272062", \
+					  "3.9948425, 4.4445446, 4.9023068, 5.3320955, 5.7272474, 6.0836112, 6.5128812");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7944032, -4.2356271, -4.6895316, -5.1220887, -5.5209088, -5.8807712, -6.2956855", \
+					  "-3.6793463, -4.1220962, -4.5760006, -5.0085577, -5.3965092, -5.7672406, -6.1714737", \
+					  "-3.6317222, -4.0819012, -4.5298265, -4.9530342, -5.3245388, -5.6766216, -6.1238456", \
+					  "-3.5713503, -3.9988414, -4.4664785, -4.9144299, -5.2993820, -5.6793336, -6.0726328", \
+					  "-3.5349547, -3.9761787, -4.4316148, -4.8764554, -5.2584090, -5.6228597, -6.0412788", \
+					  "-3.5282610, -3.9437118, -4.4249153, -4.8467916, -5.2322792, -5.5921709, -5.9856828", \
+					  "-3.4727514, -3.9165526, -4.3775776, -4.8052506, -5.2024853, -5.5750308, -5.9803817");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1759602, 0.6234704, 1.0789843, 1.5025968, 1.8940461, 2.2579292, 2.6570926", \
+					  "0.0669503, 0.5125427, 0.9731485, 1.3715931, 1.8026028, 2.1720690, 2.5488778", \
+					  "0.0053229, 0.4639036, 0.9130886, 1.3229588, 1.7092669, 2.0870615, 2.4880436", \
+					  "-0.0383062, 0.4155711, 0.8868345, 1.3086433, 1.6772508, 2.0316653, 2.4407854", \
+					  "-0.0634137, 0.3817722, 0.8380638, 1.2638473, 1.6617445, 2.0207658, 2.3496614", \
+					  "-0.0954469, 0.3487104, 0.8100080, 1.2408673, 1.6245196, 2.0058626, 2.3451799", \
+					  "-0.1259260, 0.3202428, 0.7804238, 1.2156061, 1.6061964, 1.9681484, 2.3339067");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2278950, -0.2157450, -0.6540323, -1.0654389, -1.4571902, -1.8688874, -2.2262660", \
+					  "0.3398446, -0.1117543, -0.5572363, -0.9684306, -1.3450155, -1.7513189, -2.1051140", \
+					  "0.3923576, -0.0626633, -0.4994391, -0.9221535, -1.3057952, -1.6617126, -2.0495902", \
+					  "0.4369089, -0.0181035, -0.4691532, -0.8776268, -1.2654481, -1.6458430, -1.9864370", \
+					  "0.4565495, 0.0351428, -0.4379383, -0.8523029, -1.2354666, -1.6186028, -1.9683519", \
+					  "0.4979417, 0.0541599, -0.3888200, -0.8205875, -1.2269776, -1.6073313, -1.9618133", \
+					  "0.5175030, 0.0941120, -0.3808429, -0.8026705, -1.2058113, -1.5870279, -1.9462862");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9179937, -4.3592176, -4.8131221, -5.2472051, -5.6444993, -6.0559017, -6.4148062", \
+					  "-3.7998908, -4.2380630, -4.6950192, -5.1328312, -5.5263964, -5.9367841, -6.2951774", \
+					  "-3.7459673, -4.1841279, -4.6426154, -5.0766300, -5.4785018, -5.8853271, -6.2442311", \
+					  "-3.7028542, -4.1209207, -4.5855472, -5.0180546, -5.4275555, -5.8286155, -6.1902334", \
+					  "-3.6722838, -4.1119820, -4.5538948, -4.9999695, -5.3972637, -5.7934073, -6.1691307", \
+					  "-3.6265461, -4.0808740, -4.5228991, -4.9490254, -5.3524227, -5.7638256, -6.1349367", \
+					  "-3.6375320, -4.0539196, -4.4945134, -4.9301223, -5.3350459, -5.7617197, -6.1092346");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3324569, 4.7738660, 5.2324325, 5.6652028, 6.0902250, 6.4458370, 6.8273172", \
+					  "4.2280844, 4.6694935, 5.1280600, 5.5623562, 5.9815688, 6.3155266, 6.7214188", \
+					  "4.1634499, 4.6064200, 5.0769680, 5.5167851, 5.9031489, 6.2920454, 6.6567843", \
+					  "4.1124591, 4.5676012, 5.0170124, 5.4683567, 5.8458130, 6.2683230, 6.6088453", \
+					  "4.0775896, 4.5251019, 4.9851943, 5.4240681, 5.8063654, 6.2258241, 6.5755017", \
+					  "4.0510593, 4.4924684, 4.9479445, 5.3872687, 5.8060692, 6.1522337, 6.5560684", \
+					  "4.0336825, 4.4735653, 4.9300158, 5.3625951, 5.7888546, 6.1732551, 6.5315946");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023476;
+			capacitance : 0.024350;
+			rise_capacitance : 0.024655;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8844574, -3.7831060, -3.7336855, -3.6842653, -3.6402405, -3.6367424, -3.5826004", \
+					  "-4.3165260, -4.2005068, -4.1733840, -4.1214300, -4.0814642, -4.0587855, -4.0490448", \
+					  "-4.7811117, -4.6782344, -4.6288140, -4.5908936, -4.5527854, -4.5318759, -4.4929875", \
+					  "-5.2090911, -5.0945956, -5.0613715, -5.0185284, -4.9786070, -4.9605464, -4.9346999", \
+					  "-5.6124889, -5.5080857, -5.4764359, -5.4444127, -5.3881084, -5.3578646, -5.3365718", \
+					  "-6.0238913, -5.9033016, -5.8708369, -5.8099665, -5.7766229, -5.7731630, -5.7539357", \
+					  "-6.3843217, -6.2768668, -6.2313427, -6.1908729, -6.1584153, -6.1334108, -6.1092346");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5523481, -2.4402848, -2.3775252, -2.2515611, -2.2305064, -2.0997665, -1.9666945", \
+					  "-2.9814175, -2.8830873, -2.8203277, -2.7612179, -2.6990707, -2.4772279, -2.4618882", \
+					  "-3.4506044, -3.3370155, -3.2331397, -3.1512472, -3.0937323, -2.9967688, -2.8985538", \
+					  "-3.8847491, -3.7696341, -4.9110340, -3.6077825, -3.5157905, -3.4149825, -3.3082510", \
+					  "-4.2668595, -4.1710357, -4.0518928, -3.9459722, -3.9608656, -3.7672471, -3.7076144", \
+					  "-4.6420745, -4.5762351, -4.4592762, -4.3148700, -4.3305423, -4.1776606, -4.0709602", \
+					  "-5.0732167, -4.9214807, -4.8136976, -4.7449633, -4.6398078, -4.6192802, -4.5116233");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2073667, 4.0953332, 4.0557986, 3.9939312, 3.9616606, 3.9387656, 3.9135950", \
+					  "4.6258808, 4.5230027, 4.4751086, 4.4287399, 4.3970474, 4.3680173, 4.3440605", \
+					  "5.0783411, 4.9907219, 4.9404856, 4.8957800, 4.8600633, 4.8387310, 4.8148199", \
+					  "5.5248377, 5.4189078, 5.3710128, 5.3265954, 5.3175058, 5.2839691, 5.2624645", \
+					  "5.9590060, 5.8576533, 5.7890904, 5.7538852, 5.7090126, 5.6781325, 5.6373118", \
+					  "6.3495092, 6.2374757, 6.1820924, 6.1361261, 6.1022674, 6.0185446, 5.9933972", \
+					  "6.7124038, 6.5917332, 6.5316315, 6.4903903, 6.4550079, 6.4259392, 6.4082244");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9448985, 3.7733108, 3.6416022, 3.4987620, 3.3777230, 3.2897003, 3.2432772", \
+					  "4.3680076, 4.2128694, 4.0834592, 3.9252164, 3.8247177, 3.7328077, 3.7014812", \
+					  "4.8357343, 4.6702498, 4.5369648, 4.4021989, 4.2728534, 4.1966304, 4.1066468", \
+					  "5.2807232, 5.1165861, 4.9744660, 4.8334228, 4.7390290, 4.6440450, 4.5717974", \
+					  "5.6584571, 5.5082319, 5.3538185, 5.2379668, 5.1322300, 5.0360132, 4.9415772", \
+					  "6.0323984, 5.8562344, 5.7294647, 5.5855487, 5.4948249, 5.3837546, 5.3040432", \
+					  "6.4382102, 6.2738293, 6.1382400, 5.9894510, 5.9128589, 5.8341117, 5.7187888");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006311;
+			capacitance : 0.006489;
+			rise_capacitance : 0.006666;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9174155, 3.8054162, 3.7498922, 3.6990262, 3.6778092, 3.6283907, 3.6415316", \
+					  "4.3603701, 4.2534245, 4.1821851, 4.1374404, 4.1177122, 4.0972854, 4.0635942", \
+					  "4.8189460, 4.7084725, 4.6469307, 4.6203133, 4.5793398, 4.5314475, 4.5186479", \
+					  "5.2502130, 5.1384339, 5.0781124, 5.0272473, 5.0106069, 4.9718698, 4.9575444", \
+					  "5.6723966, 5.5619232, 5.5052121, 5.4525414, 5.4327905, 5.3848981, 5.3782022", \
+					  "6.0595100, 5.9459849, 5.8919872, 5.8380743, 5.8244816, 5.7721661, 5.7744709", \
+					  "6.4122323, 6.3002326, 6.2431831, 6.1892649, 6.1695740, 6.1552508, 6.1143409");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4331843, 4.2831910, 4.1358453, 4.0123214, 3.9094433, 3.7921180, 3.7022372", \
+					  "4.8965412, 4.7446147, 4.6017526, 4.4710958, 4.3471562, 4.2523698, 4.1518240", \
+					  "5.3509959, 5.1990694, 5.0561944, 4.9240414, 4.8317277, 4.7082452, 4.6184845", \
+					  "5.7686738, 5.6188470, 5.4805780, 5.3503458, 5.2256424, 5.1180458, 5.0314542", \
+					  "6.1487347, 5.9968078, 5.8515448, 5.7236702, 5.5993497, 5.5033616, 5.4212189", \
+					  "6.5873425, 6.4369419, 6.2978043, 6.1656958, 6.0717962, 5.9426973, 5.8496513", \
+					  "6.9335380, 6.7846633, 6.6406319, 6.5125730, 6.4083688, 6.2815191, 6.2145523");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7531957, -3.0293219, -3.0073674, -3.5517713, -3.5242737, -3.5099505, -3.4515443", \
+					  "-4.1928942, -4.0961560, -4.0269647, -3.9820566, -3.9365060, -3.9193433, -3.8950867", \
+					  "-4.6483241, -3.9259762, -4.4899592, -4.4299193, -3.8940888, -4.4066046, -4.3428800", \
+					  "-5.0808817, -4.9810922, -4.9089299, -4.8901636, -4.8519590, -4.8406876, -4.7769368", \
+					  "-5.4797013, -4.7588792, -4.7460797, -4.7622725, -5.2492532, -5.2028867, -5.1726856", \
+					  "-5.8911042, -5.7913147, -5.7177558, -5.6711748, -5.6621820, -5.6348337, -5.5918800", \
+					  "-5.7220546, -6.1502192, -6.0783593, -6.0300762, -6.0241378, -5.9644980, -5.9560501");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9256418, -3.7849219, -3.6476945, -3.5225222, -3.3862930, -3.3211460, -3.2484328", \
+					  "-4.3668657, -4.2265966, -4.0857515, -3.9652718, -3.8351932, -3.7534799, -3.7146368", \
+					  "-4.8222961, -4.6815762, -4.5296639, -4.4340191, -4.2843579, -4.1884182, -4.1668550", \
+					  "-5.2548532, -5.1123934, -4.9684654, -4.8620789, -4.7043549, -4.6359771, -4.5776442", \
+					  "-5.6536728, -5.5129529, -5.3749749, -5.2658706, -5.1026007, -5.0649566, -4.9795156", \
+					  "-6.0135347, -5.8612668, -5.7329387, -5.6231932, -5.4640294, -5.4288900, -5.3515847", \
+					  "-6.4284513, -6.2862739, -6.1446947, -6.0400629, -5.9223472, -5.7886671, -5.7196796");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0302287, 0.0297082, 0.0291877, 0.0290952, 0.0290065, 0.0289140, 0.0288216");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0380014, 0.0372679, 0.0365345, 0.0364537, 0.0363761, 0.0362953, 0.0362144");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014610;
+			capacitance : 0.015079;
+			rise_capacitance : 0.015548;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439334, 0.1026686, 0.1614037, 0.3963964, 0.6219893, 0.8569819, 1.0919746");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116096, -0.0014117, 0.0087861, 0.0208592, 0.0324493, 0.0445224, 0.0565954");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031071;
+			capacitance : 0.031083;
+			fall_capacitance : 0.031095;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0045120, -0.0043325, -0.0041530, -0.0042918, -0.0044251, -0.0045639, -0.0047027");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0529340, 0.0764632, 0.0999924, 0.0998953, 0.0998020, 0.0997048, 0.0996076");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006905;
+			capacitance : 0.006728;
+			fall_capacitance : 0.006552;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1759602, 0.0669503, 0.0053229, -0.0383062, -0.0634137, -0.0954469, -0.1259260", \
+					  "0.6234704, 0.5125427, 0.4639036, 0.4155711, 0.3817722, 0.3487104, 0.3202428", \
+					  "1.0789843, 0.9731485, 0.9130886, 0.8868345, 0.8380638, 0.8100080, 0.7804238", \
+					  "1.5025968, 1.3715931, 1.3229588, 1.3086433, 1.2638473, 1.2408673, 1.2156061", \
+					  "1.8940461, 1.8026028, 1.7092669, 1.6772508, 1.6617445, 1.6245196, 1.6061964", \
+					  "2.2579292, 2.1720690, 2.0870615, 2.0316653, 2.0207658, 2.0058626, 1.9681484", \
+					  "2.6570926, 2.5488778, 2.4880436, 2.4407854, 2.3496614, 2.3451799, 2.3339067");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0987569, -0.2591743, -0.3900261, -0.4933583, -0.6265732, -0.7268995, -0.8217268", \
+					  "0.3432419, 0.1922694, 0.0559321, -0.0870532, -0.1761849, -0.2990601, -0.4061229", \
+					  "0.7798858, 0.6403748, 0.4960877, 0.3811156, 0.2630466, 0.1710983, 0.0785249", \
+					  "1.2043378, 1.0587893, 0.9030174, 0.8192482, 0.6935545, 0.5875362, 0.5424283", \
+					  "1.6120922, 1.4467102, 1.3091378, 1.2362224, 1.1303838, 1.0162582, 0.9046355", \
+					  "1.9992157, 1.8568034, 1.6561225, 1.5902149, 1.4920079, 1.3719786, 1.3011304", \
+					  "2.3504217, 2.2156417, 2.0358533, 1.9474640, 1.8281261, 1.7535830, 1.6381162");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2278950, 0.3398446, 0.3923576, 0.4369089, 0.4565495, 0.4979417, 0.5175030", \
+					  "-0.2157450, -0.1117543, -0.0626633, -0.0181035, 0.0351428, 0.0541599, 0.0941120", \
+					  "-0.6540323, -0.5572363, -0.4994391, -0.4691532, -0.4379383, -0.3888200, -0.3808429", \
+					  "-1.0654389, -0.9684306, -0.9221535, -0.8776268, -0.8523029, -0.8205875, -0.8026705", \
+					  "-1.4571902, -1.3450155, -1.3057952, -1.2654481, -1.2354666, -1.2269776, -1.2058113", \
+					  "-1.8688874, -1.7513189, -1.6617126, -1.6458430, -1.6186028, -1.6073313, -1.5870279", \
+					  "-2.2262660, -2.1051140, -2.0495902, -1.9864370, -1.9683519, -1.9618133, -1.9462862");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2248342, 0.8842450, 0.5129100, 0.6461981, 0.7435272, 0.8141056, 0.9031842", \
+					  "-0.2371288, -0.0820821, 0.0625632, 0.1970169, 0.2769223, 0.3858634, 0.4890155", \
+					  "-0.6513425, -0.0083012, -0.3679622, -0.2752355, -0.1736454, -0.0253370, 0.0655353", \
+					  "-1.0669259, -0.9275235, -0.7757055, -0.6723572, -0.5970070, -0.0372715, -0.3939698", \
+					  "-0.8716053, -1.3025814, -1.1858635, -1.0557353, -0.9657309, -0.8462961, -0.4671893", \
+					  "-1.8625567, -1.7133204, -1.5303617, -0.8737319, -1.3319760, -1.2355323, -1.1836366", \
+					  "-2.1749144, -2.0745349, -1.8806858, -1.7618120, -1.6764776, -1.6194302, -1.5137696");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0302181, 0.0296823, 0.0291465, 0.0290532, 0.0289636, 0.0288703, 0.0287770");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0377735, 0.0370233, 0.0362732, 0.0362100, 0.0361493, 0.0360860, 0.0360228");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014072;
+			capacitance : 0.013972;
+			fall_capacitance : 0.013872;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9179937, -3.7998908, -3.7459673, -3.7028542, -3.6722838, -3.6265461, -3.6375320", \
+					  "-4.3592176, -4.2380630, -4.1841279, -4.1209207, -4.1119820, -4.0808740, -4.0539196", \
+					  "-4.8131221, -4.6950192, -4.6426154, -4.5855472, -4.5538948, -4.5228991, -4.4945134", \
+					  "-5.2472051, -5.1328312, -5.0766300, -5.0180546, -4.9999695, -4.9490254, -4.9301223", \
+					  "-5.6444993, -5.5263964, -5.4785018, -5.4275555, -5.3972637, -5.3524227, -5.3350459", \
+					  "-6.0559017, -5.9367841, -5.8853271, -5.8286155, -5.7934073, -5.7638256, -5.7617197", \
+					  "-6.4148062, -6.2951774, -6.2442311, -6.1902334, -6.1691307, -6.1349367, -6.1092346");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7715290, -3.5545333, -3.4261813, -3.3193794, -3.2619313, -3.1540927, -3.0683948", \
+					  "-4.2127530, -3.9957572, -3.8658309, -3.7563630, -3.6894038, -3.5954264, -3.5096117", \
+					  "-4.6681834, -4.4511876, -4.3315597, -4.2161343, -4.1428147, -3.9967040, -3.9687868", \
+					  "-5.1007405, -4.8815798, -4.7526345, -4.6472836, -4.5911419, -4.4693051, -4.3976655", \
+					  "-5.4995601, -5.2825643, -5.1476709, -5.0492980, -4.9885666, -4.8788673, -4.7897856", \
+					  "-5.8594220, -5.6424263, -5.5126852, -5.4923059, -5.3404771, -5.2499513, -5.1730568", \
+					  "-6.2698675, -6.0543017, -5.9199727, -5.8127847, -5.7547524, -5.6087758, -5.5932007");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3324569, 4.2280844, 4.1634499, 4.1124591, 4.0775896, 4.0510593, 4.0336825", \
+					  "4.7738660, 4.6694935, 4.6064200, 4.5676012, 4.5251019, 4.4924684, 4.4735653", \
+					  "5.2324325, 5.1280600, 5.0769680, 5.0170124, 4.9851943, 4.9479445, 4.9300158", \
+					  "5.6652028, 5.5623562, 5.5167851, 5.4683567, 5.4240681, 5.3872687, 5.3625951", \
+					  "6.0902250, 5.9815688, 5.9031489, 5.8458130, 5.8063654, 5.8060692, 5.7888546", \
+					  "6.4458370, 6.3155266, 6.2920454, 6.2683230, 6.2258241, 6.1522337, 6.1732551", \
+					  "6.8273172, 6.7214188, 6.6567843, 6.6088453, 6.5755017, 6.5560684, 6.5315946");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9891466, 3.8170803, 3.6461430, 3.5188819, 3.4908923, 3.3845478, 3.2846921", \
+					  "4.4472184, 4.2749980, 4.0983424, 3.9754283, 3.8878468, 3.7975665, 3.7198873", \
+					  "4.9014275, 4.7261553, 4.5660323, 4.4250593, 4.3189948, 4.2545188, 4.1617299", \
+					  "5.3103498, 5.1381293, 4.9767797, 4.8400855, 4.7510212, 4.7124578, 4.5830284", \
+					  "5.7633772, 5.5911562, 5.4310337, 5.3079557, 5.1821523, 5.1210110, 5.0298168", \
+					  "6.1414831, 5.9692627, 5.7931911, 5.6874272, 5.6417305, 5.4637857, 5.4285854", \
+					  "6.4916946, 6.3164223, 6.1508881, 6.0347561, 5.9198999, 5.9030463, 5.7988363");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0303321, 0.0298090, 0.0292860, 0.0291874, 0.0290928, 0.0289943, 0.0288957");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0378660, 0.0371689, 0.0364719, 0.0364231, 0.0363763, 0.0363276, 0.0362788");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.377880;
+			max_transition : 1.511918;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272411, 0.05163852, 0.1173439, 0.2666537, 0.6059467, 1.37696");
+					values("0.4600423, 0.4666176, 0.4801532, 0.5033687, 0.5345713, 0.6031333, 0.8671575", \
+					  "-0.5102679, -0.5075515, -0.5017280, -0.4985735, -0.4673420, -0.4002803, -0.1339575", \
+					  "-0.2624371, -0.2623291, -0.2622090, -0.2613133, -0.2615512, -0.2698201, -0.0556611", \
+					  "0.0884598, 0.0885196, 0.0885318, 0.0894604, 0.0899193, 0.0837387, 0.0492133", \
+					  "0.1524638, 0.1528679, 0.1514961, 0.1525193, 0.1526613, 0.1459729, 0.1538942", \
+					  "0.2093716, 0.2093643, 0.2093890, 0.2102753, 0.2111542, 0.2069411, 0.2110941", \
+					  "0.2617388, 0.2598779, 0.2624720, 0.2605273, 0.2636222, 0.2551661, 0.2638138");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272411, 0.05163852, 0.1173439, 0.2666537, 0.6059467, 1.37696");
+					values("0.5835043, 0.5922036, 0.6082285, 0.6303624, 0.6568653, 0.6870819, 0.7597489", \
+					  "1.6146834, 1.6254397, 1.6448950, 1.6726247, 1.6986986, 1.7280933, 1.7989899", \
+					  "1.6733335, 1.6737886, 1.6748897, 1.6766789, 1.6782068, 1.6792232, 1.7867128", \
+					  "1.7930569, 1.7954868, 1.7946610, 1.7962029, 1.7994350, 1.7991944, 1.7963405", \
+					  "1.9136348, 1.9256851, 1.9150697, 1.9189539, 1.9147453, 1.9197738, 1.9228820", \
+					  "1.9174907, 1.9300644, 1.9189073, 1.9323471, 1.9339990, 1.9228423, 1.9362519", \
+					  "1.9177214, 1.9293560, 1.9197096, 1.9334595, 1.9348928, 1.9240013, 1.9371000");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.5777081, 0.5802414, 0.5867376, 0.6069616, 0.7294196, 0.8429437, 0.8301386", \
+					  "-0.2868186, -0.2843779, -0.2768526, -0.2555246, -0.1350452, -0.0225967, -0.0341042", \
+					  "-0.0684081, -0.0779107, -0.0667158, -0.0523459, 0.0636483, 0.1783575, 0.1683637", \
+					  "0.4107747, 0.3989532, 0.4113535, 0.4122104, 0.4125698, 0.3932553, 0.3500353", \
+					  "0.5992911, 0.5902494, 0.6037154, 0.6031768, 0.6009569, 0.5960397, 0.5530758", \
+					  "0.7525303, 0.7436686, 0.7581512, 0.7597381, 0.7591838, 0.7522129, 0.7501037", \
+					  "0.8925698, 0.8956373, 0.8973912, 0.9081347, 0.8986135, 0.9012507, 0.8943651");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.8717910, 0.8789344, 0.8915012, 0.9105998, 0.9381481, 0.9982743, 1.0716240", \
+					  "1.8718469, 1.8797164, 1.8911294, 1.9110410, 1.9380868, 1.9975941, 2.0717067", \
+					  "1.8715227, 1.8776567, 1.8894279, 1.9092410, 1.9366034, 1.9957623, 2.0707329", \
+					  "1.8543012, 1.8618056, 1.8755002, 1.8983372, 1.9274239, 1.9924596, 2.0646232", \
+					  "1.8030985, 1.8107881, 1.8255779, 1.8469623, 1.8813338, 1.9672367, 2.0586050", \
+					  "1.9303858, 1.9308864, 1.9355155, 1.9342486, 1.9359002, 1.9481433, 2.0182493", \
+					  "1.9956454, 1.9953635, 2.0078947, 1.9998829, 2.0013572, 2.0137162, 2.0129879");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.5201684, 0.5227288, 0.5294126, 0.5517839, 0.6725205, 0.7846312, 0.7717976", \
+					  "-0.5342149, -0.5291716, -0.5232976, -0.5025265, -0.3814971, -0.2675644, -0.2759159", \
+					  "-0.4527252, -0.4520370, -0.4510010, -0.4426579, -0.3473954, -0.2493862, -0.2659279", \
+					  "-0.1609117, -0.1625694, -0.1601064, -0.1594902, -0.1588833, -0.1568776, -0.2497260", \
+					  "-0.1474994, -0.1502827, -0.1468082, -0.1458711, -0.1460777, -0.1425212, -0.1529788", \
+					  "-0.1430047, -0.1423537, -0.1310428, -0.1303686, -0.1406746, -0.1283900, -0.1354982", \
+					  "-0.1288972, -0.1289421, -0.1178114, -0.1172808, -0.1268164, -0.1150544, -0.1221693");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.8081716, 0.8152889, 0.8281274, 0.8501538, 0.8814528, 0.9473740, 1.0270191", \
+					  "1.8255586, 1.8324055, 1.8452037, 1.8666496, 1.8973757, 1.9621095, 2.0430322", \
+					  "1.8195510, 1.8265480, 1.8517373, 1.8601724, 1.8903288, 1.9545648, 2.0482878", \
+					  "1.8160221, 1.8230899, 1.8477407, 1.8596665, 1.8899517, 1.9518868, 2.0443009", \
+					  "1.8087152, 1.8127553, 1.8426059, 1.8527981, 1.8838865, 1.9485719, 2.0425489", \
+					  "1.7765105, 1.7864265, 1.8115708, 1.8331140, 1.8683778, 1.9455314, 2.0389101", \
+					  "1.7444330, 1.7644249, 1.7815181, 1.8021067, 1.8362996, 1.9115274, 2.0165042");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("1.5229184, 1.5176080, 1.5248349, 1.5263561, 1.5243087, 1.5296684, 1.5216035", \
+					  "1.5210218, 1.5225757, 1.5247943, 1.5269606, 1.5288605, 1.5282904, 1.5308271", \
+					  "1.5212544, 1.5227307, 1.5255201, 1.5271116, 1.5289999, 1.5284630, 1.5310727", \
+					  "1.5210808, 1.5225664, 1.5245101, 1.5269372, 1.5288129, 1.5283102, 1.5311259", \
+					  "1.5234103, 1.5149118, 1.5245396, 1.5235783, 1.5235064, 1.5261203, 1.5306390", \
+					  "1.5207262, 1.5221876, 1.5248932, 1.5265604, 1.5284380, 1.5279307, 1.5305314", \
+					  "1.5161410, 1.5211773, 1.5242924, 1.5252153, 1.5236926, 1.5250111, 1.5208630");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.7117790, 0.7155362, 0.7260108, 0.7397506, 0.7552663, 0.7771419, 0.8348487", \
+					  "0.7102588, 0.7180365, 0.7263089, 0.7398311, 0.7550611, 0.7765085, 0.8355691", \
+					  "0.7108280, 0.7163125, 0.7255413, 0.7392718, 0.7547823, 0.7767515, 0.8343989", \
+					  "0.7112637, 0.7083488, 0.7255805, 0.7393274, 0.7548277, 0.7764783, 0.8347103", \
+					  "0.7106490, 0.7163823, 0.7250804, 0.7385879, 0.7542986, 0.7761973, 0.8347628", \
+					  "0.7107674, 0.7155896, 0.7250392, 0.7386893, 0.7543644, 0.7764685, 0.8348559", \
+					  "0.7114379, 0.7138791, 0.7255057, 0.7390628, 0.7545383, 0.7758843, 0.8354895");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("1.4724211, 1.4822833, 1.5053855, 1.5576882, 1.6714671, 1.9302635, 2.5145936", \
+					  "4.0700812, 4.0806070, 4.1029633, 4.1543124, 4.2691419, 4.5268038, 5.1117199", \
+					  "6.3025771, 6.3130958, 6.3359615, 6.3873347, 6.5021224, 6.7532038, 7.3447297", \
+					  "6.7979351, 6.8083974, 6.8301378, 6.8814865, 6.9963129, 7.2548745, 7.8389109", \
+					  "9.7708650, 9.7813935, 9.8017257, 9.8534070, 9.9686979, 10.2326640, 10.8110230", \
+					  "12.2413680, 12.2517930, 12.2927330, 12.3351260, 12.4522610, 12.7192940, 13.3017450", \
+					  "14.1224800, 14.1329050, 14.1733260, 14.2041220, 14.3119690, 14.5713600, 15.1744390", \
+					  "16.7743510, 16.7850180, 16.8102850, 16.8623270, 16.9771630, 17.2644620, 17.8305620", \
+					  "53.1221540, 53.1221562, 53.1332240, 53.2015040, 53.2860690, 53.4933560, 54.1445000", \
+					  "76.1282240, 76.1370980, 76.1371041, 76.1371117, 76.2268400, 76.4909490, 77.0645700", \
+					  "174.6035000, 174.6035080, 174.6273600, 174.6273728, 174.6273881, 175.0385800, 175.6157800", \
+					  "527.4423000, 527.5072100, 527.5072327, 527.5072938, 527.5832700, 527.7309500, 528.4065300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("0.0720510, 0.0846988, 0.1144293, 0.1821071, 0.3376578, 0.6937501, 1.4999320", \
+					  "0.0721768, 0.0849102, 0.1143857, 0.1825325, 0.3380939, 0.6931203, 1.4981850", \
+					  "0.0721796, 0.0849127, 0.1144181, 0.1825170, 0.3379583, 0.6933924, 1.4997230", \
+					  "0.0719991, 0.0847694, 0.1143976, 0.1825093, 0.3380823, 0.6933381, 1.4996666", \
+					  "0.0720533, 0.0849195, 0.1143914, 0.1825203, 0.3381058, 0.6933946, 1.4996582", \
+					  "0.0721838, 0.0848530, 0.1143353, 0.1825517, 0.3387135, 0.6950240, 1.4992965", \
+					  "0.0721307, 0.0848684, 0.1143632, 0.1825243, 0.3380881, 0.6928937, 1.4997648", \
+					  "0.0720325, 0.0848069, 0.1142104, 0.1823994, 0.3387098, 0.6941620, 1.4988427", \
+					  "0.0720633, 0.0849198, 0.1143607, 0.1824204, 0.3381149, 0.6942989, 1.4994538", \
+					  "0.0720016, 0.0847716, 0.1144228, 0.1825149, 0.3381001, 0.6937846, 1.4997227", \
+					  "0.0720098, 0.0848360, 0.1144188, 0.1825385, 0.3380769, 0.6933009, 1.4996050", \
+					  "0.0720591, 0.0848336, 0.1144064, 0.1825020, 0.3379984, 0.6936190, 1.4996729");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("1.5074074, 1.5184800, 1.5429854, 1.5903346, 1.6780320, 1.8380170, 2.1582518", \
+					  "4.2809214, 4.2914245, 4.3153232, 4.3627795, 4.4504529, 4.6100461, 4.9305235", \
+					  "6.7055739, 6.7169910, 6.7405881, 6.7882775, 6.8758195, 7.0353376, 7.3556192", \
+					  "7.2370803, 7.2388722, 7.2714577, 7.3191693, 7.4065851, 7.5663591, 7.8863005", \
+					  "10.3892380, 10.4065330, 10.4240660, 10.4813700, 10.5649420, 10.7196360, 11.0504870", \
+					  "12.9610310, 12.9670030, 12.9936280, 13.0339840, 13.1221530, 13.2898490, 13.6037350", \
+					  "14.8791010, 14.8791013, 14.9081640, 14.9582070, 15.0426300, 15.2100090, 15.5199210", \
+					  "17.5308550, 17.5595110, 17.5824210, 17.6279440, 17.7164910, 17.8624440, 18.1982680", \
+					  "51.8617500, 51.9048730, 51.9101140, 51.9135700, 52.0070810, 52.2200060, 52.5175710", \
+					  "72.5024450, 72.5024453, 72.5123780, 72.5154620, 72.6098010, 72.8081990, 73.1185640", \
+					  "154.8490600, 154.8911000, 154.9429200, 154.9429245, 155.0453100, 155.1828200, 155.4958700", \
+					  "417.9161400, 418.0072900, 418.0214700, 418.0447200, 418.0819800, 418.2140000, 418.5702400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("0.0767125, 0.0863396, 0.1078824, 0.1493191, 0.2275746, 0.3893434, 0.7726854", \
+					  "0.0768481, 0.0866015, 0.1080184, 0.1502849, 0.2281214, 0.3920133, 0.7722247", \
+					  "0.0767260, 0.0865584, 0.1080130, 0.1504295, 0.2297147, 0.3931511, 0.7734682", \
+					  "0.0763942, 0.0865535, 0.1078856, 0.1504440, 0.2296770, 0.3923957, 0.7710110", \
+					  "0.0771428, 0.0869887, 0.1080726, 0.1503444, 0.2299854, 0.3928038, 0.7704145", \
+					  "0.0771686, 0.0863999, 0.1081471, 0.1503628, 0.2300161, 0.3926033, 0.7710307", \
+					  "0.0762696, 0.0863950, 0.1080906, 0.1504307, 0.2300206, 0.3925141, 0.7711332", \
+					  "0.0767243, 0.0863870, 0.1080980, 0.1496263, 0.2300256, 0.3907604, 0.7710939", \
+					  "0.0763434, 0.0866876, 0.1080356, 0.1500266, 0.2299239, 0.3932228, 0.7712440", \
+					  "0.0764896, 0.0867255, 0.1080385, 0.1499956, 0.2300787, 0.3928413, 0.7721221", \
+					  "0.0764856, 0.0867982, 0.1078852, 0.1500742, 0.2301033, 0.3928509, 0.7712245", \
+					  "0.0770834, 0.0866176, 0.1081874, 0.1507802, 0.2301052, 0.3930318, 0.7728206");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("3.9822252, 3.9906234, 4.0148285, 4.0658614, 4.1788852, 4.4378963, 5.0227746", \
+					  "6.5268129, 6.5373333, 6.5609029, 6.6115087, 6.7256445, 6.9833921, 7.5671265", \
+					  "8.6449505, 8.6554310, 8.6791712, 8.7296845, 8.8463095, 9.1012922, 9.6851656", \
+					  "9.1286108, 9.1390691, 9.1633403, 9.2133474, 9.3275618, 9.5851727, 10.1687790", \
+					  "11.9925220, 12.0005290, 12.0247490, 12.0748090, 12.1889000, 12.4466130, 13.0289570", \
+					  "14.3565830, 14.3737400, 14.4004200, 14.4471750, 14.5643670, 14.8195300, 15.3977330", \
+					  "16.1675010, 16.1750770, 16.2032730, 16.2444440, 16.3645010, 16.6204760, 17.2077800", \
+					  "18.7148090, 18.7237350, 18.7507080, 18.7942020, 18.9127860, 19.1700490, 19.7551180", \
+					  "53.6355210, 53.6675980, 53.7356900, 53.7382090, 54.0092520, 54.1133750, 54.6756630", \
+					  "76.1852450, 76.3220950, 76.4425180, 76.4425240, 76.5998460, 76.8495170, 77.2308440", \
+					  "171.4097900, 171.7918700, 171.8600900, 171.8684900, 171.8684922, 172.2380300, 172.8217300", \
+					  "509.6009100, 509.6009369, 509.9121300, 509.9121552, 509.9121857, 510.0117200, 510.0893100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0716010, 0.0843627, 0.1135449, 0.1813511, 0.3369544, 0.6935582, 1.4980421", \
+					  "0.0716946, 0.0846269, 0.1139638, 0.1818492, 0.3387038, 0.6916502, 1.4991028", \
+					  "0.0715920, 0.0847053, 0.1139596, 0.1820743, 0.3376741, 0.6926714, 1.4987825", \
+					  "0.0716622, 0.0845972, 0.1140945, 0.1821493, 0.3383810, 0.6917558, 1.4963412", \
+					  "0.0717981, 0.0845968, 0.1139700, 0.1821493, 0.3384173, 0.6917557, 1.4974808", \
+					  "0.0716760, 0.0845085, 0.1139682, 0.1819614, 0.3385810, 0.6933337, 1.4965951", \
+					  "0.0716932, 0.0845558, 0.1140144, 0.1821512, 0.3382219, 0.6918605, 1.4994259", \
+					  "0.0715759, 0.0847157, 0.1138716, 0.1821492, 0.3384401, 0.6916729, 1.4996261", \
+					  "0.0716063, 0.0845992, 0.1139798, 0.1821491, 0.3384254, 0.6917607, 1.4997223", \
+					  "0.0716766, 0.0846065, 0.1140176, 0.1819333, 0.3384283, 0.6917744, 1.4998599", \
+					  "0.0717334, 0.0846028, 0.1139444, 0.1818717, 0.3386354, 0.6928398, 1.4997772", \
+					  "0.0717876, 0.0845138, 0.1140672, 0.1821507, 0.3381493, 0.6928370, 1.4973539");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("4.4401354, 4.4504200, 4.4742667, 4.5178421, 4.6005466, 4.7572093, 5.0730438", \
+					  "7.1790307, 7.1898434, 7.2199309, 7.2572353, 7.3406370, 7.5044966, 7.8133828", \
+					  "10.3610910, 10.3712830, 10.3997810, 10.4383520, 10.5213830, 10.6823950, 10.9942330", \
+					  "11.0863510, 11.0952120, 11.1207420, 11.1635240, 11.2455140, 11.4042210, 11.7184270", \
+					  "15.2883230, 15.2980420, 15.3184540, 15.3662430, 15.4264740, 15.5857210, 15.9209770", \
+					  "18.6534040, 18.6534052, 18.6682920, 18.7311380, 18.8144220, 18.9506630, 19.2832220", \
+					  "21.1621710, 21.1692830, 21.1884090, 21.2415120, 21.3227460, 21.4785200, 21.7936760", \
+					  "24.6625580, 24.6625586, 24.6920850, 24.7196360, 24.8022070, 24.9752610, 25.2780530", \
+					  "69.2515980, 69.2515984, 69.2516060, 69.3243010, 69.3636440, 69.5495360, 69.8786880", \
+					  "96.0286850, 96.0325080, 96.0434010, 96.1057150, 96.1530520, 96.3328470, 96.6568830", \
+					  "204.3167800, 204.3167801, 204.3384800, 204.3888800, 204.4049100, 204.6035200, 204.9453100", \
+					  "561.2923800, 561.2923890, 561.2924500, 561.3439400, 561.3439637, 561.4796800, 561.8335300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0733560, 0.0819609, 0.1020404, 0.1415758, 0.2183885, 0.3813297, 0.7669680", \
+					  "0.0741930, 0.0826334, 0.1026186, 0.1425420, 0.2209061, 0.3842288, 0.7667533", \
+					  "0.0735784, 0.0828622, 0.1026069, 0.1425638, 0.2208855, 0.3842286, 0.7679927", \
+					  "0.0731860, 0.0821665, 0.1026120, 0.1425039, 0.2208305, 0.3842255, 0.7653164", \
+					  "0.0734807, 0.0822029, 0.1020489, 0.1425576, 0.2206997, 0.3846197, 0.7680009", \
+					  "0.0734967, 0.0826454, 0.1026289, 0.1427377, 0.2208149, 0.3842413, 0.7680753", \
+					  "0.0732685, 0.0822548, 0.1020953, 0.1423774, 0.2208729, 0.3842881, 0.7667489", \
+					  "0.0732533, 0.0825695, 0.1026164, 0.1423571, 0.2208782, 0.3842127, 0.7680296", \
+					  "0.0740400, 0.0822855, 0.1021866, 0.1425512, 0.2206503, 0.3842105, 0.7654267", \
+					  "0.0735559, 0.0821518, 0.1020265, 0.1425693, 0.2208441, 0.3843220, 0.7667333", \
+					  "0.0741687, 0.0828273, 0.1025979, 0.1425651, 0.2208795, 0.3842958, 0.7655653", \
+					  "0.0734943, 0.0822585, 0.1026307, 0.1426401, 0.2209634, 0.3844933, 0.7659826");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("3.9608041, 3.9711976, 3.9919961, 4.0459436, 4.1588755, 4.4172834, 5.0002846", \
+					  "6.2193637, 6.2297363, 6.2551107, 6.3039187, 6.4183610, 6.6787478, 7.2594659", \
+					  "7.8944620, 7.9070919, 7.9297298, 7.9805247, 8.0951681, 8.3516323, 8.9369356", \
+					  "8.2579890, 8.2684668, 8.2945757, 8.3463485, 8.4565448, 8.7174685, 9.2983141", \
+					  "10.3615890, 10.3742710, 10.3963180, 10.4495300, 10.5624810, 10.8182210, 11.4052140", \
+					  "12.0372610, 12.0483700, 12.0730020, 12.1222160, 12.2378040, 12.4954270, 13.0779340", \
+					  "13.2856500, 13.2967330, 13.3220560, 13.3808690, 13.4911950, 13.7458380, 14.3279640", \
+					  "15.0274900, 15.0397290, 15.0620420, 15.1122050, 15.2254580, 15.4856450, 16.0670930", \
+					  "37.6096170, 37.6283750, 37.6393810, 37.6497200, 37.7918710, 38.0631170, 38.6377290", \
+					  "51.4661810, 51.4705900, 51.5034840, 51.5707120, 51.6655550, 51.9219830, 52.5057720", \
+					  "108.8184200, 108.8772200, 108.9036300, 108.9036370, 109.0512400, 109.3303500, 109.8936800", \
+					  "307.2412900, 307.7782400, 308.0286000, 308.0286103, 308.1797200, 308.1797333, 308.2783500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0716537, 0.0842998, 0.1135815, 0.1813914, 0.3366963, 0.6920242, 1.4998608", \
+					  "0.0716967, 0.0845389, 0.1140768, 0.1819532, 0.3386142, 0.6916036, 1.4972419", \
+					  "0.0716569, 0.0847021, 0.1141273, 0.1821487, 0.3384586, 0.6935299, 1.4986931", \
+					  "0.0715848, 0.0847075, 0.1140455, 0.1821554, 0.3384571, 0.6924288, 1.4963479", \
+					  "0.0716546, 0.0846170, 0.1140286, 0.1821491, 0.3384341, 0.6917859, 1.4997621", \
+					  "0.0717504, 0.0844777, 0.1140687, 0.1815004, 0.3378810, 0.6934190, 1.4976184", \
+					  "0.0716495, 0.0845287, 0.1140851, 0.1820285, 0.3384513, 0.6917681, 1.4993145", \
+					  "0.0716713, 0.0844514, 0.1141595, 0.1821526, 0.3384505, 0.6928781, 1.4989933", \
+					  "0.0717996, 0.0845369, 0.1140261, 0.1821148, 0.3386710, 0.6917745, 1.4983199", \
+					  "0.0717200, 0.0844290, 0.1139917, 0.1821520, 0.3386443, 0.6917809, 1.4994380", \
+					  "0.0717783, 0.0845555, 0.1140327, 0.1821463, 0.3383675, 0.6920860, 1.5007882", \
+					  "0.0717517, 0.0845553, 0.1140037, 0.1820537, 0.3386535, 0.6929639, 1.4975023");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("6.6775083, 6.6895418, 6.7123027, 6.7556578, 6.8417387, 6.9966748, 7.3143527", \
+					  "9.0140544, 9.0248138, 9.0488523, 9.0914849, 9.1757517, 9.3311252, 9.6480459", \
+					  "12.1545300, 12.1652140, 12.1885720, 12.2304590, 12.3153610, 12.4712120, 12.7862420", \
+					  "12.9076870, 12.9191580, 12.9451330, 12.9868440, 13.0689720, 13.2246920, 13.5472190", \
+					  "17.5348960, 17.5425510, 17.5688900, 17.5889920, 17.6960670, 17.8517360, 18.1685740", \
+					  "21.2432240, 21.2573820, 21.2780210, 21.3209340, 21.4038690, 21.5607990, 21.8783550", \
+					  "23.9810660, 23.9993660, 24.0304770, 24.0731680, 24.1624850, 24.3075270, 24.6256580", \
+					  "27.8067280, 27.8067294, 27.8377550, 27.8830270, 27.9682160, 28.1205190, 28.4085270", \
+					  "75.6401800, 75.6401864, 75.6862590, 75.7032050, 75.7994130, 75.9522930, 76.2910590", \
+					  "104.1323400, 104.1323433, 104.1589900, 104.1954500, 104.2790600, 104.4030600, 104.7509500", \
+					  "218.8078800, 218.8078843, 218.8312300, 218.8846700, 218.9777000, 219.1111600, 219.4112300", \
+					  "596.2518200, 596.2518616, 596.3384000, 596.3384095, 596.3779000, 596.5330300, 596.8297500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0731638, 0.0821829, 0.1018815, 0.1414529, 0.2184761, 0.3812217, 0.7645622", \
+					  "0.0734921, 0.0825775, 0.1023888, 0.1424581, 0.2207127, 0.3847402, 0.7667681", \
+					  "0.0732658, 0.0828458, 0.1021157, 0.1427662, 0.2208805, 0.3842698, 0.7678423", \
+					  "0.0734813, 0.0826333, 0.1021838, 0.1427428, 0.2208813, 0.3838497, 0.7668161", \
+					  "0.0735880, 0.0824653, 0.1021774, 0.1424577, 0.2208252, 0.3836056, 0.7680275", \
+					  "0.0734995, 0.0828794, 0.1026551, 0.1426541, 0.2209039, 0.3838701, 0.7659736", \
+					  "0.0743942, 0.0827188, 0.1026197, 0.1426519, 0.2205191, 0.3845288, 0.7678577", \
+					  "0.0732627, 0.0828297, 0.1021887, 0.1426379, 0.2207779, 0.3843424, 0.7679779", \
+					  "0.0733961, 0.0822375, 0.1020299, 0.1424002, 0.2208915, 0.3841408, 0.7655532", \
+					  "0.0732552, 0.0828610, 0.1020594, 0.1429393, 0.2207346, 0.3838699, 0.7672745", \
+					  "0.0733883, 0.0821818, 0.1020688, 0.1427455, 0.2208936, 0.3845642, 0.7671411", \
+					  "0.0732588, 0.0835152, 0.1020991, 0.1426019, 0.2206877, 0.3843287, 0.7682294");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("20.3995170, 20.4099710, 20.4325160, 20.4842190, 20.5986110, 20.8567810, 21.4422120", \
+					  "20.5605970, 20.5707020, 20.5944030, 20.6450230, 20.7589750, 21.0177320, 21.6046950", \
+					  "20.7115040, 20.7219360, 20.7461920, 20.7962500, 20.9102030, 21.1689390, 21.7571310", \
+					  "20.8390000, 20.8494660, 20.8728130, 20.9237780, 21.0377280, 21.2964670, 21.8844270", \
+					  "20.9484000, 20.9588310, 20.9809080, 21.0331010, 21.1474940, 21.4056520, 21.9921450", \
+					  "21.0591310, 21.0693440, 21.0925160, 21.1436590, 21.2576110, 21.5163440, 22.1032470", \
+					  "21.1539910, 21.1662810, 21.1882900, 21.2400250, 21.3549410, 21.6133460, 22.1972380");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("0.0717638, 0.0844803, 0.1139196, 0.1817178, 0.3390069, 0.6946740, 1.5081032", \
+					  "0.0715701, 0.0847164, 0.1139212, 0.1820774, 0.3387182, 0.6939421, 1.5119153", \
+					  "0.0715513, 0.0847148, 0.1139423, 0.1820734, 0.3387227, 0.6939379, 1.5119175", \
+					  "0.0715501, 0.0847148, 0.1139206, 0.1820734, 0.3387224, 0.6939420, 1.5119176", \
+					  "0.0717638, 0.0844803, 0.1139192, 0.1817176, 0.3390069, 0.6946746, 1.5119183", \
+					  "0.0715521, 0.0847148, 0.1139244, 0.1820736, 0.3387225, 0.6939420, 1.5119176", \
+					  "0.0717802, 0.0847105, 0.1139428, 0.1820916, 0.3390159, 0.6955024, 1.5078321");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("14.2274050, 14.2362850, 14.2576810, 14.3023980, 14.3853840, 14.5408060, 14.8581010", \
+					  "14.3316110, 14.3422980, 14.3640210, 14.4084420, 14.4915140, 14.6447810, 14.9643370", \
+					  "14.3862400, 14.3969270, 14.4192150, 14.4639180, 14.5469180, 14.7017290, 15.0196260", \
+					  "14.4301810, 14.4408120, 14.4669580, 14.5116690, 14.5946690, 14.7440460, 15.0673450", \
+					  "14.4573950, 14.4682050, 14.4892330, 14.5333790, 14.6168620, 14.7725850, 15.0897980", \
+					  "14.4916930, 14.5018160, 14.5219620, 14.5665740, 14.6496550, 14.8071000, 15.1224280", \
+					  "14.5216100, 14.5316550, 14.5536540, 14.5985960, 14.6816440, 14.8365350, 15.1545660");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("0.0728063, 0.0818073, 0.1016274, 0.1421089, 0.2201111, 0.3830675, 0.7687186", \
+					  "0.0728098, 0.0823709, 0.1019421, 0.1420235, 0.2202482, 0.3842718, 0.7674831", \
+					  "0.0728076, 0.0823704, 0.1016273, 0.1421088, 0.2201110, 0.3832081, 0.7687164", \
+					  "0.0731058, 0.0830513, 0.1016129, 0.1421024, 0.2201131, 0.3831667, 0.7686074", \
+					  "0.0731637, 0.0818347, 0.1016558, 0.1422079, 0.2201480, 0.3831037, 0.7695669", \
+					  "0.0728934, 0.0823302, 0.1016374, 0.1422430, 0.2201902, 0.3831569, 0.7688504", \
+					  "0.0728238, 0.0823169, 0.1022023, 0.1417907, 0.2202262, 0.3839241, 0.7678754");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.276030;
+			max_transition : 3.770334;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245435, 0.0504198, 0.1132144, 0.2542156, 0.5708247, 1.28175");
+					values("0.8739570, 0.8754216, 0.8770912, 0.8804171, 0.8832843, 0.8851862, 0.8820266", \
+					  "-0.1274360, -0.1258513, -0.1229737, -0.1209847, -0.1181774, -0.1190377, -0.1216836", \
+					  "-0.0480369, -0.0463072, -0.0547536, -0.0429659, -0.0424701, -0.0378459, -0.0373978", \
+					  "0.0854729, 0.0854022, 0.0705969, 0.0669156, 0.0311071, 0.0239903, 0.0243187", \
+					  "0.1403750, 0.1521502, 0.1421372, 0.1549412, 0.1568930, 0.1071153, 0.0753325", \
+					  "0.1994011, 0.2082862, 0.2022063, 0.2105776, 0.2079678, 0.2183114, 0.1291217", \
+					  "0.2502449, 0.2622474, 0.2472599, 0.2626254, 0.2543554, 0.2659341, 0.2000325");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245435, 0.0504198, 0.1132144, 0.2542156, 0.5708247, 1.28175");
+					values("0.9028703, 0.9066720, 0.9125672, 0.9243961, 0.9374417, 0.9494516, 0.9538773", \
+					  "1.9403799, 1.9438051, 1.9509149, 1.9698637, 1.9764570, 1.9875603, 1.9919819", \
+					  "1.9449848, 1.9481229, 1.9550691, 1.9772816, 1.9804757, 1.9915966, 1.9962844", \
+					  "1.9072808, 1.9125086, 1.9230427, 1.9542850, 1.9715124, 1.9881672, 1.9935919", \
+					  "1.9127393, 1.9140937, 1.9166001, 1.9321664, 1.9188188, 1.9533234, 1.9894854", \
+					  "1.9190834, 1.9179448, 1.9217854, 1.9349912, 1.9246063, 1.9391372, 1.9424757", \
+					  "1.9195453, 1.9187341, 1.9198497, 1.9378932, 1.9264193, 1.9400399, 1.9324477");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("0.7868063, 0.7864086, 0.7883107, 0.7905937, 0.7935663, 0.7974744, 0.7817686", \
+					  "-0.2655340, -0.2676639, -0.2644642, -0.2608873, -0.2580660, -0.2573300, -0.2532587", \
+					  "-0.2507348, -0.2597718, -0.2473237, -0.2462751, -0.2447882, -0.2383231, -0.2471047", \
+					  "-0.1602952, -0.1699193, -0.1588871, -0.1586349, -0.1857821, -0.2255028, -0.2459227", \
+					  "-0.1467086, -0.1574556, -0.1443852, -0.1427506, -0.1413123, -0.1456629, -0.2235644", \
+					  "-0.1313154, -0.1426754, -0.1303573, -0.1287655, -0.1277450, -0.1342251, -0.2011232", \
+					  "-0.1183528, -0.1296981, -0.1170375, -0.1171455, -0.1231030, -0.1201598, -0.1312225");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("0.9862546, 0.9896876, 0.9973031, 1.0109438, 1.0280387, 1.0431292, 1.0507948", \
+					  "2.0020227, 2.0058848, 2.0130308, 2.0263383, 2.0444805, 2.0590368, 2.0666810", \
+					  "1.9936706, 1.9990632, 2.0083882, 2.0195408, 2.0376632, 2.0524476, 2.0626014", \
+					  "1.9915634, 1.9954819, 2.0148295, 2.0159972, 2.0340947, 2.0487277, 2.0592048", \
+					  "1.9873495, 1.9919741, 2.0102741, 2.0117978, 2.0308384, 2.0460211, 2.0645254", \
+					  "1.9805574, 1.9851516, 2.0052560, 2.0098324, 2.0266980, 2.0438074, 2.0633536", \
+					  "1.9483276, 1.9533450, 1.9767870, 1.9915567, 2.0047026, 2.0403451, 2.0609981");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("0.8426419, 0.8429593, 0.8462816, 0.8493582, 0.8508370, 0.8556463, 0.8382223", \
+					  "-0.0207125, -0.0192789, -0.0184782, -0.0145515, -0.0121060, -0.0112634, -0.0274019", \
+					  "0.1780079, 0.1794179, 0.1816454, 0.1819679, 0.1859265, 0.1829911, 0.1820614", \
+					  "0.4175408, 0.4161074, 0.4100604, 0.3852798, 0.3707787, 0.3614907, 0.3640395", \
+					  "0.6031342, 0.6058582, 0.6049892, 0.5958280, 0.6061484, 0.5472888, 0.5148951", \
+					  "0.7562173, 0.7593677, 0.7599554, 0.7526653, 0.7631872, 0.7589441, 0.6807660", \
+					  "0.9054270, 0.9097547, 0.9061621, 0.9001356, 0.9062549, 0.9124821, 0.8521636");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("1.0335620, 1.0366841, 1.0438641, 1.0565755, 1.0733236, 1.0861437, 1.0922605", \
+					  "2.0341276, 2.0379987, 2.0440188, 2.0566597, 2.0732968, 2.0859462, 2.0921403", \
+					  "2.0275942, 2.0366582, 2.0437124, 2.0559544, 2.0721246, 2.0852820, 2.0913616", \
+					  "2.0372699, 2.0290265, 2.0480674, 2.0496465, 2.0653290, 2.0800204, 2.0858669", \
+					  "2.0152402, 2.0084119, 2.0294328, 2.0365233, 2.0594939, 2.0759635, 2.0815146", \
+					  "1.9743914, 1.9684829, 1.9879476, 1.9943723, 2.0205868, 2.0650335, 2.0785951", \
+					  "2.0072266, 1.9920105, 2.0088755, 1.9987232, 2.0005525, 2.0304563, 2.0584929");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("1.2306331, 1.2491454, 1.3751220, 1.5250369, 1.5306643, 1.5338340, 1.5410167", \
+					  "1.2297148, 1.2488642, 1.3742015, 1.5243978, 1.5305248, 1.5327999, 1.5419923", \
+					  "1.2299779, 1.2486508, 1.3746427, 1.5246708, 1.5307137, 1.5328673, 1.5318562", \
+					  "1.2291603, 1.2491295, 1.3745660, 1.5244844, 1.5305267, 1.5327015, 1.5309955", \
+					  "1.2298208, 1.2487097, 1.3745806, 1.5242711, 1.5298931, 1.5338315, 1.5406276", \
+					  "1.2289018, 1.2482360, 1.3741366, 1.5241789, 1.5299207, 1.5323241, 1.5316094", \
+					  "1.2282103, 1.2480852, 1.3734724, 1.5233923, 1.5282441, 1.5299086, 1.5406555");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("1.0375981, 1.0405100, 1.0464194, 1.0572262, 1.0753009, 1.0947741, 1.1084820", \
+					  "1.0368651, 1.0405572, 1.0463107, 1.0571517, 1.0751114, 1.0949849, 1.1082756", \
+					  "1.0364921, 1.0399823, 1.0458392, 1.0567672, 1.0749703, 1.0944171, 1.1079655", \
+					  "1.0362080, 1.0401586, 1.0458765, 1.0565569, 1.0741053, 1.0938494, 1.1077165", \
+					  "1.0364695, 1.0397328, 1.0452866, 1.0562143, 1.0741830, 1.0938196, 1.1075032", \
+					  "1.0368699, 1.0396001, 1.0458147, 1.0562147, 1.0744435, 1.0940214, 1.1074529", \
+					  "1.0364823, 1.0391828, 1.0457057, 1.0556621, 1.0749762, 1.0942582, 1.1080610");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.3455204, 4.3821879, 4.4584589, 4.6144648, 4.9246154, 5.5663478, 6.9738828", \
+					  "6.9436235, 6.9800370, 7.0565114, 7.2119688, 7.5218294, 8.1644922, 9.5721013", \
+					  "9.1741015, 9.2098107, 9.2870271, 9.4409227, 9.7517221, 10.3972760, 11.7994080", \
+					  "9.6687272, 9.7070076, 9.7830144, 9.9388622, 10.2477990, 10.8926210, 12.3031930", \
+					  "12.6414560, 12.6856370, 12.7554620, 12.9112440, 13.2213560, 13.8670790, 15.2778220", \
+					  "15.1335410, 15.1716700, 15.2363370, 15.3871670, 15.7031210, 16.3372180, 17.7614350", \
+					  "16.9888550, 17.0400370, 17.0839380, 17.2604540, 17.5561520, 18.2168730, 19.6269210", \
+					  "19.6748720, 19.7167760, 19.7635920, 19.8996430, 20.2173570, 20.8670610, 22.3044000", \
+					  "55.9360060, 56.0361460, 56.1099750, 56.1099759, 56.6096570, 57.1769620, 58.5446680", \
+					  "79.0563280, 79.0563317, 79.1418060, 79.1870180, 79.3944680, 80.2214900, 81.5643230", \
+					  "177.1757900, 177.4786300, 177.5467500, 177.7143100, 178.0118300, 178.5834800, 179.9868400", \
+					  "530.2073900, 530.2074280, 530.2075600, 530.5104200, 530.6628700, 531.5700400, 533.3407100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.4226250, 0.4542748, 0.5283534, 0.6824669, 1.0369605, 1.8539656, 3.7174361", \
+					  "0.4240285, 0.4566139, 0.5280942, 0.6833157, 1.0382980, 1.8461411, 3.7383132", \
+					  "0.4226232, 0.4569090, 0.5267493, 0.6854374, 1.0399330, 1.8531873, 3.7052834", \
+					  "0.4234452, 0.4564497, 0.5271508, 0.6833647, 1.0355630, 1.8577735, 3.6980707", \
+					  "0.4234746, 0.4564894, 0.5283324, 0.6843878, 1.0378826, 1.8572361, 3.6912611", \
+					  "0.4237343, 0.4563561, 0.5279103, 0.6823739, 1.0383262, 1.8573412, 3.7608201", \
+					  "0.4228422, 0.4547747, 0.5277627, 0.6824166, 1.0382893, 1.8464666, 3.7037011", \
+					  "0.4220142, 0.4563434, 0.5281932, 0.6842372, 1.0354331, 1.8475154, 3.7603018", \
+					  "0.4236876, 0.4561867, 0.5283749, 0.6843920, 1.0378759, 1.8564036, 3.7224703", \
+					  "0.4206140, 0.4565025, 0.5283803, 0.6823660, 1.0374724, 1.8517041, 3.7594474", \
+					  "0.4231937, 0.4564990, 0.5278469, 0.6847584, 1.0312396, 1.8543113, 3.7034211", \
+					  "0.4234127, 0.4564574, 0.5283320, 0.6823644, 1.0312460, 1.8542961, 3.7042975");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.8779616, 4.9009965, 4.9544839, 5.0575117, 5.2557554, 5.6149392, 6.2565819", \
+					  "7.6506650, 7.6756086, 7.7298035, 7.8310887, 8.0312308, 8.3865412, 9.0318535", \
+					  "10.0760820, 10.1015740, 10.1552020, 10.2584440, 10.4566050, 10.8134190, 11.4646990", \
+					  "10.6061930, 10.6322480, 10.6849640, 10.7895660, 10.9884390, 11.3510370, 11.9962100", \
+					  "13.7597180, 13.7826340, 13.8374110, 13.9390980, 14.1402690, 14.5042110, 15.1485860", \
+					  "16.3059400, 16.3466180, 16.4073040, 16.4982780, 16.7101710, 17.0645680, 17.6961610", \
+					  "18.2328840, 18.2711820, 18.3096900, 18.4262670, 18.6192950, 18.9703290, 19.6211600", \
+					  "20.9021140, 20.9373710, 20.9895870, 21.0956670, 21.2827480, 21.6503260, 22.3030780", \
+					  "55.2219180, 55.2959420, 55.3308230, 55.4376420, 55.6334610, 55.9922420, 56.6359650", \
+					  "75.8584590, 75.8925510, 75.9293360, 76.0471290, 76.2242110, 76.6121960, 77.2292030", \
+					  "158.2213100, 158.2513100, 158.3700900, 158.3700943, 158.6703000, 158.9815100, 159.6037300", \
+					  "421.3449400, 421.3449555, 421.5029900, 421.6379400, 421.8287300, 422.0903000, 422.6802700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.3225104, 0.3451588, 0.3834722, 0.4764232, 0.6501704, 0.9739288, 1.6586191", \
+					  "0.3225799, 0.3414251, 0.3832881, 0.4749925, 0.6504798, 0.9765824, 1.6605419", \
+					  "0.3224923, 0.3421426, 0.3834725, 0.4720379, 0.6502298, 0.9759019, 1.6504810", \
+					  "0.3235744, 0.3436857, 0.3827375, 0.4737661, 0.6488945, 0.9747187, 1.6569957", \
+					  "0.3247729, 0.3436611, 0.3845833, 0.4746711, 0.6499150, 0.9716361, 1.6603536", \
+					  "0.3248323, 0.3438662, 0.3848542, 0.4747840, 0.6503924, 0.9729411, 1.6577059", \
+					  "0.3247961, 0.3436065, 0.3846927, 0.4747073, 0.6507776, 0.9766811, 1.6599004", \
+					  "0.3247490, 0.3424375, 0.3861204, 0.4745219, 0.6502151, 0.9753614, 1.6602486", \
+					  "0.3229579, 0.3423830, 0.3854421, 0.4748533, 0.6507895, 0.9751464, 1.6605626", \
+					  "0.3232578, 0.3415424, 0.3860531, 0.4748132, 0.6508538, 0.9754790, 1.6587427", \
+					  "0.3233163, 0.3415410, 0.3853676, 0.4739666, 0.6509480, 0.9730156, 1.6568049", \
+					  "0.3229370, 0.3430084, 0.3844350, 0.4747859, 0.6510170, 0.9756496, 1.6605662");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("4.9237405, 4.9603770, 5.0366045, 5.1913513, 5.5022649, 6.1502248, 7.5653226", \
+					  "7.1824648, 7.2180084, 7.2949344, 7.4489139, 7.7612512, 8.4081941, 9.8258566", \
+					  "8.8577634, 8.8950829, 8.9714582, 9.1251877, 9.4343644, 10.0832220, 11.4991630", \
+					  "9.2208889, 9.2567234, 9.3333936, 9.4872696, 9.8001687, 10.4455790, 11.8637070", \
+					  "11.3271040, 11.3627960, 11.4393930, 11.5930760, 11.9059990, 12.5536000, 13.9699820", \
+					  "13.0034780, 13.0386350, 13.1156290, 13.2678080, 13.5796400, 14.2281140, 15.6460940", \
+					  "14.2551420, 14.2929030, 14.3666870, 14.5167570, 14.8284900, 15.4770130, 16.8895120", \
+					  "15.9899740, 16.0259930, 16.1024880, 16.2567280, 16.5671170, 17.2164470, 18.6339050", \
+					  "38.5390950, 38.5899280, 38.6692780, 38.8269190, 39.1422970, 39.7910900, 41.2120540", \
+					  "52.4414500, 52.4659110, 52.5429370, 52.6900370, 53.0014540, 53.6805120, 55.0738370", \
+					  "109.8352400, 109.8607800, 109.9257600, 110.1056600, 110.3986400, 111.0527600, 112.4760900", \
+					  "308.6154000, 308.6154023, 308.6154328, 308.7789700, 308.7789765, 309.4062600, 311.2304500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4166648, 0.4496246, 0.5221668, 0.6805257, 1.0368653, 1.8638699, 3.7302734", \
+					  "0.4179710, 0.4506926, 0.5188206, 0.6805839, 1.0359590, 1.8559947, 3.7327563", \
+					  "0.4147053, 0.4500393, 0.5187049, 0.6786123, 1.0388713, 1.8615692, 3.7272301", \
+					  "0.4177607, 0.4511666, 0.5205397, 0.6805484, 1.0295306, 1.8569148, 3.7342160", \
+					  "0.4179148, 0.4509362, 0.5189986, 0.6808162, 1.0341621, 1.8590584, 3.7509187", \
+					  "0.4183285, 0.4509475, 0.5198734, 0.6800532, 1.0344999, 1.8654744, 3.7578585", \
+					  "0.4169194, 0.4503991, 0.5200816, 0.6795081, 1.0346263, 1.8607229, 3.7392947", \
+					  "0.4181560, 0.4509514, 0.5190883, 0.6808104, 1.0352637, 1.8454015, 3.7473780", \
+					  "0.4176974, 0.4506916, 0.5188392, 0.6806971, 1.0379203, 1.8499082, 3.7339247", \
+					  "0.4181655, 0.4506280, 0.5190744, 0.6795839, 1.0364871, 1.8576833, 3.7347987", \
+					  "0.4169762, 0.4509160, 0.5188950, 0.6788534, 1.0358816, 1.8583963, 3.7370409", \
+					  "0.4178023, 0.4505898, 0.5188413, 0.6807208, 1.0351825, 1.8565145, 3.7337737");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("7.7075022, 7.7304465, 7.7849363, 7.8932683, 8.1046796, 8.4776133, 9.1398409", \
+					  "10.0425440, 10.0677180, 10.1209290, 10.2294010, 10.4413090, 10.8131460, 11.4753280", \
+					  "13.1815760, 13.2073200, 13.2604820, 13.3689220, 13.5809430, 13.9525210, 14.6147220", \
+					  "13.9379620, 13.9622920, 14.0139380, 14.1228340, 14.3341060, 14.7078780, 15.3689450", \
+					  "18.5606870, 18.5867840, 18.6402950, 18.7384400, 18.9583690, 19.3216270, 19.9810660", \
+					  "22.2698910, 22.2947990, 22.3513150, 22.4388740, 22.6656440, 23.0218300, 23.6892190", \
+					  "25.0223610, 25.0327440, 25.1079070, 25.2118520, 25.4064270, 25.7953570, 26.4574890", \
+					  "28.8334320, 28.8514740, 28.9088270, 29.0124100, 29.2210270, 29.5959270, 30.2581600", \
+					  "76.6649530, 76.6929060, 76.7447330, 76.8591590, 77.0713700, 77.4433600, 78.1085840", \
+					  "105.1553200, 105.1808300, 105.2352200, 105.3437900, 105.5543600, 105.9268600, 106.5933300", \
+					  "219.8322400, 219.8415700, 219.9027600, 220.0147500, 220.1988800, 220.5979700, 221.2603400", \
+					  "597.3809000, 597.3809510, 597.3823800, 597.4750900, 597.6266900, 598.0512000, 598.6920000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.3248307, 0.3453997, 0.3908148, 0.4874570, 0.6736699, 1.0066045, 1.6929377", \
+					  "0.3236612, 0.3460110, 0.3898595, 0.4877486, 0.6742479, 1.0073483, 1.6911766", \
+					  "0.3251075, 0.3458075, 0.3902652, 0.4876195, 0.6741877, 1.0069522, 1.6900038", \
+					  "0.3249539, 0.3446136, 0.3884898, 0.4876211, 0.6741584, 1.0066554, 1.6921599", \
+					  "0.3256927, 0.3436819, 0.3901061, 0.4874491, 0.6734405, 1.0071515, 1.6910172", \
+					  "0.3236777, 0.3458061, 0.3881318, 0.4869787, 0.6733743, 1.0063442, 1.6918626", \
+					  "0.3257101, 0.3457969, 0.3897830, 0.4872370, 0.6742208, 1.0069392, 1.6833247", \
+					  "0.3234477, 0.3455990, 0.3907366, 0.4870005, 0.6741478, 1.0068534, 1.6879220", \
+					  "0.3238939, 0.3436780, 0.3899957, 0.4868372, 0.6744150, 1.0069769, 1.6878552", \
+					  "0.3233908, 0.3443192, 0.3904657, 0.4869215, 0.6741057, 1.0045562, 1.6920116", \
+					  "0.3247196, 0.3441831, 0.3904973, 0.4878081, 0.6746100, 1.0078436, 1.6882499", \
+					  "0.3256842, 0.3457761, 0.3892840, 0.4884446, 0.6763614, 1.0076441, 1.6930434");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("4.9453583, 4.9821463, 5.0574775, 5.2120641, 5.5234084, 6.1699499, 7.5861033", \
+					  "7.4908577, 7.5270661, 7.6030355, 7.7577731, 8.0685529, 8.7169694, 10.1295030", \
+					  "9.6082111, 9.6478008, 9.7254835, 9.8746762, 10.1856040, 10.8328950, 12.2472840", \
+					  "10.0910620, 10.1236180, 10.1923310, 10.3575670, 10.6687700, 11.3169030, 12.7335080", \
+					  "12.9525000, 12.9893510, 13.0660010, 13.2209830, 13.5298920, 14.1772050, 15.6005060", \
+					  "15.3262450, 15.3644210, 15.4415630, 15.5954180, 15.9031240, 16.5525600, 17.9718300", \
+					  "17.1286840, 17.1658850, 17.2410020, 17.3968310, 17.7030000, 18.3539260, 19.7616070", \
+					  "19.6719140, 19.7126550, 19.7896170, 19.9438630, 20.2402520, 20.9028390, 22.3010570", \
+					  "54.6196900, 54.8826260, 54.8826275, 55.0273530, 55.1705990, 56.1125440, 57.3614790", \
+					  "77.3785230, 77.3823740, 77.3823815, 77.5461970, 77.8262290, 78.4913890, 79.9498990", \
+					  "172.2353000, 172.3747300, 172.6296300, 172.8258400, 173.3247600, 173.9104200, 175.3840500", \
+					  "509.7798500, 510.5221100, 510.5221406, 510.5221711, 510.5222016, 511.0393900, 512.3951600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4166093, 0.4498840, 0.5219237, 0.6795735, 1.0368619, 1.8653366, 3.7368402", \
+					  "0.4168339, 0.4502308, 0.5223813, 0.6793084, 1.0360643, 1.8600186, 3.7734544", \
+					  "0.4165299, 0.4511518, 0.5174299, 0.6774809, 1.0366625, 1.8466574, 3.7375627", \
+					  "0.4181733, 0.4514018, 0.5202864, 0.6810653, 1.0388622, 1.8663373, 3.7265275", \
+					  "0.4182496, 0.4509499, 0.5187257, 0.6808169, 1.0345057, 1.8579407, 3.7144656", \
+					  "0.4176958, 0.4507012, 0.5188476, 0.6806690, 1.0352065, 1.8545716, 3.7343963", \
+					  "0.4169701, 0.4509386, 0.5185015, 0.6806703, 1.0339140, 1.8524072, 3.7346801", \
+					  "0.4182601, 0.4511517, 0.5207640, 0.6799589, 1.0354224, 1.8616557, 3.7585863", \
+					  "0.4182552, 0.4506308, 0.5187280, 0.6788017, 1.0379044, 1.8586906, 3.7570786", \
+					  "0.4184021, 0.4509508, 0.5188727, 0.6806109, 1.0348239, 1.8575542, 3.7524077", \
+					  "0.4183039, 0.4506134, 0.5195893, 0.6807203, 1.0379323, 1.8551390, 3.7603072", \
+					  "0.4177350, 0.4506314, 0.5194420, 0.6800735, 1.0359762, 1.8566354, 3.7445473");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("5.4669025, 5.4916689, 5.5463398, 5.6542584, 5.8653824, 6.2381953, 6.9005326", \
+					  "8.2049825, 8.2315621, 8.2848457, 8.3929184, 8.6047134, 8.9766061, 9.6384110", \
+					  "11.3746320, 11.4122280, 11.4654660, 11.5743180, 11.7856970, 12.1571040, 12.8192820", \
+					  "12.1140880, 12.1358020, 12.1903150, 12.2993460, 12.5089400, 12.8817530, 13.5430600", \
+					  "16.3111520, 16.3382200, 16.3645630, 16.5018100, 16.6915600, 17.0794450, 17.7270910", \
+					  "19.6747010, 19.7012560, 19.7523440, 19.8631690, 20.0617900, 20.4327830, 21.1010280", \
+					  "22.1821580, 22.2153530, 22.2680650, 22.3752540, 22.5865460, 22.9581230, 23.6201390", \
+					  "25.6646690, 25.6918470, 25.7467510, 25.8497930, 26.0544330, 26.4286050, 27.0903460", \
+					  "70.2590840, 70.3067970, 70.3067971, 70.4745060, 70.6465140, 71.0409010, 71.6864430", \
+					  "96.9903340, 97.0588730, 97.0974840, 97.2295380, 97.4365120, 97.8171360, 98.4696700", \
+					  "205.3278900, 205.3440700, 205.3950000, 205.4982700, 205.7133500, 206.0859100, 206.7478000", \
+					  "562.1746500, 562.2646300, 562.2710500, 562.4507900, 562.6490600, 562.9874500, 563.6601900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.3249575, 0.3450094, 0.3902114, 0.4866943, 0.6731024, 1.0032229, 1.6897396", \
+					  "0.3240061, 0.3457792, 0.3888477, 0.4874253, 0.6741404, 1.0069238, 1.6867884", \
+					  "0.3250025, 0.3457348, 0.3896691, 0.4855420, 0.6744542, 1.0060318, 1.6939024", \
+					  "0.3237389, 0.3438426, 0.3899200, 0.4869622, 0.6717152, 1.0070922, 1.6920806", \
+					  "0.3250108, 0.3448711, 0.3899270, 0.4866173, 0.6740896, 1.0062793, 1.6898601", \
+					  "0.3239549, 0.3457560, 0.3897106, 0.4868277, 0.6740829, 1.0067933, 1.6917449", \
+					  "0.3233355, 0.3448622, 0.3897023, 0.4873461, 0.6738907, 1.0063794, 1.6904834", \
+					  "0.3253283, 0.3455632, 0.3885087, 0.4873696, 0.6718269, 1.0067358, 1.6913689", \
+					  "0.3238246, 0.3435862, 0.3900873, 0.4871044, 0.6739899, 1.0047990, 1.6921623", \
+					  "0.3258065, 0.3453329, 0.3887170, 0.4875971, 0.6743903, 1.0072759, 1.6921932", \
+					  "0.3237779, 0.3447083, 0.3911598, 0.4877438, 0.6749082, 1.0075372, 1.6880500", \
+					  "0.3258648, 0.3451523, 0.3892204, 0.4877951, 0.6752174, 1.0079882, 1.6884593");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("19.4611650, 19.4969870, 19.5729810, 19.7264110, 20.0355590, 20.6812660, 22.0894400", \
+					  "19.6216040, 19.6589300, 19.7333780, 19.8868180, 20.1960240, 20.8417230, 22.2487970", \
+					  "19.7729210, 19.8105590, 19.8847410, 20.0381630, 20.3472920, 20.9930150, 22.4023430", \
+					  "19.9004790, 19.9367720, 20.0122960, 20.1657200, 20.4748570, 21.1205630, 22.5298890", \
+					  "20.0100470, 20.0453750, 20.1218690, 20.2752940, 20.5844120, 21.2301390, 22.6383110", \
+					  "20.1203110, 20.1571040, 20.2323560, 20.3857770, 20.6946850, 21.3403960, 22.7497350", \
+					  "20.2176480, 20.2539090, 20.3279900, 20.4814230, 20.7925000, 21.4382560, 22.8437320");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("0.4153946, 0.4482713, 0.5199333, 0.6760048, 1.0285056, 1.8417879, 3.7165742", \
+					  "0.4154621, 0.4482713, 0.5199449, 0.6760146, 1.0283895, 1.8404082, 3.7125902", \
+					  "0.4154023, 0.4482266, 0.5198957, 0.6759192, 1.0284998, 1.8424380, 3.7202634", \
+					  "0.4154016, 0.4479011, 0.5198962, 0.6759203, 1.0285002, 1.8424037, 3.7202281", \
+					  "0.4153955, 0.4482713, 0.5199331, 0.6760052, 1.0285055, 1.8417992, 3.7165708", \
+					  "0.4154022, 0.4482693, 0.5198955, 0.6759188, 1.0284997, 1.8424121, 3.7202377", \
+					  "0.4158630, 0.4484094, 0.5199356, 0.6760165, 1.0285076, 1.8420964, 3.7058205");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("18.4389020, 18.4720990, 18.5404280, 18.6764950, 18.9410250, 19.4235240, 20.2174370", \
+					  "18.5409310, 18.5788520, 18.6456330, 18.7830200, 19.0476380, 19.5280360, 20.3239310", \
+					  "18.5974900, 18.6336320, 18.7020730, 18.8380230, 19.1025540, 19.5847480, 20.3789730", \
+					  "18.6397170, 18.6814000, 18.7443060, 18.8857230, 19.1504040, 19.6274210, 20.4271590", \
+					  "18.6707010, 18.7036310, 18.7721470, 18.9083430, 19.1727820, 19.6552200, 20.4495900", \
+					  "18.7045420, 18.7356420, 18.8060390, 18.9402980, 19.2047230, 19.6890260, 20.4813940", \
+					  "18.7318000, 18.7659630, 18.8365380, 18.9725120, 19.2367440, 19.7180620, 20.5147850");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("0.4775014, 0.5013733, 0.5524767, 0.6616165, 0.9008846, 1.2983762, 2.0028539", \
+					  "0.4792918, 0.5007687, 0.5511486, 0.6621733, 0.9013885, 1.3017905, 2.0038291", \
+					  "0.4785022, 0.5013629, 0.5517028, 0.6616087, 0.9008958, 1.3018181, 2.0029232", \
+					  "0.4783727, 0.5003148, 0.5519278, 0.6647967, 0.9025340, 1.3014456, 2.0029036", \
+					  "0.4775733, 0.5020450, 0.5524336, 0.6619588, 0.9005530, 1.2990248, 2.0050828", \
+					  "0.4784392, 0.5019433, 0.5520770, 0.6618790, 0.9005945, 1.3006619, 2.0050375", \
+					  "0.4792624, 0.5015322, 0.5506948, 0.6656344, 0.9002493, 1.3011056, 1.9982658");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195195;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1795265, 7.1696325, 7.1695163, 7.1728452, 7.0820953, 6.0832441, -10.1873019", \
+					  "7.1452474, 7.1443679, 7.1345928, 7.1439429, 7.0651774, 6.0430566, -10.3580389", \
+					  "7.1208650, 7.1210784, 7.1164033, 7.1141456, 7.0257506, 6.0500371, -10.4444379", \
+					  "7.0793388, 7.0774879, 7.0659389, 7.0781575, 6.9809895, 5.9779551, -10.0255672", \
+					  "7.0424278, 7.0419030, 7.0402897, 7.0387502, 6.9546143, 5.9546057, -10.2751356", \
+					  "7.0022694, 7.0022573, 7.0010551, 6.9999492, 6.9184571, 5.9088689, -10.2701441", \
+					  "6.9588271, 6.9621378, 6.9580014, 6.9602019, 6.8776598, 5.9343059, -10.8619909");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7360995, 3.7400499, 3.7620219, 3.8706945, 4.1941610, 5.1391252, 5.5533754", \
+					  "3.7117706, 3.7151541, 3.7349391, 3.8327831, 4.1654237, 5.1087937, 5.5162464", \
+					  "3.6707731, 3.6729025, 3.7018915, 3.8035484, 4.1310278, 5.0770809, 5.4827148", \
+					  "3.6679446, 3.6705328, 3.6939710, 3.7997983, 4.1295432, 5.0749983, 5.4835048", \
+					  "3.6654738, 3.6688635, 3.6897283, 3.7975054, 4.1279372, 5.0713024, 5.4766522", \
+					  "3.6621913, 3.6652947, 3.6871332, 3.7946444, 4.1254349, 5.0700721, 5.4698382", \
+					  "3.6604431, 3.6644902, 3.6852266, 3.7923761, 4.1221536, 5.0671294, 5.4693394");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269420, 0.1267791, 0.1279176, 0.1269378, 0.1269337, 0.1269180, 0.1268666", \
+					  "0.1794138, 0.1791287, 0.1795966, 0.1791204, 0.1794079, 0.1791164, 0.1791158", \
+					  "0.2461848, 0.2461884, 0.2459375, 0.2461946, 0.2459911, 0.2461880, 0.2461867", \
+					  "0.3164232, 0.3164285, 0.3163997, 0.3165010, 0.3175562, 0.3166575, 0.3166659", \
+					  "0.3888684, 0.3888763, 0.3883349, 0.3890341, 0.3888501, 0.3894708, 0.3895054", \
+					  "0.4542379, 0.4542451, 0.4542283, 0.4544579, 0.4550445, 0.4553902, 0.4554808", \
+					  "0.5961562, 0.5960620, 0.5950507, 0.5933890, 0.5903818, 0.5901199, 0.5900192");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5477192, 5.5477023, 5.5494994, 5.5571795, 5.5433078, 5.3361055, -0.5652874", \
+					  "5.5459375, 5.5478574, 5.5493541, 5.5549945, 5.5401323, 5.3091486, -0.5697445", \
+					  "5.5481093, 5.5487299, 5.5505141, 5.5562959, 5.5480000, 5.3019824, -0.5777756", \
+					  "5.5475344, 5.5473558, 5.5488077, 5.5560051, 5.5481299, 5.3559199, -0.5657659", \
+					  "5.5473573, 5.5458763, 5.5465203, 5.5549615, 5.5395255, 5.3131237, -0.5714950", \
+					  "5.5464866, 5.5472976, 5.5489559, 5.5505896, 5.5476744, 5.3622910, -0.5594678", \
+					  "5.5465725, 5.5458934, 5.5487140, 5.5542838, 5.5466233, 5.2750185, -0.5615266");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8646217, 6.8756797, 6.9372511, 7.0076939, 6.9852830, 6.8091188, 0.9298726", \
+					  "6.8398758, 6.8442825, 6.9105376, 6.9826071, 6.9882177, 6.7441768, 0.8149990", \
+					  "6.8120539, 6.8234345, 6.8818093, 6.9512633, 6.9304019, 6.7422902, 0.8394720", \
+					  "6.7719519, 6.7833508, 6.8432351, 6.9125742, 6.8948913, 6.7371000, 0.7894942", \
+					  "6.7341943, 6.7408981, 6.8069343, 6.8780935, 6.8562707, 6.6308291, 0.7501447", \
+					  "6.6949627, 6.7066205, 6.7658964, 6.8353205, 6.8148742, 6.5643077, 0.4909906", \
+					  "6.6523622, 6.6657187, 6.7283041, 6.7985781, 6.7780806, 6.5573371, 0.6663704");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6911484, 3.6707503, 3.7017671, 3.7459089, 3.7287243, 3.7185380, 3.8123072", \
+					  "3.6625599, 3.6638952, 3.6694082, 3.7015131, 3.7401246, 3.7512819, 3.7576141", \
+					  "3.6256321, 3.6286310, 3.6384396, 3.6715286, 3.7221886, 3.7220862, 3.7235686", \
+					  "3.6187890, 3.6223187, 3.6336174, 3.6696667, 3.7117476, 3.7220133, 3.7217839", \
+					  "3.6229753, 3.6224609, 3.6325908, 3.6677676, 3.7101096, 3.7156845, 3.7190998", \
+					  "3.6187439, 3.6236835, 3.6333233, 3.6713528, 3.7156637, 3.7423845, 3.7088532", \
+					  "3.6163312, 3.6185673, 3.6278129, 3.6672488, 3.7003560, 3.7200867, 3.7163749");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269403, 0.1269381, 0.1269398, 0.1269444, 0.1269390, 0.1269486, 0.1269431", \
+					  "0.1784050, 0.1780998, 0.1781058, 0.1784062, 0.1784009, 0.1781080, 0.1781061", \
+					  "0.2338782, 0.2340307, 0.2340371, 0.2338815, 0.2338753, 0.2340402, 0.2340384", \
+					  "0.2808178, 0.2799104, 0.2799162, 0.2808211, 0.2808150, 0.2799197, 0.2799177", \
+					  "0.3353236, 0.3361328, 0.3361375, 0.3357097, 0.3357019, 0.3361358, 0.3361346", \
+					  "0.4350630, 0.4350407, 0.4350434, 0.4350554, 0.4350450, 0.4350319, 0.4350300", \
+					  "0.5947310, 0.5952879, 0.5952960, 0.5947804, 0.5948204, 0.5953918, 0.5953914");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4170889, 2.4041585, 2.4191293, 2.4153045, 2.5219486, 2.4523478, 2.4591674", \
+					  "2.4166113, 2.4203965, 2.4174032, 2.4162032, 2.3964989, 2.4279100, 2.4268882", \
+					  "2.4209582, 2.4170937, 2.4153256, 2.4181909, 2.4244292, 2.4372290, 2.4184400", \
+					  "2.4166541, 2.4204245, 2.4178368, 2.4166216, 2.4361058, 2.4335393, 2.4256237", \
+					  "2.4156669, 2.4108621, 2.4100464, 2.4162951, 2.4065878, 2.4244502, 2.4096079", \
+					  "2.4151203, 2.4154187, 2.4155789, 2.4150648, 2.4176777, 2.4234763, 2.4193611", \
+					  "2.4156031, 2.4221072, 2.4171251, 2.4174261, 2.3206777, 2.4212615, 2.4326775");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0880909, 3.0899189, 3.0997801, 3.1370804, 3.3514167, 4.7153561, 4.9903210", \
+					  "3.0544285, 3.0552207, 3.0652627, 3.1055448, 3.3201201, 4.6866426, 4.9697127", \
+					  "3.0229448, 3.0237557, 3.0304440, 3.0727497, 3.2825691, 4.6542173, 4.9381456", \
+					  "3.0200704, 3.0196123, 3.0293605, 3.0711188, 3.2871849, 4.6517461, 4.9354480", \
+					  "3.0180870, 3.0192859, 3.0265702, 3.0687361, 3.2788616, 4.6493743, 4.9306888", \
+					  "3.0160673, 3.0163902, 3.0252735, 3.0647957, 3.2599820, 4.6476522, 4.9289632", \
+					  "3.0125984, 3.0146517, 3.0191084, 3.0643277, 3.2750339, 4.6444342, 4.9289414");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1403588, 0.1403549, 0.1403485, 0.1403586, 0.1403504, 0.1403496, 0.1403530", \
+					  "0.1700957, 0.1700977, 0.1701067, 0.1701008, 0.1700979, 0.1700557, 0.1700599", \
+					  "0.2228193, 0.2228201, 0.2228214, 0.2228190, 0.2228174, 0.2228787, 0.2228222", \
+					  "0.2873698, 0.2873716, 0.2873724, 0.2873668, 0.2873523, 0.2873152, 0.2873582", \
+					  "0.4847634, 0.4847663, 0.4847653, 0.4847637, 0.4847720, 0.4845472, 0.4848054", \
+					  "0.6783514, 0.6783438, 0.6783087, 0.6782480, 0.6780639, 0.6775242, 0.6779742", \
+					  "0.7446704, 0.7446695, 0.7446786, 0.7446594, 0.7445975, 0.7440555, 0.7442221");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269352, 0.1269378, 0.1269802, 0.1268014, 0.1269344, 0.1267657, 0.1268998", \
+					  "0.1781044, 0.1784028, 0.1781045, 0.1784046, 0.1781082, 0.1780998, 0.1781048", \
+					  "0.2340376, 0.2338787, 0.2340380, 0.2340432, 0.2340428, 0.2340345, 0.2340394", \
+					  "0.2799178, 0.2799209, 0.2799180, 0.2799235, 0.2799230, 0.2799144, 0.2799199", \
+					  "0.3361375, 0.3361406, 0.3361371, 0.3361401, 0.3361379, 0.3361288, 0.3361335", \
+					  "0.4350557, 0.4350600, 0.4350550, 0.4350520, 0.4350455, 0.4350362, 0.4350386", \
+					  "0.5951132, 0.5951190, 0.5951304, 0.5951608, 0.5952030, 0.5952153, 0.5952203");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4210152, 2.4215350, 2.4202157, 2.4069386, 2.4150495, 2.4430774, 2.4332493", \
+					  "2.4156932, 2.4105205, 2.4160555, 2.4216194, 2.4226661, 2.4269461, 2.4235172", \
+					  "2.4178719, 2.4191140, 2.4176223, 2.4185508, 2.4178433, 2.4180829, 2.4217802", \
+					  "2.4171891, 2.4202897, 2.4159847, 2.4171444, 2.4227341, 2.4275809, 2.4175620", \
+					  "2.4177700, 2.4211673, 2.4158876, 2.4160752, 2.4216864, 2.4243166, 2.4215916", \
+					  "2.4161154, 2.4093988, 2.4152136, 2.4156353, 2.4219764, 2.4290480, 2.4199641", \
+					  "2.4164104, 2.4071669, 2.4158462, 2.4164251, 2.4174651, 2.4177221, 2.4117518");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3983688, 3.4097962, 3.4146129, 3.4813336, 3.5557598, 3.8234536, 5.4134084", \
+					  "3.3727193, 3.3753771, 3.3865472, 3.4442137, 3.5278469, 3.7862088, 5.3863746", \
+					  "3.3410154, 3.3433367, 3.3544881, 3.4126515, 3.4905258, 3.7541491, 5.3547828", \
+					  "3.3332093, 3.3360085, 3.3524187, 3.4094599, 3.4877974, 3.7514043, 5.3498097", \
+					  "3.3307863, 3.3336843, 3.3499049, 3.4074758, 3.4851923, 3.7491786, 5.3530454", \
+					  "3.3329035, 3.3354050, 3.3462520, 3.4057146, 3.4825997, 3.7460426, 5.3357328", \
+					  "3.3310136, 3.3336094, 3.3448567, 3.4032807, 3.4795192, 3.7443573, 5.3492818");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1403591, 0.1403511, 0.1403645, 0.1403272, 0.1403581, 0.1403479, 0.1403550", \
+					  "0.1701050, 0.1700986, 0.1701084, 0.1700606, 0.1701022, 0.1700525, 0.1701028", \
+					  "0.2227758, 0.2227696, 0.2227728, 0.2227697, 0.2227699, 0.2228213, 0.2227675", \
+					  "0.2866487, 0.2866450, 0.2866508, 0.2866488, 0.2866344, 0.2865812, 0.2866225", \
+					  "0.4898683, 0.4898637, 0.4898602, 0.4898370, 0.4898542, 0.4896669, 0.4899471", \
+					  "0.6908969, 0.6908880, 0.6908653, 0.6907318, 0.6902661, 0.6895696, 0.6898993", \
+					  "0.7733977, 0.7733949, 0.7734210, 0.7734440, 0.7730848, 0.7718245, 0.7717498");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3942768, 5.3936827, 5.3878288, 5.3561866, 5.1852804, 4.0917423, -12.3220742", \
+					  "5.3931851, 5.3925043, 5.3872775, 5.3619068, 5.2087389, 4.1056959, -12.2497219", \
+					  "5.3954731, 5.3948391, 5.3895061, 5.3625824, 5.2093677, 4.0904355, -12.3599556", \
+					  "5.3936493, 5.3938084, 5.3881996, 5.3594011, 5.1929830, 4.0822361, -12.3560900", \
+					  "5.3945750, 5.3933299, 5.3884475, 5.3614938, 5.2046111, 4.0957273, -12.2432637", \
+					  "5.3930364, 5.3920368, 5.3889871, 5.3644859, 5.1943056, 4.0670493, -12.2978292", \
+					  "5.3937959, 5.3930512, 5.3882747, 5.3613262, 5.2091584, 4.0781399, -12.3049737");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269223, 0.1268285, 0.1269286, 0.1269337, 0.1269378, 0.1269334, 0.1269305", \
+					  "0.1791243, 0.1791308, 0.1791343, 0.1791331, 0.1791257, 0.1794139, 0.1794168", \
+					  "0.2461807, 0.2461873, 0.2461919, 0.2461930, 0.2461877, 0.2461888, 0.2461922", \
+					  "0.3163736, 0.3163809, 0.3163867, 0.3163920, 0.3163909, 0.3163931, 0.3163975", \
+					  "0.3888882, 0.3888959, 0.3889033, 0.3889130, 0.3889162, 0.3889208, 0.3889260", \
+					  "0.4545146, 0.4545233, 0.4545327, 0.4545481, 0.4545562, 0.4545626, 0.4545692", \
+					  "0.5907393, 0.5907270, 0.5906650, 0.5904695, 0.5903073, 0.5902571, 0.5902540");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9525320, 2.9681098, 2.9530598, 2.9403449, 2.9233434, 2.9209223, 2.9056889", \
+					  "2.9110933, 2.9130262, 2.9151612, 2.9168099, 2.9198877, 2.9352346, 2.9571895", \
+					  "2.8795840, 2.8817350, 2.8770205, 2.8807397, 2.8850034, 2.8877493, 2.8837876", \
+					  "2.8765997, 2.8761582, 2.8785521, 2.8805204, 2.8810011, 2.8837194, 2.8924893", \
+					  "2.8744471, 2.8746626, 2.8738291, 2.8771010, 2.8802766, 2.8843920, 2.8896276", \
+					  "2.8719133, 2.8720662, 2.8725942, 2.8733536, 2.8779751, 2.8819185, 2.8845137", \
+					  "2.8698738, 2.8700881, 2.8697444, 2.8684626, 2.8928320, 2.8768539, 2.8756800");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8535537, 6.8522362, 6.8451958, 6.8142917, 6.6546920, 5.4919519, -10.9775859", \
+					  "6.8241319, 6.8216513, 6.8112039, 6.7815033, 6.6227912, 5.5064611, -10.7729599", \
+					  "6.7956954, 6.7972454, 6.7837419, 6.7582597, 6.5881705, 5.4738066, -10.9429779", \
+					  "6.7589762, 6.7531891, 6.7451964, 6.7149836, 6.5579161, 5.4273061, -10.9751445", \
+					  "6.7147437, 6.7166831, 6.7096436, 6.6800423, 6.5222896, 5.4021444, -11.0121716", \
+					  "6.6777890, 6.6774693, 6.6650543, 6.6381310, 6.4779158, 5.3009012, -11.2463812", \
+					  "6.6377402, 6.6350523, 6.6273249, 6.5999441, 6.4430964, 5.3061214, -11.0896209");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1267595, 0.1265597, 0.1269744, 0.1276521, 0.1269238, 0.1274827, 0.1265355", \
+					  "0.1791224, 0.1791262, 0.1791253, 0.1794887, 0.1791150, 0.1795239, 0.1794064", \
+					  "0.2461822, 0.2461863, 0.2461890, 0.2458170, 0.2461905, 0.2459209, 0.2461891", \
+					  "0.3164240, 0.3164299, 0.3164431, 0.3167617, 0.3166129, 0.3170265, 0.3166722", \
+					  "0.3888756, 0.3888851, 0.3889130, 0.3886812, 0.3893269, 0.3888631, 0.3895189", \
+					  "0.4542603, 0.4542695, 0.4542988, 0.4545169, 0.4550429, 0.4557367, 0.4555107", \
+					  "0.5961349, 0.5960380, 0.5955147, 0.5928226, 0.5907771, 0.5896034, 0.5899948");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7081720, 6.7124385, 6.7427736, 6.8595383, 6.7621587, 5.5775417, -0.2317138", \
+					  "6.7091962, 6.7171048, 6.7415406, 6.8614273, 6.7775127, 5.5545118, -0.2176466", \
+					  "6.7067142, 6.7176811, 6.7428619, 6.8605156, 6.7817536, 5.5541201, -0.2145261", \
+					  "6.7063141, 6.7165368, 6.7418113, 6.8601815, 6.7618096, 5.5632506, -0.1873488", \
+					  "6.7058729, 6.7107735, 6.7410977, 6.8588707, 6.7874873, 5.5543079, -0.1051091", \
+					  "6.7063023, 6.7161217, 6.7408567, 6.8588201, 6.7806348, 5.5526370, -0.1324333", \
+					  "6.7059008, 6.7166149, 6.7409995, 6.8591077, 6.7770236, 5.5525278, -0.2162648");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8535715, 6.8522141, 6.8439273, 6.8136503, 6.6609413, 5.5367538, -10.8006189", \
+					  "6.8240309, 6.8218750, 6.8112941, 6.7874295, 6.6255286, 5.5081338, -10.8255269", \
+					  "6.7957609, 6.7972606, 6.7852767, 6.7555283, 6.5954442, 5.5034414, -11.0523849", \
+					  "6.7590373, 6.7532845, 6.7453026, 6.7158158, 6.5624485, 5.4307266, -11.0591135", \
+					  "6.7149180, 6.7168228, 6.7096918, 6.6785543, 6.5207808, 5.4326794, -11.0342346", \
+					  "6.6792474, 6.6795835, 6.6650517, 6.6381531, 6.4722793, 5.3335118, -10.9081142", \
+					  "6.6379202, 6.6335127, 6.6273926, 6.5998361, 6.4419919, 5.3169907, -11.1038049");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9438617, 2.9392139, 2.9472860, 2.9618440, 2.9546673, 2.9032995, 2.9949365", \
+					  "2.9142939, 2.9109728, 2.9151890, 2.9150069, 2.9172596, 2.9122337, 2.9036813", \
+					  "2.8796327, 2.8816960, 2.8781470, 2.8808314, 2.8976138, 2.8870849, 2.8834233", \
+					  "2.8765255, 2.8741056, 2.8787228, 2.8806826, 2.8808251, 2.8822373, 2.8896539", \
+					  "2.8744265, 2.8746912, 2.8756497, 2.8771927, 2.8830450, 2.8833900, 2.8839922", \
+					  "2.8718864, 2.8720410, 2.8733228, 2.8738243, 2.8776999, 2.8840182, 2.8881707", \
+					  "2.8698745, 2.8701076, 2.8722736, 2.8700742, 2.8756672, 2.8771657, 2.8685210");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7958685, 6.8120821, 6.8701572, 6.9439935, 6.9214304, 6.7460399, 0.7808585", \
+					  "6.7689907, 6.7793761, 6.8412849, 6.9171955, 6.8903914, 6.7303731, 0.5739722", \
+					  "6.7457150, 6.7533508, 6.8157224, 6.8916504, 6.8758496, 6.6957576, 0.7703484", \
+					  "6.7025816, 6.7139102, 6.7768893, 6.8509391, 6.8291418, 6.5933430, 0.7772465", \
+					  "6.6648084, 6.6776024, 6.7403673, 6.8121411, 6.7900723, 6.5264265, 0.5853606", \
+					  "6.6265209, 6.6371158, 6.6989955, 6.7738055, 6.7468912, 6.5228070, 0.6426703", \
+					  "6.5900232, 6.6013587, 6.6587278, 6.7282438, 6.7140793, 6.5230672, 0.6072181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1577331, 0.1577920, 0.1577621, 0.1574470, 0.1570150, 0.1565191, 0.1565667", \
+					  "0.2001303, 0.2001748, 0.2000696, 0.1999886, 0.1992462, 0.1987452, 0.1986130", \
+					  "0.2229753, 0.2229209, 0.2229685, 0.2230908, 0.2227816, 0.2221291, 0.2219612", \
+					  "0.2630224, 0.2630026, 0.2629670, 0.2633384, 0.2634278, 0.2629969, 0.2628234", \
+					  "0.3046970, 0.3046995, 0.3047501, 0.3050441, 0.3056323, 0.3054527, 0.3053139", \
+					  "0.4162310, 0.4160561, 0.4157266, 0.4142815, 0.4132324, 0.4124412, 0.4122034", \
+					  "0.6038388, 0.6038807, 0.6029616, 0.5994136, 0.5919146, 0.5883651, 0.5874699");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.2732688, 8.2875473, 8.3347907, 8.5563834, 8.6765729, 7.5537948, 1.9533485", \
+					  "8.2506870, 8.2543767, 8.3056482, 8.5301735, 8.6094746, 7.5459998, 1.8607235", \
+					  "8.2284807, 8.2366261, 8.2813716, 8.5140726, 8.5970592, 7.5027470, 1.8312230", \
+					  "8.1798179, 8.1946437, 8.2414292, 8.4743245, 8.5741266, 7.4569448, 1.8242469", \
+					  "8.1500713, 8.1523585, 8.2036612, 8.4375505, 8.5355494, 7.4248588, 1.7510209", \
+					  "8.1026834, 8.1176819, 8.1643511, 8.3975587, 8.4969228, 7.3787582, 1.7659016", \
+					  "8.0636187, 8.0788505, 8.1238197, 8.3486893, 8.4570367, 7.3471935, 1.6696552");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5030914, 4.4910117, 4.5305373, 4.6660977, 5.0252028, 5.9827702, 6.3788336", \
+					  "4.4673372, 4.4721419, 4.4909910, 4.6271442, 4.9935417, 5.9373787, 6.3494532", \
+					  "4.4358057, 4.4280905, 4.4613239, 4.5955425, 4.9608692, 5.9133521, 6.3221314", \
+					  "4.4188838, 4.4260365, 4.4612215, 4.5928397, 4.9596062, 5.9030772, 6.3192326", \
+					  "4.4305472, 4.4368429, 4.4639250, 4.5907593, 4.9574334, 5.9080445, 6.3105143", \
+					  "4.4287465, 4.4332321, 4.4557696, 4.5882270, 4.9530628, 5.8974922, 6.3141797", \
+					  "4.4186599, 4.4320476, 4.4593773, 4.5839756, 4.9542532, 5.8875769, 6.3122233");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269328, 0.1269490, 0.1268641, 0.1269334, 0.1269471, 0.1269242, 0.1269433", \
+					  "0.1784015, 0.1781071, 0.1781048, 0.1784010, 0.1784001, 0.1783920, 0.1781058", \
+					  "0.2340334, 0.2340341, 0.2340320, 0.2338704, 0.2340323, 0.2338650, 0.2340339", \
+					  "0.2799264, 0.2799272, 0.2799251, 0.2808237, 0.2799246, 0.2808178, 0.2799266", \
+					  "0.3361275, 0.3361280, 0.3361261, 0.3356937, 0.3361243, 0.3356871, 0.3361244", \
+					  "0.4348750, 0.4348755, 0.4348730, 0.4348845, 0.4348629, 0.4348683, 0.4348582", \
+					  "0.5963465, 0.5963494, 0.5963490, 0.5957738, 0.5964141, 0.5959320, 0.5964868");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0285169, 3.0335268, 3.0432000, 3.1037128, 3.1752699, 3.4480528, 5.0315643", \
+					  "3.0290819, 3.0303738, 3.0450207, 3.1043303, 3.1782291, 3.4431671, 5.0442598", \
+					  "3.0259350, 3.0288426, 3.0451633, 3.0987947, 3.1800183, 3.4446075, 5.0405665", \
+					  "3.0248490, 3.0286739, 3.0435203, 3.1021906, 3.1795371, 3.4425054, 5.0397574", \
+					  "3.0250221, 3.0279245, 3.0429245, 3.1010928, 3.1789203, 3.4416884, 5.0416156", \
+					  "3.0242084, 3.0315812, 3.0381900, 3.1030286, 3.1788982, 3.4429177, 5.0440590", \
+					  "3.0239164, 3.0272395, 3.0436747, 3.1010038, 3.1783853, 3.4427433, 5.0391759");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7122501, 2.7131077, 2.7207550, 2.7610650, 2.9906698, 4.3426658, 4.6188532", \
+					  "2.7119543, 2.7117756, 2.7209271, 2.7633118, 2.9776150, 4.3428183, 4.6199534", \
+					  "2.7127344, 2.7138010, 2.7207455, 2.7712307, 2.9747934, 4.3449979, 4.6261606", \
+					  "2.7108929, 2.7137515, 2.7211581, 2.7637042, 2.9735860, 4.3427499, 4.6225573", \
+					  "2.7109741, 2.7117493, 2.7190516, 2.7553010, 2.9737764, 4.3422189, 4.6244814", \
+					  "2.7110247, 2.7119573, 2.7228149, 2.7626563, 2.9743535, 4.3420334, 4.6171670", \
+					  "2.7108530, 2.7115766, 2.7194984, 2.7630443, 2.9729775, 4.3419649, 4.6242474");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269492, 0.1266836, 0.1267499, 0.1269367, 0.1269377, 0.1269337, 0.1269392", \
+					  "0.1784052, 0.1784029, 0.1781101, 0.1781044, 0.1784021, 0.1784010, 0.1784063", \
+					  "0.2340359, 0.2340333, 0.2340361, 0.2340304, 0.2340330, 0.2338695, 0.2338766", \
+					  "0.2799253, 0.2799227, 0.2799255, 0.2799194, 0.2799218, 0.2808189, 0.2808257", \
+					  "0.3361416, 0.3361393, 0.3361419, 0.3361360, 0.3361378, 0.3357036, 0.3361428", \
+					  "0.4350922, 0.4350905, 0.4350925, 0.4350866, 0.4350876, 0.4350993, 0.4350908", \
+					  "0.5942382, 0.5942373, 0.5942401, 0.5942440, 0.5942640, 0.5937274, 0.5942847");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269315, 0.1269342, 0.1269525, 0.1268344, 0.1267343, 0.1269474, 0.1267872", \
+					  "0.1783950, 0.1784019, 0.1784052, 0.1784014, 0.1781023, 0.1781293, 0.1784036", \
+					  "0.2340356, 0.2338778, 0.2338814, 0.2338774, 0.2340369, 0.2340441, 0.2338806", \
+					  "0.2799190, 0.2808215, 0.2808247, 0.2808212, 0.2799197, 0.2800921, 0.2808237", \
+					  "0.3361185, 0.3356899, 0.3356935, 0.3356892, 0.3361170, 0.3361234, 0.3356890", \
+					  "0.4348191, 0.4348367, 0.4348383, 0.4348321, 0.4348074, 0.4348075, 0.4348182", \
+					  "0.5977768, 0.5971924, 0.5971951, 0.5972098, 0.5978520, 0.5979050, 0.5973407");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4264189, 2.4286909, 2.4305924, 2.4549741, 2.5881849, 3.4465039, 4.2118442", \
+					  "2.4277775, 2.4282643, 2.4308022, 2.4533829, 2.5861651, 3.4487013, 4.2156895", \
+					  "2.4279838, 2.4289876, 2.4314565, 2.4527533, 2.5930109, 3.4529057, 4.2135354", \
+					  "2.4276707, 2.4275859, 2.4300399, 2.4525347, 2.5893181, 3.4453800, 4.2161454", \
+					  "2.4259605, 2.4269727, 2.4291697, 2.4513762, 2.5860549, 3.4356314, 4.2065908", \
+					  "2.4263407, 2.4273178, 2.4288598, 2.4523692, 2.5809022, 3.4384140, 4.2138746", \
+					  "2.4261034, 2.4268749, 2.4292046, 2.4514002, 2.5908357, 3.4482254, 4.2158332");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269277, 0.1269332, 0.1269333, 0.1269263, 0.1269434, 0.1269455, 0.1269426", \
+					  "0.1784068, 0.1784038, 0.1781065, 0.1781074, 0.1781092, 0.1784039, 0.1781059", \
+					  "0.2338817, 0.2340410, 0.2340392, 0.2340406, 0.2340429, 0.2338799, 0.2340398", \
+					  "0.2808209, 0.2799200, 0.2799183, 0.2799200, 0.2799222, 0.2808194, 0.2799189", \
+					  "0.3357112, 0.3361409, 0.3361387, 0.3361371, 0.3361375, 0.3357040, 0.3361339", \
+					  "0.4350789, 0.4350630, 0.4350588, 0.4350525, 0.4350476, 0.4350586, 0.4350430", \
+					  "0.5945744, 0.5951335, 0.5951441, 0.5951750, 0.5952191, 0.5946759, 0.5952334");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4203705, 2.3899368, 2.4155807, 2.4029801, 2.4164798, 2.4161760, 2.3944288", \
+					  "2.4175749, 2.4128862, 2.4160369, 2.4086669, 2.4232156, 2.4278731, 2.4305472", \
+					  "2.4153327, 2.4204517, 2.4182982, 2.4252367, 2.4167081, 2.4333092, 2.4206423", \
+					  "2.4158329, 2.4183934, 2.4171051, 2.4143194, 2.4258284, 2.4319260, 2.4357734", \
+					  "2.4177011, 2.4118017, 2.4153136, 2.4136041, 2.4236505, 2.4333862, 2.4271566", \
+					  "2.4139325, 2.4203264, 2.4177937, 2.4034450, 2.4201447, 2.4237354, 2.4287049", \
+					  "2.4166339, 2.4139865, 2.4157557, 2.4081197, 2.4161163, 2.4173534, 2.4310898");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7122833, 6.7175681, 6.7430642, 6.8599555, 6.7640496, 5.5605557, -0.2015409", \
+					  "6.7122155, 6.7166265, 6.7416187, 6.8518066, 6.7779672, 5.5689955, -0.1933090", \
+					  "6.7075208, 6.7177297, 6.7408058, 6.8602606, 6.7775338, 5.5574655, -0.2235856", \
+					  "6.7062170, 6.7168829, 6.7415836, 6.8592738, 6.7632070, 5.5671997, -0.1149567", \
+					  "6.7068959, 6.7163437, 6.7411892, 6.8507513, 6.7801125, 5.5533196, -0.1425820", \
+					  "6.7103221, 6.7105259, 6.7392278, 6.8582229, 6.7733333, 5.5517827, -0.2786385", \
+					  "6.7048743, 6.7160698, 6.7408897, 6.8587395, 6.7754623, 5.5524489, -0.2242895");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1267257, 0.1269321, 0.1269513, 0.1269224, 0.1267356, 0.1269305, 0.1269196", \
+					  "0.1792153, 0.1791276, 0.1794168, 0.1793954, 0.1791163, 0.1794055, 0.1791122", \
+					  "0.2461843, 0.2461850, 0.2461896, 0.2459888, 0.2461891, 0.2459826, 0.2461820", \
+					  "0.3164203, 0.3164223, 0.3164375, 0.3164968, 0.3166067, 0.3175975, 0.3166611", \
+					  "0.3888777, 0.3888826, 0.3889128, 0.3890414, 0.3894585, 0.3890108, 0.3895113", \
+					  "0.4542652, 0.4542697, 0.4543012, 0.4544838, 0.4550421, 0.4554438, 0.4555047", \
+					  "0.5961364, 0.5960407, 0.5955180, 0.5933657, 0.5907765, 0.5896793, 0.5899920");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8735304, 7.8877629, 7.9284179, 8.1391347, 8.1713824, 6.9322486, 1.1412001", \
+					  "7.8455995, 7.8605138, 7.9006623, 8.1101631, 8.1217406, 6.9245134, 1.0762006", \
+					  "7.8199594, 7.8346751, 7.8749484, 8.0842289, 8.0885285, 6.8839174, 1.2226843", \
+					  "7.7801185, 7.7948602, 7.8340207, 8.0393634, 8.0504023, 6.8414315, 1.1654245", \
+					  "7.7420348, 7.7559091, 7.7969770, 8.0060354, 8.0369968, 6.7957995, 0.8311669", \
+					  "7.7032174, 7.7179096, 7.7581734, 7.9673698, 7.9951575, 6.7573230, 1.0816594", \
+					  "7.6628178, 7.6718219, 7.7184920, 7.9276450, 7.9564050, 6.7176633, 1.0539379");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1577332, 0.1577940, 0.1577080, 0.1575756, 0.1568480, 0.1564839, 0.1564505", \
+					  "0.2001691, 0.2001762, 0.2001359, 0.1998999, 0.1992782, 0.1987290, 0.1985817", \
+					  "0.2229132, 0.2229248, 0.2229709, 0.2226270, 0.2227192, 0.2222267, 0.2220327", \
+					  "0.2630314, 0.2630147, 0.2630606, 0.2632949, 0.2634630, 0.2627000, 0.2628433", \
+					  "0.3047199, 0.3047248, 0.3047798, 0.3051913, 0.3056678, 0.3055639, 0.3053438", \
+					  "0.4161229, 0.4160570, 0.4155988, 0.4145784, 0.4130907, 0.4125956, 0.4122046", \
+					  "0.6039087, 0.6037804, 0.6033212, 0.5991594, 0.5919463, 0.5883993, 0.5872024");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1268428, 0.1266556, 0.1268852, 0.1267754, 0.1269460, 0.1268098, 0.1269483", \
+					  "0.1781030, 0.1781016, 0.1781065, 0.1781054, 0.1783995, 0.1784039, 0.1781104", \
+					  "0.2340137, 0.2340357, 0.2340407, 0.2340398, 0.2338765, 0.2338811, 0.2340454", \
+					  "0.2799242, 0.2799227, 0.2799280, 0.2799268, 0.2808234, 0.2808282, 0.2799321", \
+					  "0.3361152, 0.3361139, 0.3361187, 0.3361172, 0.3356817, 0.3356848, 0.3361196", \
+					  "0.4347719, 0.4347704, 0.4347745, 0.4347701, 0.4347754, 0.4347729, 0.4347591", \
+					  "0.5979339, 0.5979334, 0.5979773, 0.5979493, 0.5974397, 0.5974880, 0.5980796");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4269388, 2.4281299, 2.4332014, 2.4543126, 2.5838008, 3.4808423, 4.2044248", \
+					  "2.4266096, 2.4271330, 2.4310056, 2.4522737, 2.5838616, 3.4447685, 4.2130606", \
+					  "2.4268760, 2.4281426, 2.4318139, 2.4538234, 2.5848260, 3.4465252, 4.2088906", \
+					  "2.4262911, 2.4271524, 2.4308168, 2.4527312, 2.5841855, 3.4454256, 4.2118607", \
+					  "2.4256352, 2.4268782, 2.4303353, 2.4519341, 2.5835810, 3.4436000, 4.2123610", \
+					  "2.4254753, 2.4265270, 2.4295393, 2.4497274, 2.5938946, 3.4484142, 4.2129300", \
+					  "2.4256994, 2.4264030, 2.4299436, 2.4521997, 2.5826886, 3.4440892, 4.2184734");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269307, 0.1269399, 0.1266532, 0.1269344, 0.1269131, 0.1269398, 0.1269267", \
+					  "0.1783918, 0.1781057, 0.1781029, 0.1781023, 0.1781070, 0.1784054, 0.1783984", \
+					  "0.2338683, 0.2340376, 0.2340348, 0.2340349, 0.2340400, 0.2338809, 0.2338737", \
+					  "0.2808084, 0.2799174, 0.2799143, 0.2799146, 0.2799200, 0.2808205, 0.2808135", \
+					  "0.3357019, 0.3361395, 0.3361361, 0.3361338, 0.3361371, 0.3357070, 0.3357002", \
+					  "0.4350571, 0.4350491, 0.4350437, 0.4350367, 0.4350354, 0.4350498, 0.4350439", \
+					  "0.5947164, 0.5952753, 0.5952850, 0.5953168, 0.5953623, 0.5948217, 0.5948232");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4172452, 2.4159773, 2.4197818, 2.4134535, 2.4235826, 2.3952279, 2.4083062", \
+					  "2.4165996, 2.4156203, 2.4181231, 2.4265114, 2.4229326, 2.4188957, 2.4438861", \
+					  "2.4172231, 2.4167289, 2.4121601, 2.4221606, 2.4231979, 2.4269434, 2.4210885", \
+					  "2.4164765, 2.4157174, 2.4178608, 2.4182143, 2.4230127, 2.4265821, 2.4244458", \
+					  "2.4163373, 2.4155864, 2.4169425, 2.4233641, 2.4191673, 2.4246102, 2.4239220", \
+					  "2.4154078, 2.4149252, 2.4170795, 2.4184928, 2.4217344, 2.4098507, 2.4234320", \
+					  "2.4154758, 2.4151961, 2.4171962, 2.4269358, 2.4221554, 2.4315612, 2.4177953");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9479118, 7.9531698, 7.9955699, 8.2001944, 8.2407453, 7.0069493, 1.2849668", \
+					  "7.9126579, 7.9264654, 7.9674162, 8.1764729, 8.1879871, 6.9798108, 1.2404172", \
+					  "7.8909617, 7.9021229, 7.9394281, 8.1503106, 8.1822165, 6.9692237, 1.2391185", \
+					  "7.8545052, 7.8600650, 7.9016507, 8.1055292, 8.1436593, 6.9301942, 1.1331200", \
+					  "7.8093664, 7.8228747, 7.8635956, 8.0726367, 8.1017453, 6.8627460, 1.1361235", \
+					  "7.7743272, 7.7853626, 7.8254269, 8.0280981, 8.0646887, 6.8513632, 1.1512562", \
+					  "7.7387241, 7.7443689, 7.7852511, 7.9944544, 8.0232430, 7.0689864, 1.0597022");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6919399, 3.6928897, 3.7076170, 3.7532823, 3.7323542, 3.7432461, 3.8381862", \
+					  "3.6708211, 3.6634802, 3.6714066, 3.7074290, 3.7348337, 3.7713145, 3.7577030", \
+					  "3.6301142, 3.6327840, 3.6379153, 3.6798778, 3.7124368, 3.7291518, 3.7232260", \
+					  "3.6180379, 3.6284909, 3.6345229, 3.6770718, 3.7294674, 3.7198830, 3.7322057", \
+					  "3.6341222, 3.6287359, 3.6344366, 3.6665797, 3.7097657, 3.7085045, 3.7521645", \
+					  "3.6198272, 3.6250409, 3.6278052, 3.6685122, 3.7248391, 3.7022704, 3.7326887", \
+					  "3.5963251, 3.6220393, 3.6320829, 3.6717031, 3.7238468, 3.7338075, 3.7296251");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7061339, 3.7100799, 3.7194140, 3.7680158, 3.9443244, 4.8076308, 5.6093883", \
+					  "3.6722093, 3.6742411, 3.6842205, 3.7347305, 3.9008103, 4.7692298, 5.5490700", \
+					  "3.6400065, 3.6420383, 3.6522072, 3.7010293, 3.8715244, 4.7522696, 5.5110203", \
+					  "3.6371289, 3.6393793, 3.6494238, 3.7005548, 3.8681650, 4.7395435, 5.5156393", \
+					  "3.6345073, 3.6366141, 3.6473681, 3.6979145, 3.8654892, 4.7372523, 5.5096679", \
+					  "3.6320479, 3.6344795, 3.6450999, 3.6929016, 3.8592507, 4.7365203, 5.5476861", \
+					  "3.6302662, 3.6328015, 3.6430230, 3.6951184, 3.8619815, 4.7247952, 5.5011260");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1954764, 7.2079621, 7.2716464, 7.3704649, 7.4162703, 7.2943678, 1.3936150", \
+					  "7.1637682, 7.1817946, 7.2464625, 7.3415895, 7.3967052, 7.3363472, 1.4751475", \
+					  "7.1402132, 7.1502157, 7.2174102, 7.3152815, 7.3553250, 7.2198648, 1.4224621", \
+					  "7.0989568, 7.1113523, 7.1808921, 7.2770522, 7.3307029, 7.2395561, 1.3115194", \
+					  "7.0661180, 7.0695632, 7.1395766, 7.2370455, 7.2930060, 7.1819550, 1.3551018", \
+					  "7.0222890, 7.0368451, 7.1026067, 7.1968386, 7.2526499, 7.0872518, 1.3351624", \
+					  "6.9828843, 6.9932206, 7.0636140, 7.1582978, 7.2124541, 7.1137926, 1.2973052");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9562344, 2.9571193, 2.9578164, 2.9827062, 3.1210940, 3.9586116, 4.7318433", \
+					  "2.9218471, 2.9228383, 2.9261427, 2.9493863, 3.0793704, 3.9402475, 4.7166109", \
+					  "2.8907489, 2.8921882, 2.8947558, 2.9153602, 3.0488108, 3.9082433, 4.6904544", \
+					  "2.8879686, 2.8884854, 2.8914190, 2.9114722, 3.0440938, 3.9056745, 4.6787501", \
+					  "2.8853895, 2.8858358, 2.8894216, 2.9096177, 3.0433690, 3.9032541, 4.6752325", \
+					  "2.8823106, 2.8829147, 2.8867311, 2.9072772, 3.0383707, 3.9001212, 4.6695097", \
+					  "2.8798728, 2.8805721, 2.8843740, 2.9059999, 3.0337263, 3.8870131, 4.6667830");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1736015, 7.1715025, 7.1648759, 7.1686376, 7.0790457, 6.0869439, -10.2961559", \
+					  "7.1422472, 7.1414666, 7.1309733, 7.1383606, 7.0588391, 6.0527108, -10.3721129", \
+					  "7.1146105, 7.1157961, 7.1120994, 7.1138767, 7.0317774, 6.0579193, -10.2017519", \
+					  "7.0751434, 7.0775122, 7.0741884, 7.0737539, 6.9821783, 5.9967799, -10.4325965", \
+					  "7.0346935, 7.0367223, 7.0330577, 7.0365800, 6.9528141, 5.9076385, -10.2396673", \
+					  "6.9972266, 6.9972882, 6.9929505, 6.9952802, 6.9134329, 5.9359561, -10.4762992", \
+					  "6.9591437, 6.9600328, 6.9530566, 6.9576935, 6.8703710, 5.8770839, -10.5035709");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7954673, 2.7927622, 2.8044317, 2.8362963, 2.9717128, 3.4916561, 3.9083788", \
+					  "2.8472806, 2.8477249, 2.8557954, 2.8917416, 3.0123741, 3.5397625, 3.9648886", \
+					  "2.9139740, 2.9153803, 2.9227923, 2.9607697, 3.0819440, 3.6088656, 4.0276153", \
+					  "2.9832002, 2.9861766, 2.9927269, 3.0281695, 3.1535725, 3.6788566, 4.1004450", \
+					  "3.0556949, 3.0567326, 3.0628737, 3.0991637, 3.2220820, 3.7493195, 4.1675896", \
+					  "3.1202542, 3.1219620, 3.1293552, 3.1641274, 3.2892933, 3.8111449, 4.2369857", \
+					  "3.2600417, 3.2608800, 3.2686719, 3.3036604, 3.4143957, 3.9483086, 4.3729311");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9615148, 5.9628706, 5.9699417, 5.9986183, 5.9014512, 5.0351293, -5.0582668", \
+					  "6.0124211, 6.0147160, 6.0203735, 6.0426806, 5.9576710, 5.0736795, -4.9389316", \
+					  "6.0687210, 6.0716909, 6.0777663, 6.1002949, 6.0154434, 5.1382386, -4.9079805", \
+					  "6.1136963, 6.1160255, 6.1226085, 6.1471543, 6.0520534, 5.2091631, -4.8678873", \
+					  "6.1695365, 6.1716327, 6.1778530, 6.2009746, 6.1130709, 5.2387290, -4.7925856", \
+					  "6.2682496, 6.2700423, 6.2762534, 6.3021513, 6.2120586, 5.3358575, -4.7059949", \
+					  "6.4291433, 6.4321439, 6.4383695, 6.4624878, 6.3750969, 5.4875877, -4.5189284");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.2152748, 12.2250825, 12.2736769, 12.4113292, 12.3615278, 10.9703517, -5.7495155", \
+					  "12.1762395, 12.1860610, 12.2333818, 12.3749332, 12.3178422, 10.9519486, -5.8245027", \
+					  "12.1516518, 12.1628992, 12.2089676, 12.3490344, 12.2860184, 10.9241175, -5.8117758", \
+					  "12.1057410, 12.1156121, 12.1637944, 12.3027515, 12.2467406, 10.8675390, -5.8195649", \
+					  "12.1080013, 12.1176612, 12.1697367, 12.3086717, 12.2564609, 10.8489828, -5.8629230", \
+					  "12.1082969, 12.1212276, 12.1681226, 12.3065808, 12.2535999, 10.8346904, -5.8442375", \
+					  "12.0670216, 12.0773565, 12.1270140, 12.2652812, 12.2153500, 10.8797370, -5.9481378");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.8304945, 5.8307543, 5.8515638, 5.9370551, 6.1408185, 7.0043261, 7.7568843", \
+					  "5.7932299, 5.7944498, 5.8099123, 5.8890750, 6.1162905, 6.9993400, 7.7101417", \
+					  "5.7436910, 5.7455006, 5.7620954, 5.8432628, 6.0746784, 6.9549567, 7.6595414", \
+					  "5.7468686, 5.7511909, 5.7715429, 5.8533031, 6.0843871, 6.9599990, 7.6740307", \
+					  "5.7633632, 5.7651836, 5.7821590, 5.8618142, 6.0921299, 6.9702323, 7.6852238", \
+					  "5.7948320, 5.7987768, 5.8141050, 5.8949105, 6.1235336, 7.0055882, 7.7174240", \
+					  "5.8488344, 5.8577727, 5.8740271, 5.9535233, 6.1838718, 7.0566640, 7.7654280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9539353, 7.9539354, 8.0213436, 8.0414999, 8.0415006, 8.0415016, 8.1050544", \
+					  "8.0564604, 8.0670590, 8.0670591, 8.0670600, 8.0670610, 8.0670620, 8.1041556", \
+					  "8.1083398, 8.1180547, 8.1180549, 8.1203027, 8.1203037, 8.1203046, 8.1837932", \
+					  "8.1342986, 8.1354345, 8.1354347, 8.1354356, 8.1354366, 8.1354375, 8.1853493", \
+					  "8.1816580, 8.1938918, 8.1938921, 8.1938930, 8.1938940, 8.1938949, 8.2210647", \
+					  "8.2147178, 8.2147184, 8.2147193, 8.2147203, 8.2147213, 8.2147222, 8.2147232", \
+					  "8.2350446, 8.2350450, 8.2350460, 8.2350469, 8.2350479, 8.2350488, 8.2437923");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+				timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("7.7796987, 7.7882039, 7.8215656, 8.0539175, 9.6985895, 20.3428970, 86.8441030", \
+					  "7.8821074, 7.8882039, 7.9240037, 8.1564249, 9.8003294, 20.4446890, 86.9551680", \
+					  "7.9401552, 7.9457907, 7.9819058, 8.2147453, 9.8592299, 20.5036490, 87.0014960", \
+					  "7.9821687, 7.9880991, 8.0240943, 8.2567739, 9.9009529, 20.5410140, 87.0832440", \
+					  "8.0132398, 8.0193621, 8.0552525, 8.2874703, 9.9317905, 20.5733690, 87.0831940", \
+					  "8.0418836, 8.0482856, 8.0838749, 8.3161948, 9.9605870, 20.6006160, 87.1292580", \
+					  "8.0633376, 8.0699918, 8.1051015, 8.3377474, 9.9820925, 20.6258900, 87.1242700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("0.9939224, 1.0099578, 1.1036092, 1.6986187, 5.7548593, 31.6452860, 191.2220600", \
+					  "0.9947120, 1.0083779, 1.1046936, 1.6998993, 5.7549392, 31.6381090, 191.6742900", \
+					  "0.9954770, 1.0085301, 1.1052886, 1.7006067, 5.7547132, 31.6344640, 191.4476500", \
+					  "0.9944295, 1.0091364, 1.1033827, 1.6993601, 5.7546603, 31.6591020, 191.4055300", \
+					  "0.9953427, 1.0103240, 1.1029500, 1.6993795, 5.7543974, 31.6362650, 191.5999900", \
+					  "0.9947819, 1.0098228, 1.1033274, 1.6988262, 5.7546128, 31.6537200, 191.3640700", \
+					  "0.9958947, 1.0116850, 1.1053125, 1.7010428, 5.7546941, 31.6140960, 191.6101100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("150.6163300, 150.6230800, 150.6727200, 150.9532800, 152.7792600, 161.4198600, 198.0099700", \
+					  "150.7826500, 150.7894800, 150.8394000, 151.1258200, 152.9510300, 161.5812000, 198.2037400", \
+					  "150.9272900, 150.9341000, 150.9846900, 151.2691900, 153.0958700, 161.7475500, 198.3368100", \
+					  "151.0503200, 151.0571200, 151.1066200, 151.3951700, 153.2186900, 161.8406000, 198.4510000", \
+					  "151.1570200, 151.1570206, 151.1879800, 151.5030400, 153.3262700, 161.8966800, 198.5495900", \
+					  "151.2439500, 151.2532200, 151.2532273, 151.5917100, 153.4090800, 162.0416400, 198.5600700", \
+					  "151.3104000, 151.3152500, 151.3643200, 151.6886700, 153.5007400, 162.1587400, 198.7253200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("59.4166250, 59.4070390, 59.2772480, 58.9939440, 57.0334710, 46.8149750, 92.3635850", \
+					  "59.4154200, 59.4068580, 59.2765130, 58.9964060, 57.0375210, 46.9756110, 92.3662360", \
+					  "59.4159320, 59.4071920, 59.2788640, 58.9865830, 57.0371480, 46.8015640, 92.3626400", \
+					  "59.4161800, 59.4073590, 59.2785230, 58.9991910, 57.0408750, 46.9082590, 92.3698240", \
+					  "59.4164360, 59.3049340, 59.2430740, 58.9930810, 57.0407330, 46.9850190, 92.3518970", \
+					  "59.3783440, 59.3046070, 59.2165290, 58.9932410, 57.0596550, 47.1387230, 92.3282140", \
+					  "59.3066360, 59.2979750, 59.2569800, 58.9921210, 57.0406510, 46.8188720, 92.3543910");
+				}
+			}
+						timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("7.7511917, 7.7570421, 7.7928046, 8.0206801, 9.6438848, 20.3731200, 89.1043830", \
+					  "7.8530578, 7.8589215, 7.8953093, 8.1238518, 9.7464881, 20.4770390, 89.2103240", \
+					  "7.9103968, 7.9164675, 7.9522851, 8.1802574, 9.8033937, 20.5342620, 89.2680920", \
+					  "7.9526918, 7.9585769, 7.9944718, 8.2228642, 9.8458477, 20.5876520, 89.3304700", \
+					  "7.9829920, 7.9890867, 8.0247400, 8.2528402, 9.8751407, 20.6175270, 89.3582950", \
+					  "8.0124902, 8.0188487, 8.0542577, 8.2831315, 9.9056932, 20.6365800, 89.3717810", \
+					  "8.0348102, 8.0414573, 8.0766069, 8.3053848, 9.9277573, 20.6586570, 89.4144850");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("1.0214157, 1.0363067, 1.1284681, 1.7203385, 5.7590741, 31.6499000, 191.3127200", \
+					  "1.0209535, 1.0353040, 1.1262326, 1.7203540, 5.7601990, 31.6503270, 191.3030000", \
+					  "1.0214911, 1.0351227, 1.1267907, 1.7200481, 5.7600928, 31.6373130, 191.2890000", \
+					  "1.0209872, 1.0350388, 1.1261810, 1.7196897, 5.7590346, 31.6470260, 191.3243900", \
+					  "1.0201003, 1.0351705, 1.1284071, 1.7197649, 5.7591696, 31.6463870, 191.2716400", \
+					  "1.0206455, 1.0359966, 1.1276803, 1.7203441, 5.7600742, 31.6442520, 191.2831400", \
+					  "1.0207594, 1.0352842, 1.1277648, 1.7203248, 5.7593343, 31.6342370, 191.3983300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("101.4009900, 101.4156500, 101.4744300, 101.8714100, 104.5282800, 122.3378200, 158.6917500", \
+					  "101.5676000, 101.5818800, 101.6471700, 102.0444000, 104.7021800, 122.5267100, 158.8443700", \
+					  "101.7153900, 101.7266800, 101.7934700, 102.1948500, 104.8465100, 122.6786100, 158.9854400", \
+					  "101.8357700, 101.8499900, 101.9154400, 102.3241800, 104.9696800, 122.7778300, 159.1615300", \
+					  "101.9435500, 101.9451300, 102.0232500, 102.4319900, 105.0649600, 122.8862800, 159.2782200", \
+					  "102.0246500, 102.0386100, 102.1044700, 102.5140600, 105.1590900, 122.8705700, 159.3618900", \
+					  "102.1163500, 102.1301200, 102.1946600, 102.6043700, 105.2503000, 122.9647800, 159.4455600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("124.4104300, 124.4088100, 124.3796700, 124.2519400, 123.8846900, 122.0805200, 109.8625900", \
+					  "124.4102500, 124.4092700, 124.3841900, 124.2520300, 123.8904300, 122.0631000, 109.7961500", \
+					  "124.4152700, 124.4109500, 124.3874700, 124.2285500, 123.8924300, 122.1480900, 109.7943800", \
+					  "124.4130600, 124.4129900, 124.3886300, 124.3265800, 123.8956700, 122.0884200, 109.8413000", \
+					  "124.4229800, 124.4011500, 124.3961200, 124.2884800, 123.8731500, 122.0864000, 109.8428900", \
+					  "124.4076100, 124.4077800, 124.3783900, 124.2994300, 123.8885700, 122.1228000, 109.8475300", \
+					  "124.3932100, 124.3926800, 124.3802500, 124.2638100, 123.8754100, 122.1330700, 109.8255300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.7868470, 16.9800480, 18.1957930, 25.6070580, 71.0227610, 350.2816800, 2071.9003000", \
+					  "16.8752780, 17.0567740, 18.2812790, 25.6921190, 71.1256120, 350.3875800, 2072.4399000", \
+					  "16.9282170, 17.1199890, 18.3366900, 25.7503000, 71.1918990, 350.4508500, 2072.1675000", \
+					  "16.9545960, 17.1490250, 18.3710110, 25.7702620, 71.1391830, 350.4714800, 2072.5522000", \
+					  "16.9941680, 17.1844440, 18.4057910, 25.8196560, 71.2177840, 350.5024300, 2072.1970000", \
+					  "17.0100680, 17.2045380, 18.4319190, 25.8394690, 71.2452820, 350.5315400, 2071.9456000", \
+					  "17.0331590, 17.2268810, 18.4499580, 25.8632320, 71.2643460, 350.5586500, 2071.9001000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5863400, 14.9701780, 17.3284710, 31.8822020, 121.9285700, 678.0618900, 4110.8729000", \
+					  "14.5778310, 14.9712260, 17.3275010, 31.8857860, 122.0303000, 678.4001100, 4109.5755000", \
+					  "14.5827890, 14.9708420, 17.3296470, 31.9061440, 121.9996800, 677.7885900, 4109.4740000", \
+					  "14.5852200, 14.9688920, 17.3251670, 31.9331010, 122.1390700, 678.1493800, 4111.5477000", \
+					  "14.5873660, 14.9716260, 17.3293150, 31.9193210, 122.0190200, 678.2349300, 4109.6391000", \
+					  "14.5872900, 14.9667030, 17.3292080, 31.8838790, 121.9908800, 679.0090200, 4111.0329000", \
+					  "14.5878690, 14.9606730, 17.3260390, 31.8845470, 122.0231800, 679.0536400, 4107.8465000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("12.9937550, 13.0385800, 13.3483400, 15.1751610, 20.2751020, 39.5199640, 82.5361890", \
+					  "13.1576140, 13.2086580, 13.5180360, 15.3484820, 20.4447290, 39.6933760, 82.7055690", \
+					  "13.3024270, 13.3486310, 13.6622660, 15.4835100, 20.5834990, 39.8350400, 82.8445080", \
+					  "13.4212860, 13.4715850, 13.7811400, 15.6068350, 20.7075190, 39.9544610, 82.9662880", \
+					  "13.5263650, 13.5766490, 13.8856990, 15.7121040, 20.8127470, 40.0598670, 83.0709010", \
+					  "13.6215510, 13.6718180, 13.9816190, 15.8070130, 20.9078320, 40.1551250, 83.1670020", \
+					  "13.7189290, 13.7692350, 14.0789360, 15.9045030, 21.0044040, 40.2523100, 83.2656180");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0220155, 3.0906639, 3.5292336, 5.0934585, 6.9763235, 29.0333800, 48.3920030", \
+					  "3.0212630, 3.0914646, 3.5302319, 5.0866298, 6.9663830, 29.0326660, 48.3970520", \
+					  "3.0219736, 3.0903315, 3.5298540, 5.0934528, 6.9763360, 29.0326800, 48.3935030", \
+					  "3.0219782, 3.0910834, 3.5298169, 5.0940332, 6.9766477, 29.0326890, 48.3964440", \
+					  "3.0218965, 3.0914507, 3.5313488, 5.0939564, 6.9768435, 29.0327660, 48.4046900", \
+					  "3.0219662, 3.0903431, 3.5290251, 5.0942224, 6.9762600, 29.0324120, 48.3923050", \
+					  "3.0220291, 3.0906564, 3.5293179, 5.0935300, 6.9758705, 29.0338880, 48.3865850");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6451805, 7.6451810, 7.6451815, 7.6451819, 7.6451824, 7.6451829, 7.6451834", \
+					  "7.7423206, 7.7423208, 7.7423213, 7.7423218, 7.7423223, 7.7423227, 7.7423232", \
+					  "7.8034441, 7.8034442, 7.8034447, 7.8034452, 7.8034456, 7.8034461, 7.8034466", \
+					  "7.8441937, 7.8441942, 7.8441947, 7.8441952, 7.8441957, 7.8441961, 7.8441966", \
+					  "7.8776532, 7.8776534, 7.8776539, 7.8776544, 7.8776548, 7.8776553, 7.8776558", \
+					  "7.8722886, 7.8875582, 7.8875583, 7.8875587, 7.8875592, 7.8875597, 7.8875602", \
+					  "7.9265083, 7.9265087, 7.9265092, 7.9265097, 7.9265101, 7.9265106, 7.9265111");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4472282, 7.4472287, 7.4860287, 7.4860290, 7.4860294, 7.4860299, 7.4860304", \
+					  "7.5538447, 7.5560758, 7.5560759, 7.5560763, 7.5560768, 7.5560773, 7.5560778", \
+					  "7.6070510, 7.6089064, 7.6089066, 7.6089070, 7.6089075, 7.6089080, 7.6089085", \
+					  "7.6474285, 7.6474288, 7.6474293, 7.6474298, 7.6474302, 7.6474307, 7.6474312", \
+					  "7.6770386, 7.6775795, 7.6775797, 7.6775802, 7.6775806, 7.6775811, 7.6775816", \
+					  "7.7005122, 7.7048634, 7.7048638, 7.7048643, 7.7048648, 7.7048653, 7.7048657", \
+					  "7.7195885, 7.7209030, 7.7209032, 7.7209037, 7.7209042, 7.7209046, 7.7209051");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2690000, 10.3182540, 10.6262190, 12.5237230, 24.1336690, 95.6630610, 416.2658600", \
+					  "10.3613040, 10.4060110, 10.7166120, 12.6138860, 24.2248830, 95.7793490, 416.3678400", \
+					  "10.4124500, 10.4608490, 10.7686160, 12.6661980, 24.2759630, 95.8184780, 416.3652000", \
+					  "10.4508360, 10.5000850, 10.8080300, 12.7056380, 24.3136320, 95.8446050, 416.4573700", \
+					  "10.4740610, 10.5275190, 10.8377710, 12.7355920, 24.3465110, 95.8869610, 416.4823500", \
+					  "10.5069660, 10.5562280, 10.8638900, 12.7616640, 24.3692390, 95.9140540, 416.4568800", \
+					  "10.5221900, 10.5728090, 10.8864990, 12.7832540, 24.3939340, 95.9311210, 416.5378300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0333542, 3.1105307, 3.5946868, 6.6021166, 24.9994350, 138.6499100, 350.4063700", \
+					  "3.0327538, 3.1128340, 3.5940444, 6.6032264, 25.0123300, 138.8229300, 350.6429800", \
+					  "3.0333300, 3.1105223, 3.5946788, 6.6021055, 25.0003360, 138.7015400, 350.5986100", \
+					  "3.0333562, 3.1105294, 3.5946826, 6.6021246, 24.9961100, 138.6439300, 350.6326600", \
+					  "3.0331213, 3.1127776, 3.5940295, 6.6034032, 24.9975670, 138.6884600, 350.6298500", \
+					  "3.0333387, 3.1105254, 3.5947134, 6.6022134, 24.9958370, 138.6795200, 350.5947500", \
+					  "3.0335021, 3.1106184, 3.5940400, 6.6033250, 24.9968350, 138.9116900, 350.6297300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.6014190, 20.7969230, 21.9653980, 29.1687170, 73.2635710, 344.7788400, 2020.3402000", \
+					  "20.7585080, 20.9554760, 22.1314520, 29.3706300, 73.4784820, 344.8718600, 2018.9307000", \
+					  "20.9028850, 21.0979910, 22.2815860, 29.4915560, 73.5659570, 345.0505200, 2019.8647000", \
+					  "21.0216320, 21.2173590, 22.3963530, 29.5962130, 73.7902400, 345.2570100, 2021.8590000", \
+					  "21.1211740, 21.3179610, 22.4997550, 29.6981000, 73.8192510, 345.2871300, 2018.7988000", \
+					  "21.2344290, 21.4272240, 22.5915380, 29.8316460, 73.9108050, 345.3646400, 2021.8442000", \
+					  "21.3343440, 21.5264200, 22.7051370, 29.9341250, 74.0974140, 345.5541000, 2022.8131000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5321830, 13.8919500, 16.2002360, 30.4224520, 118.3913300, 660.4404300, 4005.7666000", \
+					  "13.5304820, 13.8951580, 16.2012930, 30.4249000, 118.3448100, 660.5402500, 4008.1768000", \
+					  "13.5320350, 13.8845890, 16.2028490, 30.4211380, 118.3667800, 660.5262100, 4005.1600000", \
+					  "13.5321920, 13.8886350, 16.1972960, 30.4216880, 118.4335400, 660.7618800, 4003.4297000", \
+					  "13.5311340, 13.8927090, 16.2018130, 30.3962300, 118.4544900, 660.4096800, 4002.8926000", \
+					  "13.5253120, 13.8967910, 16.2008560, 30.4247900, 118.4185100, 660.9192300, 4005.8640000", \
+					  "13.5213030, 13.8997960, 16.1884530, 30.4251240, 118.6128000, 660.4755600, 4005.4588000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6139743, 7.6139744, 7.6233428, 7.6233433, 7.6233438, 7.6233442, 7.6233447", \
+					  "7.7297138, 7.7315168, 7.7315171, 7.7315176, 7.7315181, 7.7315186, 7.7315190", \
+					  "7.7866149, 7.7866152, 7.7866157, 7.7866161, 7.7866166, 7.7866171, 7.7866176", \
+					  "7.8303390, 7.8303392, 7.8303397, 7.8303402, 7.8303407, 7.8303412, 7.8303416", \
+					  "7.8628615, 7.8628619, 7.8628624, 7.8628629, 7.8628634, 7.8628638, 7.8628643", \
+					  "7.8921323, 7.8921326, 7.8921330, 7.8921335, 7.8921340, 7.8921345, 7.8921350", \
+					  "7.9105655, 7.9105657, 7.9105661, 7.9105666, 7.9105671, 7.9105676, 7.9105680");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9388176, 7.9388178, 7.9442408, 7.9442409, 7.9442413, 7.9442418, 7.9442423", \
+					  "8.0312255, 8.0342160, 8.0342165, 8.0342174, 8.0342184, 8.0342193, 8.0878344", \
+					  "8.0892839, 8.0892845, 8.0892854, 8.0892864, 8.0892873, 8.0892883, 8.1297520", \
+					  "8.1293567, 8.1336102, 8.1336103, 8.1336113, 8.1336122, 8.1336132, 8.1717415", \
+					  "8.1658955, 8.1665652, 8.1665655, 8.1665664, 8.1665674, 8.1665683, 8.2210310", \
+					  "8.1833980, 8.1927549, 8.1927553, 8.1927562, 8.1927572, 8.1927581, 8.2554097", \
+					  "8.2116909, 8.2120808, 8.2120815, 8.2157889, 8.2157894, 8.2157903, 8.2695299");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("12.9036980, 12.9562660, 13.2754020, 15.1636660, 26.5596470, 93.2210900, 184.8425300", \
+					  "13.0710570, 13.1234850, 13.4414440, 15.3291690, 26.6963410, 93.3918830, 185.0056700", \
+					  "13.2135330, 13.2654170, 13.5837550, 15.4716630, 26.8672070, 93.5332240, 185.1503000", \
+					  "13.3334620, 13.3850340, 13.7035150, 15.5913950, 26.9817340, 93.6531920, 185.2743600", \
+					  "13.4386860, 13.4894410, 13.8081810, 15.6951390, 27.0623170, 93.7606540, 185.3716000", \
+					  "13.5348470, 13.5867140, 13.9061860, 15.7895990, 27.1702040, 93.8538850, 185.4172600", \
+					  "13.6346730, 13.6906180, 14.0018970, 15.8919460, 27.2724050, 93.9491190, 185.5589000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0565914, 3.1226846, 3.5761432, 6.3948935, 24.2517530, 97.1871760, 63.6884540", \
+					  "3.0558742, 3.1234235, 3.5686092, 6.3957897, 24.2805810, 97.2322450, 63.6621140", \
+					  "3.0558096, 3.1235090, 3.5686620, 6.3958638, 24.3030150, 97.1901530, 63.6593920", \
+					  "3.0560527, 3.1231773, 3.5684602, 6.3956631, 24.3031460, 97.1876300, 63.6282990", \
+					  "3.0547136, 3.1259952, 3.5682390, 6.3955610, 24.2803090, 97.2388610, 63.6758130", \
+					  "3.0571790, 3.1293643, 3.5729515, 6.3869048, 24.2987820, 97.2148800, 63.6905990", \
+					  "3.0565828, 3.1236176, 3.5764456, 6.3948233, 24.3004660, 97.1767590, 63.6722750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9387554, 7.9453155, 7.9453156, 7.9453161, 7.9453166, 7.9453171, 7.9453176", \
+					  "8.0346965, 8.0356806, 8.0356813, 8.0356823, 8.0356832, 8.0356842, 8.0835703", \
+					  "8.0954257, 8.0954261, 8.0954271, 8.0954280, 8.0954290, 8.0954299, 8.1683673", \
+					  "8.1337603, 8.1351299, 8.1351305, 8.1351314, 8.1351324, 8.1351333, 8.1804208", \
+					  "8.1621181, 8.1705060, 8.1705061, 8.1705070, 8.1705080, 8.1705089, 8.2215283", \
+					  "8.1908507, 8.1945304, 8.1945310, 8.1945320, 8.1945329, 8.1945339, 8.2504554", \
+					  "8.2105149, 8.2136244, 8.2136245, 8.2136255, 8.2136264, 8.2136274, 8.2722886");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("12.8704850, 12.9216240, 13.2290150, 15.0515600, 20.2327200, 39.5703490, 141.5209600", \
+					  "13.0354430, 13.0866620, 13.3954810, 15.2201080, 20.3989060, 39.7370100, 141.6731000", \
+					  "13.1774030, 13.2285070, 13.5371830, 15.3635910, 20.5412200, 39.8790260, 141.8211800", \
+					  "13.2978200, 13.3484160, 13.6570900, 15.4833500, 20.6615380, 40.0123810, 141.9525700", \
+					  "13.4024260, 13.4525710, 13.7619030, 15.5882630, 20.7657570, 40.1177510, 142.1005200", \
+					  "13.4984150, 13.5508000, 13.8587740, 15.6838720, 20.8609630, 40.2048310, 142.1949100", \
+					  "13.5964790, 13.6506720, 13.9603160, 15.7842500, 20.9567440, 40.3140810, 142.2502200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0292144, 3.1006064, 3.5360388, 5.1181774, 7.0409003, 32.0401020, 98.0715460", \
+					  "3.0304481, 3.1004725, 3.5360532, 5.1175197, 7.0411530, 32.0415160, 98.0639210", \
+					  "3.0304599, 3.0996314, 3.5360959, 5.1174669, 7.0406476, 32.0423400, 98.0697480", \
+					  "3.0319198, 3.1024823, 3.5355259, 5.1100635, 7.0443940, 32.0534460, 98.0652080", \
+					  "3.0318763, 3.1023828, 3.5349639, 5.1164117, 7.0464816, 32.0525790, 98.0716520", \
+					  "3.0269609, 3.1007190, 3.5363497, 5.1128182, 7.0305135, 32.0453350, 98.0232500", \
+					  "3.0273934, 3.1006704, 3.5357204, 5.1147322, 7.0397372, 32.0527570, 98.0489080");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8073250, 16.9990080, 18.1982710, 25.5491970, 70.8071470, 349.8034600, 2071.4613000", \
+					  "16.9768150, 17.1644640, 18.3643060, 25.6905310, 70.9504280, 349.9522700, 2071.9363000", \
+					  "17.1184240, 17.3102070, 18.5109370, 25.8359890, 71.0917690, 350.0808000, 2071.3317000", \
+					  "17.2310450, 17.4251350, 18.6183640, 25.9557670, 71.2159580, 350.2104800, 2072.0106000", \
+					  "17.3415700, 17.5254670, 18.7171150, 26.0597520, 71.3097780, 350.3578800, 2072.2644000", \
+					  "17.4330560, 17.6262970, 18.8189550, 26.2031210, 71.3902110, 350.4382600, 2071.7068000", \
+					  "17.5401150, 17.7283950, 18.9257020, 26.2581800, 71.5136890, 350.5116400, 2072.3714000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5838020, 14.9704940, 17.3260850, 31.8965870, 122.1512100, 678.3201900, 4111.0038000", \
+					  "14.5851990, 14.9715170, 17.3316540, 31.8872570, 121.9900100, 678.2598800, 4110.7951000", \
+					  "14.5850670, 14.9699860, 17.3318550, 31.8874350, 121.9964900, 678.0593400, 4109.9802000", \
+					  "14.5839010, 14.9698420, 17.3301640, 31.8736890, 121.9839600, 678.1081100, 4109.9192000", \
+					  "14.5866720, 14.9692580, 17.3301500, 31.8873920, 121.9910900, 678.9530300, 4112.1311000", \
+					  "14.5884900, 14.9748760, 17.3311210, 31.8788730, 122.0696600, 677.9801500, 4110.7455000", \
+					  "14.5850800, 14.9699750, 17.3333380, 31.8868060, 121.9942600, 677.6654700, 4110.9934000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.3874600, 14.4364050, 14.7478500, 16.5767520, 21.6910700, 40.9388540, 83.9553420", \
+					  "14.5524870, 14.6027510, 14.9190100, 16.7396820, 21.8549410, 41.1030570, 84.1184400", \
+					  "14.6957260, 14.7450350, 15.0553280, 16.8830480, 21.9969820, 41.2440540, 84.2426290", \
+					  "14.8099860, 14.8707110, 15.1701620, 16.9982590, 22.1134930, 41.3710130, 84.3790960", \
+					  "14.9148300, 14.9606050, 15.2717680, 17.1130060, 22.2131260, 41.4659420, 84.4782950", \
+					  "15.0199640, 15.0602290, 15.3792390, 17.1986800, 22.3232600, 41.5728540, 84.5792200", \
+					  "15.1157900, 15.1633180, 15.4756650, 17.3020820, 22.4175360, 41.6661900, 84.6848490");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0275791, 3.0977760, 3.5360979, 5.1077769, 6.9859509, 29.0348100, 48.3981570", \
+					  "3.0275640, 3.0982494, 3.5361338, 5.1077831, 6.9860171, 29.0348010, 48.3983630", \
+					  "3.0267551, 3.0977723, 3.5356402, 5.1069455, 6.9843202, 29.0367290, 48.3462410", \
+					  "3.0279239, 3.0987086, 3.5358598, 5.1077853, 6.9900068, 29.0348120, 48.3880600", \
+					  "3.0271354, 3.0977689, 3.5362346, 5.1081979, 6.9853305, 29.0352330, 48.3927100", \
+					  "3.0275280, 3.0977758, 3.5362699, 5.1077884, 6.9838335, 29.0341880, 48.4067830", \
+					  "3.0279239, 3.0977716, 3.5358554, 5.1074820, 6.9853487, 29.0363200, 48.3881130");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.3588470, 16.5493270, 17.7374200, 25.0846700, 70.3608620, 349.3438500, 2070.7730000", \
+					  "16.4417030, 16.6384060, 17.8286470, 25.1777660, 70.4844330, 349.4741600, 2070.4606000", \
+					  "16.4979050, 16.6906680, 17.9198270, 25.2324420, 70.4448130, 349.5210600, 2071.0179000", \
+					  "16.5371130, 16.7621010, 17.9201400, 25.2689120, 70.5529860, 349.5800300, 2071.2157000", \
+					  "16.5709460, 16.7604030, 17.9486270, 25.2994050, 70.5715020, 349.5526900, 2071.0272000", \
+					  "16.5904040, 16.7855190, 17.9837800, 25.3273280, 70.6089690, 349.6294000, 2070.9413000", \
+					  "16.6432150, 16.8125070, 17.9952890, 25.3440040, 70.6062690, 349.6132300, 2070.9781000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5903340, 14.9731730, 17.3326010, 31.8936840, 122.0016900, 677.7146400, 4108.3567000", \
+					  "14.5873520, 14.9723030, 17.3297840, 31.8941100, 122.1157600, 678.2068500, 4110.2985000", \
+					  "14.5891890, 14.9657940, 17.3261410, 31.9173380, 122.1418100, 678.7243500, 4109.5946000", \
+					  "14.5935800, 14.9715690, 17.3301090, 31.8942450, 122.1220700, 677.7584600, 4110.2444000", \
+					  "14.5927880, 14.9729490, 17.3335830, 31.8946580, 122.0171300, 677.6402300, 4109.4640000", \
+					  "14.5899410, 14.9699420, 17.3222770, 31.8755160, 122.1287000, 677.7626100, 4108.6787000", \
+					  "14.5919150, 14.9725330, 17.3306990, 31.8767450, 121.9261600, 678.3223500, 4111.0470000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.1030000, 20.2834460, 21.4597280, 28.5921440, 72.7219320, 343.9115200, 2018.8775000", \
+					  "20.2725940, 20.4665740, 21.6309410, 28.8129340, 72.8333090, 344.0825400, 2019.4300000", \
+					  "20.4220850, 20.5914560, 21.7723390, 28.8993080, 73.0309610, 344.5187500, 2019.1007000", \
+					  "20.5414100, 20.7303530, 21.8938680, 29.0720500, 73.0234930, 344.5923700, 2020.3888000", \
+					  "20.6453040, 20.8357810, 21.9881220, 29.1823380, 73.1372440, 344.4592100, 2020.0080000", \
+					  "20.7401100, 20.9305280, 22.0935310, 29.2779700, 73.2318170, 344.5762200, 2019.9113000", \
+					  "20.8356890, 21.0276100, 22.1899580, 29.3745440, 73.3935930, 344.6331800, 2019.0905000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5164570, 13.8924920, 16.2097450, 30.4044330, 118.3896800, 660.4478200, 4008.6661000", \
+					  "13.5375170, 13.9090340, 16.2092770, 30.4030190, 118.3618000, 660.4578300, 4008.0790000", \
+					  "13.5208370, 13.8939140, 16.2098260, 30.4019070, 118.4009100, 660.2271600, 4009.3660000", \
+					  "13.5221020, 13.9096810, 16.1967240, 30.3830030, 118.5158600, 661.2447100, 4009.2973000", \
+					  "13.5275670, 13.9064190, 16.1873700, 30.3892730, 118.4651900, 660.4614100, 4010.9089000", \
+					  "13.5272950, 13.9069040, 16.1913630, 30.3931490, 118.4515700, 660.4717600, 4009.6616000", \
+					  "13.5364090, 13.8998870, 16.2038810, 30.3899590, 118.4238300, 660.4402100, 4007.8471000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9879428, 7.9884979, 7.9890512, 7.9890516, 7.9890521, 7.9890526, 8.0217726", \
+					  "8.0372891, 8.0372892, 8.0372901, 8.0826617, 8.0826622, 8.0826631, 8.0826641", \
+					  "8.1451903, 8.1451908, 8.1452685, 8.1452690, 8.1452699, 8.1452709, 8.1539344", \
+					  "8.1837905, 8.1837907, 8.1837917, 8.1837926, 8.1837936, 8.1837945, 8.2004661", \
+					  "8.2193097, 8.2208480, 8.2208486, 8.2208496, 8.2208505, 8.2208515, 8.2371034", \
+					  "8.1623392, 8.1632408, 8.2624565, 8.2664799, 8.2664800, 8.2664810, 8.2664819", \
+					  "8.2685014, 8.2685663, 8.2685667, 8.2685676, 8.2685686, 8.2685695, 8.2830338");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4476243, 7.4544735, 7.4863173, 7.4863174, 7.4863179, 7.4863184, 7.4863189", \
+					  "7.5526971, 7.5526975, 7.5526979, 7.5526984, 7.5526989, 7.5526994, 7.5526999", \
+					  "7.6063884, 7.6079774, 7.6079777, 7.6079782, 7.6079786, 7.6079791, 7.6079796", \
+					  "7.6469471, 7.6469906, 7.6469911, 7.6469915, 7.6469920, 7.6469925, 7.6469930", \
+					  "7.6730693, 7.6777686, 7.6777690, 7.6777695, 7.6777699, 7.6777704, 7.6777709", \
+					  "7.7005238, 7.7028002, 7.7028006, 7.7028010, 7.7028015, 7.7028020, 7.7028025", \
+					  "7.7192910, 7.7210762, 7.7210763, 7.7210768, 7.7210773, 7.7210777, 7.7210782");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7720160, 10.8227240, 11.1322880, 13.0294750, 24.6427980, 96.1672270, 416.8515800", \
+					  "10.9377860, 10.9841500, 11.2995040, 13.1912950, 24.8108480, 96.3397750, 416.9884300", \
+					  "11.0838910, 11.1289120, 11.4400360, 13.3383950, 24.9541210, 96.4817420, 417.1391500", \
+					  "11.2004820, 11.2498150, 11.5668380, 13.4609650, 25.0718650, 96.5994860, 417.2875900", \
+					  "11.3088480, 11.3489430, 11.6565280, 13.5621580, 25.1720870, 96.6909180, 417.3179800", \
+					  "11.3998510, 11.4539110, 11.7656930, 13.6566150, 25.2875550, 96.8053370, 417.4963500", \
+					  "11.5041020, 11.5536530, 11.8619000, 13.7596450, 25.3761510, 96.8995270, 417.5886900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0345866, 3.1117524, 3.5955501, 6.6025239, 25.0077900, 138.6749500, 350.7109100", \
+					  "3.0351961, 3.1115912, 3.5955654, 6.6023946, 25.0016720, 138.7178500, 350.7078400", \
+					  "3.0338037, 3.1116105, 3.5955545, 6.6023427, 25.0078610, 138.7371900, 350.7036900", \
+					  "3.0349177, 3.1117624, 3.5959351, 6.6050552, 25.0081960, 138.6522700, 350.7302900", \
+					  "3.0350682, 3.1128671, 3.5955647, 6.6024497, 25.0016610, 138.6862500, 350.6940800", \
+					  "3.0341294, 3.1116099, 3.5955281, 6.6020829, 25.0082340, 138.6769800, 350.7130400", \
+					  "3.0337992, 3.1128686, 3.5955232, 6.6024239, 25.0079420, 138.7243000, 350.7131500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.1611360, 10.1631950, 10.1631952, 10.2599980, 10.2599988, 10.2599998, 10.2722610", \
+					  "10.3976750, 10.4019240, 10.4019247, 10.4019256, 10.4019266, 10.4019275, 10.4219330", \
+					  "10.3781050, 10.4542010, 10.4551370, 10.4551378, 10.4551387, 10.4551397, 10.5347150", \
+					  "10.4151460, 10.4912460, 10.4912468, 10.4912477, 10.4912487, 10.4912496, 10.5009640", \
+					  "10.5461200, 10.5461202, 10.5461212, 10.5461221, 10.5461231, 10.5461240, 10.5461250", \
+					  "10.5196660, 10.5196662, 10.5196672, 10.5196682, 10.5196691, 10.5196701, 10.5317440", \
+					  "10.5026340, 10.5026346, 10.5030060, 10.5030065, 10.5030075, 10.5030084, 10.5030094");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.3588590, 16.5493880, 17.7445400, 25.0859580, 70.3644790, 349.3515000, 2071.2218000", \
+					  "16.4421900, 16.6384060, 17.8286560, 25.1933730, 70.4840630, 349.4062900, 2070.9888000", \
+					  "16.4979160, 16.6906810, 17.9194970, 25.2286480, 70.5379360, 349.5298100, 2070.8237000", \
+					  "16.5371240, 16.7621080, 17.9201490, 25.2689300, 70.5512730, 349.5792700, 2070.6805000", \
+					  "16.5702140, 16.7603100, 17.9486790, 25.2992130, 70.5794750, 349.5555600, 2071.2000000", \
+					  "16.5924750, 16.7855280, 17.9837710, 25.3273210, 70.6249140, 349.6302800, 2071.5136000", \
+					  "16.6429540, 16.8124800, 17.9951240, 25.3441530, 70.6306270, 349.6086800, 2071.0388000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5914120, 14.9727980, 17.3281230, 31.9174940, 121.9449100, 677.8292300, 4111.4531000", \
+					  "14.5874160, 14.9732650, 17.3296420, 31.9044420, 122.0177100, 678.0915100, 4110.3140000", \
+					  "14.5891240, 14.9663110, 17.3290480, 31.8996460, 122.0689100, 678.2227000, 4108.2913000", \
+					  "14.5912890, 14.9716770, 17.3302030, 31.8930870, 122.1582400, 679.0916700, 4108.9688000", \
+					  "14.5913010, 14.9713270, 17.3331980, 31.8732520, 122.1557800, 678.0997500, 4110.5513000", \
+					  "14.5799480, 14.9655130, 17.3223180, 31.8765670, 121.9624600, 677.7914900, 4112.4072000", \
+					  "14.5916200, 14.9734300, 17.3308000, 31.8760160, 121.9192000, 678.2706800, 4109.8751000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.1070950, 20.2972770, 21.4590590, 28.6464930, 72.5997470, 344.0860900, 2019.1419000", \
+					  "20.2777370, 20.4643340, 21.6307900, 28.8128450, 72.8211020, 344.0782700, 2018.7531000", \
+					  "20.4225700, 20.5915780, 21.7739020, 28.8965620, 72.9631680, 344.5233600, 2019.5291000", \
+					  "20.5417090, 20.7297980, 21.8932000, 29.0699450, 73.0205370, 344.4126300, 2019.3750000", \
+					  "20.6455580, 20.8358410, 21.9979640, 29.1816460, 73.2472070, 344.4508300, 2020.4541000", \
+					  "20.7404330, 20.9304790, 22.0925940, 29.2767730, 73.2287400, 344.5797700, 2020.4957000", \
+					  "20.8362270, 21.0276100, 22.1892580, 29.3735680, 73.3300350, 344.6376700, 2019.2415000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5271070, 13.9038020, 16.2073640, 30.4041980, 118.4503400, 660.4136000, 4008.2896000", \
+					  "13.5234800, 13.8938780, 16.2095560, 30.4013310, 118.4670900, 660.7720600, 4008.8148000", \
+					  "13.5229940, 13.8934230, 16.1951110, 30.3954410, 118.4687700, 660.2643900, 4007.9235000", \
+					  "13.5271070, 13.9098990, 16.2001650, 30.3813080, 118.5279700, 660.5240500, 4006.7589000", \
+					  "13.5252960, 13.9061040, 16.1942530, 30.3867400, 118.6613500, 660.4402600, 4007.3010000", \
+					  "13.5236260, 13.9066570, 16.1948320, 30.3879410, 118.4714900, 660.5569600, 4009.1036000", \
+					  "13.5340020, 13.8996400, 16.2005520, 30.3864840, 118.4590600, 660.4374200, 4009.4365000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2452780, 10.2950100, 10.6010580, 12.4989010, 24.1074180, 95.6487370, 416.2850500", \
+					  "10.3355970, 10.3854650, 10.6912880, 12.5901320, 24.1975990, 95.7537410, 416.3273400", \
+					  "10.3885510, 10.4379240, 10.7433970, 12.6416910, 24.2519660, 95.7870580, 416.4068900", \
+					  "10.4192900, 10.4694400, 10.7851510, 12.6844240, 24.2922300, 95.8178320, 416.4115000", \
+					  "10.4491870, 10.4994950, 10.8141670, 12.7110540, 24.3216650, 95.8500340, 416.4471600", \
+					  "10.4832410, 10.5331550, 10.8391530, 12.7377720, 24.3452510, 95.9033600, 416.4956500", \
+					  "10.4975420, 10.5489540, 10.8616880, 12.7600380, 24.3707790, 95.8907690, 416.5586100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0351883, 3.1117864, 3.5956058, 6.6035843, 24.9955080, 138.6811200, 350.6992500", \
+					  "3.0351621, 3.1128515, 3.5956145, 6.6024750, 24.9955490, 138.6436600, 350.6567600", \
+					  "3.0339660, 3.1128531, 3.5956036, 6.6033126, 24.9994180, 138.9128500, 350.6733900", \
+					  "3.0350202, 3.1121801, 3.5959584, 6.6038330, 24.9978720, 138.8673900, 350.5240600", \
+					  "3.0349592, 3.1121803, 3.5950634, 6.6036328, 24.9979680, 138.8666200, 350.6844500", \
+					  "3.0351579, 3.1128486, 3.5956158, 6.6024808, 24.9955170, 138.8116600, 350.6573900", \
+					  "3.0341012, 3.1116021, 3.5955943, 6.6024737, 24.9955360, 138.6442200, 350.6825400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("9.0094563, 9.0129255, 9.0129257, 9.0137213, 9.0137220, 9.0137229, 9.0137239", \
+					  "9.1000727, 9.1000734, 9.1000743, 9.1000753, 9.1000762, 9.1000772, 9.1371675", \
+					  "9.1622443, 9.1631351, 9.1632941, 9.1632944, 9.1800283, 9.1800285, 9.1800294", \
+					  "9.2018091, 9.2021617, 9.2021670, 9.2021671, 9.2021680, 9.2021690, 9.2139256", \
+					  "9.2331707, 9.2332435, 9.2332445, 9.2332454, 9.2332464, 9.2332473, 9.2650065", \
+					  "9.2612149, 9.2612157, 9.2615160, 9.2615161, 9.2615171, 9.2615181, 9.2737489", \
+					  "9.2816501, 9.2830312, 9.2830320, 9.2830329, 9.2830339, 9.2830349, 9.2949719");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.4090130, 10.4597440, 10.7738810, 12.7394840, 19.0919620, 39.1815180, 160.8077400", \
+					  "10.4993800, 10.5503400, 10.8646290, 12.8292810, 19.1768880, 39.2829700, 160.8954100", \
+					  "10.5537310, 10.6057400, 10.9196580, 12.8837910, 19.2299240, 39.3287360, 160.9612900", \
+					  "10.5904350, 10.6411970, 10.9556160, 12.9200010, 19.2732780, 39.3631860, 160.9967500", \
+					  "10.6222680, 10.6735160, 10.9882520, 12.9523710, 19.3046560, 39.3952140, 161.0252600", \
+					  "10.6463100, 10.6971110, 11.0114640, 12.9759490, 19.3292870, 39.4191130, 161.0521100", \
+					  "10.6693220, 10.7200230, 11.0346980, 12.9996020, 19.3471620, 39.4429310, 161.0725200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0904734, 3.1744325, 3.7006438, 5.6412284, 8.4094118, 33.4591840, 200.3282000", \
+					  "3.0895611, 3.1740173, 3.7005889, 5.6412470, 8.4200291, 33.4658740, 200.2219600", \
+					  "3.0889356, 3.1743954, 3.7005976, 5.6409162, 8.4200176, 33.4757960, 200.2193500", \
+					  "3.0904648, 3.1744127, 3.7006427, 5.6417702, 8.4097405, 33.4628860, 200.2645700", \
+					  "3.0892426, 3.1745235, 3.7003507, 5.6415099, 8.4155873, 33.4677890, 200.2141500", \
+					  "3.0904699, 3.1743996, 3.7006394, 5.6417711, 8.4097413, 33.4627510, 200.3303800", \
+					  "3.0904839, 3.1744414, 3.7005485, 5.6415415, 8.4190956, 33.4677460, 200.2122300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.1696230, 13.2217240, 13.5336390, 15.3672720, 20.3482510, 39.5872850, 82.5498820", \
+					  "13.3371990, 13.3880000, 13.6974890, 15.5365850, 20.5175730, 39.7387230, 82.7183510", \
+					  "13.4792040, 13.5315660, 13.8399860, 15.6788150, 20.6530810, 39.8966690, 82.8074680", \
+					  "13.5989580, 13.6503360, 13.9616510, 15.7982340, 20.7725840, 40.0090910, 82.9638150", \
+					  "13.7031270, 13.7538300, 14.0645500, 15.9010460, 20.8797090, 40.1203730, 83.0849700", \
+					  "13.7991560, 13.8499890, 14.1614390, 15.9990150, 20.9736740, 40.2104800, 83.1652900", \
+					  "13.9043570, 13.9545110, 14.2631170, 16.1060460, 21.0746440, 40.3067130, 83.2595010");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("2.9818810, 3.0542737, 3.4966572, 4.9699223, 6.9021366, 29.0086160, 48.4258780", \
+					  "2.9816785, 3.0540008, 3.4951264, 4.9723017, 6.8967103, 29.0041630, 48.3918150", \
+					  "2.9816947, 3.0527871, 3.4926395, 4.9722382, 6.9023232, 29.0085910, 48.3558940", \
+					  "2.9768925, 3.0502563, 3.4968621, 4.9722759, 6.8875443, 29.0093410, 48.4105160", \
+					  "2.9819121, 3.0537799, 3.4961496, 4.9725282, 6.8988983, 29.0085560, 48.3774440", \
+					  "2.9816380, 3.0539238, 3.4971250, 4.9725783, 6.8837491, 29.0084880, 48.4047540", \
+					  "2.9792353, 3.0529617, 3.4961418, 4.9699911, 6.8852106, 29.0356270, 48.4719780");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("9.0769934, 9.0810692, 9.0810695, 9.1082724, 9.1082731, 9.1082740, 9.2021706", \
+					  "9.2096331, 9.2096334, 9.2096344, 9.2096353, 9.2096363, 9.2096372, 9.2096382", \
+					  "9.2326253, 9.2383470, 9.2383476, 9.2383485, 9.2586259, 9.2586265, 9.3019823", \
+					  "9.2744781, 9.2752792, 9.3119246, 9.3119255, 9.3119264, 9.3119274, 9.3437960", \
+					  "9.3300132, 9.3300138, 9.3300148, 9.3300157, 9.3300167, 9.3300176, 9.3352561", \
+					  "9.3670494, 9.3715435, 9.3715444, 9.3715454, 9.3715463, 9.3715473, 9.4049071", \
+					  "9.3595780, 9.3600443, 9.3600450, 9.3600460, 9.3600469, 9.3600479, 9.4314005");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9973814, 7.9973815, 7.9973820, 7.9974969, 7.9974974, 7.9974978, 8.0564506", \
+					  "8.0858795, 8.0878086, 8.1115150, 8.1115156, 8.1119156, 8.1119161, 8.1214431", \
+					  "8.1554443, 8.1554447, 8.1554456, 8.1554466, 8.1554475, 8.1554485, 8.1554494", \
+					  "8.1899127, 8.1899133, 8.1899143, 8.1899152, 8.1899162, 8.1899171, 8.1987048", \
+					  "8.2280369, 8.2284073, 8.2284074, 8.2284084, 8.2284093, 8.2284103, 8.2549176", \
+					  "8.1967704, 8.2414067, 8.2414070, 8.2414079, 8.2414089, 8.2414098, 8.2414108", \
+					  "8.2770955, 8.2770963, 8.2770973, 8.2770982, 8.2770992, 8.2771001, 8.3065501");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4465381, 7.4545525, 7.5263031, 7.5263036, 7.5263041, 7.5263045, 7.5263050", \
+					  "7.5528632, 7.5528634, 7.5528639, 7.5528644, 7.5528648, 7.5528653, 7.5528658", \
+					  "7.6055731, 7.6079214, 7.6079219, 7.6079224, 7.6079228, 7.6079233, 7.6079238", \
+					  "7.6468307, 7.6469417, 7.6469420, 7.6469424, 7.6469429, 7.6469434, 7.6469439", \
+					  "7.6730382, 7.6777047, 7.6777051, 7.6777056, 7.6777061, 7.6777065, 7.6777070", \
+					  "7.7014501, 7.7025568, 7.7025569, 7.7025574, 7.7025579, 7.7025583, 7.7025588", \
+					  "7.7212103, 7.7212108, 7.7212112, 7.7212117, 7.7212122, 7.7212127, 7.7212132");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7684130, 10.8198940, 11.1296130, 13.0740770, 19.5337420, 39.6227730, 161.2220200", \
+					  "10.9308200, 10.9830780, 11.2998850, 13.2408290, 19.6949500, 39.7824460, 161.3954800", \
+					  "11.0803130, 11.1276510, 11.4411560, 13.3818700, 19.8405200, 39.9313010, 161.5522800", \
+					  "11.1918510, 11.2402280, 11.5539360, 13.4957130, 19.9547260, 40.0420430, 161.6590600", \
+					  "11.2925270, 11.3536200, 11.6562190, 13.5966180, 20.0538150, 40.1510330, 161.7643900", \
+					  "11.3970660, 11.4473520, 11.7532790, 13.7017070, 20.1670570, 40.2514250, 161.8647800", \
+					  "11.5038880, 11.5501590, 11.8598380, 13.8000090, 20.2566370, 40.3495300, 161.9711000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0867069, 3.1710989, 3.6961347, 5.7393761, 8.5402183, 33.4704890, 200.2882300", \
+					  "3.0867944, 3.1718670, 3.6961376, 5.7393845, 8.5407166, 33.4727130, 200.2141300", \
+					  "3.0868497, 3.1718701, 3.6960668, 5.7397540, 8.5404102, 33.4640550, 200.3427800", \
+					  "3.0868577, 3.1718697, 3.6960670, 5.7389808, 8.5355065, 33.4618310, 200.2207300", \
+					  "3.0865885, 3.1718705, 3.6961112, 5.7397549, 8.5376097, 33.4643850, 200.3339300", \
+					  "3.0865990, 3.1710665, 3.6961059, 5.7391150, 8.5405247, 33.4750470, 200.3188700", \
+					  "3.0866840, 3.1718725, 3.6960670, 5.7389808, 8.5404119, 33.4640400, 200.3392000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4539000, 21.6439790, 22.8031250, 29.9555690, 74.0797360, 345.5765200, 2020.5975000", \
+					  "21.6134470, 21.8046060, 22.9658990, 30.1076990, 74.2508990, 345.7475400, 2021.0168000", \
+					  "21.7631070, 21.9464610, 23.1120100, 30.2618840, 74.3763310, 345.8512500, 2019.5750000", \
+					  "21.8760570, 22.0705450, 23.2245810, 30.3721740, 74.5021890, 345.9678900, 2019.0050000", \
+					  "21.9857730, 22.1709410, 23.3342940, 30.4703680, 74.6042160, 346.0295700, 2022.3265000", \
+					  "22.0768580, 22.2741360, 23.4305250, 30.5831730, 74.7086870, 346.1790900, 2021.4727000", \
+					  "22.1829810, 22.3755800, 23.5323970, 30.6783610, 74.7973910, 346.2584700, 2021.6596000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5226120, 13.9047320, 16.1846840, 30.4229420, 118.5221900, 660.6964000, 4006.4747000", \
+					  "13.5183610, 13.9039990, 16.1834150, 30.3583790, 118.5051300, 660.7074900, 4006.9608000", \
+					  "13.5340440, 13.8786810, 16.1984470, 30.4227470, 118.4388700, 660.8615300, 4006.1095000", \
+					  "13.5216900, 13.8989830, 16.1834780, 30.4090190, 118.5153900, 660.8687500, 4005.4965000", \
+					  "13.5237350, 13.9118030, 16.1860180, 30.3889680, 118.4960300, 660.8052600, 4006.6575000", \
+					  "13.5340310, 13.9051110, 16.1859790, 30.4222430, 118.4221600, 660.8566400, 4006.0568000", \
+					  "13.5339170, 13.9121400, 16.1984450, 30.4227220, 118.4395200, 660.8650000, 4004.5261000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6106828, 7.6313844, 7.6313847, 7.6313851, 7.6313856, 7.6313861, 7.6313866", \
+					  "7.7306339, 7.7306340, 7.7306345, 7.7306350, 7.7306355, 7.7306359, 7.7306364", \
+					  "7.7885796, 7.7885798, 7.7885802, 7.7885807, 7.7885812, 7.7885817, 7.7885821", \
+					  "7.8288025, 7.8297591, 7.8297594, 7.8297599, 7.8297604, 7.8297608, 7.8297613", \
+					  "7.8628668, 7.8628672, 7.8628676, 7.8628681, 7.8628686, 7.8628691, 7.8628696", \
+					  "7.8802715, 7.8802717, 7.8802722, 7.8802727, 7.8802732, 7.8802736, 7.8802741", \
+					  "7.9108604, 7.9108608, 7.9108613, 7.9108618, 7.9108623, 7.9108627, 7.9108632");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9491187, 7.9491189, 8.0202671, 8.0421581, 8.0421587, 8.0421596, 8.0421606", \
+					  "8.0542755, 8.0646156, 8.0646158, 8.0646167, 8.0646177, 8.0646186, 8.1028153", \
+					  "8.1065066, 8.1158271, 8.1158281, 8.1365341, 8.1365343, 8.1365352, 8.1365362", \
+					  "8.1324050, 8.1337532, 8.1337533, 8.1337543, 8.1337553, 8.1337562, 8.1880946", \
+					  "8.1921465, 8.1950731, 8.1950737, 8.2070635, 8.2070642, 8.2070652, 8.2070661", \
+					  "8.2133180, 8.2133184, 8.2133194, 8.2133203, 8.2133213, 8.2133222, 8.2664524", \
+					  "8.2333287, 8.2333293, 8.2333303, 8.2333313, 8.2333322, 8.2333332, 8.2424014");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.3232180, 14.3749870, 14.6930600, 16.5802060, 27.9587230, 94.6428070, 186.2413400", \
+					  "14.4870790, 14.5443830, 14.8630780, 16.7540470, 28.1332170, 94.8133020, 186.4048200", \
+					  "14.6356180, 14.6875420, 15.0056430, 16.8937360, 28.2581710, 94.9567960, 186.5474700", \
+					  "14.7450220, 14.8019760, 15.1203480, 17.0067760, 28.3744140, 95.0671010, 186.6744400", \
+					  "14.8477730, 14.8977220, 15.2182850, 17.1056520, 28.5003110, 95.1665700, 186.7873200", \
+					  "14.9494120, 15.0058220, 15.3198890, 17.2077200, 28.5736630, 95.2718790, 186.8703200", \
+					  "15.0506040, 15.1097750, 15.4221280, 17.3121660, 28.6793240, 95.3729260, 186.9653100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0580363, 3.1283141, 3.5745642, 6.3952186, 24.2998470, 97.2209120, 63.6858890", \
+					  "3.0534870, 3.1264620, 3.5749481, 6.3888677, 24.3085410, 97.2274490, 63.7620730", \
+					  "3.0558851, 3.1286860, 3.5681049, 6.3957195, 24.2793310, 97.1901880, 63.6799840", \
+					  "3.0579885, 3.1258036, 3.5763172, 6.3952714, 24.2793430, 97.1825860, 63.6965680", \
+					  "3.0563774, 3.1287259, 3.5752465, 6.3953416, 24.2826420, 97.2276550, 63.7518060", \
+					  "3.0559890, 3.1290777, 3.5684720, 6.3952741, 24.2809450, 97.3387420, 63.7633950", \
+					  "3.0563245, 3.1259528, 3.5681063, 6.3952736, 24.2793340, 97.2266040, 63.6797850");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9669551, 7.9955820, 7.9955824, 7.9955829, 7.9955833, 7.9955838, 7.9955843", \
+					  "8.0673033, 8.0680236, 8.0680242, 8.0680252, 8.0806384, 8.0806385, 8.0808134", \
+					  "8.1248155, 8.1248165, 8.1248174, 8.1248184, 8.1248193, 8.1248203, 8.1360350", \
+					  "8.1661931, 8.1661935, 8.1669942, 8.1680565, 8.1748244, 8.1748252, 8.1780360", \
+					  "8.2044327, 8.2089713, 8.2089716, 8.2089725, 8.2089735, 8.2089744, 8.2100335", \
+					  "8.2023384, 8.2028029, 8.2349354, 8.2349363, 8.2349372, 8.2349382, 8.2430311", \
+					  "8.2582465, 8.2582469, 8.2582479, 8.2582489, 8.2582498, 8.2582508, 8.2898692");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9273992, 7.9720506, 7.9720510, 8.0399145, 8.0399147, 8.0399156, 8.0399166", \
+					  "8.0588363, 8.0695761, 8.0695768, 8.0695777, 8.0695787, 8.0695797, 8.1001907", \
+					  "8.1155500, 8.1191196, 8.1191202, 8.1407210, 8.1407218, 8.1407228, 8.1462250", \
+					  "8.1521954, 8.1521955, 8.1521965, 8.1858351, 8.1858354, 8.1858364, 8.1905922", \
+					  "8.1835374, 8.1973202, 8.1973205, 8.1973215, 8.1973224, 8.1973234, 8.1973243", \
+					  "8.2080929, 8.2118357, 8.2118364, 8.2118373, 8.2118383, 8.2118392, 8.2470635", \
+					  "8.2365457, 8.2365461, 8.2365470, 8.2365480, 8.2365490, 8.2365499, 8.2439957");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6460163, 7.6460164, 7.6460169, 7.6460174, 7.6460178, 7.6460183, 7.6460188", \
+					  "7.7423348, 7.7444016, 7.7444017, 7.7444022, 7.7444027, 7.7444032, 7.7444037", \
+					  "7.8034601, 7.8034604, 7.8034609, 7.8034614, 7.8034618, 7.8034623, 7.8034628", \
+					  "7.8442062, 7.8449078, 7.8449080, 7.8449085, 7.8449090, 7.8449095, 7.8449100", \
+					  "7.8776665, 7.8776668, 7.8776672, 7.8776677, 7.8776682, 7.8776687, 7.8776691", \
+					  "7.8723055, 7.8723057, 7.8992324, 7.8992327, 7.8992332, 7.8992336, 7.8992341", \
+					  "7.9238118, 7.9238122, 7.9238127, 7.9238132, 7.9238136, 7.9238141, 7.9238146");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4582971, 7.4582975, 7.4858342, 7.4858344, 7.4858349, 7.4858354, 7.4858358", \
+					  "7.5532194, 7.5532196, 7.5532201, 7.5532206, 7.5532210, 7.5532215, 7.5532220", \
+					  "7.6067472, 7.6085618, 7.6085623, 7.6085628, 7.6085632, 7.6085637, 7.6085642", \
+					  "7.6469870, 7.6477740, 7.6477745, 7.6477750, 7.6477755, 7.6477759, 7.6477764", \
+					  "7.6735045, 7.6784348, 7.6784351, 7.6784356, 7.6784361, 7.6784366, 7.6784370", \
+					  "7.6999997, 7.7034938, 7.7034939, 7.7034944, 7.7034948, 7.7034953, 7.7034958", \
+					  "7.7186444, 7.7217726, 7.7217730, 7.7217734, 7.7217739, 7.7217744, 7.7217749");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2387420, 10.2900440, 10.6003080, 12.5397740, 19.0000660, 39.0902630, 160.7065500", \
+					  "10.3305920, 10.3810650, 10.6920880, 12.6324260, 19.0933100, 39.1797460, 160.7986100", \
+					  "10.3816170, 10.4331220, 10.7446660, 12.6850490, 19.1435350, 39.2327630, 160.8533500", \
+					  "10.4206570, 10.4709250, 10.7834110, 12.7238380, 19.1818010, 39.2695510, 160.8935200", \
+					  "10.4506580, 10.5009010, 10.8116790, 12.7517600, 19.2115890, 39.3038210, 160.9094200", \
+					  "10.4782540, 10.5288300, 10.8396810, 12.7801660, 19.2407420, 39.3275310, 160.9504400", \
+					  "10.4995350, 10.5502260, 10.8606760, 12.8023510, 19.2639740, 39.3500700, 160.9717100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0866887, 3.1717997, 3.6962957, 5.7389840, 8.5403959, 33.4665950, 200.3070000", \
+					  "3.0868208, 3.1717888, 3.6959886, 5.7388698, 8.5400567, 33.4664390, 200.3294000", \
+					  "3.0868632, 3.1717835, 3.6959868, 5.7388605, 8.5401153, 33.4715010, 200.3530000", \
+					  "3.0868335, 3.1717831, 3.6959371, 5.7392660, 8.5400620, 33.4758990, 200.3539100", \
+					  "3.0868699, 3.1710816, 3.6962746, 5.7389542, 8.5404448, 33.4634760, 200.2949600", \
+					  "3.0868199, 3.1717917, 3.6959891, 5.7388712, 8.5401304, 33.4635250, 200.3452700", \
+					  "3.0866043, 3.1716809, 3.6960273, 5.7388605, 8.5401188, 33.4635500, 200.3294200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.3635150, 10.3635154, 10.3635164, 10.3635173, 10.3635183, 10.3635192, 10.5018660", \
+					  "10.4635170, 10.4675400, 10.4675403, 10.4675413, 10.4675422, 10.4675432, 10.4879630", \
+					  "10.5198790, 10.5212610, 10.5212617, 10.5212627, 10.5212636, 10.5212646, 10.5471970", \
+					  "10.5639680, 10.5641100, 10.5641103, 10.5641113, 10.5641122, 10.5641132, 10.5891940", \
+					  "10.5986720, 10.5986724, 10.5986734, 10.5986743, 10.5986753, 10.5986763, 10.6159500", \
+					  "10.6608530, 10.6608539, 10.6608549, 10.6608558, 10.6614430, 10.6614433, 10.6881520", \
+					  "10.6488510, 10.6488519, 10.6488529, 10.6488538, 10.6488548, 10.6488557, 10.6810890");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7681380, 10.8181440, 11.1306820, 13.0729410, 19.5315870, 39.6181900, 161.2357500", \
+					  "10.9382880, 10.9830220, 11.2942180, 13.2401580, 19.6943300, 39.7834120, 161.3971700", \
+					  "11.0797790, 11.1286120, 11.4409730, 13.3801830, 19.8435470, 39.9333150, 161.5482000", \
+					  "11.1902720, 11.2452520, 11.5557740, 13.4962510, 19.9602720, 40.0436840, 161.6511900", \
+					  "11.2957730, 11.3469990, 11.6555460, 13.5960350, 20.0600230, 40.1534200, 161.7688500", \
+					  "11.3969710, 11.4443540, 11.7677080, 13.6962860, 20.1565320, 40.2432080, 161.8603800", \
+					  "11.5019540, 11.5492450, 11.8615870, 13.8009200, 20.2606930, 40.3515440, 161.9675200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0875342, 3.1721394, 3.6962495, 5.7391181, 8.5403595, 33.4706200, 200.2446300", \
+					  "3.0875018, 3.1721392, 3.6962455, 5.7394636, 8.5407121, 33.4721940, 200.2186800", \
+					  "3.0871099, 3.1721414, 3.6962498, 5.7390466, 8.5402894, 33.4770930, 200.2335300", \
+					  "3.0871061, 3.1721268, 3.6962151, 5.7397891, 8.5330027, 33.4709680, 200.3365000", \
+					  "3.0871792, 3.1721163, 3.6962222, 5.7394649, 8.5389260, 33.4771460, 200.3299100", \
+					  "3.0870819, 3.1724317, 3.6960917, 5.7390426, 8.5404110, 33.4771070, 200.2930600", \
+					  "3.0871101, 3.1721414, 3.6962151, 5.7390430, 8.5404173, 33.4771070, 200.2334200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.3859310, 14.4384360, 14.7507360, 16.5727020, 21.6893720, 40.9225860, 83.9392700", \
+					  "14.5503320, 14.6090540, 14.9114020, 16.7425840, 21.8595590, 41.0984810, 84.1226750", \
+					  "14.6937870, 14.7465430, 15.0554820, 16.8822220, 21.9982680, 41.2458870, 84.2647200", \
+					  "14.8086880, 14.8577980, 15.1737890, 17.0006480, 22.1251050, 41.3605330, 84.3456290", \
+					  "14.9082830, 14.9585570, 15.2677920, 17.1103790, 22.2223610, 41.4529990, 84.4552730", \
+					  "15.0167380, 15.0598610, 15.3684430, 17.2018240, 22.3216730, 41.5619180, 84.5328930", \
+					  "15.1129470, 15.1620420, 15.4734930, 17.3040460, 22.4204100, 41.6683400, 84.6857090");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0269800, 3.0961389, 3.5323586, 5.1057665, 6.9906458, 29.0278880, 48.3808900", \
+					  "3.0278065, 3.0961640, 3.5322558, 5.1044271, 6.9955348, 29.0347920, 48.4805480", \
+					  "3.0282103, 3.0927485, 3.5366261, 5.1070823, 6.9884107, 29.0341400, 48.4721950", \
+					  "3.0283360, 3.0977896, 3.5293128, 5.1080926, 6.9954869, 29.0348490, 48.4187620", \
+					  "3.0280058, 3.0985090, 3.5362067, 5.1044924, 6.9719892, 29.0279130, 48.2986740", \
+					  "3.0271188, 3.0983704, 3.5348726, 5.1082032, 6.9905903, 29.0331580, 48.4237820", \
+					  "3.0282290, 3.0978304, 3.5349104, 5.1027071, 6.9912476, 29.0341780, 48.4591570");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7714000, 10.8235000, 11.1315010, 13.0265400, 24.6419990, 96.1853250, 416.8196000", \
+					  "10.9374660, 10.9939430, 11.2933880, 13.1915660, 24.8083070, 96.3328400, 417.0155700", \
+					  "11.0847480, 11.1201400, 11.4406500, 13.3380170, 24.9547090, 96.4813790, 417.1707500", \
+					  "11.1940030, 11.2492940, 11.5555380, 13.4540580, 25.0650740, 96.5942850, 417.2353000", \
+					  "11.2988960, 11.3551870, 11.6566560, 13.5545380, 25.1728380, 96.6937180, 417.3758700", \
+					  "11.3956900, 11.4499650, 11.7663750, 13.6622030, 25.2710080, 96.8105170, 417.5137500", \
+					  "11.5047810, 11.5549090, 11.8625210, 13.7554950, 25.3753870, 96.9014240, 417.5487300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0348786, 3.1133383, 3.5975367, 6.6020491, 25.0086100, 138.9111300, 350.7125000", \
+					  "3.0354879, 3.1120158, 3.5958403, 6.6025652, 25.0080280, 138.7095800, 350.7130100", \
+					  "3.0341387, 3.1118972, 3.5958034, 6.6026149, 25.0074060, 138.7174100, 350.7171000", \
+					  "3.0355165, 3.1131311, 3.5958410, 6.6026233, 25.0080380, 138.7402800, 350.7049100", \
+					  "3.0344292, 3.1120067, 3.5954679, 6.6027006, 25.0029210, 138.7004300, 350.7155700", \
+					  "3.0344345, 3.1120162, 3.5963603, 6.6053012, 25.0192560, 138.6291800, 350.7438700", \
+					  "3.0341083, 3.1131357, 3.5958070, 6.6026167, 25.0074680, 138.7152900, 350.6962400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.4011800, 14.4533570, 14.7730650, 16.6553510, 28.0280210, 97.8940310, 525.0039400", \
+					  "14.5641200, 14.6178820, 14.9427420, 16.8195450, 28.1896940, 98.0704020, 525.1940300", \
+					  "14.7085910, 14.7608970, 15.0784260, 16.9624460, 28.3307710, 98.2220460, 525.3060100", \
+					  "14.8231830, 14.8756940, 15.1965200, 17.0775250, 28.4545330, 98.3291030, 525.4531700", \
+					  "14.9178110, 14.9824790, 15.2961520, 17.1922780, 28.5536910, 98.5227970, 525.5578200", \
+					  "15.0236410, 15.0843660, 15.4039750, 17.2793070, 28.6574730, 98.5329510, 525.6603100", \
+					  "15.1278940, 15.1825290, 15.4993900, 17.3814560, 28.7512520, 98.6407540, 525.7314800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0568288, 3.1297982, 3.5778711, 6.3804202, 24.3028890, 135.2714800, 504.2206800", \
+					  "3.0568392, 3.1298042, 3.5778160, 6.3804317, 24.3028740, 135.3425500, 504.2376800", \
+					  "3.0501368, 3.1297558, 3.5775849, 6.3836887, 24.3038440, 135.3615000, 504.1816300", \
+					  "3.0568306, 3.1297556, 3.5778658, 6.3837380, 24.3026740, 135.3430000, 504.2377300", \
+					  "3.0566274, 3.1298037, 3.5778069, 6.3815193, 24.3033420, 135.3768200, 504.2371100", \
+					  "3.0564331, 3.1298013, 3.5778640, 6.3919448, 24.2904450, 135.3364600, 504.2343800", \
+					  "3.0565446, 3.1297562, 3.5775849, 6.3836847, 24.3038230, 135.3612300, 504.1715100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9520071, 7.9555820, 7.9755189, 7.9755190, 7.9755195, 7.9755199, 7.9902760", \
+					  "8.0529823, 8.0532621, 8.0532623, 8.0749532, 8.0749536, 8.0749546, 8.0749555", \
+					  "8.1323419, 8.1323429, 8.1323438, 8.1323448, 8.1323457, 8.1323467, 8.1604368", \
+					  "8.1754710, 8.1762179, 8.1762186, 8.1762195, 8.1762205, 8.1762214, 8.1928819", \
+					  "8.2018135, 8.2063725, 8.2224645, 8.2224651, 8.2224660, 8.2224670, 8.2224679", \
+					  "8.2231368, 8.2231374, 8.2278335, 8.2490050, 8.2490058, 8.2490068, 8.2490078", \
+					  "8.2555411, 8.2555909, 8.2555910, 8.2555919, 8.2555929, 8.2555938, 8.2828917");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9441804, 7.9441808, 7.9441813, 7.9441817, 7.9441822, 7.9441827, 7.9556495", \
+					  "8.0333784, 8.0358360, 8.0358367, 8.0358377, 8.0358387, 8.0358396, 8.0785778", \
+					  "8.0903622, 8.0906126, 8.0906129, 8.0906139, 8.0906148, 8.0906158, 8.1129548", \
+					  "8.1308853, 8.1359870, 8.1359878, 8.1359888, 8.1359897, 8.1359907, 8.1793807", \
+					  "8.1607192, 8.1694180, 8.1694189, 8.1694198, 8.1694208, 8.1694217, 8.2297733", \
+					  "8.1876470, 8.1954115, 8.1954122, 8.1954132, 8.1954141, 8.1954151, 8.2754283", \
+					  "8.2040463, 8.2138554, 8.2138563, 8.2138572, 8.2138582, 8.2138591, 8.2730516");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.0408572, 7.0408576, 7.0408581, 7.0408586, 7.0408590, 7.0408595, 7.0408600", \
+					  "7.1862511, 7.1862515, 7.1938335, 7.1938336, 7.1938341, 7.1938346, 7.2159696", \
+					  "7.3150543, 7.3150547, 7.3157418, 7.3157423, 7.3157428, 7.3157433, 7.4204074", \
+					  "7.4633144, 7.4633148, 7.4633153, 7.4633158, 7.4633163, 7.4633167, 7.5124102", \
+					  "7.5384134, 7.5394082, 7.5394085, 7.5394090, 7.5394094, 7.5394099, 7.6727815", \
+					  "7.6405007, 7.6777980, 7.6777981, 7.6777986, 7.6777990, 7.6777995, 7.7004128", \
+					  "7.7456157, 7.7559292, 7.7861815, 7.7861817, 7.7861822, 7.7861827, 7.8210656");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.2875920, 14.3370170, 14.6451560, 16.4729170, 21.6455970, 41.0056200, 142.9347800", \
+					  "14.4493810, 14.5091710, 14.8105480, 16.6425080, 21.8155400, 41.1517110, 143.1097400", \
+					  "14.5983100, 14.6476800, 14.9558930, 16.7824210, 21.9603620, 41.3129620, 143.2425200", \
+					  "14.7131160, 14.7721830, 15.0685190, 16.9048620, 22.0804390, 41.4156320, 143.3630000", \
+					  "14.8230480, 14.8706350, 15.1728350, 17.0002270, 22.1849530, 41.5349570, 143.4986200", \
+					  "14.9204900, 14.9642290, 15.2773720, 17.0998080, 22.2766410, 41.6374210, 143.5580000", \
+					  "15.0188770, 15.0669890, 15.3790780, 17.2036610, 22.3805030, 41.7308070, 143.6645100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0302427, 3.1004646, 3.5358312, 5.1157261, 7.0400965, 32.0518300, 98.0672400", \
+					  "3.0263347, 3.0986271, 3.5324477, 5.1128155, 7.0397239, 32.0395120, 98.0602690", \
+					  "3.0318057, 3.1024330, 3.5355889, 5.1164659, 7.0464710, 32.0532860, 98.0511960", \
+					  "3.0318026, 3.1004004, 3.5362742, 5.1164699, 7.0464745, 32.0451220, 98.0574630", \
+					  "3.0318381, 3.1022938, 3.5363246, 5.1167013, 7.0515873, 32.0353810, 98.0576330", \
+					  "3.0300977, 3.1003855, 3.5360985, 5.1175566, 7.0409905, 32.0524340, 98.0421150", \
+					  "3.0318050, 3.1024321, 3.5355892, 5.1164677, 7.0464767, 32.0532440, 98.0513520");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.0016792, 7.0016797, 7.0032726, 7.0388131, 7.0388134, 7.0388139, 7.0388144", \
+					  "7.1783344, 7.1783345, 7.1783350, 7.1783355, 7.1783360, 7.1783364, 7.2914568", \
+					  "7.3172575, 7.3172577, 7.3172582, 7.3300721, 7.3300722, 7.3300727, 7.4259057", \
+					  "7.4271971, 7.4294828, 7.4294832, 7.4294837, 7.4294842, 7.4294847, 7.4908471", \
+					  "7.5474249, 7.5476096, 7.5476101, 7.5526003, 7.5526007, 7.5526011, 7.6495974", \
+					  "7.6477349, 7.6615185, 7.6615189, 7.6615193, 7.6615198, 7.6615203, 7.7575422", \
+					  "7.7470217, 7.7470220, 7.7539610, 7.7547728, 7.7547730, 7.7547734, 7.8670039");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8108270, 17.0006110, 18.1909470, 25.5217430, 70.7787680, 349.8200800, 2071.6677000", \
+					  "16.9745390, 17.1637690, 18.3613750, 25.6888630, 70.9476350, 349.9996000, 2071.7680000", \
+					  "17.1184810, 17.3109210, 18.5025770, 25.8364030, 71.0937510, 350.0849700, 2072.1886000", \
+					  "17.2328160, 17.4255630, 18.6227830, 25.9471610, 71.2355830, 350.2086100, 2071.7523000", \
+					  "17.3405180, 17.5196250, 18.7229450, 26.0558850, 71.3114120, 350.3021700, 2072.0295000", \
+					  "17.4332180, 17.6288510, 18.8209090, 26.1454450, 71.4024470, 350.3984400, 2072.3528000", \
+					  "17.5355870, 17.7296280, 18.9265510, 26.2592050, 71.5089210, 350.5072100, 2072.2362000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5842420, 14.9750330, 17.3296830, 31.8959440, 122.1581700, 677.7946200, 4110.4022000", \
+					  "14.5911300, 14.9708440, 17.3332350, 31.9019580, 122.0020500, 678.1409600, 4111.8819000", \
+					  "14.5933570, 14.9695190, 17.3185730, 31.8916910, 122.1789500, 677.7947300, 4110.8078000", \
+					  "14.5885940, 14.9701030, 17.3328160, 31.8957200, 122.1742600, 677.6516600, 4109.3235000", \
+					  "14.5844020, 14.9698190, 17.3315340, 31.9018060, 122.1788600, 677.8278700, 4110.6114000", \
+					  "14.5918850, 14.9740240, 17.3319490, 31.8953010, 122.1552100, 678.1001500, 4113.5454000", \
+					  "14.5932530, 14.9766010, 17.3343670, 31.8973110, 122.1778800, 677.7523800, 4111.3449000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4571990, 21.6469150, 22.8096170, 29.9990250, 73.9311830, 345.2818500, 2020.8972000", \
+					  "21.6276150, 21.8065420, 22.9730210, 30.1624180, 74.1019410, 345.4500500, 2020.9050000", \
+					  "21.7626020, 21.9558490, 23.1080950, 30.2970950, 74.2592690, 345.6183600, 2020.0021000", \
+					  "21.8875920, 22.0693560, 23.2314030, 30.4190310, 74.3493870, 345.7326400, 2020.2922000", \
+					  "21.9725340, 22.1704110, 23.3344260, 30.5206560, 74.4805460, 345.8687300, 2020.1803000", \
+					  "22.0806250, 22.2726250, 23.4419910, 30.6285840, 74.7036000, 346.1862000, 2020.4516000", \
+					  "22.1823060, 22.3763000, 23.5362080, 30.7233140, 74.6636030, 346.0398000, 2023.6932000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5399450, 13.9112050, 16.2079910, 30.3965920, 118.5686900, 660.5113200, 4007.8817000", \
+					  "13.5398960, 13.9112000, 16.2081870, 30.3963250, 118.5663700, 660.5065400, 4009.4228000", \
+					  "13.5436120, 13.8979380, 16.1759690, 30.3943390, 118.4274400, 660.5309900, 4009.5929000", \
+					  "13.5400620, 13.9112000, 16.2055600, 30.3909930, 118.5713300, 660.5361000, 4007.7935000", \
+					  "13.5243530, 13.8999140, 16.2079700, 30.4022090, 118.5479600, 660.8010500, 4008.4742000", \
+					  "13.5397310, 13.9103060, 16.2085630, 30.3987480, 118.4919500, 661.2409600, 4007.9899000", \
+					  "13.5379670, 13.9111500, 16.2054730, 30.3912020, 118.5521600, 661.0528700, 4007.2377000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2659520, 10.3164430, 10.6268000, 12.5685450, 19.0092630, 39.1012480, 160.7149000", \
+					  "10.3542610, 10.4041680, 10.7153640, 12.6559520, 19.1032540, 39.2036410, 160.8006100", \
+					  "10.4084260, 10.4589460, 10.7694010, 12.7099540, 19.1519300, 39.2424970, 160.8587800", \
+					  "10.4477320, 10.4982300, 10.8086350, 12.7504160, 19.1972710, 39.2819320, 160.8898700", \
+					  "10.4757890, 10.5256710, 10.8367670, 12.7776780, 19.2263250, 39.3123220, 160.9330300", \
+					  "10.5035580, 10.5541420, 10.8644910, 12.8060380, 19.2526780, 39.3377740, 160.9493300", \
+					  "10.5237950, 10.5737410, 10.8848360, 12.8253170, 19.2706060, 39.3662950, 160.9643500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0875986, 3.1715344, 3.6964414, 5.7268892, 8.5264160, 33.4785670, 200.3415600", \
+					  "3.0868279, 3.1712390, 3.6963674, 5.7261751, 8.5275902, 33.4690600, 200.2446600", \
+					  "3.0867346, 3.1710541, 3.6960894, 5.7261769, 8.5223801, 33.4698990, 200.3421100", \
+					  "3.0877869, 3.1713854, 3.6966294, 5.7268728, 8.5268947, 33.4697750, 200.3036600", \
+					  "3.0870091, 3.1712863, 3.6963004, 5.7261325, 8.5275378, 33.4633760, 200.2164900", \
+					  "3.0867062, 3.1715361, 3.6964336, 5.7268275, 8.5225942, 33.4699840, 200.3326400", \
+					  "3.0882168, 3.1713823, 3.6963328, 5.7261764, 8.5277803, 33.4641220, 200.2069400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.5995980, 20.7917680, 21.9713420, 29.1661310, 73.2921630, 344.7914300, 2019.6401000", \
+					  "20.7629100, 20.9583070, 22.1366300, 29.3789420, 73.4616710, 344.8730600, 2019.0873000", \
+					  "20.9003840, 21.0999790, 22.2654570, 29.5231680, 73.6674580, 345.1308400, 2019.9550000", \
+					  "21.0277430, 21.2189540, 22.3977190, 29.6409370, 73.7188870, 345.1445700, 2021.9084000", \
+					  "21.1214120, 21.3237780, 22.5026010, 29.7431870, 73.8954210, 345.3467100, 2020.9568000", \
+					  "21.2275140, 21.4189860, 22.5974150, 29.8408550, 73.9195800, 345.3438600, 2020.6999000", \
+					  "21.3274450, 21.5222830, 22.6969550, 29.9333570, 74.0197520, 345.4540000, 2020.3945000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5320810, 13.9040760, 16.1912650, 30.4132900, 118.4664000, 660.4082000, 4010.0654000", \
+					  "13.5320470, 13.9035860, 16.1979730, 30.4235070, 118.4587500, 660.3964900, 4008.4687000", \
+					  "13.5300810, 13.9040270, 16.1907410, 30.4168180, 118.6583300, 660.7942300, 4008.2141000", \
+					  "13.5153670, 13.9022040, 16.1942140, 30.4240470, 118.4535700, 660.9148500, 4007.6502000", \
+					  "13.5269000, 13.9028770, 16.1914090, 30.4234220, 118.6113300, 660.4568600, 4009.3300000", \
+					  "13.5331830, 13.9037710, 16.2025890, 30.4230100, 118.4519500, 660.5352500, 4006.4961000", \
+					  "13.5335450, 13.9029260, 16.2044170, 30.4250310, 118.4486600, 660.5081400, 4008.1231000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7718940, 10.8231040, 11.1286730, 13.0272960, 24.6491610, 96.1736720, 416.8178400", \
+					  "10.9380940, 10.9893440, 11.2940140, 13.1917950, 24.8106660, 96.3365350, 416.9644700", \
+					  "11.0848820, 11.1348370, 11.4394850, 13.3392910, 24.9557920, 96.4786440, 417.1014600", \
+					  "11.1942280, 11.2463190, 11.5555250, 13.4542610, 25.0687670, 96.5972050, 417.2456200", \
+					  "11.2985820, 11.3505790, 11.6609990, 13.5547880, 25.1648300, 96.6923250, 417.3127800", \
+					  "11.3951910, 11.4478920, 11.7626550, 13.6505410, 25.2738080, 96.8011090, 417.4264900", \
+					  "11.5080420, 11.5530920, 11.8598160, 13.7590840, 25.3736290, 96.9029160, 417.5524900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0345639, 3.1116272, 3.5955503, 6.6024728, 25.0044630, 138.6465100, 350.6715100", \
+					  "3.0351250, 3.1128335, 3.5955681, 6.6026202, 25.0041800, 138.8478800, 350.6798400", \
+					  "3.0338141, 3.1128577, 3.5955205, 6.6025483, 25.0077520, 138.6532900, 350.6823700", \
+					  "3.0349268, 3.1120593, 3.5955219, 6.6025505, 25.0042010, 138.6494800, 350.6809500", \
+					  "3.0345391, 3.1116647, 3.5956713, 6.6025692, 25.0160760, 138.6701600, 350.6569000", \
+					  "3.0341332, 3.1116045, 3.5955892, 6.6025576, 25.0164990, 138.7100800, 350.6583500", \
+					  "3.0338199, 3.1128555, 3.5955177, 6.6025514, 25.0129040, 138.6520800, 350.6272600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4546410, 21.6445630, 22.8026740, 29.9853400, 74.0794520, 345.5706700, 2020.0332000", \
+					  "21.6182520, 21.8038510, 22.9666740, 30.1548650, 74.2484330, 345.7326200, 2020.6730000", \
+					  "21.7573890, 21.9459350, 23.1137900, 30.2565690, 74.3771340, 345.8419500, 2021.9036000", \
+					  "21.8878320, 22.0648920, 23.2240150, 30.4126930, 74.4196460, 345.9591700, 2020.9011000", \
+					  "21.9854660, 22.1726780, 23.3282210, 30.4672620, 74.6077050, 346.0703200, 2019.9975000", \
+					  "22.0881710, 22.2717810, 23.4293970, 30.6180400, 74.6978440, 346.1689500, 2020.5989000", \
+					  "22.1832650, 22.3751840, 23.5319870, 30.7068290, 74.7912950, 346.2528800, 2020.2071000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5213400, 13.9111560, 16.1830820, 30.4053030, 118.5274300, 660.6821900, 4008.3528000", \
+					  "13.5211740, 13.8957020, 16.1831670, 30.4031250, 118.5190000, 660.6850300, 4008.3037000", \
+					  "13.5330260, 13.8822400, 16.1968610, 30.4188390, 118.4656600, 660.8616400, 4010.9785000", \
+					  "13.5374750, 13.8776400, 16.1839790, 30.4039210, 118.4695100, 660.8644900, 4008.1736000", \
+					  "13.5223500, 13.8957410, 16.1848540, 30.4209030, 118.5654700, 660.9169500, 4008.9753000", \
+					  "13.5372780, 13.8948620, 16.2067430, 30.3973630, 118.4778200, 660.8676100, 4010.9376000", \
+					  "13.5322390, 13.9121110, 16.1963700, 30.4053920, 118.4639900, 660.8531200, 4007.8953000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8072130, 17.0316330, 18.1933720, 25.5742480, 70.7869670, 349.8531100, 2071.0722000", \
+					  "16.9713650, 17.1645240, 18.3866740, 25.6951050, 70.9463710, 349.9517900, 2071.5868000", \
+					  "17.1182060, 17.3116930, 18.5061740, 25.8353040, 71.0914350, 350.1015700, 2071.4167000", \
+					  "17.2283310, 17.4267750, 18.6227760, 25.9551380, 71.2830330, 350.1935200, 2071.9453000", \
+					  "17.3373560, 17.5667450, 18.7290410, 26.0595620, 71.3061400, 350.3264700, 2072.0768000", \
+					  "17.4365680, 17.6255740, 18.8224650, 26.1799080, 71.4369360, 350.4502800, 2072.1011000", \
+					  "17.5345110, 17.7323290, 18.9232980, 26.2565440, 71.5103640, 350.5007300, 2072.2757000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5887820, 14.9756940, 17.3285580, 31.8793100, 122.1715800, 677.8180400, 4109.9843000", \
+					  "14.5847700, 14.9706190, 17.3206690, 31.8928810, 122.0290200, 678.1701800, 4112.1843000", \
+					  "14.5885370, 14.9725580, 17.3335200, 31.8928280, 122.0517200, 678.1310200, 4109.1143000", \
+					  "14.5847720, 14.9744800, 17.3331180, 31.8929200, 122.1655000, 677.9901600, 4110.4527000", \
+					  "14.5904420, 14.9749140, 17.3238400, 31.8935850, 122.0255100, 678.2209400, 4111.1239000", \
+					  "14.5848720, 14.9727390, 17.3235580, 31.8833920, 121.9714200, 677.8710700, 4112.1525000", \
+					  "14.5843840, 14.9691230, 17.3334180, 31.8912860, 122.0519700, 678.5608700, 4110.8278000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.4036520, 14.4547860, 14.7687390, 16.6620510, 28.0312090, 98.0112770, 525.0260000", \
+					  "14.5733880, 14.6181290, 14.9329100, 16.8251390, 28.1946160, 98.1762160, 525.1891400", \
+					  "14.7087040, 14.7610050, 15.0732420, 16.9614190, 28.3397980, 98.3151910, 525.3295400", \
+					  "14.8321120, 14.8763050, 15.1899030, 17.0833450, 28.4552950, 98.4331980, 525.4474900", \
+					  "14.9232980, 14.9779510, 15.2857620, 17.1816130, 28.5531030, 98.5309820, 525.5963100", \
+					  "15.0362960, 15.0856890, 15.3877250, 17.2898620, 28.6585100, 98.6280850, 525.6499100", \
+					  "15.1296970, 15.1820900, 15.4914370, 17.3877210, 28.7593860, 98.7349220, 525.7146000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0540350, 3.1266005, 3.5716416, 6.3943704, 24.2886550, 135.3694200, 504.3376100", \
+					  "3.0540954, 3.1265839, 3.5719325, 6.3943513, 24.2926830, 135.3695300, 504.3375500", \
+					  "3.0531557, 3.1262886, 3.5695782, 6.3905161, 24.2819540, 135.3655700, 504.2242000", \
+					  "3.0537852, 3.1263556, 3.5696002, 6.3934253, 24.2819720, 135.3692800, 504.3373800", \
+					  "3.0526364, 3.1265510, 3.5695966, 6.3934236, 24.2876870, 135.3656700, 504.2886600", \
+					  "3.0537362, 3.1258116, 3.5692842, 6.3940728, 24.3040970, 135.3630500, 504.2957100", \
+					  "3.0531571, 3.1263450, 3.5695817, 6.3934147, 24.2819520, 135.3656500, 504.2543900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7716230, 10.8188600, 11.1298210, 13.0701060, 19.5259580, 39.6181540, 161.2422700", \
+					  "10.9392740, 10.9888780, 11.2932190, 13.2333640, 19.6965980, 39.7891570, 161.4014700", \
+					  "11.0784320, 11.1285520, 11.4413740, 13.3815380, 19.8451160, 39.9300720, 161.5492500", \
+					  "11.1917310, 11.2397790, 11.5554220, 13.5030550, 19.9598260, 40.0458940, 161.6583600", \
+					  "11.2947700, 11.3451250, 11.6555380, 13.5974110, 20.0567440, 40.1438280, 161.7690400", \
+					  "11.4004810, 11.4500250, 11.7602550, 13.6979650, 20.1563670, 40.2538450, 161.8738100", \
+					  "11.4993870, 11.5483110, 11.8613550, 13.8038660, 20.2598280, 40.3495230, 161.9673100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0867866, 3.1718665, 3.6959278, 5.7393290, 8.5396090, 33.4720770, 200.2829100", \
+					  "3.0867735, 3.1717893, 3.6959333, 5.7396519, 8.5326155, 33.4729260, 200.2204400", \
+					  "3.0867773, 3.1717915, 3.6959400, 5.7389404, 8.5392990, 33.4771320, 200.2207300", \
+					  "3.0867873, 3.1717908, 3.6959253, 5.7389435, 8.5395575, 33.4699950, 200.2195200", \
+					  "3.0867837, 3.1715561, 3.6959220, 5.7389382, 8.5386400, 33.4689640, 200.3313600", \
+					  "3.0865415, 3.1709746, 3.6959327, 5.7390039, 8.5392378, 33.4773030, 200.3477300", \
+					  "3.0867782, 3.1717917, 3.6959271, 5.7391172, 8.5395273, 33.4771320, 200.2202300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8067040, 17.0004990, 18.1965310, 25.5624980, 70.7587870, 349.7932800, 2071.5447000", \
+					  "16.9716950, 17.1635490, 18.3878550, 25.6947230, 70.9534190, 349.9518200, 2071.7280000", \
+					  "17.1162960, 17.3079470, 18.5280500, 25.8353180, 71.0929340, 350.0845800, 2072.1197000", \
+					  "17.2289920, 17.4281480, 18.6195630, 25.9453610, 71.2077440, 350.2611600, 2072.4203000", \
+					  "17.3437070, 17.5344430, 18.7186090, 26.0887330, 71.3094370, 350.2973400, 2071.9742000", \
+					  "17.4328090, 17.6260140, 18.8208520, 26.1801410, 71.4494920, 350.3924100, 2072.5022000", \
+					  "17.5387010, 17.7289350, 18.9250410, 26.2585400, 71.5132560, 350.5047100, 2072.2450000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5885020, 14.9693840, 17.3335850, 31.8969490, 122.0747900, 678.2731200, 4109.8024000", \
+					  "14.5896920, 14.9700020, 17.3203660, 31.9015110, 122.1825600, 677.6404600, 4111.7482000", \
+					  "14.5842930, 14.9693590, 17.3241760, 31.8965620, 122.1828300, 677.9167200, 4111.1803000", \
+					  "14.5842740, 14.9758780, 17.3327340, 31.8962030, 122.1828400, 678.2986500, 4112.2312000", \
+					  "14.5844010, 14.9764360, 17.3207480, 31.9050850, 122.1624000, 677.7097500, 4110.5804000", \
+					  "14.5923410, 14.9751340, 17.3318530, 31.9031880, 122.2005700, 677.6966800, 4112.5281000", \
+					  "14.5844870, 14.9696310, 17.3331090, 31.8996740, 122.1830000, 678.4595100, 4110.4631000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4529760, 21.6495250, 22.8106710, 29.9819260, 73.9509500, 345.4613300, 2020.4443000", \
+					  "21.6266330, 21.8131060, 22.9804740, 30.1510140, 74.1248560, 345.6567600, 2021.2822000", \
+					  "21.7633930, 21.9531100, 23.1150650, 30.3041290, 74.2574000, 345.6390500, 2020.8404000", \
+					  "21.8846700, 22.0692210, 23.2365720, 30.4026030, 74.3853620, 345.7830100, 2020.6867000", \
+					  "21.9912000, 22.1804740, 23.3275890, 30.5188120, 74.5259920, 345.8086700, 2020.4943000", \
+					  "22.0872140, 22.2715840, 23.4436040, 30.6117900, 74.5825160, 346.1781500, 2020.5316000", \
+					  "22.1849100, 22.3746350, 23.5370210, 30.7199170, 74.6752490, 346.0364700, 2020.7328000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5423410, 13.8979510, 16.2064090, 30.4039210, 118.4843900, 660.7066300, 4008.1300000", \
+					  "13.5411170, 13.8980640, 16.2063500, 30.4037080, 118.4730400, 660.5359900, 4009.0581000", \
+					  "13.5394560, 13.8995410, 16.2041790, 30.3977430, 118.4950800, 661.1508100, 4006.8385000", \
+					  "13.5416560, 13.8986640, 16.2070390, 30.4040030, 118.4725200, 660.2663200, 4007.7935000", \
+					  "13.5411490, 13.8979400, 16.2040640, 30.3977250, 118.4635200, 660.5101200, 4006.5588000", \
+					  "13.5417020, 13.8969140, 16.2070360, 30.4039250, 118.4712400, 660.6132400, 4008.4187000", \
+					  "13.5394110, 13.8995930, 16.2041190, 30.4049340, 118.4941500, 660.5818600, 4008.5019000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.4099350, 10.4676590, 10.7755820, 12.6911780, 24.3438390, 95.9677560, 416.4223500", \
+					  "10.4994070, 10.5507090, 10.8660050, 12.7886580, 24.4395530, 96.0450420, 416.5347900", \
+					  "10.5523230, 10.6095390, 10.9175360, 12.8343370, 24.4873740, 96.1212760, 416.6109300", \
+					  "10.5905730, 10.6421010, 10.9586350, 12.8736400, 24.5319660, 96.1439000, 416.6091100", \
+					  "10.6275580, 10.6777900, 10.9864680, 12.9024880, 24.5613010, 96.1669780, 416.6666400", \
+					  "10.6468720, 10.6980380, 11.0156830, 12.9311910, 24.5877630, 96.1932610, 416.6343800", \
+					  "10.6694620, 10.7203140, 11.0388380, 12.9537890, 24.6101180, 96.2150390, 416.6683400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0285396, 3.1055163, 3.5905019, 6.6026233, 24.9895840, 138.7425200, 350.4717400", \
+					  "3.0272309, 3.1043084, 3.5899577, 6.6042403, 24.9965510, 138.6461200, 350.4534300", \
+					  "3.0285157, 3.1056437, 3.5904368, 6.6027424, 24.9874720, 138.7694700, 350.4764300", \
+					  "3.0272178, 3.1050971, 3.5900314, 6.6034525, 24.9974010, 138.9118300, 350.4731300", \
+					  "3.0273750, 3.1067757, 3.5904435, 6.6024910, 24.9963550, 138.7375800, 350.4692600", \
+					  "3.0273242, 3.1046732, 3.5909486, 6.6035555, 24.9916500, 138.7548400, 350.4326900", \
+					  "3.0274234, 3.1054916, 3.5910972, 6.6035759, 24.9914220, 138.7638900, 350.4484300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.1935260, 13.2405140, 13.5627680, 15.4570920, 26.8530920, 96.7237670, 523.8064200", \
+					  "13.3620640, 13.4143900, 13.7289220, 15.6241380, 27.0217870, 96.8895010, 523.9856500", \
+					  "13.5035870, 13.5559020, 13.8658190, 15.7664090, 27.1535130, 97.0605920, 524.1160500", \
+					  "13.6184730, 13.6711290, 13.9855280, 15.8810830, 27.2771320, 97.1570630, 524.2304200", \
+					  "13.7236100, 13.7759790, 14.0904270, 15.9862170, 27.3810240, 97.2673890, 524.3225600", \
+					  "13.8199740, 13.8725160, 14.1901200, 16.0861240, 27.4674700, 97.4077850, 524.4509700", \
+					  "13.9199830, 13.9782620, 14.2935350, 16.1936220, 27.5892700, 97.4670730, 524.5468100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0086655, 3.0839076, 3.5363590, 6.3784746, 24.3039900, 135.3104200, 504.0450900", \
+					  "3.0094878, 3.0841967, 3.5329768, 6.3791203, 24.3039720, 135.3085500, 504.0611800", \
+					  "3.0097604, 3.0843417, 3.5329812, 6.3774177, 24.3049120, 135.3836400, 503.9864800", \
+					  "3.0092089, 3.0841212, 3.5329206, 6.3788685, 24.3039720, 135.3309400, 503.9890400", \
+					  "3.0092064, 3.0840219, 3.5328758, 6.3785626, 24.3040730, 135.3441200, 504.0029700", \
+					  "3.0130225, 3.0847327, 3.5377412, 6.3758305, 24.3080900, 135.4099500, 504.0352000", \
+					  "3.0104848, 3.0842575, 3.5365897, 6.3806596, 24.3054040, 135.2440200, 504.0802200");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.7747750, 16.9672280, 18.1905370, 25.6029720, 70.9946160, 350.2937000, 2071.9908000", \
+					  "16.8680160, 17.0678120, 18.2808560, 25.6927160, 71.1028600, 350.3681100, 2071.8371000", \
+					  "16.9158800, 17.1152280, 18.3314910, 25.7452510, 71.1574800, 350.4059400, 2072.2634000", \
+					  "16.9634480, 17.1573850, 18.3710610, 25.7878410, 71.2056760, 350.4779300, 2072.1984000", \
+					  "16.9840850, 17.1787760, 18.4010440, 25.8293370, 71.2197020, 350.5010700, 2072.2373000", \
+					  "17.0222020, 17.2144770, 18.4276650, 25.8413650, 71.2559040, 350.5143400, 2072.1106000", \
+					  "17.0353760, 17.2330330, 18.4479900, 25.8600820, 71.2763390, 350.5319300, 2072.1588000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5831050, 14.9715720, 17.3278190, 31.8877160, 122.2206700, 677.8806800, 4110.7605000", \
+					  "14.5893230, 14.9666410, 17.3279610, 31.9367250, 121.9261600, 678.2923900, 4110.1316000", \
+					  "14.5895910, 14.9709920, 17.3303450, 31.8937340, 121.9272600, 678.9511000, 4111.8788000", \
+					  "14.5872290, 14.9696380, 17.3298530, 31.8913930, 121.9574000, 677.8528200, 4109.4941000", \
+					  "14.5872080, 14.9665610, 17.3294870, 31.8943200, 121.9889500, 678.3340600, 4111.1584000", \
+					  "14.5854300, 14.9689470, 17.3263480, 31.8858150, 121.9329100, 678.1007800, 4110.7796000", \
+					  "14.5850940, 14.9709330, 17.3253110, 31.9186920, 121.9412100, 677.8634500, 4111.5741000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.0043740, 13.0541040, 13.3737420, 15.2609640, 26.6282000, 96.5624380, 523.5912100", \
+					  "13.1724100, 13.2263560, 13.5419500, 15.4254490, 26.7871540, 96.7457510, 523.7826600", \
+					  "13.3173550, 13.3681690, 13.6849720, 15.5709530, 26.9364740, 96.8708420, 523.9624600", \
+					  "13.4361330, 13.4879650, 13.8042430, 15.6939530, 27.0619740, 97.0427790, 524.0496500", \
+					  "13.5415140, 13.5910090, 13.9085900, 15.7990010, 27.1690230, 97.1462480, 524.1499800", \
+					  "13.6347960, 13.6885420, 14.0061450, 15.8874160, 27.2640880, 97.1506610, 524.2486100", \
+					  "13.7341120, 13.7869150, 14.0995280, 15.9911710, 27.3633580, 97.3372140, 524.3453500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0484630, 3.1198533, 3.5704899, 6.3927730, 24.3012990, 135.3959600, 504.2206800", \
+					  "3.0511125, 3.1199956, 3.5726961, 6.3849406, 24.3068640, 135.3896600, 504.2397200", \
+					  "3.0485545, 3.1201866, 3.5693219, 6.3927588, 24.3015050, 135.3959200, 504.2714400", \
+					  "3.0485872, 3.1203435, 3.5698304, 6.3928929, 24.2940370, 135.3683700, 504.3226000", \
+					  "3.0483025, 3.1201040, 3.5687693, 6.3928849, 24.2872600, 135.3649600, 504.2820100", \
+					  "3.0513476, 3.1246368, 3.5731644, 6.3839756, 24.3013500, 135.2196800, 504.2441000", \
+					  "3.0475897, 3.1208294, 3.5664545, 6.3921144, 24.2775080, 135.3639200, 504.2032300");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0775429, -1.1102413, -1.1429398, -1.1459908, -1.1489197, -1.1519707, -1.1550217");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0869878, 1.1344255, 1.1818632, 1.1827094, 1.1835218, 1.1843680, 1.1852143");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157630;
+			capacitance : 0.157237;
+			fall_capacitance : 0.156844;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0463656, -0.0463632, -0.0463608, -0.0465974, -0.0468246, -0.0470612, -0.0472978");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0423658, 0.0441434, 0.0459209, 0.0462794, 0.0466236, 0.0469821, 0.0473407");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150355;
+			capacitance : 0.149946;
+			fall_capacitance : 0.149537;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0466414, -0.0464845, -0.0463276, -0.0465441, -0.0467519, -0.0469684, -0.0471849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426255, 0.0444820, 0.0463384, 0.0465681, 0.0467886, 0.0470183, 0.0472479");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p65v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v65.lib
new file mode 100644
index 0000000..7fa67b8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+        lu_table_template ("del_1_12_7") {
+                variable_1 : "input_net_transition";
+                index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+                variable_2 : "total_output_net_capacitance";
+                index_2("1, 2, 3, 4, 5, 6, 7");
+        }
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+                        is_macro_cell : true
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.839880e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8239800";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3931800";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7261200";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8361300";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.6435200";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7251900";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8194800";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7298700";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7094600";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7139700";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.6404400";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7092300";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7094700";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.6936800";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8194700";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8036900";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8192400";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8398800";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006738;
+			capacitance : 0.006584;
+			fall_capacitance : 0.006430;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3538127, 4.2773356, 4.2519022, 4.2361388, 4.2320714, 4.2396667, 4.2443176", \
+					  "4.8114679, 4.7364568, 4.7183193, 4.6907507, 4.6818658, 4.6872584, 4.6851197", \
+					  "5.2684717, 5.1904053, 5.1652325, 5.1462584, 5.1418428, 5.1497489, 5.1590868", \
+					  "5.6835024, 5.6054453, 5.5937990, 5.6113947, 5.5575867, 5.5693559, 5.5525769", \
+					  "6.1306869, 6.0526840, 6.0274437, 6.0069459, 6.0032448, 6.0003534, 6.0043391", \
+					  "6.5104733, 6.4360848, 6.4000994, 6.3918087, 6.3799799, 6.3764219, 6.3999471", \
+					  "6.8487014, 6.7706985, 6.7548623, 6.7249329, 6.7378168, 6.7411017, 6.7273731");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0578130, 3.9427024, 3.8170265, 3.7431922, 3.7296968, 3.6589041, 3.5713368", \
+					  "4.5154678, 4.3995117, 4.2907653, 4.1916921, 4.1888802, 4.0555246, 4.0244144", \
+					  "4.9694203, 4.8364719, 4.7210047, 4.6822655, 4.6397751, 4.5599851, 4.4783664", \
+					  "5.3859768, 5.2940237, 5.2449239, 5.0713560, 5.0570613, 4.9641796, 4.9452769", \
+					  "5.8316354, 5.6784002, 5.5938687, 5.5093852, 5.4744492, 5.4109138, 5.3508125", \
+					  "6.2150363, 6.1039914, 5.9614019, 5.8723868, 5.8680494, 5.8369272, 5.7341010", \
+					  "6.5496500, 6.4337631, 6.3027604, 6.2396353, 6.2058512, 6.1507411, 6.0708032");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8050738, -3.6802005, -3.6331790, -3.6355620, -3.6516918, -3.6473550, -3.6115874", \
+					  "-4.2657808, -4.1454841, -4.1030296, -4.0924226, -4.1078227, -4.1125594, -4.0722942", \
+					  "-4.7182069, -4.5974795, -4.5483663, -4.5409362, -4.5648265, -4.5536066, -4.5216686", \
+					  "-5.1362893, -5.0156201, -4.9702919, -4.9576234, -4.9864350, -4.9800161, -4.9382251", \
+					  "-5.5819484, -5.4612210, -5.4195592, -5.4046778, -5.4259599, -5.4088904, -5.3976172", \
+					  "-5.9668752, -5.8415732, -5.8008777, -5.7881515, -5.8134943, -5.7953431, -5.7871216", \
+					  "-6.3080343, -6.1784237, -6.1468166, -6.1339411, -6.1447218, -6.1548128, -6.1252289");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8401901, -3.7316694, -3.6513099, -3.5835525, -3.5303724, -3.4107636, -3.3781280", \
+					  "-4.3008967, -4.1969532, -4.1135497, -4.0493743, -3.9865015, -3.9325056, -3.8646550", \
+					  "-4.7533232, -4.6628929, -4.4919941, -4.5172103, -4.4237007, -4.3528884, -4.2620647", \
+					  "-5.1714057, -5.0613453, -4.9748696, -4.9025612, -4.8631176, -4.7648673, -4.7350943", \
+					  "-5.6170643, -5.5070044, -5.3411828, -5.3451685, -5.3046191, -5.2311881, -5.1378339", \
+					  "-6.0019911, -5.9118348, -5.8587603, -5.7484717, -5.6906477, -5.5832896, -5.5384255", \
+					  "-6.3446761, -6.2346157, -6.1207210, -6.0928340, -6.0104443, -5.9808622, -5.8475186");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540690, 0.0534526, 0.0528361, 0.0533390, 0.0538218, 0.0543248, 0.0548277");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426428, 0.0419616, 0.0412803, 0.0415144, 0.0417391, 0.0419731, 0.0422072");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005789;
+			capacitance : 0.005923;
+			rise_capacitance : 0.006058;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8966556, -3.8123675, -3.7352070, -3.7590288, -3.7246328, -3.7205170, -3.7260281", \
+					  "-4.3573622, -4.2746000, -4.1898100, -4.2257586, -4.1805476, -4.1949113, -4.1852092", \
+					  "-4.8195383, -4.7306725, -4.6593868, -4.6757027, -4.6259376, -4.6282242, -4.6260224", \
+					  "-5.2783029, -5.1909629, -5.1288326, -5.1377686, -5.0984352, -5.1158559, -5.0786839", \
+					  "-5.6547688, -5.5739264, -5.4933198, -5.5333908, -5.4749216, -5.4725266, -5.4795636", \
+					  "-6.0380573, -5.9552951, -5.8689793, -5.9034037, -5.8612428, -5.8649705, -5.8689562", \
+					  "-6.3915444, -6.3087821, -6.2407770, -6.2540135, -6.2205736, -6.2169316, -6.2148137");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8081757, -3.7047460, -3.5597784, -3.5532266, -3.4373293, -3.3078062, -3.3018772", \
+					  "-4.2688828, -4.1700338, -4.0395391, -4.0126295, -3.8693703, -3.8411565, -3.8160796", \
+					  "-4.7213089, -4.6214665, -4.4987660, -4.4556640, -4.3276668, -4.3060868, -4.2454282", \
+					  "-5.1393913, -5.0380231, -4.8818107, -4.8844317, -4.8280186, -4.6732882, -4.6752373", \
+					  "-5.5850504, -5.4836817, -5.3294295, -5.3178796, -5.2080648, -5.1741218, -5.1104847", \
+					  "-5.9699771, -5.8706384, -5.7048137, -5.7028068, -5.5807476, -5.5295517, -5.5146183", \
+					  "-6.3126621, -6.2062472, -6.0597147, -6.0470253, -5.9281586, -5.8801543, -5.8523415");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3599451, 4.2863382, 4.2569386, 4.2284219, 4.2181044, 4.2082624, 4.2045765", \
+					  "4.8176004, 4.7439930, 4.7080311, 4.6830604, 4.6574565, 4.6674014, 4.6714137", \
+					  "5.2730782, 5.1995033, 5.1693847, 5.1353591, 5.1356091, 5.1228797, 5.1161837", \
+					  "5.6896348, 5.6148915, 5.5875183, 5.5552348, 5.5447760, 5.5364205, 5.5419916", \
+					  "6.1352934, 6.0616865, 6.0264698, 5.9990797, 5.9874708, 5.9805172, 5.9768855", \
+					  "6.5217465, 6.4262140, 6.4177697, 6.3871711, 6.3777883, 6.3670063, 6.3612371", \
+					  "6.8548339, 6.7781752, 6.7406874, 6.7172128, 6.7113291, 6.7084452, 6.7055688");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0364794, 3.9076247, 3.7869821, 3.7005441, 3.6294403, 3.5505668, 3.5292785", \
+					  "4.4942053, 4.3820852, 4.2525190, 4.2163009, 4.0869768, 4.0578916, 3.9812680", \
+					  "4.9463907, 4.8358675, 4.6984006, 4.6321265, 4.5393301, 4.4671287, 4.4781963", \
+					  "5.3644931, 5.2328122, 5.1206029, 5.0592138, 4.9945482, 4.9152014, 4.8718849", \
+					  "5.8116314, 5.6995820, 5.5905740, 5.5141436, 5.4682155, 5.3610874, 5.2972078", \
+					  "6.1823531, 6.0677994, 5.9485304, 5.8968184, 5.7846952, 5.7518903, 5.6751744", \
+					  "6.5281889, 6.4000519, 6.3186410, 6.2572643, 6.1213570, 6.0483456, 6.0889239");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0519360, 0.0512645, 0.0505930, 0.0507764, 0.0509524, 0.0511357, 0.0513191");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0640137, 0.0632885, 0.0625632, 0.0631655, 0.0637437, 0.0643461, 0.0649484");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.008861;
+			capacitance : 0.009015;
+			rise_capacitance : 0.009168;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3767019, 4.3017499, 4.2747906, 4.2558875, 4.2531497, 4.2625262, 4.2595081", \
+					  "4.8343572, 4.7594051, 4.7324454, 4.7137328, 4.7099576, 4.7101263, 4.7144735", \
+					  "5.2898351, 5.2148835, 5.1866030, 5.1660976, 5.1632243, 5.1726102, 5.1803675", \
+					  "5.7063916, 5.6310119, 5.6014280, 5.5872301, 5.5819925, 5.5906891, 5.5754648", \
+					  "6.1535761, 6.0770986, 6.0488159, 6.0298378, 6.0296548, 6.0247676, 6.0313543", \
+					  "6.5385029, 6.4604995, 6.4304875, 6.4147232, 6.4127519, 6.4212744, 6.4075765", \
+					  "6.8811879, 6.8031841, 6.7731725, 6.7557957, 6.7537369, 6.7639621, 6.7441579");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0181234, 3.9183779, 3.8490676, 3.7446968, 3.6961616, 3.6420982, 3.5362205", \
+					  "4.4756721, 4.3591431, 4.3081670, 4.1961424, 4.1537003, 4.0874400, 4.0380195", \
+					  "4.9245992, 4.8065443, 4.7609954, 4.6252325, 4.5923144, 4.5562032, 4.4930502", \
+					  "5.3348484, 5.2265364, 5.1318343, 5.0446370, 4.9960345, 4.9144349, 4.8849887", \
+					  "5.7722030, 5.6541476, 5.5711083, 5.5064054, 5.4487200, 5.3687120, 5.3406535", \
+					  "6.1733319, 6.0568024, 6.0058268, 5.9090606, 5.8498062, 5.8141432, 5.7204049", \
+					  "6.5551993, 6.4587764, 6.3489203, 6.2866888, 6.2316555, 6.1791743, 6.0584451");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8416960, -3.8033654, -3.7336811, -3.7330887, -3.7170935, -3.7242269, -3.7153575", \
+					  "-4.3039289, -4.2640719, -4.1898100, -4.1939245, -4.1841039, -4.1827494, -4.1836834", \
+					  "-4.7563550, -4.7165340, -4.6717085, -4.6455335, -4.6380590, -4.6321242, -4.6254287", \
+					  "-5.1744375, -5.1361068, -5.0557413, -5.0743247, -5.0493123, -5.0584352, -5.0557178", \
+					  "-5.6200961, -5.5649269, -5.5273397, -5.5229827, -5.5002746, -5.5119719, -5.4952729", \
+					  "-6.0034974, -5.9651663, -5.8893785, -5.8934863, -5.8867268, -5.8731626, -5.8817260", \
+					  "-6.3461820, -6.3078513, -6.2541067, -6.2475737, -6.2225413, -6.2299563, -6.2198328");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8203540, -3.7020226, -3.5903728, -3.5209917, -3.4937512, -3.3955047, -3.3749767", \
+					  "-4.2825865, -4.1655174, -4.0826970, -3.9786731, -3.9544578, -3.8363750, -3.8434977", \
+					  "-4.7350130, -4.5944468, -4.4892549, -4.4158141, -4.3717893, -4.3177934, -4.2635812", \
+					  "-5.1530955, -5.0142217, -4.9263400, -4.8583108, -4.8188633, -4.7480825, -4.6747212", \
+					  "-5.5987545, -5.4566624, -5.3182949, -5.2978663, -5.2492634, -4.7234129, -5.1271149", \
+					  "-5.9821554, -5.8432114, -5.7601650, -5.6614306, -5.6479232, -5.5818645, -5.5334761", \
+					  "-6.3248399, -6.2008209, -6.0875169, -6.0300553, -5.9725315, -5.9564484, -5.8475186");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539399, 0.0532378, 0.0525357, 0.0531757, 0.0537901, 0.0544300, 0.0550700");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427132, 0.0420615, 0.0414099, 0.0415931, 0.0417691, 0.0419524, 0.0421357");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026213;
+			capacitance : 0.026307;
+			fall_capacitance : 0.026401;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031052, -0.0033809, -0.0036566, -0.0037505, -0.0038407, -0.0039346, -0.0040286");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251117, 0.0259016, 0.0266915, 0.0265538, 0.0264216, 0.0262839, 0.0261462");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.033353;
+			capacitance : 0.033659;
+			rise_capacitance : 0.033965;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1286520, 0.2005985, 0.2725450, 0.3191181, 0.3638283, 0.4104014, 0.4569746");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1268428, 0.2069508, 0.2870589, 0.2912912, 0.2953542, 0.2995866, 0.3038189");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051376;
+			capacitance : 0.051909;
+			rise_capacitance : 0.052441;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0197277, -0.0199369, -0.0201462, -0.0201422, -0.0201384, -0.0201344, -0.0201304");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0201948, 0.0201180, 0.0200411, 0.0200702, 0.0200981, 0.0201272, 0.0201562");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_H";
+                                timing_type : non_seq_hold_falling;
+                                fall_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.021275;
+			capacitance : 0.021220;
+			fall_capacitance : 0.021166;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297947, 0.0309193, 0.0320438, 0.0345902, 0.0370348, 0.0395812, 0.0421275");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254148, 0.0261497, 0.0268847, 0.0294092, 0.0318327, 0.0343572, 0.0368817");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037513;
+			capacitance : 0.037005;
+			fall_capacitance : 0.036496;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8600054, -3.8076837, -3.7748797, -3.7712355, -3.7760388, -3.7723968, -3.7763825", \
+					  "-4.3222379, -4.2683907, -4.2371122, -4.2426238, -4.2397976, -4.2346293, -4.2370891", \
+					  "-4.7609314, -4.7055219, -4.6956423, -4.6843691, -4.6906978, -4.6870558, -4.6574719", \
+					  "-5.1912210, -5.1388993, -5.1046178, -5.1024515, -5.1072544, -5.1036124, -5.1091240", \
+					  "-5.6338282, -5.5707898, -5.5548061, -5.5603173, -5.5529134, -5.5492714, -5.5486790", \
+					  "-6.0187550, -5.9664333, -5.9321798, -5.9299851, -5.9408920, -5.9357241, -5.9275022", \
+					  "-6.3568619, -6.3045406, -6.2854692, -6.2757219, -6.2713698, -6.2738314, -6.2762908");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6103099, -2.5257771, -2.4916155, -2.4147293, -2.3554456, -2.3197601, -2.2736699", \
+					  "-3.0633875, -2.9803803, -2.9355375, -2.8662809, -2.8237818, -2.7713116, -2.7112101", \
+					  "-4.8036554, -3.4373843, -3.3955933, -3.3217588, -3.2762081, -3.2389968, -3.1871862", \
+					  "-3.9323404, -3.8508594, -3.7922840, -3.7413376, -4.8707133, -4.8112751, -4.7890991", \
+					  "-4.3764776, -4.2980479, -4.2382020, -4.1686896, -4.0941474, -4.0950827, -4.0349814", \
+					  "-4.7690254, -4.7179711, -4.6488051, -4.5795480, -4.5217902, -4.4967858, -4.3914127", \
+					  "-5.1071318, -5.0546427, -4.9777562, -4.9191808, -4.8568453, -4.8440480, -4.7839463");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2134316, 4.1290667, 4.1176247, 4.1002480, 4.0928441, 4.0937798, 4.0995379", \
+					  "4.6802422, 4.5928259, 4.5783322, 4.5609550, 4.5535511, 4.5575386, 4.5630502", \
+					  "5.0914684, 5.0269400, 5.0216016, 5.0103280, 4.9998728, 5.0084544, 5.0093714", \
+					  "5.5538794, 5.4878251, 5.4856016, 5.4681617, 5.4760168, 5.4662713, 5.4702565", \
+					  "5.9715440, 5.8919858, 5.8773971, 5.8629380, 5.8524823, 5.8549439, 5.8574037", \
+					  "6.3578843, 6.2704680, 6.2575001, 6.2401234, 6.2327195, 6.2367065, 6.2406922", \
+					  "6.7067936, 6.6193773, 6.6048836, 6.5875064, 6.5801025, 6.5840900, 6.5880752");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9555575, 3.8328778, 3.7315775, 3.6836989, 3.6137180, 3.5276786, 3.4859052", \
+					  "4.4193216, 4.2935898, 4.1983930, 4.1321882, 4.0729045, 3.9953874, 3.9393611", \
+					  "4.8579960, 4.7490494, 4.6361464, 4.5788034, 4.5076057, 4.4539131, 4.3974933", \
+					  "5.2867615, 5.1625555, 5.0612552, 5.0042058, 4.9418703, 4.8674218, 4.8081151", \
+					  "5.7319747, 5.5994501, 5.5023331, 5.4483349, 5.3718246, 5.3297125, 5.2581480", \
+					  "6.1158143, 5.9864782, 5.8887822, 5.8266021, 5.7520600, 5.6948675, 5.6385148", \
+					  "6.4493409, 6.3251349, 6.2268868, 6.1602512, 6.0922425, 6.0367207, 5.9888266");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1848558, 0.1836698, 0.1824838, 0.1855398, 0.1884736, 0.1915297, 0.1945858");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2171126, 0.2162310, 0.2153494, 0.2189722, 0.2224500, 0.2260727, 0.2296955");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009489;
+			capacitance : 0.009740;
+			rise_capacitance : 0.009991;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034472, 0.0177970, 0.0390412, 0.1384457, 0.2338740, 0.3332785, 0.4326830");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352183, 0.0508381, 0.0664579, 0.1405230, 0.2116254, 0.2856904, 0.3597554");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006706;
+			capacitance : 0.006861;
+			rise_capacitance : 0.007016;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4682536, 4.3963538, 4.3746804, 4.3536701, 4.3514932, 4.3571434, 4.3449575", \
+					  "4.9259088, 4.8515674, 4.8323352, 4.8097951, 4.8091485, 4.8132798, 4.7934575", \
+					  "5.3844385, 5.3110128, 5.2862877, 5.2636822, 5.2707299, 5.2657041, 5.2596166", \
+					  "5.7948915, 5.7245176, 5.7287839, 5.6909893, 5.6811820, 5.7196184, 5.7127941", \
+					  "6.2339963, 6.1605705, 6.1465768, 6.1070791, 6.1164600, 6.1213677, 6.1152774", \
+					  "6.6081294, 6.5551034, 6.5115045, 6.5057522, 6.4898432, 6.4939741, 6.5006549", \
+					  "6.9646682, 6.8977884, 6.8761146, 6.8470294, 6.8529274, 6.8585815, 6.8367945");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0227180, 3.9129072, 3.7788797, 3.7203041, 3.6961235, 3.6558526, 3.5698109", \
+					  "4.4803728, 4.3788598, 4.2488296, 4.1627002, 4.1553110, 4.0555664, 4.0228885", \
+					  "4.9358511, 4.8059550, 4.6981164, 4.6227562, 4.6062006, 4.5079503, 4.4523303", \
+					  "5.3524072, 5.2436338, 5.1357734, 5.0469724, 4.9987359, 4.9641796, 4.8796641", \
+					  "5.8011176, 5.6696961, 5.5889354, 5.4956731, 5.4398382, 5.4098382, 5.3386054", \
+					  "6.1814672, 6.0741090, 5.9376293, 5.8714241, 5.8533407, 5.7947654, 5.7129717", \
+					  "6.5241517, 6.4168753, 6.2803139, 6.2312353, 6.1619370, 6.1572865, 6.0361501");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9820757, -3.9330678, -3.8834204, -3.8911467, -3.8714180, -3.8701248, -3.8679350", \
+					  "-4.4427828, -4.3953003, -4.3456525, -4.3419297, -4.3321246, -4.3392838, -4.3303785", \
+					  "-4.8967348, -4.8492496, -4.7894286, -4.7928330, -4.7853265, -4.7917423, -4.7780164", \
+					  "-5.3148172, -5.2654536, -5.2251139, -5.2132087, -5.2026327, -5.1951654, -5.2006765", \
+					  "-5.7604763, -5.7129911, -5.6532127, -5.6580946, -5.6487634, -5.6347204, -5.6387061", \
+					  "-6.1438771, -6.0975031, -6.0467433, -6.0430307, -6.0317029, -6.0404101, -6.0266847", \
+					  "-6.4865621, -6.4388985, -6.3894340, -6.3826612, -6.3759049, -6.3653840, -6.3647920");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8234053, -2.9188573, -2.9286968, -2.7979283, -2.9016793, -3.3939788, -3.3766021", \
+					  "-3.6157770, -4.1742189, -4.0114034, -4.0275540, -3.9682210, -3.2236022, -3.8480083", \
+					  "-4.0712551, -3.7994525, -3.8686158, -3.6482972, -3.7777738, -3.6791447, -3.6656318", \
+					  "-5.1561468, -5.0354331, -4.8731612, -4.8781470, -4.8402257, -4.7454518, -3.9086309", \
+					  "-5.6002800, -4.6799788, -4.7325501, -4.6846342, -4.4618253, -4.5134591, -4.4020194", \
+					  "-5.9852067, -5.8881413, -5.7771225, -5.6843190, -4.8254909, -5.5818947, -4.7728419", \
+					  "-5.6595566, -6.2148910, -6.1377154, -6.0529437, -6.0012894, -5.9503431, -5.8475191");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426916, 0.0420072, 0.0413229, 0.0415570, 0.0417818, 0.0420160, 0.0422501");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539625, 0.0533799, 0.0527974, 0.0532987, 0.0537799, 0.0542811, 0.0547824");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.019009;
+			capacitance : 0.019065;
+			rise_capacitance : 0.019122;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1065826, 0.1071653, 0.1077480, 0.1102704, 0.1126919, 0.1152142, 0.1177366");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1075568, 0.1083965, 0.1092361, 0.1118367, 0.1143332, 0.1169338, 0.1195343");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005346;
+			capacitance : 0.005485;
+			rise_capacitance : 0.005625;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9805632, -3.9086207, -3.8765859, -3.8294210, -3.8264989, -3.8297096, -3.8282623", \
+					  "-4.4412702, -4.3693273, -4.3372925, -4.3114807, -4.2931700, -4.2937033, -4.2904948", \
+					  "-4.8952222, -4.8324893, -4.7773240, -4.7539534, -4.7411588, -4.7476607, -4.7231385", \
+					  "-5.3117788, -5.2523658, -5.2078006, -5.1606383, -5.1592412, -5.1616300, -5.1594779", \
+					  "-5.7574378, -5.6962308, -5.6441800, -5.6344842, -5.6049003, -5.6088158, -5.6127660", \
+					  "-6.1423646, -6.0719582, -6.0360967, -5.9912241, -5.9913565, -5.9907210, -5.9976927", \
+					  "-6.4850496, -6.4255660, -6.3810714, -6.3369529, -6.3325089, -6.3318148, -6.3281706");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.0375466, -3.0519948, -3.5856078, -2.9591364, -3.4830702, -3.3289799, -3.3535883", \
+					  "-4.2856072, -4.1286745, -4.0771113, -4.0503358, -3.9468288, -3.8199521, -3.7831415", \
+					  "-3.9405929, -3.9718257, -3.7670850, -3.9111787, -3.8889205, -4.2807919, -4.2247509", \
+					  "-5.2034956, -5.0409416, -4.9933033, -4.9440120, -4.8326740, -4.7913435, -4.7722645", \
+					  "-5.5814875, -4.8085817, -5.3882864, -5.3330253, -5.2431434, -5.1592519, -5.1293451", \
+					  "-5.9663019, -5.8198563, -5.7590139, -5.6915470, -5.5771696, -5.4745330, -5.5030147", \
+					  "-5.5324356, -6.1841694, -5.7158746, -6.0631826, -6.0266074, -5.8642195, -5.8024756");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4621594, 4.3886795, 4.3563245, 4.3337638, 4.3272784, 4.3270754, 4.3113769", \
+					  "4.9160017, 4.8470999, 4.8147444, 4.7906690, 4.7857019, 4.7854954, 4.7774263", \
+					  "5.3723921, 5.3004386, 5.2644798, 5.2553280, 5.2344713, 5.2329832, 5.2292391", \
+					  "5.7782617, 5.7078340, 5.6755030, 5.6540264, 5.6449137, 5.6462322, 5.6467491", \
+					  "6.2204498, 6.1430224, 6.1140411, 6.0905834, 6.0830996, 6.0853618, 6.0666155", \
+					  "6.6160644, 6.5467878, 6.5144328, 6.4812056, 6.4701314, 6.4714539, 6.4740624", \
+					  "6.9522457, 6.8787658, 6.8506998, 6.8357997, 6.8201434, 6.8156328, 6.8167217");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0440494, 3.9111468, 3.8063906, 3.7619048, 3.6655981, 3.5150616, 3.5682850", \
+					  "4.5017042, 4.3741471, 4.2685782, 4.1893647, 4.1232531, 4.0710731, 4.0320439", \
+					  "4.9556566, 4.8297236, 4.7149700, 4.6610594, 4.5741449, 4.4988105, 4.4377851", \
+					  "5.3767904, 5.2582035, 5.1758480, 5.1233100, 4.9927349, 4.9245068, 4.8796641", \
+					  "5.8178718, 5.6919387, 5.5923753, 5.5200669, 5.4191334, 5.3762690, 5.3491161", \
+					  "6.2027985, 6.0786392, 5.9651402, 5.8679091, 5.8243475, 5.7657735, 5.7112420", \
+					  "6.5358863, 6.4219135, 6.3108317, 6.2492041, 6.1624921, 6.0794665, 6.0143457");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0509372, 0.0501723, 0.0494073, 0.0496483, 0.0498796, 0.0501205, 0.0503615");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0611866, 0.0603654, 0.0595441, 0.0600483, 0.0605323, 0.0610364, 0.0615406");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004805;
+			capacitance : 0.004632;
+			fall_capacitance : 0.004458;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074599, -0.0073864, -0.0073129, -0.0073198, -0.0073264, -0.0073332, -0.0073401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074050, 0.0073771, 0.0073492, 0.0073538, 0.0073583, 0.0073629, 0.0073675");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3538127, 4.8114679, 5.2684717, 5.6835024, 6.1306869, 6.5104733, 6.8487014", \
+					  "4.2773356, 4.7364568, 5.1904053, 5.6054453, 6.0526840, 6.4360848, 6.7706985", \
+					  "4.2519022, 4.7183193, 5.1652325, 5.5937990, 6.0274437, 6.4000994, 6.7548623", \
+					  "4.2361388, 4.6907507, 5.1462584, 5.6113947, 6.0069459, 6.3918087, 6.7249329", \
+					  "4.2320714, 4.6818658, 5.1418428, 5.5575867, 6.0032448, 6.3799799, 6.7378168", \
+					  "4.2396667, 4.6872584, 5.1497489, 5.5693559, 6.0003534, 6.3764219, 6.7411017", \
+					  "4.2443176, 4.6851197, 5.1590868, 5.5525769, 6.0043391, 6.3999471, 6.7273731");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8050738, -4.2657808, -4.7182069, -5.1362893, -5.5819484, -5.9668752, -6.3080343", \
+					  "-3.6802005, -4.1454841, -4.5974795, -5.0156201, -5.4612210, -5.8415732, -6.1784237", \
+					  "-3.6331790, -4.1030296, -4.5483663, -4.9702919, -5.4195592, -5.8008777, -6.1468166", \
+					  "-3.6355620, -4.0924226, -4.5409362, -4.9576234, -5.4046778, -5.7881515, -6.1339411", \
+					  "-3.6516918, -4.1078227, -4.5648265, -4.9864350, -5.4259599, -5.8134943, -6.1447218", \
+					  "-3.6473550, -4.1125594, -4.5536066, -4.9800161, -5.4088904, -5.7953431, -6.1548128", \
+					  "-3.6115874, -4.0722942, -4.5216686, -4.9382251, -5.3976172, -5.7871216, -6.1252289");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8600054, -4.3222379, -4.7609314, -5.1912210, -5.6338282, -6.0187550, -6.3568619", \
+					  "-3.8076837, -4.2683907, -4.7055219, -5.1388993, -5.5707898, -5.9664333, -6.3045406", \
+					  "-3.7748797, -4.2371122, -4.6956423, -5.1046178, -5.5548061, -5.9321798, -6.2854692", \
+					  "-3.7712355, -4.2426238, -4.6843691, -5.1024515, -5.5603173, -5.9299851, -6.2757219", \
+					  "-3.7760388, -4.2397976, -4.6906978, -5.1072544, -5.5529134, -5.9408920, -6.2713698", \
+					  "-3.7723968, -4.2346293, -4.6870558, -5.1036124, -5.5492714, -5.9357241, -6.2738314", \
+					  "-3.7763825, -4.2370891, -4.6574719, -5.1091240, -5.5486790, -5.9275022, -6.2762908");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2134316, 4.6802422, 5.0914684, 5.5538794, 5.9715440, 6.3578843, 6.7067936", \
+					  "4.1290667, 4.5928259, 5.0269400, 5.4878251, 5.8919858, 6.2704680, 6.6193773", \
+					  "4.1176247, 4.5783322, 5.0216016, 5.4856016, 5.8773971, 6.2575001, 6.6048836", \
+					  "4.1002480, 4.5609550, 5.0103280, 5.4681617, 5.8629380, 6.2401234, 6.5875064", \
+					  "4.0928441, 4.5535511, 4.9998728, 5.4760168, 5.8524823, 6.2327195, 6.5801025", \
+					  "4.0937798, 4.5575386, 5.0084544, 5.4662713, 5.8549439, 6.2367065, 6.5840900", \
+					  "4.0995379, 4.5630502, 5.0093714, 5.4702565, 5.8574037, 6.2406922, 6.5880752");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4682536, 4.9259088, 5.3844385, 5.7948915, 6.2339963, 6.6081294, 6.9646682", \
+					  "4.3963538, 4.8515674, 5.3110128, 5.7245176, 6.1605705, 6.5551034, 6.8977884", \
+					  "4.3746804, 4.8323352, 5.2862877, 5.7287839, 6.1465768, 6.5115045, 6.8761146", \
+					  "4.3536701, 4.8097951, 5.2636822, 5.6909893, 6.1070791, 6.5057522, 6.8470294", \
+					  "4.3514932, 4.8091485, 5.2707299, 5.6811820, 6.1164600, 6.4898432, 6.8529274", \
+					  "4.3571434, 4.8132798, 5.2657041, 5.7196184, 6.1213677, 6.4939741, 6.8585815", \
+					  "4.3449575, 4.7934575, 5.2596166, 5.7127941, 6.1152774, 6.5006549, 6.8367945");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9820757, -4.4427828, -4.8967348, -5.3148172, -5.7604763, -6.1438771, -6.4865621", \
+					  "-3.9330678, -4.3953003, -4.8492496, -5.2654536, -5.7129911, -6.0975031, -6.4388985", \
+					  "-3.8834204, -4.3456525, -4.7894286, -5.2251139, -5.6532127, -6.0467433, -6.3894340", \
+					  "-3.8911467, -4.3419297, -4.7928330, -5.2132087, -5.6580946, -6.0430307, -6.3826612", \
+					  "-3.8714180, -4.3321246, -4.7853265, -5.2026327, -5.6487634, -6.0317029, -6.3759049", \
+					  "-3.8701248, -4.3392838, -4.7917423, -5.1951654, -5.6347204, -6.0404101, -6.3653840", \
+					  "-3.8679350, -4.3303785, -4.7780164, -5.2006765, -5.6387061, -6.0266847, -6.3647920");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9805632, -4.4412702, -4.8952222, -5.3117788, -5.7574378, -6.1423646, -6.4850496", \
+					  "-3.9086207, -4.3693273, -4.8324893, -5.2523658, -5.6962308, -6.0719582, -6.4255660", \
+					  "-3.8765859, -4.3372925, -4.7773240, -5.2078006, -5.6441800, -6.0360967, -6.3810714", \
+					  "-3.8294210, -4.3114807, -4.7539534, -5.1606383, -5.6344842, -5.9912241, -6.3369529", \
+					  "-3.8264989, -4.2931700, -4.7411588, -5.1592412, -5.6049003, -5.9913565, -6.3325089", \
+					  "-3.8297096, -4.2937033, -4.7476607, -5.1616300, -5.6088158, -5.9907210, -6.3318148", \
+					  "-3.8282623, -4.2904948, -4.7231385, -5.1594779, -5.6127660, -5.9976927, -6.3281706");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4621594, 4.9160017, 5.3723921, 5.7782617, 6.2204498, 6.6160644, 6.9522457", \
+					  "4.3886795, 4.8470999, 5.3004386, 5.7078340, 6.1430224, 6.5467878, 6.8787658", \
+					  "4.3563245, 4.8147444, 5.2644798, 5.6755030, 6.1140411, 6.5144328, 6.8506998", \
+					  "4.3337638, 4.7906690, 5.2553280, 5.6540264, 6.0905834, 6.4812056, 6.8357997", \
+					  "4.3272784, 4.7857019, 5.2344713, 5.6449137, 6.0830996, 6.4701314, 6.8201434", \
+					  "4.3270754, 4.7854954, 5.2329832, 5.6462322, 6.0853618, 6.4714539, 6.8156328", \
+					  "4.3113769, 4.7774263, 5.2292391, 5.6467491, 6.0666155, 6.4740624, 6.8167217");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8966556, -4.3573622, -4.8195383, -5.2783029, -5.6547688, -6.0380573, -6.3915444", \
+					  "-3.8123675, -4.2746000, -4.7306725, -5.1909629, -5.5739264, -5.9552951, -6.3087821", \
+					  "-3.7352070, -4.1898100, -4.6593868, -5.1288326, -5.4933198, -5.8689793, -6.2407770", \
+					  "-3.7590288, -4.2257586, -4.6757027, -5.1377686, -5.5333908, -5.9034037, -6.2540135", \
+					  "-3.7246328, -4.1805476, -4.6259376, -5.0984352, -5.4749216, -5.8612428, -6.2205736", \
+					  "-3.7205170, -4.1949113, -4.6282242, -5.1158559, -5.4725266, -5.8649705, -6.2169316", \
+					  "-3.7260281, -4.1852092, -4.6260224, -5.0786839, -5.4795636, -5.8689562, -6.2148137");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3599451, 4.8176004, 5.2730782, 5.6896348, 6.1352934, 6.5217465, 6.8548339", \
+					  "4.2863382, 4.7439930, 5.1995033, 5.6148915, 6.0616865, 6.4262140, 6.7781752", \
+					  "4.2569386, 4.7080311, 5.1693847, 5.5875183, 6.0264698, 6.4177697, 6.7406874", \
+					  "4.2284219, 4.6830604, 5.1353591, 5.5552348, 5.9990797, 6.3871711, 6.7172128", \
+					  "4.2181044, 4.6574565, 5.1356091, 5.5447760, 5.9874708, 6.3777883, 6.7113291", \
+					  "4.2082624, 4.6674014, 5.1228797, 5.5364205, 5.9805172, 6.3670063, 6.7084452", \
+					  "4.2045765, 4.6714137, 5.1161837, 5.5419916, 5.9768855, 6.3612371, 6.7055688");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9149694, -4.3772019, -4.8143695, -5.2461850, -5.6933700, -6.0752449, -6.4133518", \
+					  "-3.8552909, -4.3175238, -4.7623205, -5.1880324, -5.6290050, -6.0155664, -6.3536733", \
+					  "-3.8315104, -4.2882015, -4.7431101, -5.1642883, -5.6084281, -5.9932963, -6.3298950", \
+					  "-3.8185381, -4.2822965, -4.7428099, -5.1497537, -5.6018885, -5.9772873, -6.3184464", \
+					  "-3.8263927, -4.2901515, -4.7257933, -5.1591342, -5.6047933, -5.9912459, -6.3263014", \
+					  "-3.8258025, -4.2880354, -4.7313062, -5.1570181, -5.5980995, -5.9876039, -6.3274037", \
+					  "-3.8267364, -4.2874430, -4.7047741, -5.1594779, -5.6066624, -5.9931151, -6.3266447");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2348261, 4.7001108, 5.1342266, 5.5675676, 6.0376404, 6.3975903, 6.7419220", \
+					  "4.1644664, 4.6282252, 5.0623410, 5.5063627, 5.9317474, 6.3058685, 6.6628492", \
+					  "4.1514661, 4.6121256, 5.0583891, 5.4994301, 5.9115688, 6.2897836, 6.6483035", \
+					  "4.1383948, 4.5991018, 5.0456895, 5.5078349, 5.9071892, 6.2797965, 6.6241286", \
+					  "4.1355686, 4.5962756, 5.0425982, 5.4652583, 5.9078656, 6.2754444, 6.6228283", \
+					  "4.1319266, 4.5956850, 5.0420080, 5.4646681, 5.8930916, 6.2748542, 6.6222377", \
+					  "4.1404896, 4.5935671, 5.0374325, 5.5068002, 5.8990531, 6.2727364, 6.6277493");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9906441, 4.4482993, 4.9037772, 5.3203338, 5.7594385, 6.1289940, 6.4920783", \
+					  "3.9347257, 4.3778450, 4.8517193, 5.2678247, 5.7154604, 6.0570192, 6.4120276", \
+					  "3.8889028, 4.3465616, 4.7948963, 5.2245362, 5.6490313, 6.0410004, 6.3807429", \
+					  "3.8852018, 4.3443607, 4.7967957, 5.2254697, 5.6589887, 6.0357437, 6.3785531", \
+					  "3.8792923, 4.3365296, 4.7902343, 5.2081755, 5.6476786, 6.0187529, 6.3722514", \
+					  "3.8725275, 4.3301823, 4.7826088, 5.2006908, 5.6245367, 6.0108774, 6.3674162", \
+					  "3.8750423, 4.3326416, 4.7820164, 5.2092542, 5.6376777, 6.0102850, 6.3622461");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8294787, -4.2901853, -4.7441377, -5.1622202, -5.6063533, -5.9912801, -5.7190356", \
+					  "-3.0953526, -4.2304979, -4.6779240, -5.1010065, -5.5466655, -5.9315923, -5.6134943", \
+					  "-3.7275775, -4.1898100, -3.9440342, -5.0648967, -4.8185253, -5.8954821, -6.2434122", \
+					  "-3.7025809, -4.1603109, -4.6021371, -5.0438056, -5.4796049, -5.8446729, -5.6363787", \
+					  "-3.0375135, -4.1749748, -4.6278019, -5.0447497, -5.4900051, -5.8749443, -6.2165239", \
+					  "-3.7197667, -4.1599406, -4.6269159, -5.0509496, -5.4821327, -5.8655336, -6.2158787", \
+					  "-3.6971998, -4.1487353, -4.5933850, -5.0192354, -5.4709705, -5.8574285, -6.2091627");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3767019, 4.8343572, 5.2898351, 5.7063916, 6.1535761, 6.5385029, 6.8811879", \
+					  "4.3017499, 4.7594051, 5.2148835, 5.6310119, 6.0770986, 6.4604995, 6.8031841", \
+					  "4.2747906, 4.7324454, 5.1866030, 5.6014280, 6.0488159, 6.4304875, 6.7731725", \
+					  "4.2558875, 4.7137328, 5.1660976, 5.5872301, 6.0298378, 6.4147232, 6.7557957", \
+					  "4.2531497, 4.7099576, 5.1632243, 5.5819925, 6.0296548, 6.4127519, 6.7537369", \
+					  "4.2625262, 4.7101263, 5.1726102, 5.5906891, 6.0247676, 6.4212744, 6.7639621", \
+					  "4.2595081, 4.7144735, 5.1803675, 5.5754648, 6.0313543, 6.4075765, 6.7441579");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8416960, -4.3039289, -4.7563550, -5.1744375, -5.6200961, -6.0034974, -6.3461820", \
+					  "-3.8033654, -4.2640719, -4.7165340, -5.1361068, -5.5649269, -5.9651663, -6.3078513", \
+					  "-3.7336811, -4.1898100, -4.6717085, -5.0557413, -5.5273397, -5.8893785, -6.2541067", \
+					  "-3.7330887, -4.1939245, -4.6455335, -5.0743247, -5.5229827, -5.8934863, -6.2475737", \
+					  "-3.7170935, -4.1841039, -4.6380590, -5.0493123, -5.5002746, -5.8867268, -6.2225413", \
+					  "-3.7242269, -4.1827494, -4.6321242, -5.0584352, -5.5119719, -5.8731626, -6.2299563", \
+					  "-3.7153575, -4.1836834, -4.6254287, -5.0557178, -5.4952729, -5.8817260, -6.2198328");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2568186, 0.7022666, 1.1531671, 1.5743012, 2.0001234, 2.3753322, 2.7379746", \
+					  "0.1817375, 0.6291293, 1.0806373, 1.5113516, 1.9262530, 2.3034382, 2.6645546", \
+					  "0.1504900, 0.6028123, 1.0515674, 1.4894034, 1.8959184, 2.2734310, 2.6273182", \
+					  "0.1359789, 0.5957729, 1.0345372, 1.4673077, 1.8578604, 2.2621576, 2.6152094", \
+					  "0.1285750, 0.5836653, 1.0517309, 1.4698682, 1.8439992, 2.1894291, 2.6112926", \
+					  "0.1310365, 0.5791252, 1.0518348, 1.4733675, 1.8601936, 2.2175455, 2.5496765", \
+					  "0.1380737, 0.5809298, 1.0505044, 1.4888329, 1.8738829, 2.2352607, 2.5811183");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1439512, -0.2942910, -0.7162913, -1.1454195, -1.5386930, -1.9238759, -2.2908499", \
+					  "0.2175188, -0.2350171, -0.6745026, -1.0847881, -1.4505606, -1.8205245, -2.2271422", \
+					  "0.2427951, -0.2046445, -0.6456243, -1.0521095, -1.4394050, -1.8368976, -2.1453872", \
+					  "0.2692006, -0.1793064, -0.6370793, -1.0533884, -1.4400702, -1.8097952, -2.1387438", \
+					  "0.2624465, -0.1890184, -0.6465292, -1.0576233, -1.4415646, -1.8199098, -2.1722763", \
+					  "0.2666363, -0.1777469, -0.6381610, -1.0627746, -1.4521546, -1.8203559, -2.1761539", \
+					  "0.2641808, -0.1808415, -0.6371984, -1.0620699, -1.4623500, -1.8316350, -2.1750570");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9790186, -4.4397252, -4.8936776, -5.3102342, -5.7558933, -6.1408200, -6.4835050", \
+					  "-3.9024648, -4.3631700, -4.8370407, -5.2546977, -5.7007818, -6.0642755, -6.4279681", \
+					  "-3.8818741, -4.3441057, -4.7878703, -5.2174844, -5.6470994, -6.0436693, -6.3878882", \
+					  "-3.8629062, -4.3235954, -4.7760300, -5.1956494, -5.6396631, -6.0247076, -6.3673850", \
+					  "-3.8622760, -4.3229710, -4.7758927, -5.1934934, -5.6411313, -6.0260492, -6.3667589", \
+					  "-3.8664460, -4.3139751, -4.7664017, -5.1814324, -5.6317259, -6.0150695, -6.3623846", \
+					  "-3.8558514, -4.3196093, -4.7658093, -5.1900064, -5.6249731, -6.0114258, -6.3571625");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4041613, 4.8633420, 5.3209404, 5.7338510, 6.1825614, 6.5440371, 6.9101727", \
+					  "4.3322655, 4.7914462, 5.2453986, 5.6635947, 6.0903782, 6.4910147, 6.8336992", \
+					  "4.3090547, 4.7614373, 5.2155793, 5.6372363, 6.0651207, 6.4611505, 6.8089888", \
+					  "4.2864981, 4.7457059, 5.1935539, 5.6620284, 6.0370018, 6.4464530, 6.7878394", \
+					  "4.2843576, 4.7420142, 5.1922265, 5.6125267, 6.0574366, 6.4431063, 6.7761959", \
+					  "4.2799371, 4.7375919, 5.1900185, 5.6081005, 6.0552854, 6.4182871, 6.7813713", \
+					  "4.2854483, 4.7400513, 5.2109941, 5.6105603, 6.0252508, 6.4426716, 6.7762012");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024294;
+			capacitance : 0.025021;
+			rise_capacitance : 0.025274;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9149694, -3.8552909, -3.8315104, -3.8185381, -3.8263927, -3.8258025, -3.8267364", \
+					  "-4.3772019, -4.3175238, -4.2882015, -4.2822965, -4.2901515, -4.2880354, -4.2874430", \
+					  "-4.8143695, -4.7623205, -4.7431101, -4.7428099, -4.7257933, -4.7313062, -4.7047741", \
+					  "-5.2461850, -5.1880324, -5.1642883, -5.1497537, -5.1591342, -5.1570181, -5.1594779", \
+					  "-5.6933700, -5.6290050, -5.6084281, -5.6018885, -5.6047933, -5.5980995, -5.6066624", \
+					  "-6.0752449, -6.0155664, -5.9932963, -5.9772873, -5.9912459, -5.9876039, -5.9931151", \
+					  "-6.4133518, -6.3536733, -6.3298950, -6.3184464, -6.3263014, -6.3274037, -6.3266447");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6118471, -2.4738602, -2.4778827, -2.4793336, -2.3890149, -2.2388886, -2.2165991", \
+					  "-3.0710281, -2.9360929, -2.9370637, -2.8525479, -2.8329370, -2.7667339, -2.6349671", \
+					  "-4.8082446, -3.3930969, -3.3910159, -3.3034486, -3.2853635, -3.2206863, -3.1222839", \
+					  "-3.9445884, -3.8081273, -3.8136758, -3.7036276, -4.9319959, -4.7984365, -4.8653838", \
+					  "-4.3669083, -4.2350938, -4.1813887, -4.2323515, -4.1394987, -4.1016570, -4.0376082", \
+					  "-4.7563009, -4.6380386, -4.5796115, -4.5210997, -4.5227872, -4.5115158, -4.3995347", \
+					  "-5.0685888, -4.9779045, -4.9804007, -4.8638420, -4.8854296, -4.8299078, -4.7789614");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2348261, 4.1644664, 4.1514661, 4.1383948, 4.1355686, 4.1319266, 4.1404896", \
+					  "4.7001108, 4.6282252, 4.6121256, 4.5991018, 4.5962756, 4.5956850, 4.5935671", \
+					  "5.1342266, 5.0623410, 5.0583891, 5.0456895, 5.0425982, 5.0420080, 5.0374325", \
+					  "5.5675676, 5.5063627, 5.4994301, 5.5078349, 5.4652583, 5.4646681, 5.5068002", \
+					  "6.0376404, 5.9317474, 5.9115688, 5.9071892, 5.9078656, 5.8930916, 5.8990531", \
+					  "6.3975903, 6.3058685, 6.2897836, 6.2797965, 6.2754444, 6.2748542, 6.2727364", \
+					  "6.7419220, 6.6628492, 6.6483035, 6.6241286, 6.6228283, 6.6222377, 6.6277493");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9693016, 3.8648838, 3.7483623, 3.7050452, 3.6505002, 3.5206456, 3.4937431", \
+					  "4.4330604, 4.3255908, 4.2147524, 4.1489672, 4.1188748, 4.0015833, 3.9749824", \
+					  "4.8641245, 4.7703875, 4.6548332, 4.6020639, 4.5186919, 4.4471038, 4.4118218", \
+					  "5.3250091, 5.2199407, 5.1024658, 5.0545713, 4.9739251, 4.9351881, 4.9163631", \
+					  "5.7426737, 5.6373078, 5.5344825, 5.4811123, 5.4104288, 5.3070644, 5.2827230", \
+					  "6.1168069, 6.0236330, 5.9071115, 5.8296624, 5.8031262, 5.6790162, 5.6803578", \
+					  "6.4596131, 6.3506176, 6.2432511, 6.1846753, 6.0986032, 6.0825188, 5.9807766");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006947;
+			capacitance : 0.006793;
+			fall_capacitance : 0.006638;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9906441, 3.9347257, 3.8889028, 3.8852018, 3.8792923, 3.8725275, 3.8750423", \
+					  "4.4482993, 4.3778450, 4.3465616, 4.3443607, 4.3365296, 4.3301823, 4.3326416", \
+					  "4.9037772, 4.8517193, 4.7948963, 4.7967957, 4.7902343, 4.7826088, 4.7820164", \
+					  "5.3203338, 5.2678247, 5.2245362, 5.2254697, 5.2081755, 5.2006908, 5.2092542", \
+					  "5.7594385, 5.7154604, 5.6490313, 5.6589887, 5.6476786, 5.6245367, 5.6376777", \
+					  "6.1289940, 6.0570192, 6.0410004, 6.0357437, 6.0187529, 6.0108774, 6.0102850", \
+					  "6.4920783, 6.4120276, 6.3807429, 6.3785531, 6.3722514, 6.3674162, 6.3622461");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5094691, 4.3932349, 4.2915749, 4.2467321, 4.1668232, 4.1174706, 4.0328743", \
+					  "4.9671243, 4.8508902, 4.7477043, 4.7028597, 4.6245384, 4.5844020, 4.5180955", \
+					  "5.4287058, 5.3048422, 5.2114578, 5.1476586, 5.0694043, 5.0458183, 4.9277878", \
+					  "5.8345811, 5.7229246, 5.6166869, 5.5454888, 5.4953356, 5.4459058, 5.3844727", \
+					  "6.2909209, 6.1670574, 6.0812504, 6.0129251, 5.9390686, 5.9142258, 5.8327710", \
+					  "6.6676556, 6.5519841, 6.4253476, 6.4163864, 6.3186898, 6.3065156, 6.1784340", \
+					  "7.0074100, 6.8850723, 6.7818864, 6.7371764, 6.6481250, 6.6109596, 6.5469615");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8294787, -3.0953526, -3.7275775, -3.7025809, -3.0375135, -3.7197667, -3.6971998", \
+					  "-4.2901853, -4.2304979, -4.1898100, -4.1603109, -4.1749748, -4.1599406, -4.1487353", \
+					  "-4.7441377, -4.6779240, -3.9440342, -4.6021371, -4.6278019, -4.6269159, -4.5933850", \
+					  "-5.1622202, -5.1010065, -5.0648967, -5.0438056, -5.0447497, -5.0509496, -5.0192354", \
+					  "-5.6063533, -5.5466655, -4.8185253, -5.4796049, -5.4900051, -5.4821327, -5.4709705", \
+					  "-5.9912801, -5.9315923, -5.8954821, -5.8446729, -5.8749443, -5.8655336, -5.8574285", \
+					  "-5.7190356, -5.6134943, -6.2434122, -5.6363787, -6.2165239, -6.2158787, -6.2091627");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0126104, -3.8719620, -3.8069230, -3.7025955, -3.6602840, -3.5938689, -3.5332330", \
+					  "-4.4748429, -4.3326691, -4.2429488, -4.1525967, -4.1407901, -4.0589773, -3.9990519", \
+					  "-4.9287953, -4.7850952, -4.6834352, -4.6187562, -4.5916455, -4.4978467, -4.4483907", \
+					  "-5.3453515, -5.2031776, -5.1228796, -5.0476616, -4.9932300, -4.9250845, -4.8817676", \
+					  "-5.7910105, -5.6489566, -5.5838052, -5.4909279, -5.4370634, -5.3520665, -5.3498701", \
+					  "-6.1744114, -6.0337634, -5.9733296, -5.8689499, -5.8403093, -5.7490827, -5.6906184", \
+					  "-6.5186223, -6.3764480, -6.2720924, -6.2340974, -6.1416700, -6.0876737, -6.0425986");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0424253, 0.0417037, 0.0409820, 0.0414218, 0.0418440, 0.0422837, 0.0427235");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0542180, 0.0534582, 0.0526984, 0.0533321, 0.0539406, 0.0545743, 0.0552081");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014586;
+			capacitance : 0.015028;
+			rise_capacitance : 0.015471;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0113445, -0.0010957, 0.0091531, 0.0211122, 0.0325930, 0.0445522, 0.0565114");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438921, 0.1022934, 0.1606947, 0.4355468, 0.6994050, 0.9742571, 1.2491093");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_VDDIO";
+                                timing_type : non_seq_hold_rising;
+                                rise_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.032036;
+			capacitance : 0.032091;
+			fall_capacitance : 0.032145;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0530625, 0.0778380, 0.1026134, 0.1025273, 0.1024445, 0.1023583, 0.1022722");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044631, -0.0042985, -0.0041338, -0.0042574, -0.0043761, -0.0044997, -0.0046233");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007169;
+			capacitance : 0.007015;
+			fall_capacitance : 0.006861;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2568186, 0.1817375, 0.1504900, 0.1359789, 0.1285750, 0.1310365, 0.1380737", \
+					  "0.7022666, 0.6291293, 0.6028123, 0.5957729, 0.5836653, 0.5791252, 0.5809298", \
+					  "1.1531671, 1.0806373, 1.0515674, 1.0345372, 1.0517309, 1.0518348, 1.0505044", \
+					  "1.5743012, 1.5113516, 1.4894034, 1.4673077, 1.4698682, 1.4733675, 1.4888329", \
+					  "2.0001234, 1.9262530, 1.8959184, 1.8578604, 1.8439992, 1.8601936, 1.8738829", \
+					  "2.3753322, 2.3034382, 2.2734310, 2.2621576, 2.1894291, 2.2175455, 2.2352607", \
+					  "2.7379746, 2.6645546, 2.6273182, 2.6152094, 2.6112926, 2.5496765, 2.5811183");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0285622, -0.1451243, -0.2323169, -0.3047322, -0.3855819, -0.4381163, -0.5134766", \
+					  "0.4180793, 0.2978938, 0.2267273, 0.1417928, 0.0633367, 0.0001585, -0.0727861", \
+					  "0.8577668, 0.7410635, 0.6714453, 0.5845471, 0.5298557, 0.4963573, 0.4111301", \
+					  "1.2890385, 1.1639162, 1.0955854, 1.0191982, 0.9519821, 0.9101735, 0.8666113", \
+					  "1.6836530, 1.5424257, 1.4708519, 1.4088982, 1.3410092, 1.2926440, 1.2554571", \
+					  "2.0747602, 1.9526978, 1.8294001, 1.7695449, 1.7283705, 1.6733343, 1.6287359", \
+					  "2.3856182, 2.3282086, 2.2378189, 2.1151563, 2.0627160, 2.0305518, 1.9336786");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1439512, 0.2175188, 0.2427951, 0.2692006, 0.2624465, 0.2666363, 0.2641808", \
+					  "-0.2942910, -0.2350171, -0.2046445, -0.1793064, -0.1890184, -0.1777469, -0.1808415", \
+					  "-0.7162913, -0.6745026, -0.6456243, -0.6370793, -0.6465292, -0.6381610, -0.6371984", \
+					  "-1.1454195, -1.0847881, -1.0521095, -1.0533884, -1.0576233, -1.0627746, -1.0620699", \
+					  "-1.5386930, -1.4505606, -1.4394050, -1.4400702, -1.4415646, -1.4521546, -1.4623500", \
+					  "-1.9238759, -1.8205245, -1.8368976, -1.8097952, -1.8199098, -1.8203559, -1.8316350", \
+					  "-2.2908499, -2.2271422, -2.1453872, -2.1387438, -2.1722763, -2.1761539, -2.1750570");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1439389, 0.9314165, 0.3557096, 0.4185923, 0.4992748, 0.9088988, 0.6059776", \
+					  "-0.2866887, -0.1833837, -0.0988192, -0.0209238, 0.0507343, 0.1075773, 0.1764911", \
+					  "-0.7177991, -0.6184059, 0.0493799, 0.0008175, -0.0116151, -0.3217554, -0.2714614", \
+					  "-1.1370803, -1.0408455, -0.9242452, -0.8757172, -0.2560625, -0.2198276, -0.7448601", \
+					  "-0.8554504, -0.8567639, -0.7039132, -1.2997224, -0.6241081, -1.2286237, -1.1566904", \
+					  "-1.9281183, -1.8069249, -1.6662158, -1.6552645, -1.5868164, -0.8626794, -1.4928632", \
+					  "-1.6177110, -2.1901167, -2.0135864, -1.9954047, -1.9453776, -1.9136681, -1.8836687");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0424762, 0.0417193, 0.0409625, 0.0413983, 0.0418167, 0.0422525, 0.0426883");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538735, 0.0531228, 0.0523722, 0.0529055, 0.0534175, 0.0539508, 0.0544841");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.015443;
+			capacitance : 0.015366;
+			fall_capacitance : 0.015288;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9790186, -3.9024648, -3.8818741, -3.8629062, -3.8622760, -3.8664460, -3.8558514", \
+					  "-4.4397252, -4.3631700, -4.3441057, -4.3235954, -4.3229710, -4.3139751, -4.3196093", \
+					  "-4.8936776, -4.8370407, -4.7878703, -4.7760300, -4.7758927, -4.7664017, -4.7658093", \
+					  "-5.3102342, -5.2546977, -5.2174844, -5.1956494, -5.1934934, -5.1814324, -5.1900064", \
+					  "-5.7558933, -5.7007818, -5.6470994, -5.6396631, -5.6411313, -5.6317259, -5.6249731", \
+					  "-6.1408200, -6.0642755, -6.0436693, -6.0247076, -6.0260492, -6.0150695, -6.0114258", \
+					  "-6.4835050, -6.4279681, -6.3878882, -6.3673850, -6.3667589, -6.3623846, -6.3571625");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7959371, -3.7104259, -3.6352862, -3.0536315, -3.5151457, -3.3939788, -3.3857572", \
+					  "-4.2566437, -4.1741936, -4.0650081, -4.0390302, -3.9575096, -3.8409526, -3.8465024", \
+					  "-4.7090702, -3.9653242, -4.5995374, -3.9418097, -4.4069141, -4.2918533, -4.2758776", \
+					  "-5.1271527, -5.0460398, -4.9573516, -4.8827246, -4.7901795, -4.7457084, -4.7076016", \
+					  "-5.5728113, -5.4916984, -5.4252467, -5.3054953, -5.2660482, -4.7204349, -5.1287918", \
+					  "-5.9577385, -5.8981639, -5.8039695, -5.6888962, -5.6753888, -5.6157325, -5.5383793", \
+					  "-6.2908258, -6.2099119, -6.0880014, -6.0570790, -6.0087266, -5.9551046, -5.8440250");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4041613, 4.3322655, 4.3090547, 4.2864981, 4.2843576, 4.2799371, 4.2854483", \
+					  "4.8633420, 4.7914462, 4.7614373, 4.7457059, 4.7420142, 4.7375919, 4.7400513", \
+					  "5.3209404, 5.2453986, 5.2155793, 5.1935539, 5.1922265, 5.1900185, 5.2109941", \
+					  "5.7338510, 5.6635947, 5.6372363, 5.6620284, 5.6125267, 5.6081005, 5.6105603", \
+					  "6.1825614, 6.0903782, 6.0651207, 6.0370018, 6.0574366, 6.0552854, 6.0252508", \
+					  "6.5440371, 6.4910147, 6.4611505, 6.4464530, 6.4431063, 6.4182871, 6.4426716", \
+					  "6.9101727, 6.8336992, 6.8089888, 6.7878394, 6.7761959, 6.7813713, 6.7762012");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0547577, 3.9063946, 3.8521873, 3.7553940, 3.7176644, 3.6253296, 3.5713315", \
+					  "4.5128606, 4.3644975, 4.2808826, 4.2165487, 4.1742426, 4.1002169, 4.0187532", \
+					  "4.9669778, 4.8170888, 4.7563502, 4.6819480, 4.6237670, 4.5558604, 4.4804998", \
+					  "5.3759755, 5.2812628, 5.1701535, 5.0903449, 5.0317966, 4.9312885, 4.8879716", \
+					  "5.8072398, 5.6854208, 5.6122674, 5.4788843, 5.4615675, 5.4300940, 5.3268654", \
+					  "6.1984429, 6.0720573, 5.9587000, 5.8838204, 5.8613694, 5.7792067, 5.6921621", \
+					  "6.5516111, 6.4074335, 6.3440003, 6.2446184, 6.1824166, 6.1493555, 6.0529260");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540145, 0.0533117, 0.0526089, 0.0531044, 0.0535800, 0.0540755, 0.0545710");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0428121, 0.0419772, 0.0411424, 0.0414742, 0.0417927, 0.0421245, 0.0424563");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.000440;
+			max_transition : 1.500741;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.6419759, 0.6497711, 0.6599659, 0.6804202, 0.7066883, 0.7677881, 1.0226387", \
+					  "-0.3634815, -0.3636954, -0.3619476, -0.3606562, -0.3644616, -0.3975540, -0.1538892", \
+					  "0.1053215, 0.1062515, 0.1046037, 0.1077957, 0.1105055, 0.1107330, -0.0072305", \
+					  "0.2330689, 0.2343524, 0.2220105, 0.2318283, 0.2360038, 0.2417388, 0.2372446", \
+					  "0.3536070, 0.3540610, 0.3397607, 0.3424398, 0.3536723, 0.3583499, 0.3595299", \
+					  "0.4635557, 0.4631283, 0.4540667, 0.4554677, 0.4639949, 0.4713035, 0.4720947", \
+					  "0.5672837, 0.5662948, 0.5520194, 0.5560060, 0.5666289, 0.5738866, 0.5756807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.7453346, 0.7585771, 0.7851064, 0.8244712, 0.8696048, 0.9174372, 1.0267937", \
+					  "2.1067438, 2.1079076, 2.1101341, 2.1124181, 2.1151997, 2.1352954, 2.3072473", \
+					  "2.3123890, 2.3143351, 2.3150605, 2.3176391, 2.3204123, 2.3221639, 2.3230111", \
+					  "2.3770231, 2.3780546, 2.3798485, 2.3829905, 2.3853716, 2.3868991, 2.3878696", \
+					  "2.3826355, 2.3829129, 2.3848948, 2.3877066, 2.3904158, 2.3924361, 2.3922420", \
+					  "2.3867872, 2.3869570, 2.3886819, 2.3922075, 2.3949169, 2.3965057, 2.3971322", \
+					  "2.3883122, 2.3911255, 2.3917524, 2.3948495, 2.4046223, 2.3987459, 2.3998440");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.6872362, 0.6917255, 0.6973458, 0.7132792, 0.8080756, 0.9613354, 0.9340825", \
+					  "-0.1817356, -0.1785139, -0.1709706, -0.1564918, -0.0689159, 0.0946563, 0.0693554", \
+					  "0.0360087, 0.0388423, 0.0406561, 0.0408686, 0.1297993, 0.2841952, 0.2658388", \
+					  "0.5100534, 0.5222582, 0.5229459, 0.5123751, 0.5233241, 0.5051520, 0.4489680", \
+					  "0.7103100, 0.7192859, 0.7206025, 0.7118691, 0.7279749, 0.7164249, 0.6444975", \
+					  "0.8680748, 0.8788457, 0.8830542, 0.8673665, 0.8826126, 0.8698023, 0.8649109", \
+					  "1.0079806, 1.0267244, 1.0271724, 1.0127741, 1.0334222, 1.0167498, 1.0206678");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.9455880, 0.9542484, 0.9711793, 0.9954056, 1.0271378, 1.0945676, 1.1867376", \
+					  "1.9622910, 1.9745768, 1.9896329, 2.0161091, 2.0456278, 2.1110412, 2.2025686", \
+					  "1.9551507, 1.9641530, 1.9809120, 2.0189831, 2.0373310, 2.1038130, 2.1972372", \
+					  "1.9279634, 1.9378800, 1.9563383, 1.9967758, 2.0256711, 2.0967600, 2.1923242", \
+					  "1.8795506, 1.8897310, 1.9078805, 1.9490919, 1.9763765, 2.0605512, 2.1869936", \
+					  "2.0446073, 2.0456306, 2.0477285, 2.0648587, 2.0529338, 2.0545534, 2.1500753", \
+					  "2.1124528, 2.1134500, 2.1106047, 2.1319134, 2.1207845, 2.1222722, 2.1228024");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.6302667, 0.6334490, 0.6408822, 0.6575903, 0.7467339, 0.9031541, 0.8747828", \
+					  "-0.4267243, -0.4242486, -0.4183934, -0.4086857, -0.3132620, -0.1563174, -0.1907669", \
+					  "-0.3446013, -0.3428814, -0.3404352, -0.3377977, -0.2727067, -0.1387528, -0.1696741", \
+					  "-0.0451121, -0.0449015, -0.0433334, -0.0395801, -0.0400843, -0.0363571, -0.1662529", \
+					  "-0.0281592, -0.0420821, -0.0309673, -0.0226317, -0.0286126, -0.0261710, -0.0583686", \
+					  "-0.0149702, -0.0239692, -0.0131234, -0.0111295, -0.0143960, -0.0088017, -0.0272273", \
+					  "-0.0015606, -0.0111095, 0.0001660, 0.0015216, -0.0003433, 0.0038995, -0.0203686");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.8763349, 0.8850733, 0.9034163, 0.9299391, 0.9660569, 1.0401862, 1.1423519", \
+					  "1.9080800, 1.9190877, 1.9335644, 1.9589441, 1.9938515, 2.0677357, 2.1669040", \
+					  "1.8982968, 1.9073358, 1.9285554, 1.9464695, 1.9893484, 2.0631467, 2.1597448", \
+					  "1.8980648, 1.9080390, 1.9251439, 1.9512408, 1.9860415, 2.0600377, 2.1613891", \
+					  "1.8884839, 1.8981362, 1.9160188, 1.9420611, 1.9783413, 2.0522408, 2.1564478", \
+					  "1.8507019, 1.8588898, 1.8793632, 1.9079688, 1.9486537, 2.0390356, 2.1526437", \
+					  "1.8218014, 1.8341969, 1.8506269, 1.8776428, 1.9182720, 2.0065499, 2.1378147");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.7921933, 0.7969724, 0.8154837, 0.8346369, 0.8540057, 0.8786635, 1.0334281", \
+					  "0.7912486, 0.8001927, 0.8149551, 0.8339843, 0.8557015, 0.8798248, 1.0304260", \
+					  "0.7914168, 0.7996700, 0.8146851, 0.8336876, 0.8564251, 0.8819964, 1.0335628", \
+					  "0.7907380, 0.7989520, 0.8151073, 0.8333835, 0.8524099, 0.8769628, 1.0296456", \
+					  "0.7908608, 0.8167423, 0.8140907, 0.8335958, 0.8497049, 0.8800332, 1.0269924", \
+					  "0.7928711, 0.8168570, 0.8136677, 0.8327329, 0.8498743, 0.8828527, 1.0338752", \
+					  "0.7875974, 0.7962710, 0.8140363, 0.8329869, 0.8513585, 0.8794082, 1.0314432");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("1.6900376, 1.6906993, 1.6947550, 1.7031413, 1.7064237, 1.6964782, 1.6722620", \
+					  "1.6879988, 1.6881396, 1.6947584, 1.7015147, 1.7035521, 1.6875109, 1.6703393", \
+					  "1.6937579, 1.6851284, 1.6941602, 1.7016173, 1.7047989, 1.6973710, 1.6764345", \
+					  "1.6945765, 1.6907358, 1.6883366, 1.7044928, 1.7097184, 1.6948725, 1.6812763", \
+					  "1.6892117, 1.6869963, 1.6968683, 1.6972603, 1.7044457, 1.6976776, 1.6729717", \
+					  "1.6931596, 1.6882987, 1.6973585, 1.7030013, 1.7044375, 1.6934970, 1.6755919", \
+					  "1.6856954, 1.6865976, 1.6971046, 1.6970652, 1.7027203, 1.6952837, 1.6720948");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("1.0532822, 1.0614404, 1.0799660, 1.1233015, 1.2253341, 1.4710124, 2.0609208", \
+					  "2.9781793, 2.9863145, 3.0048957, 3.0482070, 3.1502012, 3.3958965, 3.9859644", \
+					  "4.4857718, 4.4938862, 4.5125153, 4.5559001, 4.6580650, 4.9026068, 5.4936256", \
+					  "4.8214219, 4.8295643, 4.8481357, 4.8914650, 4.9935296, 5.2390563, 5.8298832", \
+					  "6.8397483, 6.8408839, 6.8622299, 6.9097954, 7.0118031, 7.2573245, 7.8475244", \
+					  "8.5122247, 8.5122257, 8.5253111, 8.5823153, 8.6844283, 8.9296401, 9.5199795", \
+					  "9.7678061, 9.7678066, 9.7759036, 9.8379012, 9.9400808, 10.1849820, 10.7754110", \
+					  "11.5436020, 11.5436025, 11.5754350, 11.6176670, 11.7154920, 11.9606470, 12.5513710", \
+					  "35.9173560, 35.9967380, 35.9967404, 36.0403160, 36.1523860, 36.4183030, 36.6490980", \
+					  "51.6368570, 51.7858860, 51.8042070, 51.8042088, 51.8186700, 52.1950620, 52.6594950", \
+					  "122.2178400, 122.2178460, 122.2178536, 122.2390700, 122.3009900, 122.6653300, 123.3049600", \
+					  "370.8008500, 371.2785600, 371.2785798, 371.3293500, 371.3293610, 371.8942600, 372.2993500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("0.0516399, 0.0614745, 0.0852555, 0.1446518, 0.2897091, 0.6440068, 1.4992185", \
+					  "0.0515217, 0.0614659, 0.0854222, 0.1448064, 0.2903041, 0.6446750, 1.4978498", \
+					  "0.0516580, 0.0614184, 0.0854727, 0.1446696, 0.2899181, 0.6431833, 1.4999254", \
+					  "0.0515153, 0.0614738, 0.0854418, 0.1447960, 0.2901075, 0.6439827, 1.5008206", \
+					  "0.0515162, 0.0614723, 0.0854375, 0.1447981, 0.2901391, 0.6447318, 1.4999116", \
+					  "0.0515113, 0.0614747, 0.0854454, 0.1446633, 0.2898430, 0.6442408, 1.4998962", \
+					  "0.0515017, 0.0614761, 0.0854561, 0.1444857, 0.2902385, 0.6436346, 1.4995293", \
+					  "0.0515105, 0.0614775, 0.0854584, 0.1446855, 0.2897987, 0.6445086, 1.5000217", \
+					  "0.0515105, 0.0614250, 0.0853560, 0.1444874, 0.2896954, 0.6442671, 1.4997674", \
+					  "0.0515111, 0.0614535, 0.0854533, 0.1447688, 0.2898577, 0.6445732, 1.5000261", \
+					  "0.0515119, 0.0614767, 0.0854782, 0.1448092, 0.2897063, 0.6443131, 1.4996641", \
+					  "0.0515059, 0.0614431, 0.0854798, 0.1448032, 0.2900972, 0.6447247, 1.4997373");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("0.9707547, 0.9810555, 1.0035134, 1.0496251, 1.1387886, 1.3194934, 1.7275800", \
+					  "2.8873373, 2.8975757, 2.9203659, 2.9665468, 3.0554792, 3.2362071, 3.6440126", \
+					  "4.2909760, 4.3013433, 4.3240314, 4.3702015, 4.4557451, 4.6398987, 5.0475761", \
+					  "4.5946900, 4.6050448, 4.6276862, 4.6738955, 4.7560977, 4.9434710, 5.3509970", \
+					  "6.3361565, 6.3465229, 6.3465231, 6.4154047, 6.5037371, 6.6851018, 7.0749628", \
+					  "7.7030586, 7.7133100, 7.7355189, 7.7822273, 7.8713436, 8.0457374, 8.4599874", \
+					  "8.7095335, 8.7218650, 8.7439842, 8.7910319, 8.8818304, 9.0369534, 9.4690300", \
+					  "10.0493900, 10.0985820, 10.1051360, 10.1785590, 10.2430080, 10.4074980, 10.8249450", \
+					  "26.7576450, 26.7687330, 26.8125010, 26.8400250, 26.9417250, 27.1180480, 27.5809830", \
+					  "36.1751800, 36.1751805, 36.1825130, 36.2504760, 36.3500200, 36.5328670, 36.9234560", \
+					  "71.8998900, 71.8998909, 71.9461890, 71.9559310, 72.0802400, 72.2445110, 72.6129770", \
+					  "181.6556500, 181.6556626, 181.6556778, 181.6997800, 181.7906400, 181.9483700, 182.3723600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("0.0613299, 0.0704183, 0.0902862, 0.1302977, 0.2154973, 0.4203782, 0.9388572", \
+					  "0.0614680, 0.0707577, 0.0900452, 0.1311291, 0.2168727, 0.4227758, 0.9393211", \
+					  "0.0615974, 0.0704930, 0.0901860, 0.1309174, 0.2174861, 0.4213008, 0.9393928", \
+					  "0.0616644, 0.0704395, 0.0901777, 0.1311537, 0.2174649, 0.4226795, 0.9396492", \
+					  "0.0615863, 0.0705104, 0.0901662, 0.1309817, 0.2174724, 0.4224090, 0.9378447", \
+					  "0.0615415, 0.0706101, 0.0901674, 0.1312138, 0.2173001, 0.4228062, 0.9381134", \
+					  "0.0616327, 0.0704871, 0.0901554, 0.1310219, 0.2168882, 0.4226028, 0.9391730", \
+					  "0.0616146, 0.0705460, 0.0900482, 0.1310379, 0.2173439, 0.4224422, 0.9389285", \
+					  "0.0616849, 0.0705602, 0.0905264, 0.1311993, 0.2172810, 0.4226977, 0.9391156", \
+					  "0.0614708, 0.0706055, 0.0902393, 0.1309936, 0.2175041, 0.4223777, 0.9378112", \
+					  "0.0616316, 0.0706620, 0.0901514, 0.1310473, 0.2171716, 0.4225268, 0.9405783", \
+					  "0.0616171, 0.0709061, 0.0902046, 0.1311674, 0.2172380, 0.4223840, 0.9403093");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("3.9362260, 3.9408268, 3.9619827, 4.0018033, 4.1037804, 4.3496899, 4.9420437", \
+					  "6.4823902, 6.4884413, 6.5071055, 6.5521713, 6.6522472, 6.8968129, 7.4865953", \
+					  "8.5925924, 8.5956904, 8.6142995, 8.6679268, 8.7595371, 9.0126431, 9.5936015", \
+					  "9.0674934, 9.0839674, 9.1029024, 9.1476817, 9.2481374, 9.4991854, 10.0817680", \
+					  "11.9350140, 11.9634740, 11.9825140, 12.0056260, 12.1276660, 12.3572700, 12.9616660", \
+					  "14.3184310, 14.3360740, 14.3546850, 14.3912510, 14.4999150, 14.7406360, 15.3343190", \
+					  "16.1178410, 16.1360080, 16.1547240, 16.1875650, 16.2999910, 16.5361610, 17.1341000", \
+					  "18.6645630, 18.6805340, 18.6992270, 18.7318140, 18.8340310, 19.0837090, 19.6787230", \
+					  "53.7223310, 53.7775440, 53.7775441, 53.9650880, 53.9650898, 54.1397820, 54.6496250", \
+					  "76.0660010, 76.3220530, 76.3553630, 76.3553658, 76.5159240, 76.7495440, 77.3912060", \
+					  "171.5114200, 171.5114213, 171.5901000, 171.5901108, 171.5901261, 172.0241300, 172.6367600", \
+					  "509.3686500, 509.9829500, 509.9829560, 509.9829865, 509.9830170, 510.2851900, 510.9519100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0513268, 0.0611079, 0.0850187, 0.1443117, 0.2891467, 0.6429949, 1.4985961", \
+					  "0.0513423, 0.0612214, 0.0850244, 0.1444093, 0.2900902, 0.6432292, 1.4989096", \
+					  "0.0513819, 0.0610610, 0.0851244, 0.1441341, 0.2897571, 0.6445553, 1.4980966", \
+					  "0.0513346, 0.0611012, 0.0851253, 0.1444144, 0.2898251, 0.6432018, 1.4999311", \
+					  "0.0513462, 0.0610620, 0.0851352, 0.1444218, 0.2899442, 0.6429399, 1.4998427", \
+					  "0.0513821, 0.0610721, 0.0851256, 0.1442349, 0.2898277, 0.6433386, 1.4996829", \
+					  "0.0513514, 0.0610361, 0.0849667, 0.1444167, 0.2899479, 0.6433372, 1.4993987", \
+					  "0.0512512, 0.0610163, 0.0850608, 0.1443598, 0.2900347, 0.6429237, 1.4995813", \
+					  "0.0513054, 0.0610392, 0.0850333, 0.1444211, 0.2897984, 0.6427505, 1.4995654", \
+					  "0.0513819, 0.0611005, 0.0851378, 0.1443825, 0.2900610, 0.6432117, 1.4997599", \
+					  "0.0513165, 0.0610948, 0.0850232, 0.1444307, 0.2900125, 0.6435799, 1.4997517", \
+					  "0.0513124, 0.0610535, 0.0849672, 0.1444112, 0.2901179, 0.6432135, 1.4996470");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("4.1935628, 4.1992285, 4.2211976, 4.2673181, 4.3532022, 4.5311381, 4.9354845", \
+					  "6.9337212, 6.9438508, 6.9645423, 7.0013058, 7.0949584, 7.2724653, 7.6783904", \
+					  "10.1102470, 10.1199200, 10.1418190, 10.1757720, 10.2709880, 10.4487690, 10.8548900", \
+					  "10.8194100, 10.8290770, 10.8498520, 10.9025060, 10.9801470, 11.1579360, 11.5640510", \
+					  "15.0371560, 15.0469180, 15.0671000, 15.0785230, 15.1980120, 15.3757540, 15.7820740", \
+					  "18.4041420, 18.4140240, 18.4342190, 18.4790480, 18.5650320, 18.7428880, 19.1404790", \
+					  "20.8982660, 20.9078120, 20.9102030, 20.9917720, 21.0590050, 21.2368660, 21.6636930", \
+					  "24.3870310, 24.3967460, 24.3967467, 24.4611350, 24.5478870, 24.7256060, 25.1383630", \
+					  "69.0062690, 69.0160040, 69.0160103, 69.0517230, 69.1645990, 69.3448290, 69.7108500", \
+					  "95.7517660, 95.7517663, 95.7664670, 95.8505450, 95.9199370, 96.1035550, 96.4934160", \
+					  "204.0574400, 204.0574418, 204.0574570, 204.0881500, 204.1017200, 204.3711800, 204.7760900", \
+					  "560.8465600, 560.9017500, 560.9209700, 560.9209900, 561.1551000, 561.2665200, 561.7108100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0586819, 0.0669588, 0.0857285, 0.1242889, 0.2094954, 0.4159215, 0.9366625", \
+					  "0.0588113, 0.0667359, 0.0855054, 0.1254849, 0.2114970, 0.4180499, 0.9382775", \
+					  "0.0586228, 0.0667563, 0.0854679, 0.1253067, 0.2116082, 0.4181003, 0.9355882", \
+					  "0.0586240, 0.0667815, 0.0858303, 0.1254713, 0.2115963, 0.4180553, 0.9354630", \
+					  "0.0588709, 0.0671041, 0.0857379, 0.1253566, 0.2110131, 0.4178249, 0.9374405", \
+					  "0.0587961, 0.0666961, 0.0855078, 0.1253490, 0.2110141, 0.4180349, 0.9378359", \
+					  "0.0586340, 0.0671286, 0.0857559, 0.1253474, 0.2114192, 0.4180034, 0.9378179", \
+					  "0.0586778, 0.0671054, 0.0857980, 0.1250901, 0.2113107, 0.4181010, 0.9367009", \
+					  "0.0586235, 0.0667591, 0.0856355, 0.1253485, 0.2110052, 0.4181174, 0.9365372", \
+					  "0.0587537, 0.0667633, 0.0854538, 0.1252401, 0.2111143, 0.4178209, 0.9377755", \
+					  "0.0587606, 0.0669956, 0.0854137, 0.1253363, 0.2114557, 0.4177731, 0.9386308", \
+					  "0.0587344, 0.0671353, 0.0858035, 0.1253072, 0.2116059, 0.4181538, 0.9390259");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("3.9161274, 3.9191210, 3.9415191, 3.9865795, 4.0841330, 4.3285495, 4.9184314", \
+					  "6.1713852, 6.1794299, 6.1980252, 6.2411996, 6.3432388, 6.5873116, 7.1777158", \
+					  "7.8474027, 7.8565190, 7.8740294, 7.9171159, 8.0192235, 8.2638190, 8.8510630", \
+					  "8.2139735, 8.2252134, 8.2406793, 8.2838874, 8.3859257, 8.6341254, 9.2213286", \
+					  "10.3129170, 10.3212790, 10.3395120, 10.3825110, 10.4844090, 10.7286260, 11.3194940", \
+					  "12.0024530, 12.0055310, 12.0290420, 12.0721970, 12.1686290, 12.4122900, 13.0036750", \
+					  "13.2513870, 13.2560030, 13.2778810, 13.3209280, 13.4214830, 13.6631290, 14.2491130", \
+					  "14.9907450, 14.9966940, 15.0172370, 15.0602850, 15.1628080, 15.3875370, 15.9998150", \
+					  "37.5873060, 37.5873089, 37.5943150, 37.6561540, 37.7185220, 37.9477570, 38.5896930", \
+					  "51.3931010, 51.4322840, 51.4766500, 51.4766522, 51.5897230, 51.8306250, 52.4752610", \
+					  "108.8464600, 108.8464623, 108.9378700, 108.9378701, 108.9378777, 109.2281900, 109.8626700", \
+					  "307.7870800, 307.8740700, 307.8740998, 307.8741303, 307.8741608, 307.9936700, 308.5006300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0513222, 0.0610499, 0.0849940, 0.1446044, 0.2898793, 0.6428215, 1.4964058", \
+					  "0.0513505, 0.0610749, 0.0851250, 0.1441653, 0.2898194, 0.6431187, 1.4997139", \
+					  "0.0513162, 0.0611102, 0.0849680, 0.1444363, 0.2899770, 0.6432474, 1.4971501", \
+					  "0.0513345, 0.0610355, 0.0849696, 0.1444235, 0.2899499, 0.6433842, 1.4967656", \
+					  "0.0513042, 0.0611328, 0.0850165, 0.1440968, 0.2894112, 0.6432626, 1.4997218", \
+					  "0.0513053, 0.0610577, 0.0851390, 0.1443102, 0.2899385, 0.6430593, 1.4981206", \
+					  "0.0513308, 0.0610283, 0.0849856, 0.1444173, 0.2899157, 0.6425961, 1.4998821", \
+					  "0.0513354, 0.0609653, 0.0849812, 0.1444194, 0.2900019, 0.6432024, 1.4993109", \
+					  "0.0513142, 0.0610487, 0.0850247, 0.1441649, 0.2896049, 0.6442644, 1.4995699", \
+					  "0.0513154, 0.0610383, 0.0851239, 0.1444230, 0.2899819, 0.6436237, 1.4996997", \
+					  "0.0513227, 0.0610047, 0.0851183, 0.1442285, 0.2899496, 0.6425736, 1.4997609", \
+					  "0.0513244, 0.0610718, 0.0851465, 0.1442187, 0.2900056, 0.6436717, 1.4995735");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("6.4295969, 6.4397967, 6.4607826, 6.5068222, 6.5901449, 6.7679267, 7.1720150", \
+					  "8.7636289, 8.7734522, 8.7947916, 8.8386924, 8.9244763, 9.1023979, 9.5088710", \
+					  "11.9013430, 11.9111170, 11.9325050, 11.9763950, 12.0621580, 12.2400980, 12.6466390", \
+					  "12.6532310, 12.6629350, 12.6843910, 12.7282740, 12.8140830, 12.9920120, 13.3984220", \
+					  "17.2863800, 17.2962200, 17.3175520, 17.3614970, 17.4471810, 17.6249340, 18.0315320", \
+					  "20.9939340, 20.9939356, 21.0250730, 21.0691070, 21.1547210, 21.3182630, 21.7386500", \
+					  "23.7406250, 23.7412260, 23.7716800, 23.8155520, 23.9013110, 24.0840950, 24.4851620", \
+					  "27.5581960, 27.5653440, 27.5883990, 27.6263050, 27.7189100, 27.8913020, 28.2955700", \
+					  "75.3984470, 75.3984490, 75.4070300, 75.4529520, 75.5593490, 75.7232070, 76.1234280", \
+					  "103.8770100, 103.8865700, 103.9096800, 103.9454800, 104.0394000, 104.2159100, 104.6232300", \
+					  "218.5534700, 218.5534745, 218.5826300, 218.6306900, 218.7191400, 218.8829700, 219.2814300", \
+					  "596.0133100, 596.0768000, 596.1208000, 596.1208191, 596.1884400, 596.3149200, 596.7111700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0585379, 0.0670033, 0.0858549, 0.1245751, 0.2099843, 0.4156853, 0.9371413", \
+					  "0.0588694, 0.0670007, 0.0855545, 0.1252990, 0.2115984, 0.4180506, 0.9368499", \
+					  "0.0585437, 0.0667723, 0.0855697, 0.1253381, 0.2112442, 0.4177912, 0.9383788", \
+					  "0.0588374, 0.0669096, 0.0855632, 0.1253252, 0.2116134, 0.4173830, 0.9363719", \
+					  "0.0588877, 0.0670165, 0.0855355, 0.1252670, 0.2115676, 0.4181198, 0.9376662", \
+					  "0.0589594, 0.0667100, 0.0857971, 0.1250474, 0.2112689, 0.4177855, 0.9365609", \
+					  "0.0587730, 0.0670990, 0.0856176, 0.1254491, 0.2110138, 0.4181135, 0.9381108", \
+					  "0.0587426, 0.0671039, 0.0858075, 0.1253926, 0.2115763, 0.4180196, 0.9357081", \
+					  "0.0588926, 0.0667288, 0.0854678, 0.1250562, 0.2113246, 0.4176534, 0.9375576", \
+					  "0.0586465, 0.0671582, 0.0857584, 0.1253186, 0.2115399, 0.4179908, 0.9382902", \
+					  "0.0587527, 0.0670210, 0.0857244, 0.1253155, 0.2114699, 0.4182840, 0.9386929", \
+					  "0.0587674, 0.0671391, 0.0857963, 0.1250942, 0.2115906, 0.4179612, 0.9363361");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("20.0310450, 20.0390620, 20.0588060, 20.1020040, 20.2027800, 20.4474500, 21.0400980", \
+					  "20.1632580, 20.1701220, 20.1886580, 20.2318340, 20.3339390, 20.5797960, 21.1710770", \
+					  "20.2621300, 20.2712730, 20.2898200, 20.3318840, 20.4350830, 20.6787230, 21.2723710", \
+					  "20.3410980, 20.3491480, 20.3676970, 20.4108390, 20.5129820, 20.7576550, 21.3501340", \
+					  "20.4104980, 20.4184280, 20.4371760, 20.4804420, 20.5824740, 20.8272300, 21.4194620", \
+					  "20.4750400, 20.4849880, 20.5035600, 20.5447800, 20.6488070, 20.8916030, 21.4860880", \
+					  "20.5379110, 20.5440680, 20.5636490, 20.6077570, 20.7088660, 20.9542370, 21.5460240");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0513243, 0.0610135, 0.0850932, 0.1443785, 0.2895107, 0.6431899, 1.4991985", \
+					  "0.0513204, 0.0610169, 0.0850558, 0.1443769, 0.2895228, 0.6426167, 1.4990942", \
+					  "0.0514082, 0.0610307, 0.0850817, 0.1441675, 0.2900405, 0.6436405, 1.5007411", \
+					  "0.0513260, 0.0610471, 0.0850657, 0.1443475, 0.2894035, 0.6425484, 1.4996656", \
+					  "0.0513264, 0.0610142, 0.0850499, 0.1443810, 0.2895198, 0.6422030, 1.4991776", \
+					  "0.0513249, 0.0610407, 0.0850810, 0.1443465, 0.2900365, 0.6425627, 1.5007077", \
+					  "0.0513463, 0.0610146, 0.0850268, 0.1444068, 0.2895241, 0.6432393, 1.4992467");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("13.9109650, 13.9207590, 13.9466890, 13.9905770, 14.0715720, 14.2492520, 14.6555260", \
+					  "13.9848520, 13.9947160, 14.0176510, 14.0573460, 14.1456940, 14.3231020, 14.7298800", \
+					  "13.9983070, 14.0081020, 14.0287830, 14.0764260, 14.1589250, 14.3365960, 14.7428590", \
+					  "14.0206260, 14.0304990, 14.0507110, 14.0941620, 14.1813140, 14.3589880, 14.7654450", \
+					  "14.0182740, 14.0280210, 14.0481030, 14.0918160, 14.1789260, 14.3564470, 14.7627750", \
+					  "14.0239760, 14.0337150, 14.0507540, 14.0983480, 14.1846210, 14.3621300, 14.7685850", \
+					  "14.0214910, 14.0312080, 14.0518640, 14.0952390, 14.1821410, 14.3598080, 14.7663370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0587466, 0.0669621, 0.0852870, 0.1251803, 0.2110514, 0.4179105, 0.9414075", \
+					  "0.0586151, 0.0670172, 0.0856469, 0.1251147, 0.2113473, 0.4181011, 0.9414564", \
+					  "0.0588155, 0.0669455, 0.0854761, 0.1250785, 0.2113849, 0.4179050, 0.9413705", \
+					  "0.0587530, 0.0668613, 0.0855217, 0.1250513, 0.2113735, 0.4179132, 0.9393583", \
+					  "0.0586144, 0.0669949, 0.0856473, 0.1248812, 0.2113224, 0.4179810, 0.9413767", \
+					  "0.0586142, 0.0669943, 0.0853814, 0.1250397, 0.2113453, 0.4180574, 0.9418786", \
+					  "0.0585816, 0.0668827, 0.0854549, 0.1248864, 0.2113721, 0.4177026, 0.9427334");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.264580;
+			max_transition : 3.749669;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("1.1339313, 1.1371445, 1.1438147, 1.1542877, 1.1687577, 1.1810911, 1.1856970", \
+					  "2.4147030, 2.4187592, 2.4282050, 2.4370902, 2.4526427, 2.4633926, 2.4686707", \
+					  "2.3510460, 2.3582761, 2.3696485, 2.3946199, 2.4373836, 2.4592060, 2.4670494", \
+					  "2.3769641, 2.3776807, 2.3785587, 2.3862711, 2.3857771, 2.3875871, 2.4381859", \
+					  "2.3814512, 2.3823367, 2.3839383, 2.4008402, 2.3900101, 2.3920360, 2.3944468", \
+					  "2.3822992, 2.3867846, 2.3891924, 2.4059333, 2.3947396, 2.4101741, 2.3988105", \
+					  "2.3929645, 2.3891074, 2.3908117, 2.4076808, 2.4110039, 2.4137953, 2.4172339");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("1.0461569, 1.0482654, 1.0503146, 1.0538447, 1.0549998, 1.0623123, 1.0530937", \
+					  "-0.1293473, -0.1301251, -0.1298073, -0.1244427, -0.1250118, -0.1163854, -0.1286208", \
+					  "0.1022717, 0.0969182, 0.0837138, 0.0559462, 0.0238223, 0.0095646, -0.0013630", \
+					  "0.2326626, 0.2324670, 0.2339758, 0.2367426, 0.2368185, 0.1794179, 0.1315363", \
+					  "0.3505362, 0.3508823, 0.3538909, 0.3522249, 0.3567163, 0.3612776, 0.2646322", \
+					  "0.4613098, 0.4584369, 0.4589895, 0.4598118, 0.4568550, 0.4676624, 0.4583371", \
+					  "0.5623299, 0.5510394, 0.5604027, 0.5537801, 0.5535354, 0.5556739, 0.5787421");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("0.9084168, 0.9108084, 0.9129281, 0.9146214, 0.9177159, 0.9200587, 0.9115916", \
+					  "-0.1517846, -0.1517377, -0.1407333, -0.1454854, -0.1421126, -0.1234347, -0.1651774", \
+					  "-0.1345469, -0.1336908, -0.1316086, -0.1302619, -0.1293863, -0.1213431, -0.1313271", \
+					  "-0.0410353, -0.0427766, -0.0422608, -0.0404084, -0.0767273, -0.1035380, -0.1166680", \
+					  "-0.0248898, -0.0275013, -0.0270528, -0.0254645, -0.0315905, -0.0282647, -0.0885958", \
+					  "-0.0173745, -0.0162491, -0.0130165, -0.0136967, -0.0210525, -0.0038960, -0.0613356", \
+					  "-0.0112783, -0.0011706, -1.8134304e-05, -0.0086006, -0.0037763, 0.0106961, -0.0106596");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.0900938, 1.0937287, 1.1012316, 1.1155656, 1.1330867, 1.1465794, 1.1546929", \
+					  "2.1201068, 2.1214647, 2.1339770, 2.1457838, 2.1592563, 2.1726327, 2.1782201", \
+					  "2.1137907, 2.1154252, 2.1248368, 2.1370785, 2.1553820, 2.1701933, 2.1738915", \
+					  "2.1092949, 2.1172057, 2.1203104, 2.1344725, 2.1517547, 2.1650013, 2.1733925", \
+					  "2.1024729, 2.1076957, 2.1132972, 2.1272951, 2.1452694, 2.1599896, 2.1718267", \
+					  "2.0970398, 2.1130224, 2.1082558, 2.1236572, 2.1419888, 2.1567745, 2.1679060", \
+					  "2.0660303, 2.0819314, 2.0790962, 2.0966074, 2.1231599, 2.1542885, 2.1669812");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("0.9656484, 0.9669258, 0.9688224, 0.9720364, 0.9750366, 0.9748104, 0.9720221", \
+					  "0.0960272, 0.0981452, 0.1005454, 0.1024344, 0.1075546, 0.1059131, 0.1041651", \
+					  "0.2909318, 0.2914738, 0.2945749, 0.2954958, 0.2982951, 0.2963559, 0.2887761", \
+					  "0.5333458, 0.5315057, 0.5262317, 0.5087818, 0.4798765, 0.4788329, 0.4843240", \
+					  "0.7209985, 0.7179135, 0.7222380, 0.7194581, 0.7168588, 0.6672018, 0.6371475", \
+					  "0.8857162, 0.8681871, 0.8825868, 0.8697994, 0.8713956, 0.8921378, 0.8083442", \
+					  "1.0255372, 1.0152726, 1.0282861, 1.0053669, 1.0251046, 1.0287920, 0.9694791");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.1378975, 1.1423276, 1.1487691, 1.1621546, 1.1784283, 1.1907337, 1.1972901", \
+					  "2.1558989, 2.1583627, 2.1696085, 2.1813801, 2.1988344, 2.2080324, 2.2123765", \
+					  "2.1490867, 2.1528048, 2.1598689, 2.1697936, 2.1866185, 2.2002164, 2.2065297", \
+					  "2.1430639, 2.1476846, 2.1534833, 2.1670573, 2.1834019, 2.1959294, 2.2020734", \
+					  "2.1199088, 2.1253444, 2.1339716, 2.1540788, 2.1883767, 2.1915510, 2.1990276", \
+					  "2.0779049, 2.0832041, 2.0922938, 2.1210898, 2.1463853, 2.1693506, 2.1947812", \
+					  "2.1255510, 2.1129563, 2.1137972, 2.1272597, 2.1288232, 2.1333909, 2.1768054");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.6303605, 1.6853433, 1.7001894, 1.7067791, 1.7131891, 1.7111558, 1.7017368", \
+					  "1.6337259, 1.6832443, 1.6944294, 1.7059047, 1.7126932, 1.7150266, 1.7072840", \
+					  "1.6248925, 1.6848908, 1.6949249, 1.7060611, 1.7124289, 1.7079962, 1.7102193", \
+					  "1.6254566, 1.6797348, 1.6917848, 1.7057052, 1.7120916, 1.7204000, 1.6986114", \
+					  "1.6239361, 1.6909515, 1.7031184, 1.7051929, 1.7114577, 1.7228386, 1.7076443", \
+					  "1.6228128, 1.6882882, 1.6948337, 1.7052954, 1.7109182, 1.7156286, 1.7068422", \
+					  "1.6318669, 1.6780650, 1.6935349, 1.7047418, 1.7017237, 1.7155677, 1.7072652");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.1677583, 1.1718750, 1.1769075, 1.1895744, 1.2047720, 1.2241761, 1.2353695", \
+					  "1.1688216, 1.1736116, 1.1752577, 1.1871862, 1.2057299, 1.2218971, 1.2315172", \
+					  "1.1687469, 1.1798362, 1.1758739, 1.2099519, 1.2180688, 1.2244510, 1.2261464", \
+					  "1.1686121, 1.1671029, 1.1797205, 1.1836467, 1.2056721, 1.2225724, 1.2368920", \
+					  "1.1678695, 1.1618800, 1.1850827, 1.1749669, 1.2232974, 1.2231260, 1.2177936", \
+					  "1.1678373, 1.1591715, 1.1907566, 1.1863254, 1.2044269, 1.2252175, 1.2358886", \
+					  "1.1669533, 1.1724320, 1.1785119, 1.1850700, 1.2065545, 1.2251245, 1.2348959");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("3.9175956, 3.9545096, 4.0307575, 4.1858623, 4.4970978, 5.1465987, 6.5667898", \
+					  "5.8420837, 5.8771712, 5.9534049, 6.1092607, 6.4209869, 7.0690458, 8.4914218", \
+					  "7.3497914, 7.3863808, 7.4629023, 7.6181275, 7.9300699, 8.5782732, 9.9925446", \
+					  "7.6859648, 7.7225204, 7.7988851, 7.9550748, 8.2663396, 8.9150332, 10.3254830", \
+					  "9.7043653, 9.7412762, 9.8164508, 9.9723483, 10.2838350, 10.9321310, 12.3514960", \
+					  "11.3602950, 11.4119900, 11.4819210, 11.6458030, 11.9544630, 12.6041680, 14.0235330", \
+					  "12.6180780, 12.6757620, 12.7380060, 12.8934370, 13.2098090, 13.8587890, 15.2813580", \
+					  "14.4177590, 14.4496190, 14.5255510, 14.6871370, 14.9858080, 15.6348980, 17.0608220", \
+					  "38.8425750, 38.8775520, 38.9471460, 39.0377120, 39.4356510, 40.0477450, 41.4256110", \
+					  "54.5999050, 54.6794750, 54.6794758, 54.8435250, 55.1668080, 55.8693070, 57.2940200", \
+					  "124.9550100, 125.0417200, 125.1069200, 125.1936700, 125.6168900, 125.8429300, 127.7182200", \
+					  "374.0582300, 374.0582428, 374.4201500, 374.4201508, 374.4201813, 374.8819200, 377.0865400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("0.4258101, 0.4587618, 0.5305720, 0.6848032, 1.0410113, 1.8548393, 3.7640735", \
+					  "0.4229001, 0.4567568, 0.5314382, 0.6889118, 1.0441514, 1.8720967, 3.7965826", \
+					  "0.4241620, 0.4568126, 0.5266867, 0.6881248, 1.0422135, 1.8560377, 3.7178400", \
+					  "0.4248213, 0.4573845, 0.5315190, 0.6862416, 1.0413361, 1.8554976, 3.7259222", \
+					  "0.4230808, 0.4553228, 0.5317543, 0.6869401, 1.0426453, 1.8707575, 3.7560377", \
+					  "0.4236579, 0.4554863, 0.5308481, 0.6877557, 1.0365950, 1.8682900, 3.7415275", \
+					  "0.4237170, 0.4554655, 0.5306269, 0.6878780, 1.0374818, 1.8570447, 3.7551668", \
+					  "0.4235275, 0.4554867, 0.5307344, 0.6877782, 1.0370723, 1.8664077, 3.7365808", \
+					  "0.4235365, 0.4557901, 0.5308542, 0.6878062, 1.0383602, 1.8665420, 3.7197621", \
+					  "0.4235252, 0.4555014, 0.5307052, 0.6878409, 1.0370110, 1.8664734, 3.7190599", \
+					  "0.4235348, 0.4555022, 0.5309172, 0.6877138, 1.0372190, 1.8665265, 3.7432266", \
+					  "0.4235402, 0.4555020, 0.5309782, 0.6876861, 1.0376263, 1.8666344, 3.7670254");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("4.4616120, 4.4867163, 4.5413517, 4.6403348, 4.8430024, 5.2010862, 5.8494658", \
+					  "6.3774754, 6.4034413, 6.4564136, 6.5593162, 6.7613870, 7.1206121, 7.7694740", \
+					  "7.7805948, 7.8061122, 7.8600957, 7.9643048, 8.1629974, 8.5227265, 9.1708356", \
+					  "8.0843261, 8.1095850, 8.1599456, 8.2631413, 8.4646468, 8.8221546, 9.4704289", \
+					  "9.8241797, 9.8494768, 9.9033146, 10.0087170, 10.2091690, 10.5677880, 11.2146930", \
+					  "11.2076980, 11.2167930, 11.2679610, 11.3712360, 11.5731500, 11.9297980, 12.5776270", \
+					  "12.2031570, 12.2271500, 12.2686080, 12.3845970, 12.5830540, 12.9426910, 13.5882040", \
+					  "13.5788520, 13.6136850, 13.6664090, 13.7669400, 13.9695560, 14.3282390, 14.9770150", \
+					  "30.2675040, 30.2675047, 30.3187800, 30.3629730, 30.6043300, 30.9448720, 31.5708310", \
+					  "39.6628440, 39.6835200, 39.7066340, 39.8361520, 40.0327840, 40.3934950, 41.0436660", \
+					  "75.3855150, 75.3855172, 75.4398570, 75.5297620, 75.7728390, 76.0748550, 76.7675060", \
+					  "185.0891900, 185.0981700, 185.1828800, 185.2821800, 185.5002600, 185.8249400, 186.4588600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("0.3232784, 0.3422926, 0.3843880, 0.4793463, 0.6543373, 0.9796917, 1.6662099", \
+					  "0.3248465, 0.3423910, 0.3871802, 0.4768754, 0.6529622, 0.9767397, 1.6694824", \
+					  "0.3219727, 0.3441158, 0.3858823, 0.4752405, 0.6529541, 0.9751770, 1.6611611", \
+					  "0.3236460, 0.3427424, 0.3868500, 0.4779901, 0.6519778, 0.9785716, 1.6598343", \
+					  "0.3231409, 0.3445891, 0.3873891, 0.4751262, 0.6507808, 0.9781214, 1.6689451", \
+					  "0.3249983, 0.3439396, 0.3870539, 0.4777058, 0.6510244, 0.9753481, 1.6693820", \
+					  "0.3231372, 0.3451758, 0.3841303, 0.4760754, 0.6516977, 0.9795281, 1.6686685", \
+					  "0.3230992, 0.3435270, 0.3862987, 0.4786104, 0.6531413, 0.9793948, 1.6655474", \
+					  "0.3225652, 0.3450245, 0.3859453, 0.4789148, 0.6518577, 0.9795670, 1.6709027", \
+					  "0.3226628, 0.3418864, 0.3865239, 0.4789659, 0.6516937, 0.9796158, 1.6644576", \
+					  "0.3225282, 0.3436501, 0.3852738, 0.4747350, 0.6513527, 0.9816669, 1.6674024", \
+					  "0.3235944, 0.3419576, 0.3863624, 0.4787085, 0.6518801, 0.9791714, 1.6645260");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("4.9541264, 4.9865312, 5.0607052, 5.2183036, 5.5293250, 6.1718586, 7.5852977", \
+					  "7.2093265, 7.2451716, 7.3219621, 7.4750508, 7.7846218, 8.4306988, 9.8484323", \
+					  "8.8859613, 8.9220720, 8.9974446, 9.1517869, 9.4613490, 10.1082960, 11.5170210", \
+					  "9.2552517, 9.2887902, 9.3645598, 9.5167279, 9.8279251, 10.4726490, 11.8835400", \
+					  "11.3516080, 11.3875980, 11.4633140, 11.6175460, 11.9275320, 12.5737330, 13.9827170", \
+					  "13.0322610, 13.0767550, 13.1523760, 13.3013000, 13.6153120, 14.2625610, 15.6766000", \
+					  "14.2887640, 14.3237110, 14.4014830, 14.5500750, 14.8650930, 15.5047190, 16.9275240", \
+					  "16.0188060, 16.0571330, 16.1408660, 16.2862450, 16.6043590, 17.2477160, 18.6637820", \
+					  "38.6083560, 38.6169210, 38.7367260, 38.8800070, 39.1997650, 39.8049770, 41.2634020", \
+					  "52.4545530, 52.5074630, 52.5418780, 52.7188110, 53.0055080, 53.6809890, 55.0687920", \
+					  "109.8968700, 109.8968773, 109.8968850, 110.1909400, 110.4134600, 111.0944200, 112.3641200", \
+					  "308.6712200, 308.8179600, 308.8455300, 309.0610500, 309.0610505, 310.1031000, 311.2053800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4200105, 0.4529352, 0.5210928, 0.6807577, 1.0386817, 1.8497075, 3.7656158", \
+					  "0.4181667, 0.4549436, 0.5200864, 0.6829587, 1.0345450, 1.8499056, 3.7393253", \
+					  "0.4160778, 0.4513375, 0.5229240, 0.6830211, 1.0387272, 1.8611477, 3.7209849", \
+					  "0.4222615, 0.4494831, 0.5245586, 0.6803422, 1.0279844, 1.8582735, 3.7254075", \
+					  "0.4211173, 0.4520793, 0.5242122, 0.6830068, 1.0384134, 1.8611015, 3.7711478", \
+					  "0.4187363, 0.4503848, 0.5230194, 0.6830254, 1.0314496, 1.8598882, 3.7523407", \
+					  "0.4177717, 0.4512860, 0.5233087, 0.6819707, 1.0385759, 1.8615774, 3.7909826", \
+					  "0.4177749, 0.4495420, 0.5246691, 0.6803424, 1.0323956, 1.8442416, 3.7496353", \
+					  "0.4178086, 0.4494540, 0.5208277, 0.6831023, 1.0329703, 1.8610341, 3.7553636", \
+					  "0.4216537, 0.4494790, 0.5201751, 0.6809342, 1.0331459, 1.8567352, 3.7552192", \
+					  "0.4179885, 0.4553425, 0.5208126, 0.6828189, 1.0327545, 1.8496655, 3.7499026", \
+					  "0.4177858, 0.4554253, 0.5208178, 0.6829733, 1.0338035, 1.8605122, 3.7571954");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("7.7151139, 7.7408098, 7.7933038, 7.9024645, 8.1153848, 8.4850749, 9.1460430", \
+					  "10.0488280, 10.0746030, 10.1264180, 10.2347510, 10.4475530, 10.8175340, 11.4787730", \
+					  "13.1871370, 13.2131150, 13.2628600, 13.3711580, 13.5825640, 13.9552370, 14.6147310", \
+					  "13.9380320, 13.9653050, 14.0215450, 14.1243290, 14.3370120, 14.7080170, 15.3678120", \
+					  "18.5701410, 18.5959550, 18.6382910, 18.7595380, 18.9580460, 19.3389070, 19.9922510", \
+					  "22.2784370, 22.3075660, 22.3319510, 22.4640640, 22.6575630, 23.0463930, 23.6909460", \
+					  "25.0244020, 25.0448300, 25.1070120, 25.2049580, 25.4259170, 25.7929570, 26.4566950", \
+					  "28.8440150, 28.8568210, 28.9175760, 29.0240220, 29.2335150, 29.6119320, 30.2650810", \
+					  "76.6857580, 76.6857644, 76.7558530, 76.8578230, 77.0879750, 77.4541530, 78.1166420", \
+					  "105.1650100, 105.1917700, 105.2455300, 105.3469100, 105.5633900, 105.9344500, 106.5963300", \
+					  "219.8522400, 219.8522416, 219.9187900, 220.0030900, 220.2235500, 220.6180900, 221.2583800", \
+					  "597.2708500, 597.3908500, 597.3908997, 597.4244500, 597.7730100, 598.1168600, 598.7810100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3267495, 0.3485495, 0.3916613, 0.4882791, 0.6752001, 1.0054340, 1.6923390", \
+					  "0.3272649, 0.3474571, 0.3926356, 0.4900573, 0.6748274, 1.0074730, 1.6889201", \
+					  "0.3275335, 0.3467858, 0.3929971, 0.4891762, 0.6750562, 1.0076077, 1.6926177", \
+					  "0.3272589, 0.3472598, 0.3933174, 0.4891980, 0.6733423, 1.0042994, 1.6907497", \
+					  "0.3274463, 0.3471539, 0.3908624, 0.4899946, 0.6749255, 1.0086498, 1.6921040", \
+					  "0.3254809, 0.3477039, 0.3906228, 0.4873492, 0.6768525, 1.0071964, 1.6912052", \
+					  "0.3271764, 0.3454794, 0.3933922, 0.4874899, 0.6740924, 1.0081335, 1.6818067", \
+					  "0.3274695, 0.3477971, 0.3931285, 0.4879062, 0.6760370, 1.0072050, 1.6926981", \
+					  "0.3276387, 0.3461985, 0.3918175, 0.4887209, 0.6753346, 1.0072957, 1.6899813", \
+					  "0.3280577, 0.3454879, 0.3919226, 0.4887390, 0.6741962, 1.0038588, 1.6860040", \
+					  "0.3274165, 0.3464175, 0.3920044, 0.4882890, 0.6759769, 1.0081128, 1.6930275", \
+					  "0.3277694, 0.3477861, 0.3928387, 0.4891778, 0.6756097, 1.0086820, 1.6923127");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("4.9714108, 5.0074127, 5.0828284, 5.2365565, 5.5468372, 6.1931233, 7.6092466", \
+					  "7.5191338, 7.5552373, 7.6308870, 7.7846751, 8.0957792, 8.7414387, 10.1531850", \
+					  "9.6257748, 9.6618686, 9.7386756, 9.8921733, 10.2007630, 10.8464900, 12.2581100", \
+					  "10.1134560, 10.1493860, 10.2262030, 10.3797290, 10.6906390, 11.3363620, 12.7454110", \
+					  "12.9923690, 13.0289660, 13.1057490, 13.2586050, 13.5646260, 14.2137610, 15.6309350", \
+					  "15.3659170, 15.4021580, 15.4789910, 15.6320970, 15.9383950, 16.5876610, 17.9854280", \
+					  "17.1666080, 17.2020260, 17.2784330, 17.4319400, 17.7352440, 18.3880320, 19.8024350", \
+					  "19.7108110, 19.7469860, 19.8238470, 19.9770010, 20.2854570, 20.9333370, 22.3470580", \
+					  "54.7021560, 54.8452200, 54.8690730, 55.0240530, 55.2363560, 55.9774380, 57.2928550", \
+					  "77.3622380, 77.3934090, 77.4876340, 77.6225520, 77.8958340, 78.4554640, 79.9904000", \
+					  "172.2417600, 172.8281900, 172.8281937, 172.8282090, 172.8282242, 173.8366000, 174.6621100", \
+					  "510.8633400, 511.1388600, 511.1702400, 511.3454900, 511.3455048, 512.2881200, 513.6794800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4177804, 0.4498871, 0.5249131, 0.6813704, 1.0315654, 1.8607024, 3.7407872", \
+					  "0.4173939, 0.4499802, 0.5249368, 0.6802663, 1.0318854, 1.8617885, 3.7302863", \
+					  "0.4207135, 0.4534563, 0.5230145, 0.6815459, 1.0356072, 1.8464982, 3.7252641", \
+					  "0.4213649, 0.4525398, 0.5199931, 0.6835279, 1.0370806, 1.8619700, 3.7222119", \
+					  "0.4217403, 0.4492659, 0.5245516, 0.6823449, 1.0378662, 1.8545039, 3.7088861", \
+					  "0.4213423, 0.4549900, 0.5200499, 0.6829358, 1.0311647, 1.8497560, 3.7537604", \
+					  "0.4180430, 0.4494720, 0.5245796, 0.6809305, 1.0310722, 1.8609689, 3.7237571", \
+					  "0.4222903, 0.4554635, 0.5210867, 0.6824904, 1.0322139, 1.8587011, 3.7206906", \
+					  "0.4174647, 0.4494389, 0.5238095, 0.6814094, 1.0312536, 1.8593506, 3.7295700", \
+					  "0.4176508, 0.4551421, 0.5199307, 0.6830894, 1.0309691, 1.8543398, 3.7525862", \
+					  "0.4216908, 0.4549886, 0.5208502, 0.6829856, 1.0320956, 1.8539560, 3.7541494", \
+					  "0.4174756, 0.4494889, 0.5238597, 0.6803806, 1.0310108, 1.8620984, 3.7591774");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.4771676, 5.5050613, 5.5567249, 5.6650973, 5.8757852, 6.2440595, 6.9053732", \
+					  "8.2185760, 8.2454381, 8.2983771, 8.4050216, 8.6157881, 8.9867367, 9.6467989", \
+					  "11.3958410, 11.4217370, 11.4733990, 11.5817020, 11.7929140, 12.1646260, 12.8238580", \
+					  "12.1047350, 12.1304700, 12.1826100, 12.2908950, 12.5019980, 12.8729760, 13.5321860", \
+					  "16.2902170, 16.3266220, 16.4019300, 16.5098410, 16.7184770, 17.0885140, 17.7497520", \
+					  "19.6610300, 19.6774400, 19.7669700, 19.8752660, 20.0863930, 20.4578130, 21.1169390", \
+					  "22.1886400, 22.2144700, 22.2604870, 22.3685300, 22.5796130, 22.9369960, 23.6110540", \
+					  "25.6744490, 25.7011500, 25.7178260, 25.8636220, 26.0654980, 26.4437800, 27.0998160", \
+					  "70.2166640, 70.2809050, 70.3413580, 70.4480740, 70.6589840, 71.0630630, 71.7222410", \
+					  "97.0330800, 97.0581700, 97.1285840, 97.2330480, 97.4434040, 97.7290360, 98.4678080", \
+					  "205.3030200, 205.3173200, 205.4181500, 205.5038700, 205.6418800, 206.0931500, 206.7483400", \
+					  "562.2396200, 562.2396546, 562.2793000, 562.4959800, 562.6670300, 563.0097300, 563.6158600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3253622, 0.3462698, 0.3912946, 0.4905379, 0.6744521, 1.0038886, 1.6918802", \
+					  "0.3253730, 0.3461687, 0.3915497, 0.4883202, 0.6743117, 1.0068169, 1.6886101", \
+					  "0.3275111, 0.3475925, 0.3925589, 0.4865697, 0.6730086, 1.0047040, 1.6917189", \
+					  "0.3272705, 0.3476253, 0.3915145, 0.4898061, 0.6742603, 1.0071137, 1.6867048", \
+					  "0.3253682, 0.3476104, 0.3911954, 0.4867742, 0.6754902, 1.0070930, 1.6907681", \
+					  "0.3259835, 0.3462619, 0.3913830, 0.4875459, 0.6743552, 1.0063357, 1.6859737", \
+					  "0.3257971, 0.3454898, 0.3917406, 0.4900528, 0.6744365, 1.0069733, 1.6901427", \
+					  "0.3257496, 0.3460278, 0.3909562, 0.4878899, 0.6743798, 1.0070494, 1.6916051", \
+					  "0.3269237, 0.3484272, 0.3917016, 0.4879043, 0.6748726, 1.0043040, 1.6903050", \
+					  "0.3251729, 0.3463650, 0.3912861, 0.4883196, 0.6746415, 1.0045067, 1.6925982", \
+					  "0.3278325, 0.3458975, 0.3917416, 0.4880015, 0.6761701, 1.0081345, 1.6880445", \
+					  "0.3286343, 0.3478952, 0.3926345, 0.4888128, 0.6745848, 1.0078605, 1.6903661");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("19.4203960, 19.4564040, 19.5325370, 19.6840320, 19.9928980, 20.6338080, 22.0270080", \
+					  "19.5516490, 19.5876580, 19.6629980, 19.8170330, 20.1241620, 20.7650870, 22.1600600", \
+					  "19.6513670, 19.6873270, 19.7626060, 19.9163140, 20.2236360, 20.8645440, 22.2599310", \
+					  "19.7300450, 19.7660480, 19.8413910, 19.9938020, 20.3025420, 20.9434960, 22.3367780", \
+					  "19.7991420, 19.8351040, 19.9104500, 20.0642580, 20.3714960, 21.0123970, 22.4072800", \
+					  "19.8655300, 19.9014800, 19.9767810, 20.1288050, 20.4378260, 21.0787250, 22.4717970", \
+					  "19.9263180, 19.9622430, 20.0362640, 20.1917010, 20.4967760, 21.1394140, 22.5335640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("0.4179542, 0.4509029, 0.5208711, 0.6784734, 1.0301122, 1.8364975, 3.6940155", \
+					  "0.4179446, 0.4508893, 0.5218137, 0.6784765, 1.0300999, 1.8367440, 3.6943668", \
+					  "0.4169901, 0.4500644, 0.5210954, 0.6784717, 1.0299129, 1.8363410, 3.6889483", \
+					  "0.4179791, 0.4509256, 0.5217955, 0.6779563, 1.0301853, 1.8367813, 3.6941274", \
+					  "0.4167988, 0.4500868, 0.5212304, 0.6784781, 1.0298539, 1.8369523, 3.6939443", \
+					  "0.4170919, 0.4501179, 0.5211165, 0.6784752, 1.0299179, 1.8368410, 3.6943550", \
+					  "0.4171931, 0.4500964, 0.5210930, 0.6779519, 1.0298526, 1.8371864, 3.6941601");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("18.4116720, 18.4354950, 18.5081440, 18.6437600, 18.9054830, 19.3895670, 20.1708930", \
+					  "18.4778110, 18.5106130, 18.5807370, 18.7121570, 18.9743330, 19.4547970, 20.2451780", \
+					  "18.4943120, 18.5246640, 18.5933490, 18.7339870, 18.9961150, 19.4716580, 20.2561400", \
+					  "18.5092400, 18.5456880, 18.6141590, 18.7473410, 19.0094910, 19.4894020, 20.2783230", \
+					  "18.5106150, 18.5484930, 18.6161540, 18.7516690, 19.0147280, 19.4880020, 20.2805290", \
+					  "18.5158080, 18.5496360, 18.6173570, 18.7514870, 19.0138540, 19.4928090, 20.2817460", \
+					  "18.5108960, 18.5490630, 18.6174700, 18.7520930, 19.0152160, 19.4941340, 20.2829560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("0.4807619, 0.5005645, 0.5528836, 0.6636011, 0.8981836, 1.3005662, 1.9946378", \
+					  "0.4817252, 0.5050319, 0.5521844, 0.6643506, 0.8982571, 1.2999894, 1.9925146", \
+					  "0.4805085, 0.5017761, 0.5525395, 0.6654951, 0.8983918, 1.3022590, 1.9949107", \
+					  "0.4785109, 0.5021973, 0.5531766, 0.6686507, 0.9002026, 1.2977600, 1.9890882", \
+					  "0.4829624, 0.5004051, 0.5516973, 0.6653902, 0.8985192, 1.3007683, 1.9925128", \
+					  "0.4805292, 0.5004240, 0.5518222, 0.6650978, 0.8983784, 1.3003877, 1.9924531", \
+					  "0.4784334, 0.5023099, 0.5513317, 0.6657141, 0.8990128, 1.3004114, 1.9933424");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.206758;
+			max_capacitance : 551.207000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3952106, 6.4011455, 6.4159253, 6.3721331, 6.3184291, 5.2115576, -10.9794228", \
+					  "6.3956259, 6.3937541, 6.3943704, 6.3949888, 6.3179025, 5.3405515, -11.0239988", \
+					  "6.3943252, 6.3939943, 6.3939813, 6.3990883, 6.3187992, 5.3626118, -10.6285558", \
+					  "6.3943665, 6.3941245, 6.3952070, 6.3949407, 6.3175625, 5.3549653, -11.0183428", \
+					  "6.3933227, 6.3957348, 6.3961473, 6.3987806, 6.3155251, 5.3460354, -11.1445196", \
+					  "6.3960246, 6.3953441, 6.3942669, 6.4000643, 6.3177786, 5.3497205, -10.9574237", \
+					  "6.3940896, 6.3956525, 6.3919983, 6.3925261, 6.3170839, 5.3357404, -11.1899638");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7041921, 3.7079547, 3.7324356, 3.8337798, 4.1688543, 5.1470431, 5.5530231", \
+					  "3.7010808, 3.7062585, 3.7273488, 3.8316161, 4.1613832, 5.1300753, 5.5500891", \
+					  "3.7156728, 3.7178663, 3.7323500, 3.8344085, 4.1664962, 5.1328664, 5.5502712", \
+					  "3.7034215, 3.7063384, 3.7295945, 3.8314336, 4.1679652, 5.1430533, 5.5521119", \
+					  "3.7028654, 3.7065903, 3.7279300, 3.8313238, 4.1653700, 5.1310537, 5.5509908", \
+					  "3.7024424, 3.7053302, 3.7269952, 3.8296488, 4.1644357, 5.1213538, 5.5514971", \
+					  "3.7051834, 3.7063318, 3.7282136, 3.8313668, 4.1662065, 5.1328630, 5.5506534");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2121374, 6.2296828, 6.2213756, 6.2340040, 6.2455017, 5.9968265, 0.0808155", \
+					  "6.2200307, 6.2224216, 6.2234711, 6.2255319, 6.2382171, 6.0423234, 0.1437971", \
+					  "6.2231153, 6.2247325, 6.2259854, 6.2290516, 6.2427060, 6.0237718, 0.7401631", \
+					  "6.2207528, 6.2217229, 6.2228605, 6.2272385, 6.2383689, 6.0216061, -0.0499017", \
+					  "6.2217304, 6.2238250, 6.2235163, 6.2274463, 6.2415504, 6.0388870, 0.6531892", \
+					  "6.2193994, 6.2227261, 6.2222790, 6.2281042, 6.2395434, 6.0526964, 0.6879852", \
+					  "6.2225419, 6.2231265, 6.2227214, 6.2277447, 6.2405830, 6.0051181, 0.5265172");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6759044, 3.6781881, 3.7054493, 3.7158659, 3.7663186, 3.8134502, 3.7960917", \
+					  "3.6705102, 3.6784140, 3.6859102, 3.7095172, 3.7657929, 3.7558013, 3.7810498", \
+					  "3.6702156, 3.6805572, 3.6842305, 3.7203635, 3.7604482, 3.7195802, 3.7907581", \
+					  "3.6771456, 3.6784579, 3.6886993, 3.7232780, 3.7524699, 3.5411849, 3.7647661", \
+					  "3.6731413, 3.6787857, 3.6852540, 3.7181851, 3.7726615, 3.7763730, 3.7737830", \
+					  "3.6785184, 3.6793029, 3.6869235, 3.7213066, 3.7378121, 4.1771945, 3.7728227", \
+					  "3.6807104, 3.6818605, 3.6852662, 3.7243790, 3.7942931, 3.7364696, 3.7446137");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389532, 0.1404327, 0.1397539, 0.1400159, 0.1398470, 0.1389526, 0.1390105", \
+					  "0.1945292, 0.1944408, 0.1943650, 0.1944668, 0.1941872, 0.1945110, 0.1944545", \
+					  "0.2675012, 0.2673091, 0.2672661, 0.2674760, 0.2675026, 0.2675525, 0.2674948", \
+					  "0.3423788, 0.3423582, 0.3422508, 0.3424508, 0.3426217, 0.3426819, 0.3426859", \
+					  "0.4155872, 0.4157135, 0.4155674, 0.4158116, 0.4161665, 0.4164047, 0.4164310", \
+					  "0.4969604, 0.4967203, 0.4967903, 0.4963798, 0.4969673, 0.4972239, 0.4973018", \
+					  "0.6855459, 0.6847246, 0.6840529, 0.6808488, 0.6748504, 0.6730557, 0.6723602");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6252624, 5.6115798, 5.6133028, 5.6134563, 5.6428824, 5.4590764, -0.3693482", \
+					  "5.6197392, 5.6166107, 5.6260666, 5.6192444, 5.6360751, 5.4399447, -0.5351468", \
+					  "5.6172431, 5.6207243, 5.6259020, 5.6259549, 5.6375060, 5.4383797, -0.5145525", \
+					  "5.6178945, 5.6180762, 5.6206003, 5.6232141, 5.6380884, 5.3919572, -0.3933121", \
+					  "5.6190152, 5.6250937, 5.6193869, 5.6223333, 5.6379731, 5.4387709, -0.3989127", \
+					  "5.6183729, 5.6182918, 5.6192528, 5.6218584, 5.6340318, 5.4808462, -0.4298855", \
+					  "5.6203206, 5.6176495, 5.6157895, 5.6228455, 5.6380330, 5.4388737, -0.4928974");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389435, 0.1389519, 0.1389506, 0.1389505, 0.1389453, 0.1389272, 0.1389365", \
+					  "0.1927839, 0.1927898, 0.1927786, 0.1927882, 0.1927868, 0.1927792, 0.1927767", \
+					  "0.2497904, 0.2497969, 0.2498455, 0.2497958, 0.2497946, 0.2497868, 0.2498334", \
+					  "0.2988497, 0.2988560, 0.2988745, 0.2988545, 0.2988533, 0.2988451, 0.2988621", \
+					  "0.3706314, 0.3706365, 0.3709063, 0.3706306, 0.3706258, 0.3706177, 0.3708873", \
+					  "0.4747081, 0.4747126, 0.4747122, 0.4746977, 0.4746888, 0.4746801, 0.4745856", \
+					  "0.7091355, 0.7091449, 0.7078490, 0.7092400, 0.7093096, 0.7093341, 0.7081962");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4448965, 2.4025773, 2.3613826, 2.4734236, 2.5037952, 4.3012902, 2.6994325", \
+					  "2.4446014, 2.4571232, 2.4417581, 2.4479559, 2.4513449, 2.4556843, 2.4530349", \
+					  "2.4465850, 2.4376073, 2.4469037, 2.4298642, 2.4519674, 2.4481280, 2.4793281", \
+					  "2.4470555, 2.4527280, 2.4454645, 2.4501901, 2.4625683, 2.4902562, 2.4483884", \
+					  "2.4465930, 2.4439437, 2.4430197, 2.4689675, 2.4545955, 2.4819243, 2.4619523", \
+					  "2.4443418, 2.4457935, 2.4448613, 2.4696848, 2.4546497, 2.5032627, 2.4757845", \
+					  "2.4462229, 2.4390541, 2.4441494, 2.4468161, 2.4510417, 2.6446418, 2.4623697");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0469234, 3.0488955, 3.0553144, 3.0916573, 3.3109650, 4.7100407, 4.9961764", \
+					  "3.0440387, 3.0451569, 3.0522584, 3.0907374, 3.3079007, 4.6977675, 4.9943306", \
+					  "3.0458891, 3.0485918, 3.0556244, 3.0937094, 3.3059870, 4.6984691, 4.9946918", \
+					  "3.0457811, 3.0465886, 3.0569444, 3.0924525, 3.3094105, 4.6963767, 4.9963876", \
+					  "3.0456355, 3.0462777, 3.0544823, 3.0918743, 3.3089433, 4.6981105, 4.9962054", \
+					  "3.0440751, 3.0463504, 3.0535981, 3.0920957, 3.3085574, 4.7002701, 4.9938594", \
+					  "3.0444832, 3.0461279, 3.0544552, 3.0921178, 3.3094073, 4.6978269, 4.9949603");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1328473, 0.1318944, 0.1328401, 0.1328471, 0.1328492, 0.1328400, 0.1328479", \
+					  "0.1741493, 0.1741751, 0.1741357, 0.1741392, 0.1741430, 0.1741414, 0.1741507", \
+					  "0.2464554, 0.2466832, 0.2464833, 0.2464867, 0.2464896, 0.2464877, 0.2464556", \
+					  "0.3649112, 0.3649274, 0.3644341, 0.3644444, 0.3644614, 0.3644660, 0.3649427", \
+					  "0.6118786, 0.6120694, 0.6111874, 0.6111836, 0.6111862, 0.6111986, 0.6118893", \
+					  "0.7788063, 0.7784805, 0.7787099, 0.7786519, 0.7785361, 0.7783044, 0.7783481", \
+					  "0.8710631, 0.8710521, 0.8709957, 0.8709862, 0.8710172, 0.8707871, 0.8707394");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389412, 0.1389324, 0.1389272, 0.1389502, 0.1389251, 0.1389409, 0.1389286", \
+					  "0.1927764, 0.1927749, 0.1927744, 0.1927863, 0.1927739, 0.1927846, 0.1927745", \
+					  "0.2498451, 0.2498410, 0.2498355, 0.2497979, 0.2498395, 0.2497970, 0.2498427", \
+					  "0.2988747, 0.2988710, 0.2988654, 0.2988571, 0.2988693, 0.2988559, 0.2988722", \
+					  "0.3709045, 0.3709010, 0.3708947, 0.3706280, 0.3708893, 0.3706216, 0.3708906", \
+					  "0.4746951, 0.4746905, 0.4746816, 0.4747702, 0.4746639, 0.4747574, 0.4746630", \
+					  "0.7071855, 0.7071938, 0.7073690, 0.7085972, 0.7073660, 0.7086966, 0.7073942");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4742485, 2.5012148, 2.3911478, 2.4622444, 2.5330929, 2.2047718, 2.3379207", \
+					  "2.4491470, 2.4526249, 2.4519303, 2.4468999, 2.4309291, 2.4115540, 2.4587805", \
+					  "2.4539363, 2.4425361, 2.4482520, 2.4527174, 2.4525283, 2.3525829, 2.4478017", \
+					  "2.4403946, 2.4444571, 2.4382182, 2.4370735, 2.4514519, 2.4460212, 2.4588109", \
+					  "2.4488894, 2.4496652, 2.4509541, 2.4490784, 2.4135671, 2.3511156, 2.4564794", \
+					  "2.4473732, 2.4480320, 2.4417516, 2.4593536, 2.4477548, 2.4118331, 2.4508693", \
+					  "2.4448741, 2.4515391, 2.4366700, 2.4426626, 2.3971512, 2.3847716, 2.4708195");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3720357, 3.3743143, 3.3831256, 3.4453900, 3.5215175, 3.7759238, 5.4177029", \
+					  "3.3608274, 3.3659164, 3.3791365, 3.4402486, 3.5287876, 3.7910015, 5.4065369", \
+					  "3.3713820, 3.3732336, 3.3825577, 3.4419161, 3.5196252, 3.7830755, 5.4216285", \
+					  "3.3701037, 3.3723584, 3.3822018, 3.4398298, 3.5081480, 3.7883266, 5.4248895", \
+					  "3.3695072, 3.3716297, 3.3816388, 3.4390716, 3.5176346, 3.7806946, 5.4196340", \
+					  "3.3697287, 3.3709233, 3.3806780, 3.4401678, 3.5169506, 3.7702150, 5.4183029", \
+					  "3.3699329, 3.3718032, 3.3810690, 3.4394472, 3.5184673, 3.7829658, 5.4183889");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1328389, 0.1328428, 0.1328401, 0.1328429, 0.1328318, 0.1328383, 0.1328332", \
+					  "0.1741393, 0.1741474, 0.1741393, 0.1741473, 0.1741372, 0.1741338, 0.1741392", \
+					  "0.2463868, 0.2463542, 0.2463870, 0.2463534, 0.2463817, 0.2463767, 0.2463815", \
+					  "0.3644075, 0.3648837, 0.3644106, 0.3648984, 0.3644666, 0.3644797, 0.3644842", \
+					  "0.6171008, 0.6177873, 0.6170963, 0.6177778, 0.6170674, 0.6171181, 0.6171453", \
+					  "0.7985246, 0.7986082, 0.7984927, 0.7984658, 0.7977730, 0.7971223, 0.7969928", \
+					  "0.9053550, 0.9054131, 0.9053944, 0.9056222, 0.9056816, 0.9049740, 0.9045625");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4711665, 5.4768165, 5.4663010, 5.4065420, 5.2022367, 4.0538133, -12.0905401", \
+					  "5.4635599, 5.4606530, 5.4587881, 5.4329867, 5.2773321, 4.0896225, -12.0410598", \
+					  "5.4655975, 5.4630843, 5.4620240, 5.4341292, 5.2778853, 4.1868305, -12.1866905", \
+					  "5.4659644, 5.4637839, 5.4589952, 5.4310952, 5.2772634, 4.1480616, -12.1354251", \
+					  "5.4606467, 5.4622903, 5.4574084, 5.4312734, 5.2794704, 4.1430851, -12.1302465", \
+					  "5.4635879, 5.4593170, 5.4576933, 5.4282069, 5.2761141, 4.1536969, -12.4668961", \
+					  "5.4633099, 5.4605929, 5.4592701, 5.4299481, 5.2752854, 4.1295226, -12.2000137");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389417, 0.1389546, 0.1389464, 0.1386805, 0.1389472, 0.1386994, 0.1389425", \
+					  "0.1945286, 0.1945333, 0.1945296, 0.1945272, 0.1945251, 0.1945292, 0.1945276", \
+					  "0.2675102, 0.2674917, 0.2674899, 0.2674912, 0.2674921, 0.2674976, 0.2674965", \
+					  "0.3423321, 0.3423246, 0.3423237, 0.3423298, 0.3423354, 0.3423417, 0.3423420", \
+					  "0.4159280, 0.4156904, 0.4156924, 0.4157062, 0.4157201, 0.4157296, 0.4157311", \
+					  "0.4965435, 0.4968210, 0.4969691, 0.4967969, 0.4967778, 0.4967772, 0.4967784", \
+					  "0.6732247, 0.6743684, 0.6742572, 0.6738958, 0.6735972, 0.6735160, 0.6735028");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1411004, 0.1389437, 0.1382454, 0.1391056, 0.1397631, 0.1387063, 0.1389521", \
+					  "0.1941825, 0.1945235, 0.1942581, 0.1941329, 0.1944366, 0.1942334, 0.1945121", \
+					  "0.2674772, 0.2674981, 0.2673718, 0.2673878, 0.2675590, 0.2674536, 0.2675555", \
+					  "0.3423519, 0.3423821, 0.3423821, 0.3425284, 0.3427608, 0.3427683, 0.3427031", \
+					  "0.4157513, 0.4156033, 0.4157614, 0.4160231, 0.4161682, 0.4167976, 0.4164718", \
+					  "0.4966546, 0.4970024, 0.4966595, 0.4964978, 0.4969336, 0.4970170, 0.4973440", \
+					  "0.6846477, 0.6853264, 0.6838441, 0.6803030, 0.6749583, 0.6724451, 0.6725530");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8063441, 6.8019987, 6.8286592, 6.9387751, 6.8772523, 5.5526196, -0.0471722", \
+					  "6.7979162, 6.8008483, 6.8256084, 6.9460346, 6.8500867, 5.6071616, -0.2559636", \
+					  "6.7937803, 6.8028872, 6.8274552, 6.9457928, 6.8528867, 5.6104708, -0.0844546", \
+					  "6.7928323, 6.8018615, 6.8254117, 6.9457165, 6.8716759, 5.6068955, -0.1370458", \
+					  "6.7943715, 6.8018537, 6.8262734, 6.9356178, 6.8707778, 5.5937199, -0.1486308", \
+					  "6.7951313, 6.8009140, 6.8255631, 6.9360407, 6.8709361, 5.5893961, -0.1088908", \
+					  "6.7910924, 6.8005891, 6.8247326, 6.9445647, 6.8700323, 5.6625862, -0.1697145");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0664202, 6.0499555, 6.0650844, 6.0168773, 5.9938762, 4.7430270, -11.8777588", \
+					  "6.0662158, 6.0655249, 6.0608061, 6.0368530, 5.8798020, 4.8019558, -11.7927808", \
+					  "6.0686703, 6.0646631, 6.0648773, 6.0385143, 5.8559555, 4.7614365, -11.5266428", \
+					  "6.0628630, 6.0651420, 6.0601170, 6.0354067, 5.8757753, 4.8161888, -11.5984388", \
+					  "6.0658698, 6.0653212, 6.0597814, 6.0379715, 5.8890724, 4.7496800, -11.7627516", \
+					  "6.0672949, 6.0657957, 6.0617499, 6.0380293, 5.8818222, 4.7968598, -11.8405277", \
+					  "6.0663842, 6.0607754, 6.0616992, 6.0324526, 5.8816843, 4.8770413, -11.7357918");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8964916, 2.9036814, 2.9191762, 2.9100541, 2.9082457, 2.8960508, 2.9335913", \
+					  "2.9050112, 2.9008745, 2.9016272, 2.9004500, 2.9071798, 2.9144719, 2.8986725", \
+					  "2.9046733, 2.9071674, 2.8987366, 2.9166886, 2.9033137, 2.9232051, 2.8943916", \
+					  "2.9091523, 2.9015813, 2.9006244, 2.9042203, 2.9076593, 2.9138662, 2.9047632", \
+					  "2.9145582, 2.9004174, 2.9104398, 2.9041590, 2.9133014, 2.9092957, 2.9138568", \
+					  "2.9019755, 2.9012488, 2.9154595, 2.9050470, 2.9074318, 2.9123326, 2.9084859", \
+					  "2.9107336, 2.9024698, 2.8967173, 2.9053338, 2.9078453, 2.9115628, 2.9120596");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9042179, 2.8948950, 2.9193975, 2.9106545, 2.8933067, 2.9668405, 2.8880606", \
+					  "2.9007952, 2.9064924, 2.9040454, 2.9057389, 2.8978459, 2.8031532, 2.8992938", \
+					  "2.9061792, 2.9050979, 2.9148600, 2.9057896, 2.9097955, 2.8234065, 2.9250879", \
+					  "2.9015607, 2.9138981, 2.9030795, 2.9040078, 2.8916366, 2.9018205, 2.9072328", \
+					  "2.9021432, 2.9102006, 2.8970826, 2.9046243, 2.8671853, 2.8773022, 2.9159425", \
+					  "2.9011914, 2.9125191, 2.8918870, 2.9135435, 2.9007013, 2.8964235, 2.9076322", \
+					  "2.9024616, 2.9050025, 2.8964597, 2.9075439, 2.8892204, 2.9096945, 2.9078633");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0480924, 6.0518416, 6.0651434, 6.0171388, 5.9586114, 4.5522312, -11.5433108", \
+					  "6.0657934, 6.0656060, 6.0623942, 6.0355884, 5.8809625, 4.7953713, -11.5782298", \
+					  "6.0686690, 6.0648583, 6.0650595, 6.0386626, 5.8801223, 4.7761612, -11.8780318", \
+					  "6.0627780, 6.0654157, 6.0602479, 6.0353247, 5.8762293, 4.8370484, -11.4894128", \
+					  "6.0665823, 6.0649825, 6.0599544, 6.0355928, 5.8875411, 4.7291153, -11.9317556", \
+					  "6.0673817, 6.0656725, 6.0618067, 6.0382496, 5.8818868, 4.7474614, -11.8210817", \
+					  "6.0611632, 6.0611549, 6.0626542, 6.0343738, 5.8887867, 4.7897262, -11.7978758");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1631661, 6.1592912, 6.1581775, 6.1630083, 6.1796521, 5.9342707, 0.1778229", \
+					  "6.1556607, 6.1546550, 6.1568920, 6.1593366, 6.1718574, 5.9729605, 0.0923157", \
+					  "6.1560210, 6.1562821, 6.1588217, 6.1642067, 6.1740986, 5.9740993, 0.1346876", \
+					  "6.1570963, 6.1556668, 6.1564752, 6.1624925, 6.1720916, 5.9740929, -0.1594439", \
+					  "6.1573591, 6.1550810, 6.1565715, 6.1627454, 6.1720995, 5.9750423, 0.0924561", \
+					  "6.1546161, 6.1537223, 6.1565200, 6.1626141, 6.1732635, 5.9739347, 0.0943975", \
+					  "6.1549518, 6.1544306, 6.1569420, 6.1596391, 6.1726239, 5.9762987, 0.0906500");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1409755, 0.1410173, 0.1410972, 0.1409846, 0.1410597, 0.1409488, 0.1409380", \
+					  "0.1931689, 0.1929789, 0.1930111, 0.1930604, 0.1929785, 0.1931775, 0.1930608", \
+					  "0.2389632, 0.2387869, 0.2388885, 0.2390796, 0.2392606, 0.2393121, 0.2393164", \
+					  "0.2819128, 0.2821895, 0.2822390, 0.2823163, 0.2826389, 0.2828196, 0.2829753", \
+					  "0.3512477, 0.3515253, 0.3511342, 0.3506179, 0.3509144, 0.3512427, 0.3513352", \
+					  "0.5295401, 0.5297513, 0.5289943, 0.5255526, 0.5204362, 0.5180052, 0.5180246", \
+					  "0.7816909, 0.7822651, 0.7840275, 0.7896536, 0.7895918, 0.7869814, 0.7866024");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.4961671, 4.5010126, 4.5251821, 4.6582501, 5.0263085, 6.0015666, 6.4239354", \
+					  "4.4805649, 4.4905344, 4.5259045, 4.6534175, 5.0205856, 5.9919883, 6.4187567", \
+					  "4.4972255, 4.4999100, 4.5219284, 4.6554688, 5.0227696, 5.9970553, 6.4179409", \
+					  "4.4871127, 4.4927354, 4.5332446, 4.6537151, 5.0217471, 5.9888333, 6.4187416", \
+					  "4.4865137, 4.4852621, 4.5284042, 4.6531664, 5.0215735, 5.9919111, 6.4164031", \
+					  "4.4868162, 4.4920317, 4.5236791, 4.6529238, 5.0201813, 5.9870268, 6.4112296", \
+					  "4.4961015, 4.4998307, 4.5180393, 4.6529131, 5.0180924, 5.9937486, 6.4165033");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7391355, 7.7413586, 7.7719591, 7.9100327, 7.9014475, 6.8070521, 1.0321856", \
+					  "7.7338900, 7.7334173, 7.7667440, 7.9173362, 7.8952254, 6.7524989, 1.1522758", \
+					  "7.7365419, 7.7424356, 7.7706275, 7.9183357, 7.8986717, 6.7707385, 0.9327627", \
+					  "7.7344625, 7.7402695, 7.7667865, 7.9127158, 7.9121398, 6.7707716, 1.0643147", \
+					  "7.7359289, 7.7354475, 7.7684966, 7.9175891, 7.8980630, 6.8384918, 1.0650691", \
+					  "7.7353864, 7.7416808, 7.7707105, 7.9175627, 7.8944753, 6.7652787, 0.8940137", \
+					  "7.7324951, 7.7403881, 7.7692044, 7.9167730, 7.8963224, 6.7568580, 0.9371218");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389306, 0.1389323, 0.1389176, 0.1389308, 0.1389343, 0.1389385, 0.1389353", \
+					  "0.1927718, 0.1927722, 0.1927722, 0.1927727, 0.1927838, 0.1927836, 0.1927818", \
+					  "0.2497893, 0.2498342, 0.2497929, 0.2498326, 0.2497941, 0.2497940, 0.2497923", \
+					  "0.2988597, 0.2990837, 0.2988632, 0.2988737, 0.2988641, 0.2988639, 0.2988622", \
+					  "0.3705823, 0.3708505, 0.3705854, 0.3708493, 0.3705853, 0.3705840, 0.3705821", \
+					  "0.4746079, 0.4745192, 0.4746111, 0.4745183, 0.4746052, 0.4745967, 0.4745923", \
+					  "0.7087326, 0.7074464, 0.7087298, 0.7075961, 0.7087359, 0.7087974, 0.7088235");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0670685, 3.0689390, 3.0856164, 3.1402398, 3.2080684, 3.4035617, 5.3271714", \
+					  "3.0606903, 3.0711991, 3.0805321, 3.1384734, 3.2162035, 3.4814779, 5.1192455", \
+					  "3.0710143, 3.0648660, 3.0826877, 3.1412255, 3.2182335, 3.4824747, 5.1386525", \
+					  "3.0685130, 3.0708334, 3.0813450, 3.1390573, 3.2157084, 3.4759978, 5.1126168", \
+					  "3.0599312, 3.0711722, 3.0803207, 3.1367554, 3.2149270, 3.4612766, 5.1747516", \
+					  "3.0593711, 3.0620850, 3.0751870, 3.1371617, 3.2162547, 3.4918485, 5.1177061", \
+					  "3.0590122, 3.0617407, 3.0747995, 3.1352960, 3.2122407, 3.4759493, 5.1287515");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389449, 0.1388876, 0.1389517, 0.1389569, 0.1386845, 0.1389590, 0.1389075", \
+					  "0.1945308, 0.1945307, 0.1945351, 0.1945339, 0.1945347, 0.1945279, 0.1945304", \
+					  "0.2675144, 0.2674928, 0.2674958, 0.2674984, 0.2675028, 0.2675041, 0.2675307", \
+					  "0.3423373, 0.3423186, 0.3423306, 0.3423378, 0.3423466, 0.3423492, 0.3423657", \
+					  "0.4159318, 0.4156828, 0.4156976, 0.4157128, 0.4157303, 0.4155875, 0.4159835", \
+					  "0.4965471, 0.4968158, 0.4968317, 0.4968031, 0.4967865, 0.4967833, 0.4965027", \
+					  "0.6732265, 0.6743232, 0.6742618, 0.6739012, 0.6736058, 0.6735225, 0.6723503");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4833842, 5.4740804, 5.4641617, 5.4488415, 5.2784387, 4.0716515, -12.1368971", \
+					  "5.4656160, 5.4637828, 5.4568140, 5.4356890, 5.2772901, 4.1611634, -12.0528358", \
+					  "5.4664250, 5.4652536, 5.4600668, 5.4265688, 5.2790159, 4.1559680, -12.3689505", \
+					  "5.4645627, 5.4621380, 5.4590988, 5.4308918, 5.2728042, 4.1673977, -12.1727111", \
+					  "5.4678635, 5.4626013, 5.4575441, 5.4394353, 5.2794513, 4.1528503, -11.8585705", \
+					  "5.4645710, 5.4621051, 5.4596010, 5.4415456, 5.2810152, 4.1772895, -12.2186261", \
+					  "5.4626880, 5.4633832, 5.4571165, 5.4197380, 5.2766328, 4.2174015, -12.3844187");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7588468, 2.7576296, 2.7505830, 2.7880328, 3.0101132, 4.2530372, 4.7725817", \
+					  "2.7415890, 2.7464356, 2.7521856, 2.7904100, 3.0115959, 4.3958502, 4.6965398", \
+					  "2.7460709, 2.7493801, 2.7552042, 2.7920686, 3.0097308, 4.4031570, 4.6972312", \
+					  "2.7442253, 2.7421456, 2.7529397, 2.7917279, 3.0079586, 4.4044077, 4.6951183", \
+					  "2.7456099, 2.7351190, 2.7511174, 2.7957909, 3.0078149, 4.3972607, 4.6928854", \
+					  "2.7464777, 2.7470122, 2.7492201, 2.7911303, 3.0047958, 4.3855336, 4.6954159", \
+					  "2.7436870, 2.7442716, 2.7542519, 2.7867066, 3.0084988, 4.3736928, 4.6977712");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389368, 0.1369396, 0.1389409, 0.1389426, 0.1389376, 0.1389378, 0.1389357", \
+					  "0.1927754, 0.1930804, 0.1927850, 0.1927874, 0.1927826, 0.1927743, 0.1927755", \
+					  "0.2498366, 0.2495841, 0.2497906, 0.2497928, 0.2497877, 0.2497890, 0.2498370", \
+					  "0.2988756, 0.2988497, 0.2988595, 0.2988616, 0.2988565, 0.2988577, 0.2988759", \
+					  "0.3708853, 0.3706645, 0.3706161, 0.3706180, 0.3706134, 0.3706136, 0.3708848", \
+					  "0.4752285, 0.4755426, 0.4753236, 0.4753251, 0.4753196, 0.4753182, 0.4752259", \
+					  "0.7021480, 0.7022038, 0.7034252, 0.7034278, 0.7034402, 0.7034670, 0.7021977");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1393458, 0.1389488, 0.1393392, 0.1390564, 0.1396358, 0.1387701, 0.1389589", \
+					  "0.1944944, 0.1945268, 0.1943276, 0.1944727, 0.1945132, 0.1942827, 0.1945152", \
+					  "0.2673759, 0.2674979, 0.2672711, 0.2675413, 0.2673946, 0.2674033, 0.2675551", \
+					  "0.3423864, 0.3423749, 0.3422367, 0.3424861, 0.3426047, 0.3426680, 0.3426956", \
+					  "0.4155623, 0.4155901, 0.4156313, 0.4158002, 0.4163462, 0.4164973, 0.4164578", \
+					  "0.4970917, 0.4969441, 0.4964341, 0.4968246, 0.4966475, 0.4969932, 0.4973187", \
+					  "0.6853028, 0.6854189, 0.6838555, 0.6808546, 0.6745359, 0.6723199, 0.6726511");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6361292, 5.6107159, 5.6196359, 5.6318210, 5.6374970, 5.3250850, -0.4796997", \
+					  "5.6191351, 5.6202336, 5.6193533, 5.6239338, 5.6366693, 5.4670554, -0.4683210", \
+					  "5.6230393, 5.6219230, 5.6278310, 5.6250702, 5.6381205, 5.4305609, -0.6534001", \
+					  "5.6194597, 5.6185390, 5.6200352, 5.6232730, 5.6371096, 5.4428722, -0.4455412", \
+					  "5.6189115, 5.6204581, 5.6175437, 5.6251839, 5.6361681, 5.4276271, -0.5238907", \
+					  "5.6203553, 5.6170632, 5.6219803, 5.6224643, 5.6364782, 5.4389990, -0.4096983", \
+					  "5.6174444, 5.6167557, 5.6202768, 5.6268401, 5.6353467, 5.4672867, -0.6956736");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3329951, 7.3425448, 7.3643867, 7.4819836, 7.3977541, 6.2191344, 0.2212348", \
+					  "7.3325687, 7.3377364, 7.3623791, 7.4783332, 7.3920350, 6.1272160, 0.3227585", \
+					  "7.3343653, 7.3396172, 7.3640222, 7.4826833, 7.4124296, 6.1450905, 0.2175289", \
+					  "7.3291583, 7.3373557, 7.3621223, 7.4748447, 7.4047983, 6.1360896, 0.3705813", \
+					  "7.3339622, 7.3375644, 7.3619858, 7.4748486, 7.4051769, 6.1245460, 0.3133960", \
+					  "7.3284029, 7.3381538, 7.3629091, 7.4836155, 7.3899032, 6.1244098, 0.4088676", \
+					  "7.3307236, 7.3379843, 7.3624100, 7.4833853, 7.3939291, 6.1914851, 0.6366992");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1410509, 0.1408743, 0.1410096, 0.1409724, 0.1410358, 0.1409807, 0.1409441", \
+					  "0.1929760, 0.1931672, 0.1930981, 0.1929613, 0.1932627, 0.1929840, 0.1931774", \
+					  "0.2390213, 0.2389686, 0.2390390, 0.2391835, 0.2392864, 0.2393918, 0.2393310", \
+					  "0.2820284, 0.2818836, 0.2822520, 0.2822803, 0.2827460, 0.2830573, 0.2828885", \
+					  "0.3514047, 0.3512501, 0.3512960, 0.3509085, 0.3510591, 0.3520300, 0.3513409", \
+					  "0.5298844, 0.5293302, 0.5289396, 0.5252107, 0.5201190, 0.5183572, 0.5175473", \
+					  "0.7818206, 0.7819779, 0.7842433, 0.7892195, 0.7892794, 0.7865153, 0.7863004");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1386930, 0.1389536, 0.1389570, 0.1389516, 0.1389559, 0.1389560, 0.1387027", \
+					  "0.1945269, 0.1945271, 0.1945289, 0.1945218, 0.1945145, 0.1945114, 0.1945113", \
+					  "0.2674985, 0.2674946, 0.2675039, 0.2675548, 0.2675771, 0.2675835, 0.2675780", \
+					  "0.3423753, 0.3423733, 0.3423907, 0.3424818, 0.3426301, 0.3427022, 0.3427110", \
+					  "0.4155885, 0.4155895, 0.4156280, 0.4160481, 0.4164352, 0.4166583, 0.4167020", \
+					  "0.4969640, 0.4969443, 0.4971092, 0.4963899, 0.4965371, 0.4969470, 0.4970330", \
+					  "0.6855510, 0.6852438, 0.6846332, 0.6799013, 0.6741171, 0.6716984, 0.6714915");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6197629, 5.6161382, 5.6243500, 5.6290025, 5.6370503, 5.4308381, -0.5759553", \
+					  "5.6208811, 5.6195328, 5.6205862, 5.6217454, 5.6362564, 5.4419570, -0.4561686", \
+					  "5.6213054, 5.6204637, 5.6230241, 5.6352071, 5.6404910, 5.4391755, -0.4109097", \
+					  "5.6214581, 5.6196037, 5.6213362, 5.6238728, 5.6367896, 5.4354654, -0.4203243", \
+					  "5.6193196, 5.6196197, 5.6208870, 5.6228494, 5.6390729, 5.4651699, -0.4547067", \
+					  "5.6186717, 5.6181921, 5.6213744, 5.6252580, 5.6351182, 5.4377561, -0.4609163", \
+					  "5.6182168, 5.6204472, 5.6208145, 5.6227145, 5.6328665, 5.4344475, -0.4098421");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7949357, 6.8028704, 6.8277710, 6.9486704, 6.8772549, 5.5893497, -0.3314092", \
+					  "6.7940109, 6.8025539, 6.8260785, 6.9411384, 6.8571019, 5.5894245, -0.2474697", \
+					  "6.7994234, 6.8040338, 6.8282853, 6.9487445, 6.8559476, 5.5913745, -0.1843366", \
+					  "6.7925981, 6.8022922, 6.8263510, 6.9390434, 6.8541079, 5.5687181, -0.2121224", \
+					  "6.7934694, 6.8020433, 6.8266742, 6.9473810, 6.8738534, 5.5862072, -0.2138287", \
+					  "6.7922481, 6.8022870, 6.8246163, 6.9380837, 6.8570314, 5.6083904, -0.0264759", \
+					  "6.7963187, 6.8014118, 6.8261295, 6.9434783, 6.8741748, 5.6006865, -0.0545745");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1388645, 0.1386857, 0.1389457, 0.1389087, 0.1389480, 0.1389608, 0.1388456", \
+					  "0.1945264, 0.1945302, 0.1945274, 0.1945214, 0.1945165, 0.1945155, 0.1945108", \
+					  "0.2675209, 0.2674962, 0.2675266, 0.2675252, 0.2675466, 0.2675511, 0.2675774", \
+					  "0.3423720, 0.3423781, 0.3424057, 0.3424660, 0.3426139, 0.3426831, 0.3427636", \
+					  "0.4155960, 0.4156056, 0.4158837, 0.4158139, 0.4162004, 0.4164209, 0.4167164", \
+					  "0.4969848, 0.4969720, 0.4966080, 0.4966969, 0.4969167, 0.4972541, 0.4970608", \
+					  "0.6854611, 0.6848719, 0.6833666, 0.6809883, 0.6751885, 0.6729649, 0.6713981");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1386936, 0.1389356, 0.1389414, 0.1389387, 0.1389438, 0.1389457, 0.1389369", \
+					  "0.1927837, 0.1927851, 0.1927864, 0.1927753, 0.1927842, 0.1927826, 0.1927752", \
+					  "0.2497916, 0.2497930, 0.2497946, 0.2498389, 0.2497933, 0.2497919, 0.2498384", \
+					  "0.2988513, 0.2988526, 0.2988543, 0.2988681, 0.2988521, 0.2988504, 0.2988673", \
+					  "0.3706327, 0.3706342, 0.3706341, 0.3708978, 0.3706251, 0.3706227, 0.3708925", \
+					  "0.4747139, 0.4747145, 0.4747124, 0.4747016, 0.4746929, 0.4746892, 0.4745951", \
+					  "0.7091051, 0.7091114, 0.7091208, 0.7078980, 0.7092790, 0.7093055, 0.7080028");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4458148, 2.4385581, 2.4262049, 2.4144799, 2.1975954, 2.5285932, 2.2814342", \
+					  "2.4462194, 2.4443175, 2.4459609, 2.4554423, 2.4217511, 2.4541321, 2.4554969", \
+					  "2.4484658, 2.4506882, 2.4507880, 2.4520244, 2.4592003, 2.4538576, 2.4502567", \
+					  "2.4473753, 2.4476642, 2.4478639, 2.4423199, 2.4455036, 2.4302027, 2.4769799", \
+					  "2.4436234, 2.4464115, 2.4521283, 2.4704737, 2.5536918, 2.4482514, 2.4613319", \
+					  "2.4434820, 2.4441366, 2.4515097, 2.4740498, 2.5340901, 2.7873434, 2.4825599", \
+					  "2.4437641, 2.4441917, 2.4496075, 2.4683846, 2.5269914, 2.5100112, 2.4508372");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3976561, 7.4083957, 7.4339742, 7.5521245, 7.4617931, 6.2574488, 0.5494314", \
+					  "7.3988447, 7.4043498, 7.4274659, 7.5485244, 7.4709962, 6.2020099, 0.3236273", \
+					  "7.3986715, 7.4054101, 7.4306347, 7.5474943, 7.4582723, 6.2657115, 0.3252516", \
+					  "7.3991448, 7.4054956, 7.4292050, 7.5393296, 7.4725418, 6.2084579, 0.4675144", \
+					  "7.3970853, 7.4050720, 7.4291187, 7.5493038, 7.4558760, 6.1973614, 0.3033022", \
+					  "7.4000947, 7.4047463, 7.4287627, 7.5405622, 7.4708211, 6.2080031, 0.3861528", \
+					  "7.3959179, 7.4048414, 7.4290390, 7.5436279, 7.4718971, 6.2098931, 0.4320895");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6831130, 3.6784761, 3.6670382, 3.7237424, 3.7687867, 3.7819441, 3.7384177", \
+					  "3.6745534, 3.6813262, 3.6846590, 3.7065903, 3.7667353, 3.7324060, 3.7770899", \
+					  "3.6808235, 3.6818123, 3.6945759, 3.7237288, 3.7197455, 3.7347686, 3.7867964", \
+					  "3.6745656, 3.6826330, 3.6914384, 3.7219574, 3.7617411, 3.7633839, 3.7647322", \
+					  "3.6797363, 3.6771455, 3.6923239, 3.7239305, 3.7429271, 3.7367397, 3.7835734", \
+					  "3.6781198, 3.6829328, 3.6742323, 3.7148907, 3.8122152, 3.6722473, 3.7871702", \
+					  "3.6781221, 3.6822404, 3.6887833, 3.7181283, 3.7697377, 3.8265013, 3.7675098");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5489461, 6.5557111, 6.5683932, 6.5715109, 6.6761586, 6.6049694, 0.5206099", \
+					  "6.5470039, 6.5480491, 6.5559291, 6.5850625, 6.6713216, 6.5750670, 0.8157033", \
+					  "6.5517470, 6.5509395, 6.5591217, 6.5877963, 6.6729539, 6.5927355, 0.7852952", \
+					  "6.5467724, 6.5514288, 6.5561919, 6.5862131, 6.6716317, 6.5832280, 0.7035741", \
+					  "6.5485505, 6.5496898, 6.5547565, 6.5865041, 6.6717892, 6.5889627, 0.7719076", \
+					  "6.5457399, 6.5501821, 6.5532413, 6.5815533, 6.6732161, 6.5771761, 0.7711755", \
+					  "6.5463319, 6.5498066, 6.5548633, 6.5852140, 6.6717693, 6.5988802, 0.8118536");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6892847, 3.6910884, 3.7019023, 3.7574650, 3.9285200, 4.8089650, 5.5943754", \
+					  "3.6857272, 3.6873053, 3.6987307, 3.7516306, 3.9183644, 4.7906051, 5.5951269", \
+					  "3.6878105, 3.6897521, 3.7009198, 3.7534152, 3.9188458, 4.7937301, 5.5952465", \
+					  "3.6868575, 3.6888780, 3.6999707, 3.7519842, 3.9199310, 4.7930568, 5.5930648", \
+					  "3.6860021, 3.6881592, 3.6987224, 3.7484235, 3.9267045, 4.7918519, 5.5896946", \
+					  "3.6859824, 3.6880667, 3.6981676, 3.7503030, 3.9209234, 4.7918032, 5.5894312", \
+					  "3.6859206, 3.6878947, 3.6996310, 3.7510811, 3.9219137, 4.7819393, 5.5886694");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3951854, 6.3990452, 6.4013372, 6.3725442, 6.3175834, 5.2683381, -10.7447568", \
+					  "6.3892044, 6.3911998, 6.3847965, 6.3916152, 6.3175907, 5.3421040, -11.2666578", \
+					  "6.3950429, 6.3982973, 6.3951964, 6.4008972, 6.2984535, 5.3547102, -10.9679028", \
+					  "6.3933088, 6.3954451, 6.3949186, 6.3971013, 6.3145619, 5.3672714, -10.9997018", \
+					  "6.3934047, 6.3961555, 6.3928452, 6.3887526, 6.3006186, 5.3278061, -10.8515046", \
+					  "6.3901547, 6.3897549, 6.3881810, 6.3907437, 6.3132726, 5.3758931, -10.9504797", \
+					  "6.3874252, 6.3907112, 6.3857434, 6.3978140, 6.3125289, 5.3119894, -11.0637738");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9136602, 2.9149612, 2.9170928, 2.9387679, 3.0688566, 3.9343040, 4.7330028", \
+					  "2.9096809, 2.9100840, 2.9143410, 2.9341101, 3.0675331, 3.9274952, 4.7300619", \
+					  "2.9127131, 2.9134811, 2.9173181, 2.9387537, 3.0715960, 3.9315721, 4.7295805", \
+					  "2.9120734, 2.9120233, 2.9143591, 2.9344845, 3.0733057, 3.9314942, 4.7287649", \
+					  "2.9116482, 2.9121832, 2.9158077, 2.9362843, 3.0718172, 3.9216413, 4.7285735", \
+					  "2.9106014, 2.9111229, 2.9138518, 2.9347485, 3.0681917, 3.9245659, 4.7311455", \
+					  "2.9119457, 2.9121376, 2.9139602, 2.9354823, 3.0695957, 3.9303314, 4.7427939");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8481544, 2.8457895, 2.8300295, 2.8835595, 2.9907124, 3.7298981, 3.9895326", \
+					  "2.8932849, 2.8968979, 2.9031510, 2.9377646, 3.0572771, 3.5853712, 4.0319915", \
+					  "2.9697637, 2.9691933, 2.9771749, 3.0108417, 3.1368448, 3.6554149, 4.1054148", \
+					  "3.0424879, 3.0427510, 3.0493281, 3.0877044, 3.2106152, 3.7417267, 4.1803570", \
+					  "3.1157945, 3.1161687, 3.1255351, 3.1644647, 3.2895533, 3.8036786, 4.2596993", \
+					  "3.1966124, 3.1977241, 3.2049598, 3.2459430, 3.3719340, 3.9276455, 4.3388172", \
+					  "3.3789780, 3.3794327, 3.3871333, 3.4207593, 3.5422021, 4.0849745, 4.5104799");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0560466, 6.0578778, 6.0613591, 6.0767510, 5.9835319, 5.0575297, -5.0704834", \
+					  "6.1034534, 6.1036409, 6.1117433, 6.1359027, 6.0501579, 5.1605088, -5.0165903", \
+					  "6.1613951, 6.1638850, 6.1698910, 6.1960743, 6.1125039, 5.2212506, -5.0057685", \
+					  "6.2089702, 6.2118081, 6.2161328, 6.2416565, 6.1576909, 5.2628956, -4.8671239", \
+					  "6.2807137, 6.2825892, 6.2881830, 6.3150432, 6.2341419, 5.3377621, -4.8135391", \
+					  "6.3838133, 6.3833194, 6.3916051, 6.4168112, 6.3345441, 5.4421059, -4.7356989", \
+					  "6.6190650, 6.6212688, 6.6258727, 6.6550570, 6.5728660, 5.6914058, -4.5570272");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.0941052, 11.1006182, 11.1219061, 11.1595079, 11.1194147, 9.6434223, -7.0495780", \
+					  "11.1088545, 11.1108394, 11.1239206, 11.1869094, 11.0973651, 9.7167222, -7.0771499", \
+					  "11.1366686, 11.1390446, 11.1535330, 11.2165951, 11.1175556, 9.7533219, -6.8954345", \
+					  "11.1716703, 11.1769796, 11.1888294, 11.2491584, 11.1641049, 9.7997776, -6.9966384", \
+					  "11.2571292, 11.2597884, 11.2719096, 11.3347494, 11.2442610, 9.8573741, -6.8770294", \
+					  "11.3136377, 11.3174779, 11.3296050, 11.3930361, 11.3020486, 9.9244767, -6.7919299", \
+					  "11.3447404, 11.3492228, 11.3622775, 11.4250265, 11.3373179, 9.9714653, -6.7628620");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.7773361, 5.7792265, 5.8013701, 5.8779307, 6.1072958, 7.0196764, 7.7260432", \
+					  "5.7864891, 5.7930848, 5.8100118, 5.8850131, 6.1213916, 6.9868211, 7.7395411", \
+					  "5.8117615, 5.8158709, 5.8301715, 5.9104175, 6.1295283, 7.0027388, 7.7641735", \
+					  "5.8219525, 5.8265943, 5.8441080, 5.9203266, 6.1465665, 7.0045456, 7.7702197", \
+					  "5.8457339, 5.8465711, 5.8657527, 5.9420949, 6.1683486, 7.0530411, 7.7985555", \
+					  "5.9031460, 5.9081517, 5.9205677, 6.0009064, 6.2329926, 7.1649659, 7.8511914", \
+					  "5.9915177, 5.9933237, 6.0051443, 6.0894444, 6.3239418, 7.2129000, 7.9361531");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9629494, 7.9787679, 7.9787682, 7.9787686, 7.9787691, 7.9787696, 7.9787701", \
+					  "8.0406242, 8.0406251, 8.0481186, 8.0481191, 8.0481201, 8.0481210, 8.1523499", \
+					  "8.0615532, 8.0615535, 8.0635223, 8.0635229, 8.0635238, 8.0635248, 8.1804785", \
+					  "8.0705744, 8.0722176, 8.0729246, 8.0729251, 8.0729261, 8.0729270, 8.1366593", \
+					  "8.0737097, 8.0747418, 8.0754816, 8.0754819, 8.0754829, 8.0754838, 8.1383256", \
+					  "8.0714351, 8.0714355, 8.0746085, 8.0770377, 8.0770383, 8.0770393, 8.1575884", \
+					  "8.0726537, 8.0726543, 8.0734299, 8.0734306, 8.0734315, 8.0734325, 8.1348723");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8460690, 17.0399430, 18.2494550, 25.6624200, 70.9623360, 349.8620600, 2069.2030000", \
+					  "16.9050440, 17.0961450, 18.2974680, 25.6915470, 71.0278770, 349.9334900, 2069.3558000", \
+					  "16.9208450, 17.1171410, 18.3265310, 25.7123020, 71.0329360, 349.9722800, 2069.4758000", \
+					  "16.9309190, 17.1251100, 18.3258630, 25.7167250, 71.0389830, 349.9623900, 2069.5827000", \
+					  "16.9408820, 17.1360290, 18.3312550, 25.7414910, 71.0838530, 349.9924900, 2069.3508000", \
+					  "16.9357430, 17.1307250, 18.3423620, 25.7501700, 71.0477650, 349.9397700, 2069.9367000", \
+					  "16.9242060, 17.1169690, 18.3308250, 25.7136200, 71.0349040, 349.9604600, 2068.6284000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7435480, 15.1311480, 17.4809180, 32.0237100, 122.1926300, 677.9806700, 4105.5532000", \
+					  "14.7490760, 15.1316120, 17.4737020, 32.0442980, 122.1433300, 678.2944400, 4103.8238000", \
+					  "14.7480840, 15.1199500, 17.4804240, 32.0028090, 122.1669500, 678.1865000, 4105.6023000", \
+					  "14.7452220, 15.1316720, 17.4735710, 32.0125610, 122.1660600, 678.2646000, 4106.7383000", \
+					  "14.7378310, 15.1266040, 17.4662350, 32.0313870, 122.0041100, 678.2370900, 4103.5564000", \
+					  "14.7468690, 15.1269770, 17.4657870, 32.0195430, 122.1900300, 678.1198700, 4104.8961000", \
+					  "14.7435060, 15.1312940, 17.4803740, 32.0084550, 122.1656700, 678.2879600, 4104.3477000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.0411090, 13.0922540, 13.4018150, 15.2391890, 20.4271910, 39.6595890, 83.4141150", \
+					  "13.1688380, 13.2200970, 13.5308950, 15.3647020, 20.5496460, 39.7918920, 83.5532960", \
+					  "13.2579330, 13.3088270, 13.6191910, 15.4526830, 20.6429330, 39.8914790, 83.6507110", \
+					  "13.3261340, 13.3765410, 13.6871640, 15.5228450, 20.7093540, 39.9475900, 83.6990920", \
+					  "13.3837960, 13.4367340, 13.7475360, 15.5813000, 20.7669030, 40.0168640, 83.8101850", \
+					  "13.4396860, 13.4910840, 13.8018090, 15.6367220, 20.8229500, 40.0574330, 83.8149390", \
+					  "13.5057050, 13.5538820, 13.8647210, 15.6992210, 20.8842760, 40.1268140, 83.8869950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0683962, 3.1379699, 3.5803487, 5.1911093, 7.0754766, 29.4389330, 48.6930210", \
+					  "3.0677445, 3.1380751, 3.5771597, 5.1859899, 7.0584973, 29.4654240, 48.6912730", \
+					  "3.0697134, 3.1339331, 3.5736480, 5.1940705, 7.0508244, 29.4585850, 48.7410080", \
+					  "3.0689922, 3.1380403, 3.5773440, 5.1856128, 7.0496609, 29.4374870, 48.7098290", \
+					  "3.0709881, 3.1385261, 3.5764824, 5.1867266, 7.0615611, 29.4601070, 48.6811090", \
+					  "3.0709328, 3.1375029, 3.5751582, 5.1853339, 7.0444486, 29.4413350, 48.6220420", \
+					  "3.0694631, 3.1381058, 3.5760057, 5.1857016, 7.0592927, 29.4645070, 48.7433030");
+				}
+			}
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("8.1045402, 8.1175884, 8.2061895, 8.7440535, 12.1801480, 33.8342470, 168.4004800", \
+                                          "8.1751699, 8.1883362, 8.2723721, 8.8136805, 12.2368860, 33.9003030, 168.4546300", \
+                                          "8.1992368, 8.2125791, 8.2972820, 8.8375414, 12.2621900, 33.9240780, 168.5308600", \
+                                          "8.2081488, 8.2221625, 8.3058627, 8.8467740, 12.2705990, 33.9331620, 168.5418500", \
+                                          "8.2124370, 8.2270510, 8.3141289, 8.8523002, 12.2881790, 33.9426370, 168.5073700", \
+                                          "8.2131724, 8.2264409, 8.3138278, 8.8515488, 12.2783110, 33.9384380, 168.4947100", \
+                                          "8.2064942, 8.2209279, 8.3068654, 8.8460501, 12.2742150, 33.9910680, 168.4992600");
+                                } 
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("1.4356513, 1.4657509, 1.6544278, 2.8655114, 10.5576610, 58.2041010, 352.2260700", \
+                                          "1.4374112, 1.4671412, 1.6577723, 2.8655043, 10.5569870, 58.2919240, 352.3997200", \
+                                          "1.4380026, 1.4683451, 1.6548622, 2.8652056, 10.5558890, 58.1558130, 352.4860700", \
+                                          "1.4376862, 1.4685894, 1.6580997, 2.8651692, 10.5572180, 58.1561470, 352.5368900", \
+                                          "1.4353330, 1.4656414, 1.6547561, 2.8656613, 10.5581470, 58.2005410, 352.2136800", \
+                                          "1.4380340, 1.4683103, 1.6550233, 2.8654168, 10.5568730, 58.2912030, 352.3712500", \
+                                          "1.4350879, 1.4652890, 1.6542954, 2.8656308, 10.5543040, 58.1983740, 352.2132800");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("144.8838000, 144.8992900, 144.9842600, 145.4805100, 147.8272400, 156.7105000, 194.3991600", \
+                                          "144.9635100, 145.0219400, 145.0893700, 145.5874100, 147.9758400, 156.8605400, 194.5506500", \
+                                          "145.0580200, 145.1219000, 145.1891900, 145.6808100, 148.0948800, 156.9353400, 194.6178000", \
+                                          "145.2355600, 145.2355729, 145.2355881, 145.7321900, 148.1230800, 156.9644800, 194.6114900", \
+                                          "145.2218600, 145.2218705, 145.3062600, 145.8038000, 148.1954500, 157.0487300, 194.6872500", \
+                                          "145.3386000, 145.3386002, 145.3996400, 145.9020100, 148.2640800, 157.1244500, 194.8142500", \
+                                          "145.3937100, 145.3937150, 145.4474900, 145.9398800, 148.3609500, 157.1716400, 194.8784000");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("144.7162000, 144.7314000, 144.5698100, 143.5964200, 137.5852000, 98.6488970, 73.8010190", \
+                                          "144.7240300, 144.7157100, 144.5453800, 143.6667200, 137.5919400, 98.5066110, 73.7990580", \
+                                          "144.7121300, 144.7054100, 144.5502600, 143.6061300, 137.5697400, 98.5733450, 73.7760860", \
+                                          "144.7901800, 144.6815800, 144.5335300, 143.6531400, 137.5302100, 98.5322470, 73.9906340", \
+                                          "144.7336800, 144.6909300, 144.5453800, 143.6652000, 137.5440100, 98.5309260, 74.0288330", \
+                                          "144.7761800, 144.7550800, 144.5873200, 143.7188800, 137.5808100, 98.4923930, 73.9956720", \
+                                          "144.7756400, 144.6972700, 144.5736900, 143.7133800, 137.5805100, 98.4783170, 73.8069450");
+                                }
+                        }
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("8.0720897, 8.0862277, 8.1705940, 8.7075893, 12.1187320, 33.8245800, 170.2877600", \
+                                          "8.1416216, 8.1543821, 8.2406180, 8.7762375, 12.1917810, 33.8935000, 170.3567600", \
+                                          "8.1649336, 8.1792466, 8.2640943, 8.8008578, 12.2055910, 33.9158920, 170.3724300", \
+                                          "8.1746228, 8.1884313, 8.2708755, 8.8095025, 12.2150690, 33.9249700, 170.3790400", \
+                                          "8.1807467, 8.1948794, 8.2789748, 8.8162224, 12.2283090, 33.9295950, 170.3887700", \
+                                          "8.1796099, 8.1936822, 8.2775653, 8.8149719, 12.2278040, 33.9280320, 170.3875800", \
+                                          "8.1738314, 8.1879579, 8.2722673, 8.8093799, 12.2138490, 33.9234210, 170.3816000");
+                                }
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("1.4406890, 1.4709229, 1.6608152, 2.8677525, 10.5569080, 58.1612450, 351.9406300", \
+                                          "1.4425634, 1.4726801, 1.6590683, 2.8678475, 10.5564300, 58.1526190, 352.0828800", \
+                                          "1.4415095, 1.4714406, 1.6610711, 2.8680256, 10.5582360, 58.2065310, 352.1080300", \
+                                          "1.4418022, 1.4724849, 1.6610902, 2.8676228, 10.5585510, 58.1772640, 352.2260700", \
+                                          "1.4410074, 1.4711659, 1.6613425, 2.8678275, 10.5574510, 58.2051880, 352.1761600", \
+                                          "1.4409360, 1.4713494, 1.6614268, 2.8677540, 10.5573280, 58.2051560, 352.1892300", \
+                                          "1.4410558, 1.4710617, 1.6612891, 2.8678908, 10.5582330, 58.2056930, 352.1288600");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("18.6831560, 18.6983370, 18.8056700, 19.4347290, 23.4749750, 51.8632180, 153.6117700", \
+                                          "18.8140290, 18.8172230, 18.9287890, 19.5574130, 23.5820130, 51.9785390, 153.7400600", \
+                                          "18.8891870, 18.9119100, 19.0086380, 19.6393850, 23.6763750, 52.0640600, 153.8243900", \
+                                          "18.9634210, 18.9866060, 19.0862930, 19.7231170, 23.7622560, 52.1438820, 153.7558100", \
+                                          "19.0248990, 19.0327840, 19.1477750, 19.7775560, 23.8161830, 52.2054220, 153.8226000", \
+                                          "19.0821030, 19.0942760, 19.1996290, 19.8389780, 23.8789080, 52.2249980, 153.9252400", \
+                                          "19.1365470, 19.1578240, 19.2661890, 19.8993690, 23.9396400, 52.3093890, 154.0673100");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("119.8623600, 119.9649400, 119.7459300, 118.8693200, 116.4761400, 112.8559600, 106.2155000", \
+                                          "119.9331800, 119.9297900, 119.8125500, 118.8695300, 116.4027100, 112.9577200, 106.2100000", \
+                                          "119.9861400, 119.9884800, 119.7542600, 118.9202300, 116.4309700, 112.9652700, 106.0996300", \
+                                          "119.8936400, 119.8427000, 119.8119200, 119.0207700, 116.5081700, 112.8172200, 106.0364200", \
+                                          "119.9055200, 119.9410300, 119.8233700, 118.9753200, 116.4435800, 112.9516700, 106.0475900", \
+                                          "119.9862500, 119.9280200, 119.8332900, 119.0091400, 116.5042700, 112.9778500, 106.0733700", \
+                                          "119.9822000, 119.9617100, 119.8239100, 118.9315000, 116.5010200, 112.8926100, 106.0897200");
+                                }
+                        }
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6839388, 7.6910425, 7.6910427, 7.6910432, 7.6910437, 7.6910441, 7.6910446", \
+					  "7.7440507, 7.7440508, 7.7440513, 7.7440517, 7.7440522, 7.7440527, 7.7440532", \
+					  "7.7728863, 7.7728866, 7.7728870, 7.7728875, 7.7728880, 7.7728885, 7.7728889", \
+					  "7.7845863, 7.7845867, 7.7845872, 7.7845877, 7.7845881, 7.7845886, 7.7845891", \
+					  "7.7868112, 7.7868116, 7.7868121, 7.7868126, 7.7868131, 7.7868135, 7.7868140", \
+					  "7.7866193, 7.7866195, 7.7866200, 7.7866204, 7.7866209, 7.7866214, 7.7866219", \
+					  "7.7818019, 7.7818020, 7.7818025, 7.7818029, 7.7818034, 7.7818039, 7.7818044");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.4942106, 7.4999189, 7.4999192, 7.4999197, 7.4999202, 7.4999207, 7.4999211", \
+					  "7.5682314, 7.5682319, 7.5694571, 7.5694573, 7.5694578, 7.5694583, 7.5694588", \
+					  "7.5891906, 7.5891908, 7.5891912, 7.5891917, 7.5891922, 7.5891927, 7.5891932", \
+					  "7.5974915, 7.5974916, 7.5974920, 7.5974925, 7.5974930, 7.5974935, 7.5974939", \
+					  "7.5982092, 7.5982097, 7.5982101, 7.5982106, 7.5982111, 7.5982116, 7.5982121", \
+					  "7.5915052, 7.5915053, 7.5996418, 7.5996421, 7.5996426, 7.5996430, 7.5996435", \
+					  "7.5892457, 7.5892461, 7.5892466, 7.5892470, 7.5892475, 7.5892480, 7.5892485");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2506680, 10.3005390, 10.6058670, 12.5061130, 24.1278140, 95.6560700, 421.1600800", \
+					  "10.3021160, 10.3552720, 10.6605020, 12.5587800, 24.1769480, 95.7215680, 421.1374000", \
+					  "10.3250700, 10.3749200, 10.6802640, 12.5805690, 24.2022720, 95.7305770, 421.2334900", \
+					  "10.3287160, 10.3772930, 10.6980660, 12.5856610, 24.2007520, 95.7277920, 421.2499800", \
+					  "10.3360250, 10.3857500, 10.6911110, 12.5915700, 24.2133160, 95.7415690, 421.2137700", \
+					  "10.3292480, 10.3783000, 10.6992030, 12.5869700, 24.2025010, 95.7264060, 421.1729600", \
+					  "10.3303000, 10.3762180, 10.6846970, 12.5848410, 24.2050880, 95.7143480, 421.2109300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0685314, 3.1476419, 3.6299852, 6.6380510, 25.0380450, 138.9152800, 357.1721800", \
+					  "3.0701219, 3.1476020, 3.6300707, 6.6372121, 25.0367370, 138.9283400, 357.1139200", \
+					  "3.0685368, 3.1476444, 3.6299730, 6.6380581, 25.0380070, 138.9184400, 357.1636600", \
+					  "3.0690432, 3.1474328, 3.6319239, 6.6365988, 25.0454250, 138.9478700, 356.9659800", \
+					  "3.0685439, 3.1476446, 3.6299654, 6.6380537, 25.0379410, 138.9217500, 357.1632600", \
+					  "3.0690053, 3.1462459, 3.6319059, 6.6365051, 25.0413730, 138.9398800, 357.1422800", \
+					  "3.0684979, 3.1479495, 3.6313819, 6.6378099, 25.0416380, 138.9221500, 357.1549000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.8202520, 21.0246950, 22.2056720, 29.4754510, 73.7941620, 346.2774300, 2027.4078000", \
+					  "20.9583750, 21.1446900, 22.3341380, 29.6059730, 73.9175970, 346.2803300, 2027.2607000", \
+					  "21.0437270, 21.2313690, 22.4195740, 29.6896040, 73.9949330, 346.3261700, 2027.9247000", \
+					  "21.1147440, 21.3060800, 22.4906230, 29.7633100, 74.0710530, 346.3427400, 2026.4217000", \
+					  "21.1703310, 21.3646490, 22.5461750, 29.8185300, 74.1403740, 346.5252100, 2027.7316000", \
+					  "21.2119440, 21.4061250, 22.6024850, 29.8763680, 74.0995890, 346.3702900, 2026.4265000", \
+					  "21.2924900, 21.4654250, 22.6679370, 29.9447080, 74.2666940, 346.7013700, 2027.1441000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7647220, 14.1649230, 16.4847960, 30.6853350, 118.8824000, 663.1833600, 4023.7387000", \
+					  "13.7848990, 14.1530190, 16.4846840, 30.8152370, 118.8784500, 663.2163300, 4023.1503000", \
+					  "13.7853460, 14.1670120, 16.4843620, 30.7059800, 118.8352000, 663.1818800, 4023.4672000", \
+					  "13.7846050, 14.1509990, 16.4846730, 30.8293480, 118.8567100, 663.1297000, 4022.8724000", \
+					  "13.7833340, 14.1642540, 16.4849890, 30.8384070, 118.9539400, 663.2745300, 4022.2212000", \
+					  "13.7262110, 14.1445980, 16.4757420, 30.8159760, 118.9207500, 663.0937700, 4020.9657000", \
+					  "13.7857330, 14.1117800, 16.4847600, 30.8179190, 119.0273000, 663.2604900, 4023.0907000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6841999, 7.6842001, 7.6842006, 7.6842011, 7.6842015, 7.6842020, 7.6842025", \
+					  "7.7111633, 7.7111638, 7.7111643, 7.7150171, 7.7150176, 7.7150181, 7.7150186", \
+					  "7.7574018, 7.7574022, 7.7574027, 7.7574032, 7.7574037, 7.7574041, 7.7574046", \
+					  "7.7665332, 7.7665337, 7.7665341, 7.7665346, 7.7665351, 7.7665356, 7.7665360", \
+					  "7.7661841, 7.7661846, 7.7661851, 7.7661856, 7.7661860, 7.7661865, 7.7661870", \
+					  "7.7683326, 7.7695521, 7.7707440, 7.7707441, 7.7707446, 7.7707451, 7.7707456", \
+					  "7.7478584, 7.7478588, 7.7484081, 7.7484086, 7.7484091, 7.7484096, 7.7484100");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9723597, 7.9723599, 7.9876266, 7.9876268, 7.9876273, 7.9876278, 7.9876283", \
+					  "8.0480635, 8.0525311, 8.0525318, 8.0525327, 8.0525337, 8.0525346, 8.0525356", \
+					  "8.0468583, 8.0495131, 8.0495134, 8.0611731, 8.0611740, 8.0611749, 8.0611759", \
+					  "8.0564044, 8.0590192, 8.0590196, 8.0718934, 8.0718942, 8.0718952, 8.0951565", \
+					  "8.0623161, 8.0658680, 8.0683451, 8.0723348, 8.0723358, 8.0723367, 8.0899918", \
+					  "8.0601152, 8.0633678, 8.0635694, 8.0707929, 8.0707937, 8.0707946, 8.0859754", \
+					  "8.0571230, 8.0602414, 8.0604501, 8.0654683, 8.0654684, 8.0654693, 8.0819706");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("12.9548590, 13.0074960, 13.3260190, 15.2220670, 26.6238730, 93.5878150, 187.1788600", \
+					  "13.0805570, 13.1332380, 13.4513550, 15.3467660, 26.7455800, 93.7088910, 187.2964600", \
+					  "13.1673990, 13.2183540, 13.5375090, 15.4273230, 26.8209260, 93.8012190, 187.4152200", \
+					  "13.2367590, 13.2896250, 13.6074800, 15.5036140, 26.9031930, 93.8650540, 187.3859500", \
+					  "13.2989760, 13.3509930, 13.6684740, 15.5617710, 26.9650740, 93.9262110, 187.4562400", \
+					  "13.3541270, 13.4086620, 13.7246520, 15.6276980, 27.0206470, 93.9837510, 187.5820300", \
+					  "13.4167610, 13.4640950, 13.7876400, 15.6844690, 27.0833770, 94.0526160, 187.6451600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1041121, 3.1741243, 3.6216554, 6.4413097, 24.4543990, 98.2080550, 64.2758590", \
+					  "3.1001557, 3.1751748, 3.6211707, 6.4412311, 24.4613520, 98.1903130, 64.4768150", \
+					  "3.0994363, 3.1740739, 3.6219112, 6.4435626, 24.4341880, 98.1884300, 64.4853910", \
+					  "3.1002723, 3.1751719, 3.6217813, 6.4412484, 24.4587640, 98.1875420, 64.2311240", \
+					  "3.1003282, 3.1751688, 3.6217584, 6.4412049, 24.4583710, 98.2262160, 64.2329990", \
+					  "3.0995042, 3.1740810, 3.6219971, 6.4412649, 24.4568470, 98.2045880, 64.2640640", \
+					  "3.0994303, 3.1749163, 3.6219101, 6.4412631, 24.4559380, 98.1794490, 64.2816360");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9828659, 7.9828661, 7.9828666, 7.9828671, 7.9828675, 7.9828680, 8.1656353", \
+					  "8.0439326, 8.0439334, 8.0439344, 8.0439353, 8.0439363, 8.0439373, 8.1057445", \
+					  "8.0484215, 8.0484224, 8.0688487, 8.0688491, 8.0688501, 8.0688510, 8.0688520", \
+					  "8.0576861, 8.0576864, 8.0794820, 8.0794826, 8.0794836, 8.0794845, 8.0942080", \
+					  "8.0639717, 8.0639720, 8.0810434, 8.0810438, 8.0810447, 8.0810457, 8.0810466", \
+					  "8.0607299, 8.0607305, 8.0736653, 8.0736661, 8.0736671, 8.0736681, 8.1020550", \
+					  "8.0575822, 8.0758529, 8.0758539, 8.0758548, 8.0758558, 8.0758567, 8.0827718");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("12.9213460, 12.9726720, 13.2817820, 15.1140660, 20.3725680, 39.7230830, 142.7995900", \
+					  "13.0457930, 13.0978700, 13.4079780, 15.2400080, 20.5056810, 39.8477410, 142.9448800", \
+					  "13.1339150, 13.1843490, 13.4929010, 15.3217470, 20.5897150, 39.9371100, 143.0219100", \
+					  "13.2024930, 13.2540500, 13.5628170, 15.3929600, 20.6627300, 40.0059580, 143.0053100", \
+					  "13.2633170, 13.3140370, 13.6251130, 15.4524160, 20.7173670, 40.0692920, 143.2070600", \
+					  "13.3191500, 13.3695980, 13.6822490, 15.5164820, 20.7771930, 40.1186990, 143.2746900", \
+					  "13.3830990, 13.4333540, 13.7424480, 15.5706270, 20.8392310, 40.1886350, 143.3300300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0771941, 3.1427474, 3.5816068, 5.2131663, 7.1259225, 32.0858500, 99.6596010", \
+					  "3.0805145, 3.1486924, 3.5851944, 5.2186668, 7.1483166, 32.1436530, 99.7298320", \
+					  "3.0779541, 3.1432790, 3.5793291, 5.2184901, 7.1144717, 32.0862520, 99.7162890", \
+					  "3.0807212, 3.1460758, 3.5818923, 5.2168811, 7.1203106, 32.0433810, 99.6133310", \
+					  "3.0806491, 3.1459453, 3.5818204, 5.2169180, 7.1513209, 32.0880890, 99.6795750", \
+					  "3.0765615, 3.1452090, 3.5791559, 5.2176996, 7.1519413, 32.0819390, 99.7037120", \
+					  "3.0789253, 3.1426621, 3.5786312, 5.2177982, 7.1238300, 32.0878010, 99.7002450");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.9004220, 17.0922780, 18.2847620, 25.6470260, 70.8079920, 349.4728600, 2068.7944000", \
+					  "17.0364380, 17.2201950, 18.4139760, 25.7655990, 70.9181050, 349.5539700, 2069.1225000", \
+					  "17.1139960, 17.3045080, 18.4972940, 25.8169040, 71.0060490, 349.6763800, 2068.6966000", \
+					  "17.1779200, 17.3690250, 18.5659520, 25.8920390, 71.0564410, 349.7075300, 2068.8301000", \
+					  "17.2457760, 17.4369050, 18.6364470, 25.9496760, 71.1238000, 349.7740100, 2069.0500000", \
+					  "17.3077500, 17.4923600, 18.6905690, 26.0281820, 71.2541000, 349.9023900, 2068.6994000", \
+					  "17.3495050, 17.5578090, 18.7448950, 26.0651320, 71.2325060, 349.9066300, 2068.8396000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7516990, 15.1254280, 17.4742980, 32.0442410, 122.1542500, 677.4280300, 4104.4318000", \
+					  "14.7470100, 15.1262720, 17.4702440, 32.0184310, 122.2055300, 678.1795600, 4105.6092000", \
+					  "14.7518350, 15.1258880, 17.4872990, 32.0562170, 121.8895800, 678.2516900, 4105.6151000", \
+					  "14.7530850, 15.1252450, 17.4815950, 32.0276640, 122.1942200, 678.2250900, 4105.0816000", \
+					  "14.7472740, 15.1219480, 17.4816020, 32.0214570, 121.9685800, 677.2784200, 4106.0339000", \
+					  "14.7515090, 15.1261070, 17.4815200, 32.0401730, 122.2019500, 678.4412700, 4103.9270000", \
+					  "14.7531010, 15.1301710, 17.4697860, 32.0379140, 122.1929200, 678.2790400, 4104.1985000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4668260, 14.5210540, 14.8298200, 16.6648170, 21.8663510, 41.1276060, 84.9143690", \
+					  "14.5896640, 14.6466630, 14.9527870, 16.7952890, 21.9971080, 41.2489030, 85.0028950", \
+					  "14.6799490, 14.7298020, 15.0415840, 16.8824300, 22.0822560, 41.3239750, 85.1121060", \
+					  "14.7419300, 14.7950230, 15.1056500, 16.9420500, 22.1441280, 41.3834050, 85.1797420", \
+					  "14.8160670, 14.8678340, 15.1760540, 17.0106680, 22.2139160, 41.4552480, 85.2160510", \
+					  "14.8699990, 14.9175750, 15.2361660, 17.0645880, 22.2749230, 41.5287360, 85.2722980", \
+					  "14.9314890, 14.9824120, 15.2918850, 17.1309700, 22.3309870, 41.5769180, 85.3206500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0734919, 3.1490357, 3.5841554, 5.2003837, 7.0756898, 29.4583900, 48.6069710", \
+					  "3.0749363, 3.1478522, 3.5832872, 5.2063820, 7.0705823, 29.4363700, 48.7562060", \
+					  "3.0732441, 3.1419882, 3.5831391, 5.2051643, 7.0596946, 29.4513750, 48.7053990", \
+					  "3.0765246, 3.1488960, 3.5855368, 5.2051061, 7.0530231, 29.4520070, 48.7556560", \
+					  "3.0769971, 3.1470695, 3.5832213, 5.2069131, 7.0464536, 29.4681540, 48.7182880", \
+					  "3.0761138, 3.1429561, 3.5831291, 5.2071019, 7.0783814, 29.4364730, 48.6588720", \
+					  "3.0770091, 3.1417065, 3.5814263, 5.1981046, 7.0808821, 29.4364280, 48.7044500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.4203960, 16.6135820, 17.8098070, 25.1204590, 70.2964230, 348.9881200, 2068.2292000", \
+					  "16.4736650, 16.6675380, 17.8546280, 25.1763370, 70.3817380, 349.0494600, 2067.8208000", \
+					  "16.4955430, 16.6881580, 17.8831600, 25.1953660, 70.3756340, 349.0533200, 2068.7412000", \
+					  "16.5106810, 16.7033090, 17.8870090, 25.2197140, 70.4200570, 349.0651200, 2068.3117000", \
+					  "16.5128750, 16.7062100, 17.8890400, 25.2241680, 70.4264240, 349.0656000, 2067.8278000", \
+					  "16.5006090, 16.6956440, 17.8878410, 25.2054790, 70.3804450, 349.1761600, 2068.1341000", \
+					  "16.4976510, 16.6925640, 17.9230500, 25.2021510, 70.3748030, 349.1965100, 2068.2940000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7451390, 15.1254160, 17.4835280, 32.0356290, 122.0920900, 677.4257000, 4103.4759000", \
+					  "14.7465310, 15.1335890, 17.4819360, 32.0345880, 122.1902600, 677.1412600, 4103.1549000", \
+					  "14.7452750, 15.1252110, 17.4780170, 32.0357290, 121.9237300, 678.7126400, 4104.2940000", \
+					  "14.7421710, 15.1223550, 17.4851530, 32.0366130, 121.8882800, 678.3687900, 4104.8111000", \
+					  "14.7429220, 15.1212220, 17.4848050, 32.0362620, 122.1538600, 678.4131900, 4104.0475000", \
+					  "14.7457130, 15.1227530, 17.4804460, 32.0315580, 122.1728900, 678.1154400, 4103.7165000", \
+					  "14.7452070, 15.1261310, 17.4783890, 32.0284100, 122.1720000, 678.1724000, 4104.3650000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.3082160, 20.5043320, 21.6581720, 28.8318260, 73.1051630, 345.5685100, 2025.6734000", \
+					  "20.4330970, 20.6312140, 21.7852510, 28.9592650, 73.2156660, 345.5094500, 2026.0914000", \
+					  "20.5169500, 20.7244460, 21.8693540, 29.0430880, 73.3537750, 345.7591900, 2026.3672000", \
+					  "20.5883680, 20.7882530, 21.9421480, 29.1109700, 73.3854510, 345.7276100, 2026.3210000", \
+					  "20.6503970, 20.8511870, 22.0026130, 29.1764620, 73.4661330, 345.8286200, 2026.5816000", \
+					  "20.7066190, 20.8995810, 22.0646580, 29.2470420, 73.4639940, 345.7452400, 2025.9056000", \
+					  "20.7674380, 20.9618600, 22.1252420, 29.3075150, 73.5215120, 345.7990400, 2026.3224000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7616020, 14.1608800, 16.4633090, 30.7289480, 118.7988200, 663.2440700, 4023.2221000", \
+					  "13.7537690, 14.1561920, 16.4630210, 30.7278970, 118.7564000, 662.5973600, 4023.0652000", \
+					  "13.7557060, 14.1696870, 16.4568630, 30.7253390, 119.0172200, 663.1563600, 4022.2358000", \
+					  "13.7459680, 14.1593790, 16.4504410, 30.7458340, 118.7645100, 662.8154700, 4022.0439000", \
+					  "13.7593680, 14.1678610, 16.4598130, 30.7236090, 118.9182200, 662.9085200, 4021.8201000", \
+					  "13.7374880, 14.1548220, 16.4208860, 30.7914230, 118.8752300, 662.6673900, 4021.5436000", \
+					  "13.7354790, 14.1538260, 16.4165110, 30.7897490, 118.8946300, 663.0662000, 4022.1435000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9334113, 7.9334114, 7.9334119, 7.9334124, 7.9334128, 7.9659905, 7.9659908", \
+					  "8.0486089, 8.0486093, 8.0486103, 8.0486112, 8.0486122, 8.0486131, 8.0633304", \
+					  "8.0583797, 8.0591427, 8.0591436, 8.0592590, 8.0592599, 8.0592609, 8.0839353", \
+					  "8.0402636, 8.0407325, 8.0407329, 8.0408711, 8.0408712, 8.0408721, 8.0594527", \
+					  "8.0136440, 8.0147595, 8.0147596, 8.0147605, 8.0147615, 8.0147625, 8.0298888", \
+					  "8.0578788, 8.0578790, 8.0578800, 8.0861309, 8.0861316, 8.0861326, 8.0861335", \
+					  "8.0104332, 8.0133820, 8.0797653, 8.0797658, 8.0797668, 8.0797678, 8.0797687");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.5085334, 7.5085338, 7.5085342, 7.5204598, 7.5204599, 7.5204604, 7.5204609", \
+					  "7.5670901, 7.5670903, 7.5677669, 7.5677670, 7.5677674, 7.5677679, 7.5677684", \
+					  "7.5878477, 7.5878480, 7.5878485, 7.5878490, 7.5878494, 7.5878499, 7.5878504", \
+					  "7.5960456, 7.5960458, 7.5960463, 7.5960467, 7.5960472, 7.5960477, 7.5960482", \
+					  "7.5966984, 7.5966986, 7.5966990, 7.5966995, 7.5967000, 7.5967005, 7.5967010", \
+					  "7.5899251, 7.5899256, 7.5982740, 7.5982745, 7.5982750, 7.5982755, 7.5982759", \
+					  "7.5876665, 7.5888629, 7.5888632, 7.5888637, 7.5888641, 7.5888646, 7.5888651");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7750040, 10.8319840, 11.1382340, 13.0429520, 24.6609220, 96.1820490, 421.6899800", \
+					  "10.9098010, 10.9521250, 11.2657690, 13.1608000, 24.7757990, 96.2990400, 421.7789100", \
+					  "10.9883460, 11.0465370, 11.3554470, 13.2605170, 24.8614430, 96.3881850, 421.8684100", \
+					  "11.0555440, 11.1040070, 11.4105250, 13.3145230, 24.9298590, 96.4707710, 422.0200700", \
+					  "11.1294790, 11.1805440, 11.4836290, 13.3801940, 25.0006740, 96.5252060, 422.1000400", \
+					  "11.1783220, 11.2253410, 11.5506730, 13.4545830, 25.0507280, 96.5918900, 422.0611300", \
+					  "11.2405870, 11.2756460, 11.5934250, 13.4993080, 25.1206490, 96.6371660, 422.1061500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0708225, 3.1483949, 3.6317698, 6.6395027, 25.0406020, 138.6443800, 357.2377200", \
+					  "3.0707241, 3.1484608, 3.6319110, 6.6378294, 25.0387270, 138.9263400, 357.1583400", \
+					  "3.0691383, 3.1480174, 3.6318366, 6.6394548, 25.0314030, 138.9510200, 357.1254300", \
+					  "3.0699343, 3.1484242, 3.6305294, 6.6378152, 25.0433580, 138.9281200, 357.2563700", \
+					  "3.0690630, 3.1489131, 3.6327485, 6.6379195, 25.0424590, 138.9507200, 357.2627900", \
+					  "3.0689509, 3.1469616, 3.6316565, 6.6394925, 25.0291410, 138.8476100, 357.1435300", \
+					  "3.0694520, 3.1472385, 3.6318728, 6.6396244, 25.0406180, 138.9267100, 357.0793900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.1711280, 10.1711288, 10.1711298, 10.1711307, 10.1711317, 10.1711326, 10.2545160", \
+					  "10.3740870, 10.3740879, 10.3743930, 10.3743940, 10.3743949, 10.3743959, 10.3882210", \
+					  "10.3603850, 10.3614060, 10.3614069, 10.3614078, 10.3614088, 10.3614097, 10.3950580", \
+					  "10.2742650, 10.2742658, 10.2742668, 10.2742677, 10.2742687, 10.2742696, 10.2742706", \
+					  "10.4123960, 10.4123970, 10.4146350, 10.4146352, 10.4146362, 10.4146371, 10.4232530", \
+					  "10.2752820, 10.2756710, 10.4076500, 10.4076505, 10.4076515, 10.4076524, 10.4076534", \
+					  "10.2715440, 10.2757840, 10.2757841, 10.3508320, 10.3508325, 10.3508335, 10.3508344");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.4205790, 16.6137060, 17.8099700, 25.1211460, 70.2963590, 349.0003200, 2068.5668000", \
+					  "16.4737910, 16.6677070, 17.8992840, 25.2064270, 70.3814250, 349.0482900, 2068.3660000", \
+					  "16.4956970, 16.6883110, 17.8833200, 25.1955590, 70.3695800, 349.0631000, 2068.2282000", \
+					  "16.5108510, 16.7034550, 17.8871620, 25.2202380, 70.4200860, 349.1693700, 2068.9993000", \
+					  "16.5130340, 16.7063500, 17.8892080, 25.2248280, 70.4264310, 349.0758000, 2067.6969000", \
+					  "16.5051870, 16.6965660, 17.8878810, 25.2052960, 70.3805580, 349.0814900, 2067.9677000", \
+					  "16.4980080, 16.6928270, 17.9232610, 25.2028920, 70.3748100, 349.0402200, 2068.3219000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7472190, 15.1246300, 17.4841690, 32.0351210, 121.9611400, 678.1813800, 4104.3609000", \
+					  "14.7469340, 15.1337980, 17.4726010, 32.0320160, 122.0007700, 677.2608600, 4104.5269000", \
+					  "14.7452140, 15.1244810, 17.4791900, 32.0357290, 121.9612600, 677.5914000, 4102.7988000", \
+					  "14.7423240, 15.1222870, 17.4862010, 32.0372000, 121.8856800, 678.4831600, 4104.9939000", \
+					  "14.7472690, 15.1214530, 17.4858850, 32.0366880, 122.1450800, 678.1285700, 4103.4682000", \
+					  "14.7455970, 15.1242820, 17.4822610, 32.0364460, 122.1711400, 678.1785900, 4104.3454000", \
+					  "14.7473610, 15.1256000, 17.4766890, 32.0514210, 121.9626600, 678.1198200, 4103.8024000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.3065620, 20.5053110, 21.6588520, 28.8321650, 73.1044450, 345.6845000, 2025.7010000", \
+					  "20.4320170, 20.6314520, 21.8034000, 28.9595390, 73.2249110, 345.5289500, 2026.5154000", \
+					  "20.5286970, 20.7145570, 21.8696780, 29.0431630, 73.2551730, 345.5266700, 2026.6486000", \
+					  "20.5901070, 20.7864130, 21.9422030, 29.1108010, 73.3723480, 345.6424100, 2026.9415000", \
+					  "20.6548060, 20.8477570, 22.0136210, 29.1770200, 73.4052250, 345.6575000, 2027.3280000", \
+					  "20.7013320, 20.9002720, 22.0605060, 29.2470760, 73.5313820, 345.9017300, 2026.9406000", \
+					  "20.7648030, 20.9618860, 22.1315460, 29.3076120, 73.4850400, 345.7386400, 2027.2955000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7589900, 14.1660600, 16.4660770, 30.7277940, 118.7731200, 663.1870500, 4021.6664000", \
+					  "13.7478370, 14.1639340, 16.4730950, 30.7269130, 118.7457100, 663.1927400, 4025.4708000", \
+					  "13.7763600, 14.1635020, 16.4592130, 30.7250370, 118.9455800, 663.1037200, 4023.6564000", \
+					  "13.7565890, 14.1560800, 16.4587080, 30.7462140, 118.7423600, 663.1025800, 4023.6196000", \
+					  "13.7704190, 14.1657370, 16.4714430, 30.7218250, 118.8603300, 662.9440500, 4021.3913000", \
+					  "13.7469950, 14.1450860, 16.4655740, 30.7914940, 118.9240100, 663.2564000, 4022.2094000", \
+					  "13.7446090, 14.1461620, 16.4278510, 30.7899700, 119.0002500, 662.8093800, 4023.5082000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2214310, 10.2702590, 10.5908340, 12.4784020, 24.0940650, 95.6185740, 421.1908300", \
+					  "10.2806390, 10.3258800, 10.6362370, 12.5349290, 24.1493240, 95.6748210, 421.2461400", \
+					  "10.2970650, 10.3459570, 10.6657040, 12.5534370, 24.1697610, 95.6962860, 421.2060700", \
+					  "10.3048850, 10.3535830, 10.6737930, 12.5613970, 24.1773140, 95.7028870, 421.2746200", \
+					  "10.3072660, 10.3561440, 10.6762550, 12.5639460, 24.1796540, 95.7055870, 421.2735400", \
+					  "10.3062620, 10.3575250, 10.6744530, 12.5621500, 24.1825030, 95.7046500, 421.2124900", \
+					  "10.2977880, 10.3529770, 10.6676160, 12.5588380, 24.1823610, 95.7064260, 421.2647900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0713498, 3.1485670, 3.6328589, 6.6368284, 25.0344810, 138.9493000, 357.2126800", \
+					  "3.0704490, 3.1484850, 3.6312957, 6.6379466, 25.0378530, 138.9485200, 357.2163500", \
+					  "3.0713132, 3.1484755, 3.6326944, 6.6369745, 25.0374030, 138.9541100, 357.2272400", \
+					  "3.0713567, 3.1485352, 3.6328740, 6.6368733, 25.0352680, 138.9486600, 357.0380600", \
+					  "3.0713572, 3.1485361, 3.6328729, 6.6368666, 25.0350180, 138.9484500, 357.2126800", \
+					  "3.0713068, 3.1489684, 3.6328722, 6.6369141, 25.0346390, 138.9543300, 357.1933300", \
+					  "3.0695992, 3.1489495, 3.6321568, 6.6379586, 25.0538880, 138.9287100, 357.2098100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("9.0285370, 9.0285373, 9.0285383, 9.0285392, 9.0285402, 9.0285411, 9.1860430", \
+					  "9.1083061, 9.1083065, 9.1083074, 9.1083084, 9.1083093, 9.1083103, 9.1102557", \
+					  "9.0934558, 9.0950092, 9.0951140, 9.0951143, 9.0951152, 9.0951162, 9.1425747", \
+					  "9.1292094, 9.1313153, 9.1313158, 9.1313167, 9.1313177, 9.1313186, 9.1534638", \
+					  "9.1315657, 9.1316723, 9.1492813, 9.1492820, 9.1492830, 9.1492839, 9.1879073", \
+					  "9.1180947, 9.1180950, 9.1180959, 9.1180969, 9.1185663, 9.1185670, 9.1556522", \
+					  "9.1220853, 9.1220861, 9.1222274, 9.1286214, 9.1286216, 9.1286226, 9.1521439");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.3920450, 10.4429380, 10.7565640, 12.7228350, 19.1348730, 39.2587860, 160.8822700", \
+					  "10.4464280, 10.4980400, 10.8113880, 12.7811040, 19.1896450, 39.3276880, 160.9282100", \
+					  "10.4677920, 10.5191540, 10.8329020, 12.7992030, 19.2113440, 39.3348540, 160.9544600", \
+					  "10.4749300, 10.5255140, 10.8400250, 12.8072850, 19.2223110, 39.3463680, 160.9598000", \
+					  "10.4794450, 10.5308580, 10.8444180, 12.8117650, 19.2196710, 39.3629480, 160.9698900", \
+					  "10.4764790, 10.5272460, 10.8414640, 12.8082210, 19.2191990, 39.3429570, 160.9622300", \
+					  "10.4704670, 10.5214210, 10.8354090, 12.8030270, 19.2136850, 39.3383170, 160.9627800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1298493, 3.2155694, 3.7427004, 5.7036917, 8.5207175, 33.5008790, 200.2625100", \
+					  "3.1298015, 3.2154048, 3.7427617, 5.7030847, 8.5204883, 33.4981180, 200.2197000", \
+					  "3.1305507, 3.2147096, 3.7427546, 5.7042122, 8.5204785, 33.5009210, 200.3628300", \
+					  "3.1306944, 3.2153795, 3.7431254, 5.7028697, 8.5210470, 33.5010210, 200.3393600", \
+					  "3.1304606, 3.2154155, 3.7427412, 5.7033169, 8.5151726, 33.5112310, 200.3336700", \
+					  "3.1301484, 3.2154188, 3.7428332, 5.7038427, 8.5206002, 33.5008790, 200.3645700", \
+					  "3.1304834, 3.2155583, 3.7431369, 5.7033391, 8.5204279, 33.4954320, 200.3383100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.2291080, 13.2812100, 13.5941540, 15.4370540, 20.4971360, 39.7566890, 83.4670790", \
+					  "13.3545170, 13.4078180, 13.7170950, 15.5587130, 20.6275050, 39.8687940, 83.5727500", \
+					  "13.4407560, 13.4930340, 13.8050610, 15.6452380, 20.7113370, 39.9662700, 83.6570630", \
+					  "13.5138130, 13.5634950, 13.8771290, 15.7145710, 20.7825920, 40.0212560, 83.7193140", \
+					  "13.5723660, 13.6245640, 13.9364960, 15.7775160, 20.8432030, 40.0951170, 83.7868580", \
+					  "13.6261110, 13.6772800, 13.9903150, 15.8301000, 20.8958520, 40.1368110, 83.8436520", \
+					  "13.6922520, 13.7407890, 14.0570830, 15.8916920, 20.9601050, 40.2140610, 83.9094200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0247738, 3.0985945, 3.5369234, 5.0645639, 6.9787336, 29.4286120, 48.5985940", \
+					  "3.0287914, 3.0980971, 3.5440115, 5.0652198, 6.9863937, 29.4364200, 48.7223520", \
+					  "3.0236915, 3.0989851, 3.5419405, 5.0644511, 6.9873898, 29.4296440, 48.7362830", \
+					  "3.0279435, 3.0995388, 3.5436265, 5.0660480, 6.9870714, 29.4238390, 48.6997890", \
+					  "3.0277916, 3.0957996, 3.5445775, 5.0644675, 6.9889481, 29.4308760, 48.7372130", \
+					  "3.0277880, 3.0996297, 3.5445922, 5.0646753, 6.9804442, 29.4235180, 48.7397140", \
+					  "3.0247178, 3.0961542, 3.5360079, 5.0656288, 6.9860171, 29.4300070, 48.7382690");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("9.0820604, 9.0823340, 9.0823341, 9.0823350, 9.0823360, 9.0823370, 9.2491126", \
+					  "9.1506820, 9.1506830, 9.1506839, 9.1506849, 9.1506858, 9.1506868, 9.1726537", \
+					  "9.1706518, 9.1765653, 9.1765657, 9.1765667, 9.1765676, 9.1765686, 9.1982040", \
+					  "9.1806509, 9.1814831, 9.1814838, 9.1814847, 9.1814857, 9.1814867, 9.2032826", \
+					  "9.1825294, 9.1825300, 9.1925800, 9.1925807, 9.1925817, 9.1925827, 9.2237649", \
+					  "9.2001864, 9.2012167, 9.2012172, 9.2012182, 9.2012191, 9.2012201, 9.2212611", \
+					  "9.1747738, 9.1747747, 9.1747757, 9.1747766, 9.1747776, 9.1747785, 9.2157046");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("8.0119706, 8.0119710, 8.0173201, 8.0251548, 8.0267766, 8.0267768, 8.0542168", \
+					  "8.0539477, 8.0541849, 8.0541854, 8.0553617, 8.0606437, 8.0606447, 8.0675369", \
+					  "8.0799181, 8.0800246, 8.0800252, 8.0800262, 8.0800272, 8.0800281, 8.0932496", \
+					  "8.0994917, 8.0995433, 8.0995441, 8.0995451, 8.0995460, 8.0995470, 8.1100255", \
+					  "8.0986284, 8.0996756, 8.0996757, 8.0996767, 8.0996776, 8.0996786, 8.1109626", \
+					  "8.1036289, 8.1036296, 8.1036306, 8.1157081, 8.1157089, 8.1157098, 8.1157108", \
+					  "8.0935747, 8.0936893, 8.0939699, 8.0939708, 8.0939718, 8.0939727, 8.1049709");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.5084223, 7.5084227, 7.5257489, 7.5257490, 7.5257495, 7.5257500, 1483.2202000", \
+					  "7.5670892, 7.5670894, 7.5677642, 7.5677646, 7.5677650, 7.5677655, 7.5677660", \
+					  "7.5878122, 7.5878127, 7.5878132, 7.5878137, 7.5878141, 7.5878146, 7.5878151", \
+					  "7.5959763, 7.5959766, 7.5959771, 7.5959776, 7.5959781, 7.5959785, 7.5959790", \
+					  "7.5967170, 7.5967172, 7.5967176, 7.5967181, 7.5967186, 7.5967191, 7.5967196", \
+					  "7.5899091, 7.5899094, 7.5982847, 7.5982850, 7.5982855, 7.5982860, 7.5982864", \
+					  "7.5876585, 7.5876587, 7.5876592, 7.5876596, 7.5876601, 7.5876606, 7.5876611");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7745170, 10.8248890, 11.1346410, 13.0783010, 19.6038760, 39.7174520, 161.3411900", \
+					  "10.9001610, 10.9550440, 11.2660530, 13.2078640, 19.7282160, 39.8484910, 161.4629100", \
+					  "10.9887980, 11.0386310, 11.3496610, 13.2921170, 19.8198190, 39.9349030, 161.5477900", \
+					  "11.0507230, 11.1015120, 11.4127050, 13.3547600, 19.8794280, 39.9969050, 161.5972100", \
+					  "11.1244140, 11.1687640, 11.4864580, 13.4279120, 19.9520720, 40.0767670, 161.6802600", \
+					  "11.1850000, 11.2318790, 11.5434390, 13.4818400, 19.9954810, 40.1216410, 161.7358800", \
+					  "11.2306460, 11.2893770, 11.6014480, 13.5387140, 20.0601700, 40.1802470, 161.7950100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1273213, 3.2120944, 3.7382613, 5.8012879, 8.6379401, 33.4963950, 200.3502700", \
+					  "3.1278338, 3.2123080, 3.7381738, 5.8011471, 8.6434939, 33.4930870, 200.3582700", \
+					  "3.1270118, 3.2119440, 3.7380197, 5.8019900, 8.6385237, 33.4998660, 200.3818600", \
+					  "3.1265999, 3.2120433, 3.7384194, 5.8008252, 8.6430676, 33.4962530, 200.3859700", \
+					  "3.1265910, 3.2123553, 3.7381165, 5.8016876, 8.6434895, 33.4971450, 200.3951100", \
+					  "3.1278904, 3.2117500, 3.7380103, 5.8020819, 8.6443093, 33.4921210, 200.2620800", \
+					  "3.1277543, 3.2117791, 3.7382493, 5.8007119, 8.6417939, 33.4945480, 200.3997400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6862720, 21.8675690, 23.0564050, 30.2220220, 74.4565800, 346.7585800, 2026.4274000", \
+					  "21.8075030, 22.0024410, 23.1655070, 30.3366750, 74.5853160, 346.9175700, 2026.7169000", \
+					  "21.9037500, 22.0962450, 23.2690670, 30.4386950, 74.6963660, 347.1627700, 2027.5581000", \
+					  "21.9685850, 22.1636770, 23.3262210, 30.5430560, 74.7748810, 347.2013400, 2028.3946000", \
+					  "22.0340720, 22.2263490, 23.3878640, 30.5791200, 74.7685080, 347.0837600, 2026.4567000", \
+					  "22.0901150, 22.2875500, 23.4435850, 30.6415620, 74.9143250, 347.0675300, 2028.3203000", \
+					  "22.1300440, 22.3400640, 23.5073540, 30.6709890, 74.9397980, 347.1232900, 2026.5059000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7419050, 14.1371430, 16.4866980, 30.7939380, 119.0655500, 663.3735000, 4020.2767000", \
+					  "13.7374400, 14.1564960, 16.4291340, 30.7392090, 118.7767800, 663.2873800, 4021.4345000", \
+					  "13.7619360, 14.1661280, 16.4914230, 30.7933450, 119.0748400, 663.3356400, 4021.5509000", \
+					  "13.7905670, 14.1700620, 16.4800080, 30.8433710, 119.1437300, 663.3458200, 4021.9493000", \
+					  "13.7618660, 14.1348340, 16.4318390, 30.7995190, 118.9557500, 663.2250200, 4022.8574000", \
+					  "13.7579020, 14.1681130, 16.4703430, 30.8001060, 118.9018100, 662.8719100, 4020.7815000", \
+					  "13.7539240, 14.1346930, 16.4424690, 30.7403740, 119.1425900, 662.8802100, 4020.2763000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6854691, 7.6854694, 7.6854699, 7.6854704, 7.6854709, 7.6854713, 7.6854718", \
+					  "7.7123161, 7.7123163, 7.7123168, 7.7123173, 7.7123178, 7.7123182, 7.7123187", \
+					  "7.7585574, 7.7585576, 7.7585581, 7.7585586, 7.7585590, 7.7585595, 7.7585600", \
+					  "7.7683104, 7.7683108, 7.7683113, 7.7683118, 7.7683123, 7.7683127, 7.7683132", \
+					  "7.7678610, 7.7678612, 7.7678617, 7.7678621, 7.7678626, 7.7678631, 7.7678636", \
+					  "7.7669844, 7.7714866, 7.7714870, 7.7714875, 7.7714880, 7.7714885, 7.7714890", \
+					  "7.7514848, 7.7514852, 7.7567908, 7.7567909, 7.7567914, 7.7567919, 7.7567924");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9594820, 7.9690707, 7.9755340, 7.9910780, 7.9910782, 7.9910787, 7.9910791", \
+					  "8.0388292, 8.0402831, 8.0466140, 8.0466142, 8.0466152, 8.0466161, 8.2119893", \
+					  "8.0603293, 8.0603300, 8.0624174, 8.0624176, 8.0624185, 8.0624195, 8.1789091", \
+					  "8.0692191, 8.0692192, 8.0718339, 8.0718341, 8.0718351, 8.0718360, 8.1346432", \
+					  "8.0723082, 8.0723091, 8.0741298, 8.0741306, 8.0741315, 8.0741325, 8.1446592", \
+					  "8.0698692, 8.0698696, 8.0729787, 8.0729795, 8.0729805, 8.0729814, 8.1552454", \
+					  "8.0691080, 8.0691085, 8.0717886, 8.0717893, 8.0717903, 8.0717912, 8.1403950");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4040770, 14.4537090, 14.7741180, 16.6651650, 28.0719290, 95.0326680, 188.6338300", \
+					  "14.5306780, 14.5836260, 14.9033570, 16.7971700, 28.1823790, 95.1574180, 188.7654800", \
+					  "14.6146960, 14.6709240, 14.9872040, 16.8836550, 28.2831410, 95.2594020, 188.8449800", \
+					  "14.6816740, 14.7318750, 15.0529420, 16.9528250, 28.3463010, 95.3077970, 188.8629800", \
+					  "14.7532860, 14.7939580, 15.1135990, 17.0189270, 28.4148670, 95.3782260, 188.9858100", \
+					  "14.8128440, 14.8585050, 15.1735710, 17.0786980, 28.4538540, 95.4390200, 189.0167900", \
+					  "14.8696550, 14.9111160, 15.2265080, 17.1248490, 28.5399060, 95.4879700, 189.0884400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1002714, 3.1747620, 3.6204799, 6.4409975, 24.4562890, 98.2152100, 64.3372220", \
+					  "3.0996825, 3.1747573, 3.6215544, 6.4410921, 24.4381880, 98.1715540, 64.3488110", \
+					  "3.1016145, 3.1746445, 3.6217862, 6.4408519, 24.4580070, 98.2199000, 64.2784670", \
+					  "3.0996858, 3.1746503, 3.6216967, 6.4410850, 24.4601490, 98.2046870, 64.2269380", \
+					  "3.1000265, 3.1753720, 3.6213870, 6.4410859, 24.4561120, 98.1841740, 64.2750140", \
+					  "3.0994125, 3.1745653, 3.6212844, 6.4410832, 24.4381950, 98.1880320, 64.2890110", \
+					  "3.1042604, 3.1723226, 3.6216126, 6.4353762, 24.4561010, 98.1826390, 64.3894250");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("8.0218356, 8.0218359, 8.0218368, 8.0218378, 8.0218387, 8.0218397, 8.0218406", \
+					  "8.0503115, 8.0504021, 8.0504092, 8.0542923, 8.0728935, 8.0728937, 8.0728946", \
+					  "8.0772269, 8.0773050, 8.0773054, 8.0773063, 8.0773073, 8.0773082, 8.0915834", \
+					  "8.1103950, 8.1103960, 8.1103969, 8.1103979, 8.1103988, 8.1103998, 8.1195699", \
+					  "8.1044211, 8.1061025, 8.1061035, 8.1061044, 8.1061054, 8.1061063, 8.1140756", \
+					  "8.1106259, 8.1106267, 8.1106277, 8.1106287, 8.1106296, 8.1106306, 8.1106315", \
+					  "8.1010123, 8.1070493, 8.1070495, 8.1070505, 8.1070514, 8.1070524, 8.1070533");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9738474, 7.9738477, 7.9775990, 8.0022158, 8.0022159, 8.0022169, 8.0022178", \
+					  "8.0413889, 8.0413890, 8.0413900, 8.0421687, 8.0421691, 8.0421701, 8.0897360", \
+					  "8.0630382, 8.0630384, 8.0675058, 8.0675064, 8.0675073, 8.0675083, 8.1885352", \
+					  "8.0718552, 8.0729734, 8.0777216, 8.0777221, 8.0777231, 8.0777240, 8.1346494", \
+					  "8.0745091, 8.0754754, 8.0803995, 8.0804000, 8.0804010, 8.0804019, 8.1556326", \
+					  "8.0723073, 8.0723081, 8.0761584, 8.0761591, 8.0761600, 8.0761610, 8.1408844", \
+					  "8.0715905, 8.0715910, 8.0743128, 8.0743137, 8.0743146, 8.0743156, 8.1438056");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6844557, 7.6910807, 7.6910809, 7.6910813, 7.6910818, 7.6910823, 7.6910828", \
+					  "7.7439255, 7.7439259, 7.7573663, 7.7573665, 7.7573669, 7.7573674, 7.7573679", \
+					  "7.7729299, 7.7729300, 7.7863387, 7.7863391, 7.7863396, 7.7863400, 7.7863405", \
+					  "7.7845659, 7.7845662, 7.7981346, 7.7981351, 7.7981356, 7.7981360, 7.7981365", \
+					  "7.7868432, 7.7868436, 7.8006428, 7.8006433, 7.8006437, 7.8006442, 7.8006447", \
+					  "7.7867188, 7.7867191, 7.7991933, 7.7991937, 7.7991941, 7.7991946, 7.7991951", \
+					  "7.7818454, 7.7818459, 7.7954674, 7.7954677, 7.7954681, 7.7954686, 7.7954691");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.5116029, 7.5116032, 7.5116036, 7.5151556, 7.5151561, 7.5151566, 7.5151570", \
+					  "7.5682474, 7.5682476, 7.5694837, 7.5694840, 7.5694845, 7.5694850, 7.5694855", \
+					  "7.5892013, 7.5892017, 7.5892022, 7.5892027, 7.5892032, 7.5892036, 7.5892041", \
+					  "7.5975013, 7.5975016, 7.5975020, 7.5975025, 7.5975030, 7.5975035, 7.5975040", \
+					  "7.5982314, 7.5982316, 7.5982321, 7.5982326, 7.5982330, 7.5982335, 7.5982340", \
+					  "7.5915114, 7.5915115, 7.5996764, 7.5996769, 7.5996774, 7.5996779, 7.5996783", \
+					  "7.5892537, 7.5892542, 7.5892547, 7.5892551, 7.5892556, 7.5892561, 7.5892566");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2196830, 10.2698160, 10.5808260, 12.5237930, 19.0466330, 39.1808010, 160.7864400", \
+					  "10.2752830, 10.3265490, 10.6368780, 12.5805400, 19.1014000, 39.2172450, 160.8305100", \
+					  "10.2952980, 10.3454650, 10.6561830, 12.5996080, 19.1189800, 39.2464230, 160.8564000", \
+					  "10.3031330, 10.3534530, 10.6644180, 12.6077610, 19.1333720, 39.2459470, 160.8595000", \
+					  "10.3061160, 10.3556400, 10.6669170, 12.6104420, 19.1356530, 39.2501430, 160.8551800", \
+					  "10.3046870, 10.3544200, 10.6651050, 12.6075420, 19.1267430, 39.2499120, 160.8588900", \
+					  "10.2992850, 10.3498090, 10.6609530, 12.6041130, 19.1222450, 39.2579230, 160.8596000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1273721, 3.2120950, 3.7381485, 5.8024576, 8.6389464, 33.5198570, 200.3923600", \
+					  "3.1268885, 3.2119265, 3.7378753, 5.8020526, 8.6443688, 33.4963910, 200.3278800", \
+					  "3.1268357, 3.2120522, 3.7382684, 5.8022085, 8.6444141, 33.4954890, 200.3750800", \
+					  "3.1268155, 3.2122704, 3.7383239, 5.8015490, 8.6469942, 33.4973720, 200.3280500", \
+					  "3.1272271, 3.2122609, 3.7383621, 5.8015486, 8.6470013, 33.4974790, 200.3477800", \
+					  "3.1265550, 3.2120202, 3.7380108, 5.8010783, 8.6466532, 33.4973860, 200.2910700", \
+					  "3.1270715, 3.2120246, 3.7381773, 5.8015970, 8.6466985, 33.5101970, 200.3516500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.3974940, 10.3988530, 10.3988538, 10.3988548, 10.3988557, 10.3988567, 10.4362540", \
+					  "10.4466030, 10.4473350, 10.4474460, 10.4474464, 10.4474473, 10.4474483, 10.4772830", \
+					  "10.4526420, 10.4526430, 10.4528420, 10.4528423, 10.4528432, 10.4528442, 10.4729380", \
+					  "10.4456180, 10.4456460, 10.4456468, 10.4457460, 10.4457469, 10.4457479, 10.4457489", \
+					  "10.4880130, 10.4880138, 10.4886130, 10.4903240, 10.4903245, 10.4903255, 10.5183270", \
+					  "10.5064020, 10.5064025, 10.5064035, 10.5105680, 10.5105682, 10.5105691, 10.5105701", \
+					  "10.5397430, 10.5397440, 10.5397449, 10.5397459, 10.5397468, 10.5397478, 10.5397487");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7752140, 10.8281150, 11.1362860, 13.0840830, 19.6066630, 39.7154810, 161.3426100", \
+					  "10.9033480, 10.9537870, 11.2643410, 13.2073950, 19.7311320, 39.8507470, 161.4604300", \
+					  "10.9898450, 11.0418800, 11.3533640, 13.2956770, 19.8137740, 39.9478990, 161.5390200", \
+					  "11.0537210, 11.1026310, 11.4155880, 13.3575540, 19.8816750, 39.9954840, 161.6167000", \
+					  "11.1243620, 11.1749620, 11.4769330, 13.4294570, 19.9537810, 40.0659810, 161.6896700", \
+					  "11.1855400, 11.2350750, 11.5338850, 13.4891850, 20.0088250, 40.1271620, 161.7476200", \
+					  "11.2394360, 11.2768200, 11.6020400, 13.5371730, 20.0655990, 40.1844070, 161.8058700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1279506, 3.2114680, 3.7383661, 5.8017395, 8.6467509, 33.4933890, 200.3614300", \
+					  "3.1277667, 3.2123202, 3.7386583, 5.8015028, 8.6451024, 33.4974820, 200.3789500", \
+					  "3.1274512, 3.2123173, 3.7381609, 5.8025944, 8.6435650, 33.4992050, 200.3350100", \
+					  "3.1279555, 3.2122600, 3.7383967, 5.8023120, 8.6445420, 33.5114410, 200.3454500", \
+					  "3.1279428, 3.2122440, 3.7385117, 5.8023835, 8.6464578, 33.4973080, 200.3378800", \
+					  "3.1280838, 3.2123928, 3.7382888, 5.8024625, 8.6471506, 33.4973440, 200.3401200", \
+					  "3.1272140, 3.2120622, 3.7385486, 5.8021268, 8.6436787, 33.4953330, 200.2596500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4633580, 14.5156320, 14.8255700, 16.6637070, 21.8667750, 41.1192290, 84.8589960", \
+					  "14.5905180, 14.6414660, 14.9548590, 16.7922650, 21.9977330, 41.2559730, 84.9849040", \
+					  "14.6769900, 14.7275670, 15.0448380, 16.8763870, 22.0803480, 41.3335290, 85.1189410", \
+					  "14.7408530, 14.7932200, 15.1027560, 16.9405290, 22.1456290, 41.3887060, 85.1524360", \
+					  "14.8146290, 14.8529610, 15.1682170, 17.0008910, 22.2191970, 41.4782480, 85.2118800", \
+					  "14.8649060, 14.9238630, 15.2310630, 17.0661480, 22.2797800, 41.5369570, 85.3155840", \
+					  "14.9272950, 14.9689610, 15.2863610, 17.1261390, 22.3269280, 41.5839880, 85.3394080");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0736771, 3.1459628, 3.5785963, 5.2026636, 7.0812001, 29.4586910, 48.7055550", \
+					  "3.0731091, 3.1473195, 3.5853198, 5.2053966, 7.0823916, 29.4575720, 48.6388030", \
+					  "3.0737748, 3.1473109, 3.5850889, 5.2027724, 7.0617019, 29.4587060, 48.6042350", \
+					  "3.0751848, 3.1480563, 3.5854844, 5.2057390, 7.0820532, 29.4628570, 48.7466850", \
+					  "3.0763945, 3.1472296, 3.5859391, 5.2054352, 7.0892661, 29.4556910, 48.7482980", \
+					  "3.0730891, 3.1472378, 3.5864287, 5.2054103, 7.0934729, 29.4538300, 48.7248680", \
+					  "3.0729783, 3.1473260, 3.5864596, 5.2055644, 7.0933379, 29.4533860, 48.6094080");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7831160, 10.8341740, 11.1379990, 13.0376370, 24.6540920, 96.1821980, 421.7032200", \
+					  "10.9049160, 10.9538570, 11.2744160, 13.1566060, 24.7778060, 96.3009010, 421.9040800", \
+					  "10.9892500, 11.0405500, 11.3509690, 13.2600390, 24.8720420, 96.3802120, 421.9470500", \
+					  "11.0559080, 11.1063230, 11.4115620, 13.3117190, 24.9299230, 96.4462000, 421.9715500", \
+					  "11.1269750, 11.1690440, 11.4927020, 13.3772630, 25.0033930, 96.5116340, 422.0762500", \
+					  "11.1751740, 11.2327750, 11.5361160, 13.4378220, 25.0526990, 96.5741050, 422.1700700", \
+					  "11.2421970, 11.2857050, 11.6115610, 13.5007260, 25.1198420, 96.6333430, 422.1630800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0710257, 3.1493226, 3.6324139, 6.6388890, 25.0345860, 138.9268200, 357.0869500", \
+					  "3.0716352, 3.1488014, 3.6331105, 6.6370229, 25.0384760, 138.7370800, 357.2353900", \
+					  "3.0697793, 3.1476548, 3.6312409, 6.6413119, 25.0454700, 138.6966200, 357.2687600", \
+					  "3.0716667, 3.1492513, 3.6315002, 6.6380705, 25.0337510, 138.9291000, 357.2513700", \
+					  "3.0715956, 3.1491416, 3.6331211, 6.6370287, 25.0427320, 138.6877700, 357.2085600", \
+					  "3.0699001, 3.1476732, 3.6313195, 6.6373667, 25.0295960, 138.7025000, 357.2200500", \
+					  "3.0715919, 3.1478196, 3.6329608, 6.6376988, 25.0420800, 138.9554900, 357.2621100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4793190, 14.5279130, 14.8498300, 16.7389200, 28.1344250, 98.0493620, 526.4481000", \
+					  "14.6078380, 14.6544040, 14.9738370, 16.8618880, 28.2507510, 98.2109330, 526.5714500", \
+					  "14.6904200, 14.7446200, 15.0575750, 16.9526350, 28.3543550, 98.4216370, 526.6476800", \
+					  "14.7552410, 14.8012050, 15.1282950, 17.0056770, 28.3996060, 98.3575250, 526.7109500", \
+					  "14.8288450, 14.8675500, 15.1924300, 17.0818810, 28.4564980, 98.4312360, 526.7987100", \
+					  "14.8840080, 14.9378110, 15.2536830, 17.1410850, 28.5268680, 98.4865170, 526.8405500", \
+					  "14.9310380, 14.9964290, 15.2960010, 17.1997530, 28.6000040, 98.5474740, 526.9084800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0995713, 3.1719680, 3.6251164, 6.4314998, 24.4558330, 135.4379300, 516.1074300", \
+					  "3.0991807, 3.1727141, 3.6139629, 6.4425163, 24.4528380, 135.4928800, 516.1277800", \
+					  "3.0989993, 3.1727521, 3.6145036, 6.4348700, 24.4551510, 135.6643900, 516.0694600", \
+					  "3.0996508, 3.1722420, 3.6248160, 6.4406458, 24.4531350, 135.4924800, 516.1114700", \
+					  "3.0991272, 3.1725431, 3.6139614, 6.4425132, 24.4520050, 135.4934400, 516.1335800", \
+					  "3.0989993, 3.1725456, 3.6139762, 6.4424999, 24.4520350, 135.5188000, 516.1115800", \
+					  "3.0989946, 3.1726262, 3.6139616, 6.4373391, 24.4579400, 135.4949600, 516.1043600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9361548, 7.9361551, 7.9361556, 7.9361561, 7.9361566, 7.9529672, 7.9529675", \
+					  "8.0446023, 8.0446029, 8.0446039, 8.0446048, 8.0446058, 8.0446067, 8.0446077", \
+					  "8.0485236, 8.0491258, 8.0491262, 8.0491272, 8.0491281, 8.0491291, 8.0685183", \
+					  "8.0424662, 8.0426910, 8.0426918, 8.0434139, 8.0434146, 8.0434156, 8.0595948", \
+					  "8.0308853, 8.0309199, 8.0309206, 8.0318587, 8.0318590, 8.0318599, 8.0454692", \
+					  "8.0460438, 8.0460439, 8.0603364, 8.0603366, 8.0603376, 8.0603385, 8.0604199", \
+					  "8.1029938, 8.1029945, 8.1029954, 8.1029964, 8.1029974, 8.1029983, 8.1029993");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9807334, 7.9807337, 7.9833882, 7.9875342, 7.9875343, 7.9875348, 7.9875353", \
+					  "8.0445197, 8.0445200, 8.0445209, 8.0445219, 8.0445228, 8.0445238, 8.0445247", \
+					  "8.0488949, 8.0637745, 8.0693585, 8.0693594, 8.0693603, 8.0693613, 8.0693622", \
+					  "8.0582145, 8.0741964, 8.0799190, 8.0799194, 8.0799203, 8.0799213, 8.1038660", \
+					  "8.0648102, 8.0773193, 8.0817442, 8.0817447, 8.0817457, 8.0817466, 8.0817476", \
+					  "8.0614626, 8.0771985, 8.0771986, 8.0771995, 8.0772005, 8.0772014, 8.1036324", \
+					  "8.0589233, 8.0724245, 8.0744114, 8.0744119, 8.0744129, 8.0744138, 8.0820755");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.1099429, 7.1193575, 7.1193579, 7.1193584, 7.1193588, 7.1193593, 7.1193598", \
+					  "7.2448585, 7.2498785, 7.2498787, 7.2498792, 7.2498796, 7.2498801, 7.2498806", \
+					  "7.3332167, 7.3332170, 7.3358422, 7.3358424, 7.3358429, 7.3358434, 7.3358439", \
+					  "7.4092856, 7.4092858, 7.4134472, 7.4134477, 7.4134481, 7.4134486, 7.4134491", \
+					  "7.4520479, 7.4886302, 7.4886306, 7.4886311, 7.4886315, 7.4886320, 7.5172766", \
+					  "7.5426430, 7.5426434, 7.5440907, 7.5460767, 7.5460771, 7.5460775, 7.5727442", \
+					  "7.5888593, 7.5918782, 7.5918787, 7.5931945, 7.5931948, 7.5931952, 7.6394748");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.3696490, 14.4222080, 14.7293200, 16.5613980, 21.8254270, 41.1604690, 144.3090800", \
+					  "14.4980050, 14.5495400, 14.8575020, 16.6828170, 21.9540550, 41.3070610, 144.3733600", \
+					  "14.5859400, 14.6331400, 14.9441700, 16.7757430, 22.0398970, 41.3947030, 144.5050700", \
+					  "14.6493660, 14.6967890, 15.0085540, 16.8384910, 22.1038650, 41.4329300, 144.5663600", \
+					  "14.7128130, 14.7735120, 15.0827620, 16.9123080, 22.1631210, 41.5089790, 144.6336200", \
+					  "14.7707130, 14.8224850, 15.1407530, 16.9730680, 22.2341900, 41.5695210, 144.7067500", \
+					  "14.8196810, 14.8778470, 15.1845470, 17.0181720, 22.2767370, 41.6430940, 144.7494600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0807439, 3.1493073, 3.5816301, 5.2164313, 7.1218014, 32.1397380, 99.7217030", \
+					  "3.0804503, 3.1458784, 3.5824992, 5.2168536, 7.1227975, 32.0713550, 99.6836960", \
+					  "3.0807006, 3.1480467, 3.5815693, 5.2166311, 7.1236279, 32.0619510, 99.6727110", \
+					  "3.0805052, 3.1495382, 3.5814829, 5.2171920, 7.1222392, 32.1396850, 99.6679430", \
+					  "3.0804221, 3.1424694, 3.5780188, 5.2079883, 7.1154114, 32.1397380, 99.6554450", \
+					  "3.0804259, 3.1480785, 3.5830776, 5.2079829, 7.1246720, 32.0435800, 99.6759940", \
+					  "3.0804359, 3.1491845, 3.5825554, 5.2083804, 7.1229711, 32.0712880, 99.6725760");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.1174635, 7.1174639, 7.1235533, 7.1235536, 7.1235540, 7.1235545, 7.1235550", \
+					  "7.2540240, 7.2540243, 7.2540248, 7.2540253, 7.2540257, 7.2540262, 7.2949322", \
+					  "7.3458200, 7.3458202, 7.3458207, 7.3458212, 7.3458217, 7.3458221, 7.3458226", \
+					  "7.4115056, 7.4115060, 7.4167872, 7.4167874, 7.4167879, 7.4167884, 7.4254136", \
+					  "7.4817432, 7.4872810, 7.4872811, 7.4872816, 7.4872821, 7.4872826, 7.5174897", \
+					  "7.5454309, 7.5454314, 7.5465980, 7.5465982, 7.5465987, 7.5465992, 7.5814004", \
+					  "7.5999935, 7.5999940, 7.5999945, 7.5999949, 7.5999954, 7.5999959, 7.6560198");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8996430, 17.0947150, 18.2859170, 25.6222330, 70.7919200, 349.4430100, 2068.0448000", \
+					  "17.0284110, 17.2220960, 18.4106610, 25.7640060, 70.9086410, 349.7770000, 2067.9734000", \
+					  "17.1206550, 17.3149170, 18.4952430, 25.8215640, 71.0389050, 349.6951100, 2068.0075000", \
+					  "17.1780280, 17.3738910, 18.5687230, 25.8795650, 71.0556170, 349.7459600, 2068.1030000", \
+					  "17.2429480, 17.4406980, 18.6588290, 25.9824250, 71.1649260, 349.7790700, 2068.1696000", \
+					  "17.3079680, 17.5031720, 18.6763460, 26.0259260, 71.2344250, 349.9040700, 2068.2110000", \
+					  "17.3609450, 17.5599730, 18.7457660, 26.0591990, 71.2310990, 350.0432500, 2068.2808000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7528330, 15.1355960, 17.4666110, 32.0216170, 122.1286800, 677.8623700, 4102.2381000", \
+					  "14.7513950, 15.1353720, 17.4839820, 32.0044510, 122.1666100, 678.3797600, 4101.1822000", \
+					  "14.7441080, 15.1332880, 17.4847850, 32.0409370, 122.0893200, 678.2439600, 4103.2422000", \
+					  "14.7526680, 15.1319100, 17.4667870, 32.0509700, 122.1697800, 678.2624400, 4103.2608000", \
+					  "14.7493390, 15.1282350, 17.4773900, 32.0197840, 122.0645300, 678.0600800, 4108.4172000", \
+					  "14.7541530, 15.1249410, 17.4838770, 32.0349720, 122.1051100, 677.6956500, 4105.9457000", \
+					  "14.7446660, 15.1301260, 17.4713330, 32.0365070, 122.1696100, 677.3709600, 4101.6301000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6885780, 21.8841690, 23.0338310, 30.2103980, 74.5081010, 346.7711200, 2028.2366000", \
+					  "21.8094930, 22.0017800, 23.1677310, 30.3312290, 74.5949650, 346.9056900, 2027.8947000", \
+					  "21.8948330, 22.0857820, 23.2587480, 30.4432280, 74.6846640, 346.8645400, 2027.8596000", \
+					  "21.9619840, 22.1605380, 23.3131740, 30.4928490, 74.6583100, 346.9508300, 2026.5529000", \
+					  "22.0387300, 22.2141490, 23.3852970, 30.5882820, 74.8255220, 347.0289600, 2026.5995000", \
+					  "22.0877520, 22.2785490, 23.4526340, 30.6576420, 74.8910550, 347.0798000, 2026.4381000", \
+					  "22.1586020, 22.3309180, 23.5063600, 30.7108900, 74.9060900, 347.1650100, 2026.5581000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7504030, 14.1646660, 16.4709300, 30.7678720, 118.9709700, 663.3445700, 4023.7710000", \
+					  "13.7523440, 14.1437920, 16.4142810, 30.7414040, 118.7682100, 663.2515100, 4022.6773000", \
+					  "13.7512340, 14.1121510, 16.4818650, 30.8049980, 118.7415200, 663.2063200, 4020.1007000", \
+					  "13.7506390, 14.1647640, 16.4295830, 30.6998660, 119.0645600, 662.8465000, 4020.9702000", \
+					  "13.7783460, 14.1153500, 16.4449730, 30.8470830, 118.8582200, 662.9120400, 4019.9025000", \
+					  "13.7531630, 14.1152170, 16.4728460, 30.8279770, 118.8583500, 662.8909000, 4017.1162000", \
+					  "13.7889580, 14.1685190, 16.4557900, 30.8428310, 118.8936800, 662.9307400, 4020.3145000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2441140, 10.2953120, 10.6058890, 12.5514730, 19.0563870, 39.1861690, 160.7951800", \
+					  "10.2994670, 10.3495590, 10.6604010, 12.6053310, 19.1099280, 39.2385320, 160.8305400", \
+					  "10.3184700, 10.3695590, 10.6803350, 12.6246910, 19.1302800, 39.2605590, 160.8613000", \
+					  "10.3271010, 10.3776320, 10.6887510, 12.6318080, 19.1396610, 39.2594150, 160.8649000", \
+					  "10.3292990, 10.3804300, 10.6912500, 12.6355890, 19.1414940, 39.2576250, 160.8736100", \
+					  "10.3281860, 10.3791030, 10.6897770, 12.6326660, 19.1434120, 39.2585380, 160.8643000", \
+					  "10.3251370, 10.3741970, 10.6859610, 12.6301080, 19.1355000, 39.2505830, 160.8706800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1278338, 3.2120862, 3.7380143, 5.7895009, 8.6268255, 33.5102790, 200.3126300", \
+					  "3.1269924, 3.2121694, 3.7386561, 5.7892304, 8.6305807, 33.4992090, 200.3817100", \
+					  "3.1276115, 3.2121477, 3.7380157, 5.7892069, 8.6258023, 33.5102470, 200.3403400", \
+					  "3.1273168, 3.2123959, 3.7383052, 5.7884111, 8.6267722, 33.5023350, 200.2471700", \
+					  "3.1277054, 3.2121326, 3.7380139, 5.7886589, 8.6265715, 33.4967570, 200.3430500", \
+					  "3.1269707, 3.2123313, 3.7381853, 5.7883711, 8.6340970, 33.5023350, 200.4069300", \
+					  "3.1267753, 3.2123575, 3.7384953, 5.7893845, 8.6294749, 33.4963590, 200.3232600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.8274770, 21.0222310, 22.1999330, 29.4198620, 73.7969760, 346.2346900, 2026.9954000", \
+					  "20.9618220, 21.1502500, 22.3263580, 29.6082470, 73.7695270, 346.1594000, 2026.8676000", \
+					  "21.0388260, 21.2342620, 22.4114040, 29.6888930, 73.9514970, 346.3451000, 2027.9397000", \
+					  "21.1147380, 21.3051620, 22.4802450, 29.7102730, 74.0641680, 346.4843700, 2027.2801000", \
+					  "21.1673260, 21.3635780, 22.5375310, 29.7764850, 74.0760270, 346.3216300, 2027.1073000", \
+					  "21.2325550, 21.4157440, 22.6077770, 29.8753450, 74.1862390, 346.5817100, 2027.6577000", \
+					  "21.2926070, 21.4828560, 22.6727210, 29.9010980, 74.2513890, 346.4241400, 2027.2350000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7772710, 14.1661960, 16.4710840, 30.7557460, 119.1532300, 663.1455600, 4023.2235000", \
+					  "13.7864980, 14.1542920, 16.4673390, 30.8357390, 119.0001800, 663.1634100, 4022.9943000", \
+					  "13.7753620, 14.1653060, 16.4708780, 30.8453280, 118.7951700, 663.2476500, 4023.3281000", \
+					  "13.7851690, 14.1659300, 16.4618840, 30.7697550, 118.8456800, 663.2677700, 4022.0780000", \
+					  "13.7713650, 14.1649000, 16.4615470, 30.7868040, 118.8396400, 662.7964800, 4023.6673000", \
+					  "13.7864960, 14.1541370, 16.4840820, 30.8258020, 118.8724800, 663.2661200, 4023.9142000", \
+					  "13.7762130, 14.1653120, 16.4839060, 30.6629210, 118.8573600, 662.8211500, 4024.5936000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7809540, 10.8332290, 11.1343490, 13.0350600, 24.6488910, 96.1685840, 421.7429300", \
+					  "10.9023960, 10.9535900, 11.2605850, 13.1665190, 24.7772610, 96.3215780, 421.7857000", \
+					  "10.9883010, 11.0358990, 11.3559120, 13.2649350, 24.8673100, 96.3798850, 421.9547500", \
+					  "11.0586480, 11.1040080, 11.4105210, 13.3085270, 24.9320530, 96.4333320, 422.0168500", \
+					  "11.1248420, 11.1817360, 11.4848810, 13.3939660, 24.9983660, 96.5201470, 422.0850700", \
+					  "11.1730690, 11.2308060, 11.5442840, 13.4408870, 25.0881410, 96.5803080, 422.0906100", \
+					  "11.2350700, 11.2856410, 11.6070340, 13.5127900, 25.1171210, 96.6319010, 422.2058300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0700087, 3.1482095, 3.6309045, 6.6387016, 25.0342410, 138.9270600, 357.2506300", \
+					  "3.0688470, 3.1488536, 3.6305472, 6.6391692, 25.0446400, 138.6630100, 357.1312600", \
+					  "3.0694609, 3.1474534, 3.6319225, 6.6400920, 25.0358490, 138.6711700, 357.0560200", \
+					  "3.0700009, 3.1484721, 3.6308909, 6.6378645, 25.0377940, 138.9233700, 357.2245100", \
+					  "3.0691376, 3.1489704, 3.6309058, 6.6397292, 25.0365540, 138.8973200, 357.1275000", \
+					  "3.0688894, 3.1485332, 3.6318242, 6.6378942, 25.0437500, 138.9554000, 357.2360500", \
+					  "3.0708831, 3.1484888, 3.6317980, 6.6395844, 25.0542980, 138.6688400, 357.0247600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6861660, 21.8797660, 23.0378840, 30.2627790, 74.3668740, 346.7749800, 2028.1741000", \
+					  "21.8097010, 22.0050750, 23.1666120, 30.3373080, 74.5898350, 346.9462500, 2027.7666000", \
+					  "21.9137930, 22.0952770, 23.2561760, 30.4802010, 74.6811750, 347.1290000, 2027.6680000", \
+					  "21.9749570, 22.1613940, 23.3172080, 30.5282020, 74.6653090, 347.2102000, 2028.1595000", \
+					  "22.0288180, 22.2248250, 23.3860290, 30.5780890, 74.8398460, 347.0314600, 2027.7987000", \
+					  "22.1009080, 22.2854690, 23.4440320, 30.6251340, 74.8845390, 347.1379000, 2028.4451000", \
+					  "22.1433130, 22.3445260, 23.4887810, 30.6671700, 74.9675020, 347.3952300, 2028.4294000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7414180, 14.1687840, 16.4463910, 30.8430290, 119.0935500, 663.0837100, 4024.5545000", \
+					  "13.7413960, 14.1688320, 16.4447990, 30.7376770, 118.8069700, 663.2853900, 4023.6050000", \
+					  "13.7969620, 14.1648870, 16.4203100, 30.8424650, 118.7684300, 663.3409300, 4023.2512000", \
+					  "13.7872770, 14.1635760, 16.4384280, 30.8326060, 119.0072600, 663.3427500, 4025.2239000", \
+					  "13.7608720, 14.1354000, 16.4547580, 30.7968760, 118.8670800, 662.8371200, 4025.3049000", \
+					  "13.7608620, 14.1354960, 16.4459150, 30.7968940, 118.8231900, 663.2083100, 4023.2676000", \
+					  "13.7609680, 14.1566760, 16.4446480, 30.7233210, 118.9448400, 663.3354100, 4024.4627000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.9029660, 17.0924790, 18.2870540, 25.6366500, 70.8165190, 349.4404300, 2068.3531000", \
+					  "17.0274510, 17.2206520, 18.4146620, 25.7644520, 70.9120370, 349.5932800, 2069.4326000", \
+					  "17.1168400, 17.3073610, 18.4976900, 25.8182010, 71.0602560, 349.6971600, 2068.8567000", \
+					  "17.1761020, 17.3715340, 18.5685650, 25.8859190, 71.0582530, 349.7347600, 2068.9397000", \
+					  "17.2490560, 17.4341750, 18.6344590, 25.9471480, 71.1193310, 349.8057900, 2069.6234000", \
+					  "17.3069930, 17.5000600, 18.6906540, 26.0373780, 71.2520460, 349.9050900, 2069.5670000", \
+					  "17.3525270, 17.5524270, 18.7553530, 26.0799120, 71.2467240, 349.9276000, 2069.1593000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7546800, 15.1250750, 17.4736310, 32.0216560, 122.0985000, 677.6622300, 4104.2363000", \
+					  "14.7546310, 15.1305000, 17.4823750, 32.0393630, 122.1912200, 678.2131500, 4105.2867000", \
+					  "14.7495780, 15.1333520, 17.4864370, 32.0042590, 122.0449200, 678.2329400, 4103.7974000", \
+					  "14.7530650, 15.1281650, 17.4823440, 32.0094100, 122.1750800, 678.2486300, 4104.4123000", \
+					  "14.7538220, 15.1335020, 17.4769050, 32.0300440, 122.0132600, 678.2120700, 4106.4809000", \
+					  "14.7538000, 15.1278390, 17.4853470, 32.0561360, 122.1941700, 678.2371400, 4105.0171000", \
+					  "14.7538040, 15.1263770, 17.4823020, 32.0425640, 122.1740900, 677.2884800, 4103.6283000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4815500, 14.5345400, 14.8561680, 16.7396110, 28.1582140, 98.1259590, 526.4348600", \
+					  "14.6085090, 14.6601280, 14.9793560, 16.8673520, 28.2924690, 98.2450670, 526.5631600", \
+					  "14.6952450, 14.7482660, 15.0609200, 16.9582020, 28.3374510, 98.3005180, 526.6586000", \
+					  "14.7523640, 14.8041670, 15.1299840, 17.0182360, 28.3891240, 98.4846620, 526.7071400", \
+					  "14.8152560, 14.8800310, 15.1978680, 17.0808080, 28.4755900, 98.4589410, 526.7926300", \
+					  "14.8833130, 14.9340420, 15.2558680, 17.1435900, 28.5404980, 98.5115920, 526.8474900", \
+					  "14.9448400, 14.9968540, 15.3086360, 17.1972140, 28.6030670, 98.5643640, 526.9146200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0988678, 3.1723113, 3.6252088, 6.4268306, 24.4676630, 135.5647600, 516.1446100", \
+					  "3.1002720, 3.1724625, 3.6213663, 6.4406152, 24.4670540, 135.4593000, 516.1272700", \
+					  "3.0988694, 3.1723753, 3.6133323, 6.4318733, 24.4517950, 135.5009100, 516.1346600", \
+					  "3.1005845, 3.1704530, 3.6243366, 6.4268684, 24.4568470, 135.6682200, 516.1200500", \
+					  "3.1002512, 3.1725282, 3.6217120, 6.4487726, 24.4245350, 135.4598300, 516.1281800", \
+					  "3.1002523, 3.1726326, 3.6212429, 6.4458723, 24.4244550, 135.4771800, 516.1373300", \
+					  "3.1002749, 3.1724792, 3.6136927, 6.4422983, 24.4239600, 135.4762100, 516.1649600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7743580, 10.8252110, 11.1368650, 13.0791880, 19.5989180, 39.7136300, 161.3410200", \
+					  "10.9036240, 10.9486770, 11.2618690, 13.2048140, 19.7235630, 39.8471410, 161.4657200", \
+					  "10.9899640, 11.0402930, 11.3510090, 13.2944180, 19.8091660, 39.9472140, 161.5398900", \
+					  "11.0499990, 11.1044920, 11.4124640, 13.3581210, 19.8718450, 39.9972320, 161.6169200", \
+					  "11.1190270, 11.1755810, 11.4827430, 13.4290260, 19.9413550, 40.0769660, 161.6746200", \
+					  "11.1776850, 11.2307550, 11.5443180, 13.4883540, 19.9985610, 40.1290240, 161.7286200", \
+					  "11.2309030, 11.2898520, 11.5943180, 13.5449290, 20.0528310, 40.1783250, 161.8050900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1269964, 3.2114325, 3.7383168, 5.8023173, 8.6471861, 33.5101120, 200.2779200", \
+					  "3.1273657, 3.2128589, 3.7388181, 5.8020100, 8.6451983, 33.4998940, 200.3047700", \
+					  "3.1271712, 3.2115921, 3.7384140, 5.8022995, 8.6454115, 33.5088720, 200.3084800", \
+					  "3.1279874, 3.2121681, 3.7387675, 5.8019674, 8.6440481, 33.5011770, 200.2942900", \
+					  "3.1276322, 3.2119549, 3.7391095, 5.8018541, 8.6439442, 33.4982030, 200.2808200", \
+					  "3.1273655, 3.2122429, 3.7386476, 5.8017529, 8.6459417, 33.4950730, 200.2996400", \
+					  "3.1278935, 3.2124385, 3.7386987, 5.8025633, 8.6462588, 33.5096290, 200.2991400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8996850, 17.1089880, 18.2884730, 25.6129040, 70.7870030, 349.4524200, 2068.0300000", \
+					  "17.0279510, 17.2368790, 18.4107180, 25.7381670, 70.9337800, 349.5856600, 2068.0279000", \
+					  "17.1161630, 17.3030460, 18.5235190, 25.8123210, 70.9908080, 349.7095200, 2068.0939000", \
+					  "17.1776870, 17.3685810, 18.5675280, 25.8871290, 71.0535490, 349.7329400, 2068.2203000", \
+					  "17.2380990, 17.4442290, 18.6693510, 25.9459850, 71.1247240, 349.8188100, 2068.2107000", \
+					  "17.3178360, 17.5008010, 18.6982430, 26.0166400, 71.1759970, 349.8871300, 2068.1989000", \
+					  "17.3571700, 17.5443590, 18.7420500, 26.0584160, 71.2419070, 349.9137900, 2068.3406000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7472470, 15.1295950, 17.4703580, 32.0156810, 122.2314800, 678.1974100, 4106.2763000", \
+					  "14.7506210, 15.1293770, 17.4822220, 32.0441310, 122.1680900, 677.9495700, 4101.8070000", \
+					  "14.7464200, 15.1256020, 17.4793640, 31.9965920, 122.1826800, 677.8261100, 4104.2067000", \
+					  "14.7516030, 15.1279930, 17.4681250, 32.0175180, 122.1870700, 678.1947400, 4104.4013000", \
+					  "14.7475980, 15.1269500, 17.4768910, 32.0333310, 121.9698600, 678.3011500, 4101.9975000", \
+					  "14.7445750, 15.1248120, 17.4772450, 32.0441200, 122.1993500, 678.4443900, 4100.8193000", \
+					  "14.7511170, 15.1332640, 17.4829410, 32.0428870, 122.1995500, 678.1910400, 4104.3081000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6966050, 21.8748950, 23.0416890, 30.2377540, 74.4496800, 347.1281800, 2026.7341000", \
+					  "21.8125460, 22.0082780, 23.1661450, 30.3379900, 74.5751900, 346.8646200, 2026.2805000", \
+					  "21.9025810, 22.0864660, 23.2569730, 30.4378600, 74.5632250, 347.0766800, 2026.3565000", \
+					  "21.9637020, 22.1499890, 23.3146250, 30.4842200, 74.7228340, 346.9785700, 2027.7168000", \
+					  "22.0160210, 22.2256310, 23.3811050, 30.5847290, 74.7440860, 347.1692800, 2026.6145000", \
+					  "22.0818990, 22.2883510, 23.4440630, 30.6529660, 74.7918210, 347.0752300, 2026.5040000", \
+					  "22.1398510, 22.3387970, 23.5033770, 30.6980430, 74.8613120, 347.1389800, 2027.9572000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7895120, 14.1453450, 16.4289310, 30.8463050, 118.8421900, 663.2172900, 4019.4577000", \
+					  "13.7395060, 14.1592640, 16.4362980, 30.7661560, 118.8581700, 663.2357100, 4017.1308000", \
+					  "13.7506080, 14.1225850, 16.4455860, 30.6659520, 119.0182500, 663.2969300, 4017.2981000", \
+					  "13.7501800, 14.1446660, 16.4640660, 30.7639530, 118.8372600, 663.2383200, 4019.4368000", \
+					  "13.7528400, 14.1101590, 16.4500610, 30.8475310, 119.0279900, 663.2711200, 4018.1640000", \
+					  "13.7531130, 14.1598960, 16.4519150, 30.8452710, 119.0197700, 662.8384300, 4020.1780000", \
+					  "13.7546850, 14.1571230, 16.4166760, 30.8432890, 119.0193000, 662.8375200, 4018.6846000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.3949200, 10.4449800, 10.7696480, 12.6736190, 24.3394050, 95.9599550, 421.3444200", \
+					  "10.4506090, 10.4999470, 10.8116160, 12.7264790, 24.3885480, 95.9913460, 421.2959900", \
+					  "10.4659340, 10.5170970, 10.8365450, 12.7561060, 24.4059160, 96.0072200, 421.3721100", \
+					  "10.4747040, 10.5254340, 10.8464420, 12.7559690, 24.4141840, 96.0160020, 421.3465600", \
+					  "10.4815330, 10.5308250, 10.8425670, 12.7580980, 24.4168510, 96.0182480, 421.3417500", \
+					  "10.4750310, 10.5257400, 10.8409730, 12.7546540, 24.4160820, 96.0170250, 421.4072900", \
+					  "10.4699080, 10.5208300, 10.8430360, 12.7518320, 24.4127600, 96.0164500, 421.3162000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0643752, 3.1405818, 3.6280445, 6.6355530, 25.0396540, 138.9326800, 356.8231400", \
+					  "3.0646612, 3.1425009, 3.6259582, 6.6363044, 25.0375580, 138.9495600, 356.9629100", \
+					  "3.0627056, 3.1405756, 3.6275463, 6.6406929, 25.0346270, 138.7128500, 356.9531100", \
+					  "3.0622578, 3.1402148, 3.6274108, 6.6362937, 25.0349680, 138.7118700, 356.8461600", \
+					  "3.0646301, 3.1424914, 3.6258971, 6.6363173, 25.0347090, 138.7127900, 356.9413100", \
+					  "3.0622715, 3.1401881, 3.6259260, 6.6357186, 25.0360910, 138.9536900, 356.9591600", \
+					  "3.0622038, 3.1401606, 3.6275014, 6.6362880, 25.0393340, 138.9536900, 356.9681200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.2451360, 13.2965510, 13.6227050, 15.5215840, 26.9639010, 96.9002300, 525.2237000", \
+					  "13.3712290, 13.4227350, 13.7502010, 15.6478650, 27.0564780, 97.0041540, 525.3602400", \
+					  "13.4574550, 13.5087200, 13.8348700, 15.7333290, 27.1410700, 97.0945210, 525.4377100", \
+					  "13.5321850, 13.5846330, 13.9097940, 15.8095120, 27.2181460, 97.1743500, 525.5359400", \
+					  "13.5914390, 13.6358440, 13.9640830, 15.8608200, 27.2673440, 97.2243300, 525.5622000", \
+					  "13.6472500, 13.7010950, 14.0184330, 15.9168620, 27.3178780, 97.3389120, 525.6279700", \
+					  "13.7092540, 13.7601120, 14.0822090, 15.9852180, 27.3928860, 97.3516730, 525.6957300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0602916, 3.1308727, 3.5827605, 6.4285142, 24.4711770, 135.4450800, 515.9271300", \
+					  "3.0604272, 3.1309697, 3.5832146, 6.4287318, 24.4523070, 135.4370800, 515.9140500", \
+					  "3.0604514, 3.1310607, 3.5832908, 6.4268311, 24.4513320, 135.4589800, 515.8866500", \
+					  "3.0604024, 3.1309355, 3.5828696, 6.4278662, 24.4522230, 135.4701900, 515.9911300", \
+					  "3.0593525, 3.1308516, 3.5859278, 6.4286043, 24.4274520, 135.4637500, 515.8850600", \
+					  "3.0565890, 3.1268517, 3.5862762, 6.4297399, 24.4482510, 135.6420700, 515.9166100", \
+					  "3.0609106, 3.1308964, 3.5865044, 6.4275913, 24.4513210, 135.4767100, 515.9082000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8522650, 17.0463250, 18.2486450, 25.6652740, 70.9642410, 349.8888400, 2069.4338000", \
+					  "16.9027410, 17.0930170, 18.3065540, 25.6800870, 71.0665730, 349.9630500, 2068.6764000", \
+					  "16.9213510, 17.1183810, 18.3151570, 25.7016400, 71.0570090, 350.0122700, 2069.0134000", \
+					  "16.9291690, 17.1269260, 18.3325980, 25.7432210, 71.1057450, 349.9977500, 2069.0384000", \
+					  "16.9325000, 17.1297930, 18.3343720, 25.7073560, 71.0639370, 349.9780200, 2069.1798000", \
+					  "16.9326100, 17.1266560, 18.3353170, 25.7150390, 71.0399140, 350.0017000, 2069.0975000", \
+					  "16.9255630, 17.1430140, 18.3209540, 25.7029150, 71.0309890, 349.9889100, 2069.0566000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7446460, 15.1301600, 17.4732760, 32.0297600, 122.1882000, 677.5939000, 4105.9857000", \
+					  "14.7453680, 15.1305120, 17.4799850, 32.0418640, 122.1531700, 677.8566300, 4103.2476000", \
+					  "14.7479090, 15.1245680, 17.4799090, 32.0239870, 122.1303300, 678.2190700, 4105.6110000", \
+					  "14.7480270, 15.1244230, 17.4790670, 32.0292520, 122.0596700, 677.6707000, 4105.3772000", \
+					  "14.7479060, 15.1244400, 17.4829060, 32.0044080, 122.1301000, 678.3966500, 4105.4177000", \
+					  "14.7433540, 15.1300060, 17.4779390, 32.0091540, 122.1632000, 677.9394500, 4105.4868000", \
+					  "14.7440820, 15.1262860, 17.4677230, 32.0421340, 122.1668200, 678.0238700, 4103.7292000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.0535680, 13.1049710, 13.4199990, 15.3071320, 26.6988260, 96.6238720, 525.0036000", \
+					  "13.1843290, 13.2361760, 13.5547790, 15.4438040, 26.8340760, 96.7951550, 525.1386000", \
+					  "13.2726750, 13.3244400, 13.6372760, 15.5241600, 26.9132610, 96.8703160, 525.2442700", \
+					  "13.3406310, 13.3914710, 13.7040250, 15.5909330, 26.9803360, 96.9374770, 525.2973100", \
+					  "13.3974580, 13.4502200, 13.7678260, 15.6563560, 27.0812710, 97.0292650, 525.3516000", \
+					  "13.4532550, 13.5044630, 13.8223890, 15.7112310, 27.1350250, 97.0857950, 525.4001400", \
+					  "13.5192200, 13.5707190, 13.8850800, 15.7737750, 27.1978650, 97.1468240, 525.4773900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0948901, 3.1684755, 3.6127268, 6.4403949, 24.4548420, 135.3650800, 516.1010700", \
+					  "3.0927854, 3.1663951, 3.6189098, 6.4330981, 24.3846920, 135.5523400, 516.0895300", \
+					  "3.0935141, 3.1667267, 3.6126233, 6.4403305, 24.4515860, 135.4806900, 516.2094700", \
+					  "3.0935521, 3.1667786, 3.6128469, 6.4402834, 24.4518010, 135.4845100, 516.1349500", \
+					  "3.0942933, 3.1679561, 3.6174346, 6.4386625, 24.4680350, 135.4562900, 516.1328400", \
+					  "3.0945098, 3.1681708, 3.6174224, 6.4391745, 24.4648530, 135.4549800, 516.1281300", \
+					  "3.0941132, 3.1677905, 3.6174275, 6.4389050, 24.4654110, 135.4552700, 516.1250600");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0848837, -1.1199148, -1.1549458, -1.1563969, -1.1577899, -1.1592410, -1.1606921");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0997368, 1.1461351, 1.1925333, 1.1925936, 1.1926515, 1.1927119, 1.1927722");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.158161;
+			capacitance : 0.157539;
+			fall_capacitance : 0.156917;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0435160, -0.0449482, -0.0463805, -0.0466641, -0.0469365, -0.0472201, -0.0475038");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0406806, 0.0434901, 0.0462996, 0.0465576, 0.0468053, 0.0470634, 0.0473214");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.151203;
+			capacitance : 0.150450;
+			fall_capacitance : 0.149696;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0438376, -0.0451347, -0.0464319, -0.0466804, -0.0469189, -0.0471674, -0.0474158");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0412337, 0.0437768, 0.0463200, 0.0466087, 0.0468859, 0.0471746, 0.0474633");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v95.lib
new file mode 100644
index 0000000..85b339c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v95.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ff_ss_n40C_1v95_1v95") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.950000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.950000);
+	voltage_map("VDDIO_Q",1.950000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.950000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.487; 
+			 vih : 1.462; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p95v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}	
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 3.580900e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5576000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.9794400";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4682000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5517000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5751400";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4447900";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4506600";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5517200";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.3544500";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4582900";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4441300";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4447600";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4739700";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4218200";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.3498600";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5287600";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5510700";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5809000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006729;
+			capacitance : 0.006578;
+			fall_capacitance : 0.006427;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5120844, 2.4371665, 2.4042881, 2.3883278, 2.3808125, 2.3802221, 2.3887852", \
+					  "2.8896379, 2.8146705, 2.7785690, 2.7674674, 2.7606891, 2.7624414, 2.7694769", \
+					  "3.2352235, 3.1587302, 3.1241545, 3.1114589, 3.1070031, 3.1003176, 3.1134502", \
+					  "3.5634844, 3.4885171, 3.4556720, 3.4411421, 3.4459454, 3.4300963, 3.4447627", \
+					  "3.8152182, 3.7416544, 3.7092201, 3.6852501, 3.6915793, 3.6864114, 3.6919226", \
+					  "4.0570505, 3.9790316, 3.9462152, 3.9378343, 3.9257786, 3.9274120, 3.9245960", \
+					  "4.2692590, 4.1958684, 4.1599145, 4.1423392, 4.1355833, 4.1465523, 4.1368036");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2908513, 2.1648477, 2.0500633, 1.9975462, 1.9372902, 1.8739337, 1.8165806", \
+					  "2.6684100, 2.5369394, 2.4593394, 2.3780891, 2.3194196, 2.2499094, 2.2173153", \
+					  "3.0124721, 2.8848773, 2.8058074, 2.7189955, 2.6546177, 2.5894147, 2.5153129", \
+					  "3.3422516, 3.2161230, 3.0961458, 3.0455374, 2.9836043, 2.8830323, 2.8359373", \
+					  "3.5954997, 3.4596193, 3.3564301, 3.3098138, 3.2233119, 3.1828567, 3.1168437", \
+					  "3.8058039, 3.6874331, 3.5846282, 3.5288368, 3.4624153, 3.3941694, 3.3456500", \
+					  "4.0318782, 3.9065109, 3.8118005, 3.7429560, 3.6865660, 3.6116937, 3.5549926");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1189834, -2.0425030, -2.0231756, -2.0086423, -1.9983950, -2.0166499, -1.9911510", \
+					  "-2.4948123, -2.4198592, -2.3929009, -2.3845911, -2.3836008, -2.3980475, -2.3852850", \
+					  "-2.8387796, -2.7608220, -2.7446878, -2.7299218, -2.7244999, -2.7237638, -2.7141984", \
+					  "-3.1612710, -3.1077283, -3.0671634, -3.0501854, -3.0437832, -3.0553508, -3.0318894", \
+					  "-3.4104032, -3.3369176, -3.3206990, -3.3061595, -3.2978206, -3.3197420, -3.2978080", \
+					  "-3.6419578, -3.5577110, -3.5477509, -3.5246949, -3.5213692, -3.5116236, -3.5095055", \
+					  "-3.8742145, -3.7908971, -3.7725034, -3.7577654, -3.7505743, -3.7745124, -3.7692313");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1937720, -2.0547095, -1.9648565, -1.9003048, -1.7893989, -1.7582417, -1.6859331", \
+					  "-2.5696007, -2.4428221, -2.3573922, -2.2812418, -2.1776336, -2.1355963, -2.0831243", \
+					  "-2.9135682, -2.7943911, -2.6529236, -2.6205724, -2.5233530, -2.4810897, -2.4042035", \
+					  "-3.2222953, -3.1075735, -3.0152567, -2.9465794, -2.8483979, -2.7943942, -2.7434481", \
+					  "-3.4668543, -3.3814342, -3.2376417, -3.2013789, -3.0947151, -3.0633915, -3.0047919", \
+					  "-3.6904415, -3.5729230, -3.4901743, -3.4246483, -3.3349771, -3.2882683, -3.2165183", \
+					  "-3.9454681, -3.8216649, -3.7490790, -3.6553315, -3.5715630, -3.5217715, -3.4236054");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426579, 0.0419662, 0.0412744, 0.0415090, 0.0417342, 0.0419688, 0.0422034");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540221, 0.0534259, 0.0528297, 0.0533313, 0.0538128, 0.0543144, 0.0548159");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004664;
+			capacitance : 0.004807;
+			rise_capacitance : 0.004951;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2044650, -2.1418875, -2.0955794, -2.0714554, -2.0713988, -2.0740986, -2.0658770", \
+					  "-2.5802937, -2.5158069, -2.4736748, -2.4428708, -2.4492428, -2.4590827, -2.4418986", \
+					  "-2.9242610, -2.8615441, -2.8207918, -2.7971058, -2.7886264, -2.7954207, -2.7871991", \
+					  "-3.2528244, -3.1898899, -3.1439209, -3.1151936, -3.1175875, -3.1197087, -3.1172884", \
+					  "-3.5187459, -3.4451275, -3.4076472, -3.3797567, -3.3765752, -3.3838814, -3.3740545", \
+					  "-3.7499623, -3.6702463, -3.6378435, -3.6065188, -3.6096012, -3.5982337, -3.6037448", \
+					  "-3.9759957, -3.9055658, -3.8542162, -3.8414167, -3.8309611, -3.8398397, -3.8329670");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1175019, -2.0298713, -1.9044572, -1.8339604, -1.7455500, -1.6780171, -1.6676226", \
+					  "-2.4933306, -2.4059416, -2.3512922, -2.2234534, -2.1214043, -2.0721855, -2.0562873", \
+					  "-2.8372981, -2.7462681, -2.6436819, -2.5629136, -2.4787232, -2.4297250, -2.3645307", \
+					  "-3.1582634, -3.0784919, -2.9665565, -2.8665065, -2.7952014, -2.7242830, -2.6870219", \
+					  "-3.4470686, -3.3227105, -3.2449887, -3.1664264, -3.0567557, -2.9993894, -2.9442068", \
+					  "-3.6496314, -3.5349563, -3.4195020, -3.3517710, -3.2665474, -3.2066735, -3.1357982", \
+					  "-3.8849401, -3.7922192, -3.7261049, -3.5855771, -3.5064338, -3.4559362, -3.4138021");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5121146, 2.4325549, 2.3970824, 2.3696419, 2.3681695, 2.3636813, 2.3567417", \
+					  "2.8896634, 2.8099039, 2.7732750, 2.7457266, 2.7490555, 2.7413983, 2.7403944", \
+					  "3.2352470, 3.1842525, 3.1203334, 3.1000380, 3.0887384, 3.0853969, 3.0863106", \
+					  "3.5635142, 3.4759678, 3.4484753, 3.4301686, 3.4093870, 3.4186057, 3.4049102", \
+					  "3.8152639, 3.7371664, 3.6987074, 3.6684787, 3.6778883, 3.6696389, 3.6614179", \
+					  "4.0540400, 3.9742647, 3.9242758, 3.9106507, 3.9105308, 3.8949886, 3.8884965", \
+					  "4.2693025, 4.1902071, 4.1507449, 4.1273276, 4.1212156, 4.1105886, 4.1099962");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2755953, 2.1454909, 2.0242486, 1.9916591, 1.8990823, 1.8217461, 1.7773509", \
+					  "2.6464054, 2.5252212, 2.4062235, 2.3584570, 2.2947427, 2.1940821, 2.1513600", \
+					  "3.0256038, 2.9080341, 2.7776246, 2.7417549, 2.6327116, 2.5790446, 2.5408822", \
+					  "3.3143781, 3.1842680, 3.0989973, 3.0423324, 2.9317449, 2.8835257, 2.7515992", \
+					  "3.5443227, 3.4378558, 3.3338379, 3.2531751, 3.1880568, 3.0937764, 3.0471917", \
+					  "3.7702462, 3.6510897, 3.5460266, 3.4496049, 3.3706687, 3.3155195, 3.2765890", \
+					  "3.9846213, 3.8560371, 3.7488006, 3.6903030, 3.6047632, 3.5413364, 3.5462340");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0632820, 0.0624997, 0.0617174, 0.0622978, 0.0628549, 0.0634353, 0.0640156");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0514881, 0.0508102, 0.0501322, 0.0503271, 0.0505141, 0.0507089, 0.0509038");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006319;
+			capacitance : 0.006474;
+			rise_capacitance : 0.006629;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5273430, 2.4508573, 2.4180653, 2.4051155, 2.3975971, 2.3924352, 2.4040439", \
+					  "2.9048882, 2.8283958, 2.8006646, 2.7770193, 2.7744114, 2.7780982, 2.7800631", \
+					  "3.2504697, 3.1724515, 3.1409269, 3.1267080, 3.1195780, 3.1141872, 3.1210670", \
+					  "3.5787427, 3.5022503, 3.4694590, 3.4577666, 3.4535744, 3.4499324, 3.4530914", \
+					  "3.8305055, 3.7555872, 3.7228190, 3.6990078, 3.7053361, 3.6971175, 3.7026291", \
+					  "4.0575790, 3.9856645, 3.9467827, 3.9351516, 3.9395334, 3.9343655, 3.9337736", \
+					  "4.2845432, 4.2025679, 4.1695872, 4.1491093, 4.1624267, 4.1542070, 4.1658217");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2526870, 2.1429159, 2.0897198, 1.9905580, 1.9375202, 1.8478496, 1.7852430", \
+					  "2.6426357, 2.5211340, 2.4865628, 2.3698277, 2.3138489, 2.2333258, 2.2034612", \
+					  "3.0057344, 2.8929113, 2.8144079, 2.7478702, 2.6658092, 2.6266614, 2.5680858", \
+					  "3.2890882, 3.1863601, 3.1289314, 3.0436085, 2.9641106, 2.9317422, 2.8615344", \
+					  "3.5414441, 3.4196342, 3.3414185, 3.2458199, 3.1992476, 3.1359942, 3.0809065", \
+					  "3.7548021, 3.6423058, 3.5475945, 3.4859571, 3.4216768, 3.3494816, 3.2961376", \
+					  "3.9978596, 3.8618795, 3.7708057, 3.7141617, 3.6261508, 3.5532648, 3.5035915");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2013808, -2.1264263, -2.0905071, -2.0633173, -2.0670685, -2.0836474, -2.0841874", \
+					  "-2.5772097, -2.4992035, -2.4615656, -2.4396109, -2.4495778, -2.4621345, -2.4601347", \
+					  "-2.9211773, -2.8339557, -2.8101105, -2.7935216, -2.7905131, -2.7984725, -2.8055096", \
+					  "-3.2497407, -3.1732603, -3.1388602, -3.1223639, -3.1097564, -3.1363076, -3.1325866", \
+					  "-3.4882230, -3.4164080, -3.4017679, -3.3738221, -3.3707050, -3.3870801, -3.3817109", \
+					  "-3.7121481, -3.6523928, -3.6228704, -3.5943859, -3.5900338, -3.5924951, -3.6010583", \
+					  "-3.9367755, -3.8725021, -3.8366845, -3.8144600, -3.8207648, -3.8342922, -3.8334346");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1357886, -2.0151207, -1.9134451, -1.8748087, -1.7847718, -1.7265655, -1.6828813", \
+					  "-2.5100916, -2.3917425, -2.2906776, -2.2551895, -2.1608150, -2.1196329, -2.0329114", \
+					  "-2.8540589, -2.7364437, -2.6529358, -2.5959972, -2.5050090, -2.4172310, -2.4029054", \
+					  "-3.1765501, -3.0596343, -2.9626114, -2.9133979, -2.8362146, -2.7532269, -2.7450053", \
+					  "-3.4470448, -3.3147433, -3.2282967, -3.1754285, -3.0995315, -3.0267732, -2.9971893", \
+					  "-3.6770735, -3.5552907, -3.4725671, -3.3899181, -3.3138085, -3.2110256, -3.1505678", \
+					  "-3.8971232, -3.7514318, -3.6886491, -3.6376339, -3.5572178, -3.5136202, -3.4259555");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426500, 0.0419741, 0.0412982, 0.0415336, 0.0417595, 0.0419949, 0.0422302");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538844, 0.0532917, 0.0526989, 0.0532000, 0.0536811, 0.0541822, 0.0546834");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026866;
+			capacitance : 0.026896;
+			fall_capacitance : 0.026927;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044549, -0.0053218, -0.0061886, -0.0063447, -0.0064946, -0.0066507, -0.0068068");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0390694, 0.0382701, 0.0374707, 0.0373136, 0.0371627, 0.0370056, 0.0368484");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032551;
+			capacitance : 0.032857;
+			rise_capacitance : 0.033164;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1163368, 0.1187405, 0.1211442, 0.1236740, 0.1261026, 0.1286323, 0.1311621");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1413635, 0.1439518, 0.1465402, 0.1495387, 0.1524172, 0.1554157, 0.1584142");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051318;
+			capacitance : 0.051849;
+			rise_capacitance : 0.052380;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0197541, -0.0199522, -0.0201502, -0.0201422, -0.0201345, -0.0201265, -0.0201185");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0200643, 0.0200426, 0.0200208, 0.0200534, 0.0200846, 0.0201172, 0.0201497");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016789;
+			capacitance : 0.016734;
+			fall_capacitance : 0.016679;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254390, 0.0261603, 0.0268817, 0.0294064, 0.0318301, 0.0343549, 0.0368796");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297415, 0.0308004, 0.0318592, 0.0344415, 0.0369204, 0.0395027, 0.0420849");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037426;
+			capacitance : 0.036917;
+			fall_capacitance : 0.036408;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.3432875, -2.2894390, -2.2673163, -2.2606205, -2.2516908, -2.2526265, -2.2657671", \
+					  "-2.7099611, -2.6652680, -2.6355158, -2.6272941, -2.6214162, -2.6377076, -2.6446478", \
+					  "-3.0646096, -3.0075926, -2.9901643, -2.9804168, -2.9714870, -2.9801470, -2.9855633", \
+					  "-3.3809972, -3.3317264, -3.3080778, -3.3013820, -3.2909266, -3.2964397, -3.3034770", \
+					  "-3.6423366, -3.5855443, -3.5633136, -3.5642473, -3.5507399, -3.5562532, -3.5663421", \
+					  "-3.8708394, -3.8137708, -3.7904854, -3.7881726, -3.7761911, -3.7817040, -3.7917933", \
+					  "-4.0924150, -4.0369625, -4.0059029, -4.0051901, -3.9992926, -4.0112391, -4.0164207");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.3880873, -1.3218634, -1.2388737, -1.1803205, -1.1348974, -1.0715960, -1.0144813", \
+					  "-1.7685459, -1.7007962, -1.6178307, -1.5562046, -1.5136800, -1.4627358, -1.4200968", \
+					  "-2.1216937, -2.0020638, -1.9694470, -1.8948436, -1.8714052, -1.7967163, -1.7687775", \
+					  "-2.4410167, -3.3007208, -2.2856996, -2.2225463, -2.1632626, -2.0902664, -2.0208379", \
+					  "-2.7038300, -2.6116870, -2.5515856, -2.4823287, -2.4322746, -3.2776887, -3.2137936", \
+					  "-2.9288467, -2.8473639, -2.7643742, -2.6972641, -2.6363409, -2.5827922, -2.5522926", \
+					  "-3.1502782, -3.0703215, -3.0193938, -2.8685807, -2.8590530, -2.8313700, -2.7851643");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5761707, 2.5023157, 2.4804799, 2.4660361, 2.4616840, 2.4626197, 2.4711826", \
+					  "2.9475971, 2.8785050, 2.8624690, 2.8374625, 2.8315846, 2.8355720, 2.8502385", \
+					  "3.3084305, 3.2549426, 3.2065175, 3.2044809, 3.1847885, 3.1841983, 3.1927612", \
+					  "3.6275701, 3.5461126, 3.5333412, 3.5111738, 3.5176609, 3.5140220, 3.5213339", \
+					  "3.8809034, 3.8101684, 3.7850938, 3.7677184, 3.7648913, 3.7688784, 3.7728641", \
+					  "4.1227238, 4.0383726, 4.0224529, 4.0080115, 3.9919479, 4.0015429, 4.0085804", \
+					  "4.3334166, 4.2544491, 4.2376589, 4.2217565, 4.2143620, 4.2198656, 4.2214279");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.4205405, 2.2932661, 2.1980693, 2.1211833, 2.0645988, 1.9972222, 1.9310172", \
+					  "2.7974314, 2.6632599, 2.5764860, 2.4904446, 2.4414080, 2.3913190, 2.3176475", \
+					  "3.1645080, 3.0437500, 2.9482099, 2.8743059, 2.8130656, 2.7488192, 2.6921474", \
+					  "3.4512769, 3.3255281, 3.2333832, 3.1717258, 3.0972134, 3.0543672, 2.9868879", \
+					  "3.6843262, 3.5590573, 3.4640597, 3.4011825, 3.3462580, 3.2889223, 3.2212586", \
+					  "3.9015500, 3.7849562, 3.6943378, 3.6135679, 3.5599777, 3.4932511, 3.4316237", \
+					  "4.1143909, 3.9902835, 3.8980652, 3.8288082, 3.7795498, 3.7124768, 3.6533907");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3044395, 0.3452279, 0.3860162, 0.3907505, 0.3952954, 0.4000297, 0.4047640");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2160415, 0.2810739, 0.3461063, 0.3842716, 0.4209103, 0.4590757, 0.4972410");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.010112;
+			capacitance : 0.010351;
+			rise_capacitance : 0.010590;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.9196094e-05, 0.1397802, 0.2795796, 0.6756005, 1.0557805, 1.4518014, 1.8478222");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0656602, 0.1005622, 0.1354642, 0.5032434, 0.8563114, 1.2240906, 1.5918698");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006718;
+			capacitance : 0.006872;
+			rise_capacitance : 0.007027;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5944816, 2.5194591, 2.4896980, 2.4768443, 2.4677876, 2.4610938, 2.4650793", \
+					  "2.9720020, 2.8970369, 2.8722942, 2.8513090, 2.8430514, 2.8355625, 2.8441254", \
+					  "3.3175720, 3.2713992, 3.2170802, 3.2332293, 3.2152816, 3.1826584, 3.1973249", \
+					  "3.6365780, 3.5708643, 3.5348234, 3.5204611, 3.5283419, 3.5096388, 3.5119006", \
+					  "3.9022948, 3.8272752, 3.7945334, 3.7709327, 3.7679706, 3.7673809, 3.7728916", \
+					  "4.1278152, 4.0614528, 4.0287238, 4.0025559, 4.0026798, 4.0000419, 3.9907835", \
+					  "4.3416966, 4.2668698, 4.2437412, 4.2101991, 4.2189597, 4.2083086, 4.2223538");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2664384, 2.1332658, 2.0149662, 1.9769038, 1.9222766, 1.8051115, 1.7814836", \
+					  "2.6471914, 2.5141369, 2.4551979, 2.3499831, 2.2999543, 2.2088247, 2.1589817", \
+					  "2.9909904, 2.8578182, 2.7839604, 2.6938596, 2.6377325, 2.5459064, 2.5004084", \
+					  "3.3188106, 3.1841126, 3.0954839, 3.0191363, 2.9533547, 2.8932703, 2.8155452", \
+					  "3.5766708, 3.4234029, 3.3526644, 3.2517143, 3.2115073, 3.1193644, 3.0807530", \
+					  "3.7833792, 3.6449431, 3.5837866, 3.5201862, 3.4323580, 3.3505654, 3.3086221", \
+					  "3.9935609, 3.8527593, 3.7797355, 3.6860633, 3.6374018, 3.5506523, 3.4933470");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2364763, -2.1629971, -2.1347557, -2.1171879, -2.1178550, -2.1124171, -2.1162609", \
+					  "-2.6107791, -2.5434538, -2.5050628, -2.4953177, -2.4951274, -2.4941779, -2.4951116", \
+					  "-2.9562725, -2.8817939, -2.8528353, -2.8386397, -2.8364832, -2.8366240, -2.8375530", \
+					  "-3.2772378, -3.2079621, -3.1755214, -3.1631188, -3.1597009, -3.1560589, -3.1554667", \
+					  "-3.5370417, -3.4605443, -3.4366658, -3.4192888, -3.4173643, -3.4204501, -3.4198577", \
+					  "-3.7655538, -3.6967218, -3.6670100, -3.6501295, -3.6388618, -3.6428493, -3.6407312", \
+					  "-3.9856036, -3.9137007, -3.8852181, -3.8725429, -3.8730585, -3.8659508, -3.8729879");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.5391700, -1.4214711, -1.4517044, -1.2947392, -1.2939072, -1.7265666, -1.6554155", \
+					  "-2.5375575, -2.4278104, -1.7567113, -1.6720939, -1.6694650, -2.1196369, -2.0264507", \
+					  "-2.2604920, -2.2267163, -2.0957031, -2.0410206, -2.5060940, -2.4658308, -2.3813153", \
+					  "-2.6241506, -2.4622015, -3.0116200, -2.3526483, -2.3543671, -2.2963597, -2.2413146", \
+					  "-3.4500697, -3.3330449, -2.7225277, -2.6649633, -2.6357447, -3.0526865, -2.9971625", \
+					  "-3.1106073, -3.5552922, -3.4811178, -3.4139671, -3.3215770, -3.2768030, -3.1853741", \
+					  "-3.2893396, -3.2987905, -3.3011184, -3.0885756, -3.5456226, -3.5102678, -3.4244329");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427362, 0.0420600, 0.0413838, 0.0416184, 0.0418435, 0.0420780, 0.0423125");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539912, 0.0533851, 0.0527790, 0.0532811, 0.0537630, 0.0542650, 0.0547670");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017715;
+			capacitance : 0.017645;
+			fall_capacitance : 0.017574;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1385967, 0.2055365, 0.2724763, 0.3104642, 0.3469325, 0.3849204, 0.4229083");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1956024, 0.2377786, 0.2799547, 0.2836052, 0.2871096, 0.2907601, 0.2944105");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005329;
+			capacitance : 0.005471;
+			rise_capacitance : 0.005613;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2059745, -2.1370820, -2.1009956, -2.0755492, -2.0672643, -2.0758317, -2.0643511", \
+					  "-2.5818032, -2.5099091, -2.4615656, -2.4457145, -2.4527999, -2.4545050, -2.4447643", \
+					  "-2.9257707, -2.8556213, -2.8085847, -2.7948134, -2.8006808, -2.7969466, -2.7933027", \
+					  "-3.2482618, -3.1778937, -3.1450957, -3.1249931, -3.1145053, -3.1136031, -3.1051126", \
+					  "-3.5050236, -3.4210017, -3.4025589, -3.3772757, -3.3709108, -3.3797249, -3.3618743", \
+					  "-3.7304748, -3.6539602, -3.6247986, -3.5974376, -3.5915597, -3.5894436, -3.5873253", \
+					  "-3.9612053, -3.8862595, -3.8486225, -3.8206369, -3.8245728, -3.8327223, -3.8288419");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1281341, -1.4742567, -1.9118051, -1.8400601, -1.3326589, -1.7055791, -1.6554155", \
+					  "-2.5024369, -2.4055235, -1.7368594, -2.2202770, -2.1367014, -2.0657342, -2.0388737", \
+					  "-2.3230279, -2.7720024, -2.6285822, -2.5941238, -2.4847317, -2.4189936, -2.3758417", \
+					  "-2.6592059, -3.0928961, -2.9608227, -2.4313904, -2.8132951, -2.7379367, -2.7144564", \
+					  "-3.4653033, -3.3375571, -2.8709976, -3.1693141, -3.0761648, -3.0038582, -2.9505218", \
+					  "-3.1563587, -3.5523257, -3.4466172, -3.3605616, -3.2970768, -3.1958677, -3.1433704", \
+					  "-3.9057697, -3.2076419, -3.1255702, -3.6458869, -3.5288383, -3.4826150, -3.4253795");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5792406, 2.4950804, 2.4612288, 2.4387295, 2.4312035, 2.4236424, 2.4254046", \
+					  "2.9523422, 2.8727596, 2.8389080, 2.8195284, 2.8098504, 2.7979233, 2.8076615", \
+					  "3.2944512, 3.2163943, 3.1819572, 3.1585028, 3.1565246, 3.1457850, 3.1467522", \
+					  "3.6226464, 3.5430901, 3.5115842, 3.4882258, 3.4898294, 3.4724544, 3.4764660", \
+					  "3.8805144, 3.8024040, 3.7656756, 3.7576585, 3.7243271, 3.7289898, 3.7266783", \
+					  "4.1086279, 4.0259936, 3.9818498, 3.9644344, 3.9699846, 3.9539201, 3.9406330", \
+					  "4.2989215, 4.2329289, 4.1864870, 4.1658790, 4.1846458, 4.1533073, 4.1664479");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2740532, 2.1512095, 2.0811866, 1.9978182, 1.8990927, 1.8324281, 1.7775734", \
+					  "2.6444392, 2.5183129, 2.4302329, 2.3741838, 2.2807230, 2.1875555, 2.1585163", \
+					  "3.0200090, 2.8986567, 2.8195116, 2.7317633, 2.6401508, 2.5586771, 2.5201643", \
+					  "3.3139940, 3.1891019, 3.0669523, 3.0326457, 2.9589214, 2.8510068, 2.8031122", \
+					  "3.5595500, 3.4365204, 3.3236034, 3.2628658, 3.2070371, 3.1012924, 3.0639276", \
+					  "3.7735102, 3.6567454, 3.5563355, 3.5093493, 3.4118211, 3.3137922, 3.2827792", \
+					  "3.9987629, 3.8677673, 3.7595107, 3.6828603, 3.6313755, 3.5469170, 3.4939574");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0612222, 0.0603223, 0.0594223, 0.0600510, 0.0606545, 0.0612831, 0.0619118");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0510249, 0.0502031, 0.0493812, 0.0497506, 0.0501052, 0.0504746, 0.0508440");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005087;
+			capacitance : 0.004855;
+			fall_capacitance : 0.004623;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0110701, -0.0109847, -0.0108992, -0.0108610, -0.0108243, -0.0107861, -0.0107479");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0110269, 0.0109737, 0.0109204, 0.0109345, 0.0109480, 0.0109621, 0.0109762");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5120844, 2.8896379, 3.2352235, 3.5634844, 3.8152182, 4.0570505, 4.2692590", \
+					  "2.4371665, 2.8146705, 3.1587302, 3.4885171, 3.7416544, 3.9790316, 4.1958684", \
+					  "2.4042881, 2.7785690, 3.1241545, 3.4556720, 3.7092201, 3.9462152, 4.1599145", \
+					  "2.3883278, 2.7674674, 3.1114589, 3.4411421, 3.6852501, 3.9378343, 4.1423392", \
+					  "2.3808125, 2.7606891, 3.1070031, 3.4459454, 3.6915793, 3.9257786, 4.1355833", \
+					  "2.3802221, 2.7624414, 3.1003176, 3.4300963, 3.6864114, 3.9274120, 4.1465523", \
+					  "2.3887852, 2.7694769, 3.1134502, 3.4447627, 3.6919226, 3.9245960, 4.1368036");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.1189834, -2.4948123, -2.8387796, -3.1612710, -3.4104032, -3.6419578, -3.8742145", \
+					  "-2.0425030, -2.4198592, -2.7608220, -3.1077283, -3.3369176, -3.5577110, -3.7908971", \
+					  "-2.0231756, -2.3929009, -2.7446878, -3.0671634, -3.3206990, -3.5477509, -3.7725034", \
+					  "-2.0086423, -2.3845911, -2.7299218, -3.0501854, -3.3061595, -3.5246949, -3.7577654", \
+					  "-1.9983950, -2.3836008, -2.7244999, -3.0437832, -3.2978206, -3.5213692, -3.7505743", \
+					  "-2.0166499, -2.3980475, -2.7237638, -3.0553508, -3.3197420, -3.5116236, -3.7745124", \
+					  "-1.9911510, -2.3852850, -2.7141984, -3.0318894, -3.2978080, -3.5095055, -3.7692313");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.3432875, -2.7099611, -3.0646096, -3.3809972, -3.6423366, -3.8708394, -4.0924150", \
+					  "-2.2894390, -2.6652680, -3.0075926, -3.3317264, -3.5855443, -3.8137708, -4.0369625", \
+					  "-2.2673163, -2.6355158, -2.9901643, -3.3080778, -3.5633136, -3.7904854, -4.0059029", \
+					  "-2.2606205, -2.6272941, -2.9804168, -3.3013820, -3.5642473, -3.7881726, -4.0051901", \
+					  "-2.2516908, -2.6214162, -2.9714870, -3.2909266, -3.5507399, -3.7761911, -3.9992926", \
+					  "-2.2526265, -2.6377076, -2.9801470, -3.2964397, -3.5562532, -3.7817040, -4.0112391", \
+					  "-2.2657671, -2.6446478, -2.9855633, -3.3034770, -3.5663421, -3.7917933, -4.0164207");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5761707, 2.9475971, 3.3084305, 3.6275701, 3.8809034, 4.1227238, 4.3334166", \
+					  "2.5023157, 2.8785050, 3.2549426, 3.5461126, 3.8101684, 4.0383726, 4.2544491", \
+					  "2.4804799, 2.8624690, 3.2065175, 3.5333412, 3.7850938, 4.0224529, 4.2376589", \
+					  "2.4660361, 2.8374625, 3.2044809, 3.5111738, 3.7677184, 4.0080115, 4.2217565", \
+					  "2.4616840, 2.8315846, 3.1847885, 3.5176609, 3.7648913, 3.9919479, 4.2143620", \
+					  "2.4626197, 2.8355720, 3.1841983, 3.5140220, 3.7688784, 4.0015429, 4.2198656", \
+					  "2.4711826, 2.8502385, 3.1927612, 3.5213339, 3.7728641, 4.0085804, 4.2214279");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5944816, 2.9720020, 3.3175720, 3.6365780, 3.9022948, 4.1278152, 4.3416966", \
+					  "2.5194591, 2.8970369, 3.2713992, 3.5708643, 3.8272752, 4.0614528, 4.2668698", \
+					  "2.4896980, 2.8722942, 3.2170802, 3.5348234, 3.7945334, 4.0287238, 4.2437412", \
+					  "2.4768443, 2.8513090, 3.2332293, 3.5204611, 3.7709327, 4.0025559, 4.2101991", \
+					  "2.4677876, 2.8430514, 3.2152816, 3.5283419, 3.7679706, 4.0026798, 4.2189597", \
+					  "2.4610938, 2.8355625, 3.1826584, 3.5096388, 3.7673809, 4.0000419, 4.2083086", \
+					  "2.4650793, 2.8441254, 3.1973249, 3.5119006, 3.7728916, 3.9907835, 4.2223538");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2364763, -2.6107791, -2.9562725, -3.2772378, -3.5370417, -3.7655538, -3.9856036", \
+					  "-2.1629971, -2.5434538, -2.8817939, -3.2079621, -3.4605443, -3.6967218, -3.9137007", \
+					  "-2.1347557, -2.5050628, -2.8528353, -3.1755214, -3.4366658, -3.6670100, -3.8852181", \
+					  "-2.1171879, -2.4953177, -2.8386397, -3.1631188, -3.4192888, -3.6501295, -3.8725429", \
+					  "-2.1178550, -2.4951274, -2.8364832, -3.1597009, -3.4173643, -3.6388618, -3.8730585", \
+					  "-2.1124171, -2.4941779, -2.8366240, -3.1560589, -3.4204501, -3.6428493, -3.8659508", \
+					  "-2.1162609, -2.4951116, -2.8375530, -3.1554667, -3.4198577, -3.6407312, -3.8729879");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2059745, -2.5818032, -2.9257707, -3.2482618, -3.5050236, -3.7304748, -3.9612053", \
+					  "-2.1370820, -2.5099091, -2.8556213, -3.1778937, -3.4210017, -3.6539602, -3.8862595", \
+					  "-2.1009956, -2.4615656, -2.8085847, -3.1450957, -3.4025589, -3.6247986, -3.8486225", \
+					  "-2.0755492, -2.4457145, -2.7948134, -3.1249931, -3.3772757, -3.5974376, -3.8206369", \
+					  "-2.0672643, -2.4527999, -2.8006808, -3.1145053, -3.3709108, -3.5915597, -3.8245728", \
+					  "-2.0758317, -2.4545050, -2.7969466, -3.1136031, -3.3797249, -3.5894436, -3.8327223", \
+					  "-2.0643511, -2.4447643, -2.7933027, -3.1051126, -3.3618743, -3.5873253, -3.8288419");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5792406, 2.9523422, 3.2944512, 3.6226464, 3.8805144, 4.1086279, 4.2989215", \
+					  "2.4950804, 2.8727596, 3.2163943, 3.5430901, 3.8024040, 4.0259936, 4.2329289", \
+					  "2.4612288, 2.8389080, 3.1819572, 3.5115842, 3.7656756, 3.9818498, 4.1864870", \
+					  "2.4387295, 2.8195284, 3.1585028, 3.4882258, 3.7576585, 3.9644344, 4.1658790", \
+					  "2.4312035, 2.8098504, 3.1565246, 3.4898294, 3.7243271, 3.9699846, 4.1846458", \
+					  "2.4236424, 2.7979233, 3.1457850, 3.4724544, 3.7289898, 3.9539201, 4.1533073", \
+					  "2.4254046, 2.8076615, 3.1467522, 3.4764660, 3.7266783, 3.9406330, 4.1664479");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2044650, -2.5802937, -2.9242610, -3.2528244, -3.5187459, -3.7499623, -3.9759957", \
+					  "-2.1418875, -2.5158069, -2.8615441, -3.1898899, -3.4451275, -3.6702463, -3.9055658", \
+					  "-2.0955794, -2.4736748, -2.8207918, -3.1439209, -3.4076472, -3.6378435, -3.8542162", \
+					  "-2.0714554, -2.4428708, -2.7971058, -3.1151936, -3.3797567, -3.6065188, -3.8414167", \
+					  "-2.0713988, -2.4492428, -2.7886264, -3.1175875, -3.3765752, -3.6096012, -3.8309611", \
+					  "-2.0740986, -2.4590827, -2.7954207, -3.1197087, -3.3838814, -3.5982337, -3.8398397", \
+					  "-2.0658770, -2.4418986, -2.7871991, -3.1172884, -3.3740545, -3.6037448, -3.8329670");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5121146, 2.8896634, 3.2352470, 3.5635142, 3.8152639, 4.0540400, 4.2693025", \
+					  "2.4325549, 2.8099039, 3.1842525, 3.4759678, 3.7371664, 3.9742647, 4.1902071", \
+					  "2.3970824, 2.7732750, 3.1203334, 3.4484753, 3.6987074, 3.9242758, 4.1507449", \
+					  "2.3696419, 2.7457266, 3.1000380, 3.4301686, 3.6684787, 3.9106507, 4.1273276", \
+					  "2.3681695, 2.7490555, 3.0887384, 3.4093870, 3.6778883, 3.9105308, 4.1212156", \
+					  "2.3636813, 2.7413983, 3.0853969, 3.4186057, 3.6696389, 3.8949886, 4.1105886", \
+					  "2.3567417, 2.7403944, 3.0863106, 3.4049102, 3.6614179, 3.8884965, 4.1099962");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.3799406, -2.7450883, -3.1012628, -3.4176503, -3.6759380, -3.9044405, -4.1275425", \
+					  "-2.3125394, -2.6915130, -3.0338744, -3.3549700, -3.6131651, -3.8402456, -4.0612866", \
+					  "-2.2919599, -2.6556346, -3.0072915, -3.3281952, -3.5877278, -3.8142025, -4.0280265", \
+					  "-2.2758794, -2.6456046, -2.9956757, -3.3264102, -3.5764544, -3.8087433, -4.0220646", \
+					  "-2.2687565, -2.6385169, -2.9883409, -3.3170646, -3.5782057, -3.7945013, -4.0164940", \
+					  "-2.2770405, -2.6467659, -2.9892073, -3.3193279, -3.5837191, -3.8045924, -4.0253371", \
+					  "-2.2825519, -2.6601230, -2.9996125, -3.3263651, -3.5861786, -3.8101035, -4.0362568");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.6036678, 2.9811885, 3.3389654, 3.6565929, 3.9160580, 4.1471935, 4.3539344", \
+					  "2.5317000, 2.9047198, 3.2579726, 3.5830830, 3.8410384, 4.0573947, 4.2823956", \
+					  "2.5155327, 2.8901011, 3.2339694, 3.5622779, 3.8217436, 4.0529116, 4.2586950", \
+					  "2.5026572, 2.8694967, 3.2242218, 3.5494788, 3.7997888, 4.0342079, 4.2410593", \
+					  "2.4892269, 2.8620928, 3.2137664, 3.5481785, 3.8003492, 4.0424400, 4.2333126", \
+					  "2.4855078, 2.8663032, 3.2208054, 3.5475880, 3.8009505, 4.0366633, 4.2434037", \
+					  "2.5032261, 2.8746432, 3.2247909, 3.5485217, 3.8034094, 4.0386146, 4.2443371");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.2389435, 2.6164817, 2.9605343, 3.2825461, 3.5421531, 3.7808968, 3.9961641", \
+					  "2.1638878, 2.5476206, 2.8936805, 3.2183336, 3.4611277, 3.7131536, 3.9242510", \
+					  "2.1341052, 2.5107081, 2.8586294, 3.1855157, 3.4464454, 3.6892396, 3.8804083", \
+					  "2.1182274, 2.4947829, 2.8488818, 3.1680341, 3.4274211, 3.6585091, 3.8829853", \
+					  "2.1185087, 2.4993215, 2.8694239, 3.1682941, 3.4233585, 3.6440320, 3.8836270", \
+					  "2.1180842, 2.4998870, 2.8409486, 3.1598475, 3.4226413, 3.6597243, 3.8664719", \
+					  "2.1171787, 2.4931913, 2.8540284, 3.1607812, 3.4279899, 3.6545547, 3.8805029");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.1418626, -2.5176913, -2.8616589, -2.8301461, -3.4287046, -3.6648368, -3.4164418", \
+					  "-2.0775932, -2.4502469, -2.3366300, -3.1128182, -3.3762228, -3.5811194, -3.8267400", \
+					  "-2.0262274, -2.4020563, -2.7431177, -3.0640423, -3.3254958, -3.5525777, -3.7724674", \
+					  "-2.0235788, -2.4028823, -2.2882622, -3.0719074, -3.3377771, -3.5476983, -3.7742029", \
+					  "-2.0136536, -2.4016897, -2.7426052, -3.0626097, -3.3330120, -3.5427314, -3.7643073", \
+					  "-2.0029618, -2.4010993, -2.7252722, -3.0629803, -3.3105866, -3.5268825, -3.7606653", \
+					  "-2.0110497, -2.3944644, -2.7353863, -3.0699862, -3.3176239, -3.5400231, -3.7692407");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5273430, 2.9048882, 3.2504697, 3.5787427, 3.8305055, 4.0575790, 4.2845432", \
+					  "2.4508573, 2.8283958, 3.1724515, 3.5022503, 3.7555872, 3.9856645, 4.2025679", \
+					  "2.4180653, 2.8006646, 3.1409269, 3.4694590, 3.7228190, 3.9467827, 4.1695872", \
+					  "2.4051155, 2.7770193, 3.1267080, 3.4577666, 3.6990078, 3.9351516, 4.1491093", \
+					  "2.3975971, 2.7744114, 3.1195780, 3.4535744, 3.7053361, 3.9395334, 4.1624267", \
+					  "2.3924352, 2.7780982, 3.1141872, 3.4499324, 3.6971175, 3.9343655, 4.1542070", \
+					  "2.4040439, 2.7800631, 3.1210670, 3.4530914, 3.7026291, 3.9337736, 4.1658217");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2013808, -2.5772097, -2.9211773, -3.2497407, -3.4882230, -3.7121481, -3.9367755", \
+					  "-2.1264263, -2.4992035, -2.8339557, -3.1732603, -3.4164080, -3.6523928, -3.8725021", \
+					  "-2.0905071, -2.4615656, -2.8101105, -3.1388602, -3.4017679, -3.6228704, -3.8366845", \
+					  "-2.0633173, -2.4396109, -2.7935216, -3.1223639, -3.3738221, -3.5943859, -3.8144600", \
+					  "-2.0670685, -2.4495778, -2.7905131, -3.1097564, -3.3707050, -3.5900338, -3.8207648", \
+					  "-2.0836474, -2.4621345, -2.7984725, -3.1363076, -3.3870801, -3.5924951, -3.8342922", \
+					  "-2.0841874, -2.4601347, -2.8055096, -3.1325866, -3.3817109, -3.6010583, -3.8334346");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1545931, 0.5382427, 0.8721854, 1.1610978, 1.4130176, 1.6619390, 1.8803883", \
+					  "0.0792738, 0.4619558, 0.8262766, 1.0588323, 1.3026110, 1.5961288, 1.8206826", \
+					  "0.0465225, 0.4504436, 0.7723404, 1.0460518, 1.3306910, 1.5832417, 1.7923515", \
+					  "0.0321973, 0.4338817, 0.7730941, 1.0269021, 1.2713032, 1.4966034, 1.7243001", \
+					  "0.0339488, 0.4414659, 0.7816063, 1.0586582, 1.2966944, 1.4770530, 1.7487815", \
+					  "0.0257291, 0.4191883, 0.7733866, 1.0700160, 1.3033154, 1.5325262, 1.7500604", \
+					  "0.0266627, 0.4113705, 0.7758462, 1.0679431, 1.3147989, 1.5490246, 1.7357424");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1287131, -0.2477212, -0.5989948, -0.8785471, -1.1322570, -1.3729668, -1.5930167", \
+					  "0.2038165, -0.1861421, -0.5244018, -0.7944417, -1.0679880, -1.3041202, -1.5272217", \
+					  "0.2290881, -0.1555752, -0.4943858, -0.7757590, -1.0172619, -1.2457647, -1.4551333", \
+					  "0.2402223, -0.1476653, -0.4912609, -0.7685048, -1.0228369, -1.2519789, -1.4598217", \
+					  "0.2502753, -0.1360721, -0.5041218, -0.7633035, -1.0204928, -1.2523873, -1.4766744", \
+					  "0.2544577, -0.1365482, -0.4992172, -0.7690877, -1.0121080, -1.2548487, -1.4803662", \
+					  "0.2504737, -0.1405237, -0.4858145, -0.7725791, -1.0181282, -1.2535227, -1.4743063");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2242632, -2.6000919, -2.9440594, -3.2650247, -3.5217864, -3.7502891, -3.9718648", \
+					  "-2.1446497, -2.5358217, -2.8793907, -3.2038061, -3.4514127, -3.6769336, -3.9060685", \
+					  "-2.1164130, -2.4959043, -2.8299471, -3.1571807, -3.4169147, -3.6509746, -3.8659842", \
+					  "-2.1004034, -2.4762987, -2.8263031, -3.1514579, -3.4017086, -3.6297147, -3.8435035", \
+					  "-2.0981674, -2.4799837, -2.8207105, -3.1444740, -3.3987397, -3.6312324, -3.8607950", \
+					  "-2.1093520, -2.4839244, -2.8213607, -3.1423259, -3.4051912, -3.6291163, -3.8557332", \
+					  "-2.1070865, -2.4813786, -2.8238202, -3.1448417, -3.4076508, -3.6315759, -3.8623067");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5456482, 2.9231997, 3.2672585, 3.5892363, 3.8428698, 4.0743465, 4.2927939", \
+					  "2.4691569, 2.8467085, 3.1906112, 3.5127450, 3.7678068, 3.9978552, 4.2161584", \
+					  "2.4394245, 2.8204126, 3.1624710, 3.4830028, 3.7398647, 3.9650144, 4.1850375", \
+					  "2.4264444, 2.8008631, 3.1465180, 3.4590633, 3.7207837, 3.9581368, 4.1674054", \
+					  "2.4174334, 2.7942660, 3.1436696, 3.4610215, 3.7146551, 3.9492257, 4.1691568", \
+					  "2.4169853, 2.7883061, 3.1476089, 3.4634831, 3.7172090, 3.9380035, 4.1578854", \
+					  "2.4223546, 2.8044838, 3.1424568, 3.4644168, 3.7195760, 3.9388460, 4.1649225");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024296;
+			capacitance : 0.025029;
+			rise_capacitance : 0.025285;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.3799406, -2.3125394, -2.2919599, -2.2758794, -2.2687565, -2.2770405, -2.2825519", \
+					  "-2.7450883, -2.6915130, -2.6556346, -2.6456046, -2.6385169, -2.6467659, -2.6601230", \
+					  "-3.1012628, -3.0338744, -3.0072915, -2.9956757, -2.9883409, -2.9892073, -2.9996125", \
+					  "-3.4176503, -3.3549700, -3.3281952, -3.3264102, -3.3170646, -3.3193279, -3.3263651", \
+					  "-3.6759380, -3.6131651, -3.5877278, -3.5764544, -3.5782057, -3.5837191, -3.5861786", \
+					  "-3.9044405, -3.8402456, -3.8142025, -3.8087433, -3.7945013, -3.8045924, -3.8101035", \
+					  "-4.1275425, -4.0612866, -4.0280265, -4.0220646, -4.0164940, -4.0253371, -4.0362568");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.3438477, -1.2363677, -1.1488693, -1.1345218, -1.0454449, -1.0410784, -1.0024360", \
+					  "-1.7212545, -1.6137747, -1.5262774, -1.5059951, -1.4923357, -1.4165906, -1.3586496", \
+					  "-2.0637200, -1.9669899, -1.8763488, -1.8437131, -1.7935847, -1.7752964, -1.7531788", \
+					  "-2.3860966, -3.3193094, -2.2015291, -2.1830644, -2.1266424, -2.0460737, -2.0157442", \
+					  "-2.6504838, -2.5608832, -2.5165360, -2.4411579, -2.2571964, -3.2729674, -3.2046663", \
+					  "-2.8575621, -2.7660787, -2.6869755, -2.6390929, -2.5864626, -2.5028776, -2.5328383", \
+					  "-3.0699614, -2.9888398, -2.8917661, -2.8819979, -2.7089195, -2.7507467, -2.7635482");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.6036678, 2.5317000, 2.5155327, 2.5026572, 2.4892269, 2.4855078, 2.5032261", \
+					  "2.9811885, 2.9047198, 2.8901011, 2.8694967, 2.8620928, 2.8663032, 2.8746432", \
+					  "3.3389654, 3.2579726, 3.2339694, 3.2242218, 3.2137664, 3.2208054, 3.2247909", \
+					  "3.6565929, 3.5830830, 3.5622779, 3.5494788, 3.5481785, 3.5475880, 3.5485217", \
+					  "3.9160580, 3.8410384, 3.8217436, 3.7997888, 3.8003492, 3.8009505, 3.8034094", \
+					  "4.1471935, 4.0573947, 4.0529116, 4.0342079, 4.0424400, 4.0366633, 4.0386146", \
+					  "4.3539344, 4.2823956, 4.2586950, 4.2410593, 4.2333126, 4.2434037, 4.2443371");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.4296967, 2.3054181, 2.2041771, 2.1253431, 2.0737430, 2.0022118, 1.9798497", \
+					  "2.7996104, 2.6875389, 2.5966121, 2.5160430, 2.4395637, 2.3885891, 2.3467118", \
+					  "3.1573979, 3.0234256, 2.9364562, 2.8510903, 2.7951943, 2.7356091, 2.6816110", \
+					  "3.4826222, 3.3530992, 3.2751493, 3.1893852, 3.1158943, 3.0667638, 3.0144645", \
+					  "3.7238788, 3.5881070, 3.5220380, 3.4312083, 3.3905312, 3.3322558, 3.2601293", \
+					  "3.9523072, 3.8333468, 3.7496755, 3.6547847, 3.5977703, 3.5671391, 3.4826236", \
+					  "4.1753350, 4.0448505, 3.9505979, 3.8778325, 3.8112964, 3.7550721, 3.7050188");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006622;
+			capacitance : 0.006777;
+			rise_capacitance : 0.006932;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2389435, 2.1638878, 2.1341052, 2.1182274, 2.1185087, 2.1180842, 2.1171787", \
+					  "2.6164817, 2.5476206, 2.5107081, 2.4947829, 2.4993215, 2.4998870, 2.4931913", \
+					  "2.9605343, 2.8936805, 2.8586294, 2.8488818, 2.8694239, 2.8409486, 2.8540284", \
+					  "3.2825461, 3.2183336, 3.1855157, 3.1680341, 3.1682941, 3.1598475, 3.1607812", \
+					  "3.5421531, 3.4611277, 3.4464454, 3.4274211, 3.4233585, 3.4226413, 3.4279899", \
+					  "3.7808968, 3.7131536, 3.6892396, 3.6585091, 3.6440320, 3.6597243, 3.6545547", \
+					  "3.9961641, 3.9242510, 3.8804083, 3.8829853, 3.8836270, 3.8664719, 3.8805029");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.6311205, 2.5049339, 2.4100304, 2.3196348, 2.2452780, 2.1821705, 2.1192775", \
+					  "3.0106551, 2.8861884, 2.7907139, 2.7036382, 2.6219720, 2.5729739, 2.4957694", \
+					  "3.3831784, 3.2588317, 3.1648860, 3.0732159, 2.9882739, 2.9296588, 2.8741170", \
+					  "3.6726254, 3.5513304, 3.4488910, 3.3672334, 3.2918251, 3.2269319, 3.1663095", \
+					  "3.9197142, 3.7923076, 3.6862196, 3.6084789, 3.5217292, 3.4646815, 3.4066619", \
+					  "4.1280719, 3.9976216, 3.9235950, 3.8376394, 3.7429493, 3.7024020, 3.6330583", \
+					  "4.3429085, 4.2133943, 4.1250073, 4.0335955, 3.9629374, 3.9086697, 3.8496591");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1418626, -2.0775932, -2.0262274, -2.0235788, -2.0136536, -2.0029618, -2.0110497", \
+					  "-2.5176913, -2.4502469, -2.4020563, -2.4028823, -2.4016897, -2.4010993, -2.3944644", \
+					  "-2.8616589, -2.3366300, -2.7431177, -2.2882622, -2.7426052, -2.7252722, -2.7353863", \
+					  "-2.8301461, -3.1128182, -3.0640423, -3.0719074, -3.0626097, -3.0629803, -3.0699862", \
+					  "-3.4287046, -3.3762228, -3.3254958, -3.3377771, -3.3330120, -3.3105866, -3.3176239", \
+					  "-3.6648368, -3.5811194, -3.5525777, -3.5476983, -3.5427314, -3.5268825, -3.5400231", \
+					  "-3.4164418, -3.8267400, -3.7724674, -3.7742029, -3.7643073, -3.7606653, -3.7692407");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2563293, -2.1408781, -2.0474373, -1.9755113, -1.9213417, -1.8086162, -1.7723478", \
+					  "-2.6306321, -2.5159137, -2.4188544, -2.3511757, -2.2740247, -2.1859665, -2.1576160", \
+					  "-3.0068640, -2.8892801, -2.7948454, -2.7271052, -2.6451700, -2.5774411, -2.5310724", \
+					  "-3.2970908, -3.1838982, -3.0887694, -3.0274673, -2.9421398, -2.8769218, -2.8319804", \
+					  "-3.5553784, -3.4422262, -3.3333098, -3.2850588, -3.2050697, -3.1106965, -3.0750091", \
+					  "-3.7854068, -3.6704692, -3.5751797, -3.5116014, -3.4481657, -3.3613178, -3.2943565", \
+					  "-4.0176637, -3.8937899, -3.7931864, -3.7333405, -3.6570200, -3.5775868, -3.5067770");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426175, 0.0418012, 0.0409849, 0.0414251, 0.0418477, 0.0422879, 0.0427281");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541367, 0.0534604, 0.0527842, 0.0533769, 0.0539460, 0.0545387, 0.0551315");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.015170;
+			capacitance : 0.015811;
+			rise_capacitance : 0.016452;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0146955, 0.0182005, 0.0510966, 0.0581752, 0.0649707, 0.0720493, 0.0791279");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0912692, 0.2755851, 0.4599010, 1.5261308, 2.5497114, 3.6159411, 4.6821709");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031650;
+			capacitance : 0.031635;
+			fall_capacitance : 0.031620;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1096814, 0.1250827, 0.1404841, 0.1402822, 0.1400884, 0.1398865, 0.1396846");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0060580, -0.0065042, -0.0069503, -0.0072339, -0.0075061, -0.0077896, -0.0080732");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007171;
+			capacitance : 0.007024;
+			fall_capacitance : 0.006876;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1545931, 0.0792738, 0.0465225, 0.0321973, 0.0339488, 0.0257291, 0.0266627", \
+					  "0.5382427, 0.4619558, 0.4504436, 0.4338817, 0.4414659, 0.4191883, 0.4113705", \
+					  "0.8721854, 0.8262766, 0.7723404, 0.7730941, 0.7816063, 0.7733866, 0.7758462", \
+					  "1.1610978, 1.0588323, 1.0460518, 1.0269021, 1.0586582, 1.0700160, 1.0679431", \
+					  "1.4130176, 1.3026110, 1.3306910, 1.2713032, 1.2966944, 1.3033154, 1.3147989", \
+					  "1.6619390, 1.5961288, 1.5832417, 1.4966034, 1.4770530, 1.5325262, 1.5490246", \
+					  "1.8803883, 1.8206826, 1.7923515, 1.7243001, 1.7487815, 1.7500604, 1.7357424");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0316189, -0.1607910, -0.2399671, -0.3350447, -0.4100100, -0.4701819, -0.5318092", \
+					  "0.3462328, 0.2326535, 0.1309843, 0.0562464, -0.0158798, -0.0853578, -0.1549164", \
+					  "0.6964984, 0.5588611, 0.4798106, 0.3993129, 0.3389246, 0.2664752, 0.2033116", \
+					  "0.9878251, 0.8139708, 0.7328984, 0.6972578, 0.6651993, 0.6098603, 0.5544086", \
+					  "1.2397710, 1.1067099, 1.0046328, 0.9474659, 0.8804342, 0.8338028, 0.7898476", \
+					  "1.4898906, 1.3413032, 1.2266415, 1.1201845, 1.1081829, 1.0588570, 1.0063579", \
+					  "1.7019169, 1.5725136, 1.4875069, 1.3857720, 1.3013987, 1.2801216, 1.2712960");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1287131, 0.2038165, 0.2290881, 0.2402223, 0.2502753, 0.2544577, 0.2504737", \
+					  "-0.2477212, -0.1861421, -0.1555752, -0.1476653, -0.1360721, -0.1365482, -0.1405237", \
+					  "-0.5989948, -0.5244018, -0.4943858, -0.4912609, -0.5041218, -0.4992172, -0.4858145", \
+					  "-0.8785471, -0.7944417, -0.7757590, -0.7685048, -0.7633035, -0.7690877, -0.7725791", \
+					  "-1.1322570, -1.0679880, -1.0172619, -1.0228369, -1.0204928, -1.0121080, -1.0181282", \
+					  "-1.3729668, -1.3041202, -1.2457647, -1.2519789, -1.2523873, -1.2548487, -1.2535227", \
+					  "-1.5930167, -1.5272217, -1.4551333, -1.4598217, -1.4766744, -1.4803662, -1.4743063");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7207458, 0.8169891, 0.8958970, 0.9239048, 0.9144247, 0.9730617, 1.0088353", \
+					  "0.3098832, -0.1643701, -0.0927532, 0.0032137, 0.5029797, 0.6716451, 0.5249991", \
+					  "-0.0588479, 0.0636909, 0.1534691, 0.2153298, -0.2821953, -0.1878051, -0.1243469", \
+					  "-0.3249220, -0.1978430, -0.6673104, -0.6300478, -0.5505571, -0.5021018, 0.0167265", \
+					  "-1.1643299, -0.9872208, -0.9398563, -0.3171808, -0.3540275, -0.7501657, -0.7099038", \
+					  "-0.7723842, -0.6755826, -1.1643285, -1.0480758, -1.0345924, -0.9614424, -0.9399070", \
+					  "-1.0299929, -0.9671554, -0.8914796, -0.8328683, -0.8591109, -1.2124474, -1.1560936");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426046, 0.0417765, 0.0409485, 0.0413849, 0.0418038, 0.0422402, 0.0426767");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0536708, 0.0530220, 0.0523731, 0.0529872, 0.0535767, 0.0541907, 0.0548048");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014344;
+			capacitance : 0.014249;
+			fall_capacitance : 0.014154;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2242632, -2.1446497, -2.1164130, -2.1004034, -2.0981674, -2.1093520, -2.1070865", \
+					  "-2.6000919, -2.5358217, -2.4959043, -2.4762987, -2.4799837, -2.4839244, -2.4813786", \
+					  "-2.9440594, -2.8793907, -2.8299471, -2.8263031, -2.8207105, -2.8213607, -2.8238202", \
+					  "-3.2650247, -3.2038061, -3.1571807, -3.1514579, -3.1444740, -3.1423259, -3.1448417", \
+					  "-3.5217864, -3.4514127, -3.4169147, -3.4017086, -3.3987397, -3.4051912, -3.4076508", \
+					  "-3.7502891, -3.6769336, -3.6509746, -3.6297147, -3.6312324, -3.6291163, -3.6315759", \
+					  "-3.9718648, -3.9060685, -3.8659842, -3.8435035, -3.8607950, -3.8557332, -3.8623067");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1251049, -2.0424508, -1.9260204, -1.8922052, -1.7801943, -1.7467561, -1.6615191", \
+					  "-2.5009336, -2.4171378, -2.3001627, -2.2707402, -2.1592532, -2.1054582, -2.0273507", \
+					  "-2.8449012, -2.7657058, -2.6513935, -2.6129332, -2.5060940, -2.4172475, -2.3813153", \
+					  "-2.8454005, -3.0949458, -3.0216713, -2.9377258, -2.8285538, -2.7837132, -2.7266633", \
+					  "-3.4515932, -3.3485625, -3.2378053, -3.1913722, -3.0837943, -3.0544640, -2.9956368", \
+					  "-3.6904386, -3.5552751, -3.4559080, -3.4215963, -3.3196665, -3.2799389, -3.1646432", \
+					  "-3.8966365, -3.8200875, -3.7262852, -3.6308658, -3.5440965, -3.5088001, -3.4243805");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5456482, 2.4691569, 2.4394245, 2.4264444, 2.4174334, 2.4169853, 2.4223546", \
+					  "2.9231997, 2.8467085, 2.8204126, 2.8008631, 2.7942660, 2.7883061, 2.8044838", \
+					  "3.2672585, 3.1906112, 3.1624710, 3.1465180, 3.1436696, 3.1476089, 3.1424568", \
+					  "3.5892363, 3.5127450, 3.4830028, 3.4590633, 3.4610215, 3.4634831, 3.4644168", \
+					  "3.8428698, 3.7678068, 3.7398647, 3.7207837, 3.7146551, 3.7172090, 3.7195760", \
+					  "4.0743465, 3.9978552, 3.9650144, 3.9581368, 3.9492257, 3.9380035, 3.9388460", \
+					  "4.2927939, 4.2161584, 4.1850375, 4.1674054, 4.1691568, 4.1578854, 4.1649225");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2832201, 2.1624696, 2.0631903, 2.0039533, 1.9358608, 1.8602808, 1.7744254", \
+					  "2.6634198, 2.5354101, 2.4333631, 2.3779419, 2.3116027, 2.2419620, 2.1860942", \
+					  "3.0341810, 2.8962055, 2.7903831, 2.7531740, 2.7003469, 2.6115843, 2.5705885", \
+					  "3.3276300, 3.1921939, 3.1265115, 3.0617418, 2.9756700, 2.8723258, 2.8518304", \
+					  "3.5666377, 3.4333257, 3.3578902, 3.2466805, 3.2122883, 3.1326291, 3.0801568", \
+					  "3.7710553, 3.6498702, 3.5469588, 3.4899983, 3.4093526, 3.3450207, 3.3186359", \
+					  "3.9766446, 3.8682389, 3.7796684, 3.7155579, 3.6430055, 3.5631547, 3.5916019");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426890, 0.0419041, 0.0411192, 0.0414627, 0.0417925, 0.0421359, 0.0424794");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541331, 0.0534724, 0.0528116, 0.0533168, 0.0538018, 0.0543070, 0.0548123");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.003180;
+			max_transition : 1.505444;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02419097, 0.05852029, 0.1415662, 0.3424624, 0.8284497, 2.0041");
+					values("0.6804346, 0.6907127, 0.7108872, 0.7439477, 0.8167262, 1.2041637, 1.2218044", \
+					  "-0.4027035, -0.4025926, -0.4013106, -0.4002539, -0.4019912, -0.1322499, -0.1162772", \
+					  "0.1517350, 0.1523873, 0.1531823, 0.1532212, 0.1588542, 0.1587858, 0.0244691", \
+					  "0.2717080, 0.2724613, 0.2693835, 0.2731128, 0.2736525, 0.2790856, 0.2758373", \
+					  "0.3875693, 0.3883286, 0.3890555, 0.3902001, 0.3913847, 0.3946780, 0.3934408", \
+					  "0.4992143, 0.4995294, 0.4999269, 0.5019639, 0.5033793, 0.5067584, 0.5037165", \
+					  "0.5975855, 0.6002601, 0.5986220, 0.5996584, 0.5992235, 0.6069052, 0.6074796");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02419097, 0.05852029, 0.1415662, 0.3424624, 0.8284497, 2.0041");
+					values("0.7850084, 0.7999229, 0.8271626, 0.8675484, 0.9166734, 1.0233101, 1.2894594", \
+					  "2.3780713, 2.3785498, 2.3806994, 2.3833990, 2.3858036, 2.4217872, 2.7618424", \
+					  "2.6719360, 2.6726891, 2.6749278, 2.6778011, 2.6801851, 2.6817845, 2.6828236", \
+					  "2.6764832, 2.6775158, 2.6788658, 2.6819374, 2.6814334, 2.6855235, 2.6872309", \
+					  "2.6807777, 2.6810418, 2.6825950, 2.6874004, 2.6852127, 2.6947112, 2.6911433", \
+					  "2.6851540, 2.6867449, 2.6875034, 2.6902280, 2.7101628, 2.6969637, 2.6950011", \
+					  "2.6896740, 2.6883936, 2.6925029, 2.6934347, 2.7136537, 2.7142639, 2.6991159");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("0.8592591, 0.8646100, 0.8821139, 0.9682418, 1.2193592, 1.2324002, 1.1999797", \
+					  "-0.0771529, -0.0753431, -0.0599803, 0.0225696, 0.2682023, 0.2848766, 0.2468319", \
+					  "0.8812510, 0.8815965, 0.8835379, 0.8828986, 0.8844892, 0.8732198, 0.7383763", \
+					  "1.3034384, 1.3060904, 1.3035462, 1.3059663, 1.3067466, 1.3132284, 1.2508770", \
+					  "1.6997868, 1.7006392, 1.6862201, 1.7032903, 1.7061487, 1.7049345, 1.6873446", \
+					  "2.0945437, 2.0955065, 2.0851615, 2.0964784, 2.1003544, 2.1007707, 2.0753531", \
+					  "2.4771905, 2.4710366, 2.4647135, 2.4754795, 2.4773408, 2.4797610, 2.4572354");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("1.2010894, 1.2134018, 1.2385694, 1.2847664, 1.3769884, 1.4734830, 1.5030950", \
+					  "2.6107972, 2.6236552, 2.6485344, 2.6940191, 2.7881033, 2.8865486, 2.9159722", \
+					  "2.7461575, 2.7484605, 2.7493101, 2.7517650, 2.7548077, 2.8012558, 2.9017173", \
+					  "2.7975163, 2.8010467, 2.8003381, 2.8028788, 2.8051741, 2.8064617, 2.8075287", \
+					  "2.8010313, 2.8123471, 2.7995668, 2.8022411, 2.8065217, 2.8058569, 2.8081899", \
+					  "2.8121559, 2.8142061, 2.8010990, 2.8039394, 2.8067167, 2.8068689, 2.8071454", \
+					  "2.8148254, 2.8163205, 2.7991175, 2.8029248, 2.8079952, 2.8075994, 2.8062047");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("0.7536661, 0.7596641, 0.7766728, 0.8649611, 1.1129456, 1.1328892, 1.0912125", \
+					  "-0.6038733, -0.6034161, -0.5992523, -0.5460788, -0.3285227, -0.3181923, -0.3489774", \
+					  "-0.1775387, -0.1774457, -0.1738578, -0.1734448, -0.1715671, -0.1697240, -0.3296571", \
+					  "-0.1456257, -0.1449283, -0.1464652, -0.1445172, -0.1420305, -0.1404474, -0.1598435", \
+					  "-0.1133944, -0.1115286, -0.1141496, -0.1164481, -0.1149210, -0.1123829, -0.1195527", \
+					  "-0.0817770, -0.0771157, -0.0898986, -0.0846383, -0.0638322, -0.0739469, -0.0972568", \
+					  "-0.0486329, -0.0449747, -0.0574142, -0.0377113, -0.0362460, -0.0340578, -0.0642239");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("1.1010429, 1.1139217, 1.1427621, 1.1932419, 1.2953354, 1.4062497, 1.4442594", \
+					  "2.5311934, 2.5424667, 2.5713323, 2.6199227, 2.7201839, 2.8306736, 2.8730985", \
+					  "2.4542649, 2.4695562, 2.5001294, 2.5573823, 2.6810438, 2.8144720, 2.8613336", \
+					  "2.7299066, 2.7309468, 2.7330133, 2.7357136, 2.7383382, 2.7397117, 2.8204164", \
+					  "2.7568245, 2.7592464, 2.7591241, 2.7630025, 2.7658986, 2.7652791, 2.7663629", \
+					  "2.7613029, 2.7623099, 2.7626356, 2.7652030, 2.7683470, 2.7691001, 2.7706097", \
+					  "2.7610611, 2.7621514, 2.7624715, 2.7711043, 2.7717178, 2.7718420, 2.7694268");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("2.1693560, 2.1776085, 2.1824299, 2.1856164, 2.1851593, 2.1889158, 2.1695732", \
+					  "2.1723071, 2.1744413, 2.1831084, 2.1859726, 2.1906080, 2.1893285, 2.1689459", \
+					  "2.1678674, 2.1757940, 2.1780765, 2.1865142, 2.1954157, 2.1880969, 2.1791115", \
+					  "2.1690543, 2.1754622, 2.1774870, 2.1892914, 2.1972360, 2.1877150, 2.1614751", \
+					  "2.1811008, 2.1768034, 2.1831413, 2.1843493, 2.1929815, 2.1806142, 2.1789872", \
+					  "2.1709010, 2.1780970, 2.1802275, 2.1873782, 2.1865599, 2.1904795, 2.1691107", \
+					  "2.1705159, 2.1745728, 2.1768669, 2.1829803, 2.1934836, 2.1925434, 2.1714086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("1.0117534, 1.0163852, 1.0351277, 1.0625312, 1.0928185, 1.1759971, 1.4746543", \
+					  "1.0105047, 1.0150436, 1.0379499, 1.0603600, 1.0917158, 1.1727624, 1.4790226", \
+					  "1.0101817, 1.0172723, 1.0355911, 1.0618907, 1.0915476, 1.1723031, 1.4748249", \
+					  "1.0100779, 1.0203019, 1.0353943, 1.0584469, 1.0940369, 1.1717184, 1.4725063", \
+					  "1.0090905, 1.0185184, 1.0382650, 1.0594597, 1.0907355, 1.1728214, 1.4758752", \
+					  "1.0144632, 1.0181925, 1.0358485, 1.0596036, 1.0913845, 1.1709381, 1.4729019", \
+					  "1.0088889, 1.0183434, 1.0353908, 1.0589806, 1.0924752, 1.1719258, 1.4761032");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("1.0526486, 1.0608886, 1.0794764, 1.1227688, 1.2251439, 1.4700351, 2.0613409", \
+					  "2.9823726, 2.9904927, 3.0091170, 3.0525937, 3.1548510, 3.4000822, 3.9909134", \
+					  "4.4939124, 4.5019628, 4.5206998, 4.5640358, 4.6663922, 4.9112181, 5.5025633", \
+					  "4.8300288, 4.8381099, 4.8567790, 4.9000981, 5.0022475, 5.2480469, 5.8386700", \
+					  "6.8202266, 6.8283001, 6.8468555, 6.8902484, 6.9925208, 7.2382642, 7.8481674", \
+					  "8.5063601, 8.5144878, 8.5330916, 8.5765288, 8.6787413, 8.9241139, 9.5213606", \
+					  "9.7581996, 9.7663566, 9.7849462, 9.8132178, 9.9304982, 10.1762660, 10.7676540", \
+					  "11.5254830, 11.5336610, 11.5522380, 11.6252020, 11.6978500, 11.9435020, 12.5103390", \
+					  "35.9604040, 35.9688920, 35.9688931, 35.9688969, 36.1315140, 36.1666470, 36.9201950", \
+					  "51.8064990, 51.8065014, 51.8065053, 51.8065091, 51.8065129, 52.1755870, 52.7498330", \
+					  "122.0748200, 122.0748253, 122.2706800, 122.2706872, 122.5038200, 122.5175200, 122.8447800", \
+					  "371.1240000, 371.1240082, 371.1890000, 371.1890107, 371.3731800, 371.5787800, 372.2641700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("0.0516756, 0.0614880, 0.0855739, 0.1448194, 0.2899672, 0.6440742, 1.4993313", \
+					  "0.0517346, 0.0614715, 0.0855769, 0.1446474, 0.2905563, 0.6453077, 1.4995405", \
+					  "0.0516660, 0.0613224, 0.0854425, 0.1448892, 0.2902353, 0.6435316, 1.4996336", \
+					  "0.0515711, 0.0615163, 0.0854989, 0.1449552, 0.2903491, 0.6443147, 1.4995246", \
+					  "0.0515718, 0.0615152, 0.0854993, 0.1449549, 0.2902554, 0.6447785, 1.4993590", \
+					  "0.0515727, 0.0615171, 0.0855409, 0.1449083, 0.2902755, 0.6452347, 1.4995043", \
+					  "0.0515716, 0.0615158, 0.0855006, 0.1447195, 0.2903389, 0.6447218, 1.4993611", \
+					  "0.0515632, 0.0615055, 0.0855031, 0.1448909, 0.2901070, 0.6450687, 1.4995246", \
+					  "0.0515624, 0.0615015, 0.0855058, 0.1449618, 0.2903098, 0.6442369, 1.4995293", \
+					  "0.0515724, 0.0614914, 0.0855421, 0.1449572, 0.2903026, 0.6439044, 1.4995207", \
+					  "0.0515621, 0.0615061, 0.0855038, 0.1449616, 0.2901314, 0.6449257, 1.4994190", \
+					  "0.0515690, 0.0613087, 0.0855360, 0.1449631, 0.2907348, 0.6452671, 1.4994593");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("0.9714890, 0.9818416, 1.0043215, 1.0503013, 1.1397754, 1.3204725, 1.7286397", \
+					  "2.8890881, 2.8995131, 2.9221570, 2.9683918, 3.0574463, 3.2384295, 3.6465118", \
+					  "4.2929176, 4.3032955, 4.3259996, 4.3722297, 4.4612762, 4.6423132, 5.0503255", \
+					  "4.5960067, 4.6062376, 4.6290807, 4.6753295, 4.7644266, 4.9453197, 5.3533249", \
+					  "6.3376762, 6.3480230, 6.3708132, 6.4170385, 6.5066739, 6.6791510, 7.0949691", \
+					  "7.7066797, 7.7171034, 7.7397564, 7.7859879, 7.8730782, 8.0501419, 8.4640508", \
+					  "8.7133927, 8.7242435, 8.7470751, 8.7933696, 8.8814307, 9.0627745, 9.4702886", \
+					  "10.0951110, 10.0951114, 10.0995060, 10.1456840, 10.2510530, 10.4292420, 10.8495430", \
+					  "26.7529380, 26.7776520, 26.8008740, 26.8031460, 26.9149680, 27.1152770, 27.5117640", \
+					  "36.1645290, 36.1878700, 36.2102670, 36.2574360, 36.3538040, 36.5356390, 36.9222700", \
+					  "71.9010060, 71.9071310, 71.9495500, 71.9832440, 72.0500140, 72.2161530, 72.6586360", \
+					  "181.6247700, 181.6357800, 181.6357804, 181.7026600, 181.7930400, 181.9130700, 182.3646600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("0.0615344, 0.0706372, 0.0904493, 0.1306928, 0.2154026, 0.4208769, 0.9385410", \
+					  "0.0617555, 0.0706243, 0.0905764, 0.1314316, 0.2177859, 0.4219865, 0.9386274", \
+					  "0.0617100, 0.0705893, 0.0903709, 0.1310927, 0.2177940, 0.4216107, 0.9389444", \
+					  "0.0616587, 0.0706902, 0.0902250, 0.1312330, 0.2174625, 0.4213485, 0.9390275", \
+					  "0.0616376, 0.0706363, 0.0902687, 0.1310977, 0.2178026, 0.4220419, 0.9386242", \
+					  "0.0617520, 0.0705853, 0.0905522, 0.1311996, 0.2177973, 0.4219952, 0.9384747", \
+					  "0.0616220, 0.0707015, 0.0901562, 0.1311319, 0.2174136, 0.4220645, 0.9385915", \
+					  "0.0616366, 0.0708724, 0.0902774, 0.1313316, 0.2178624, 0.4213798, 0.9390105", \
+					  "0.0616757, 0.0707405, 0.0901786, 0.1311371, 0.2172094, 0.4220154, 0.9388843", \
+					  "0.0615342, 0.0706878, 0.0903146, 0.1311530, 0.2176770, 0.4211860, 0.9388464", \
+					  "0.0616739, 0.0707796, 0.0903535, 0.1314072, 0.2178139, 0.4221413, 0.9386973", \
+					  "0.0617303, 0.0709992, 0.0904594, 0.1312916, 0.2179459, 0.4219400, 0.9385190");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("2.4205284, 2.4293774, 2.4476470, 2.4907605, 2.5929952, 2.8379272, 3.4280832", \
+					  "4.3744155, 4.3824535, 4.4010977, 4.4441748, 4.5460551, 4.7910476, 5.3814935", \
+					  "5.9212768, 5.9293019, 5.9479830, 5.9910370, 6.0932734, 6.3381211, 6.9283992", \
+					  "6.2690755, 6.2771401, 6.2954850, 6.3388423, 6.4411139, 6.6859336, 7.2761961", \
+					  "8.3391303, 8.3472527, 8.3665661, 8.4089153, 8.5112095, 8.7559471, 9.3501802", \
+					  "10.0448720, 10.0529300, 10.0771250, 10.1146980, 10.2169060, 10.4616590, 11.0577760", \
+					  "11.3591250, 11.3592260, 11.3883580, 11.4289520, 11.5312230, 11.7767760, 12.3660730", \
+					  "13.1997700, 13.1997705, 13.2313970, 13.2696330, 13.3733120, 13.5444140, 14.2131790", \
+					  "38.5851070, 38.5851079, 38.5996020, 38.5996037, 38.6944810, 38.9400510, 39.5599710", \
+					  "54.8526590, 54.8526593, 54.8526631, 54.8598430, 54.9961890, 55.0588730, 55.7264240", \
+					  "128.5481900, 128.8425200, 128.8425217, 128.8425370, 128.8425522, 129.0627300, 129.6173300", \
+					  "445.3431500, 445.4669800, 445.5069400, 445.5118000, 445.5118256, 445.7995400, 446.4573100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0512399, 0.0610575, 0.0849621, 0.1443265, 0.2898535, 0.6431653, 1.4997887", \
+					  "0.0512055, 0.0610919, 0.0850955, 0.1445822, 0.2897799, 0.6431561, 1.5003869", \
+					  "0.0512246, 0.0609625, 0.0849653, 0.1444622, 0.2902023, 0.6437725, 1.5003030", \
+					  "0.0512300, 0.0610945, 0.0850944, 0.1444170, 0.2901898, 0.6437197, 1.5001783", \
+					  "0.0512429, 0.0610987, 0.0850924, 0.1443961, 0.2901759, 0.6439248, 1.4986249", \
+					  "0.0512411, 0.0610680, 0.0849796, 0.1444307, 0.2901103, 0.6434600, 1.4998396", \
+					  "0.0512530, 0.0610852, 0.0849779, 0.1444425, 0.2901057, 0.6434578, 1.4998289", \
+					  "0.0513036, 0.0610992, 0.0850913, 0.1444630, 0.2901226, 0.6434834, 1.4998549", \
+					  "0.0512532, 0.0610582, 0.0849956, 0.1443893, 0.2902010, 0.6437988, 1.4988607", \
+					  "0.0511846, 0.0610990, 0.0850695, 0.1444184, 0.2901754, 0.6434688, 1.4998278", \
+					  "0.0512525, 0.0610752, 0.0849533, 0.1444038, 0.2901664, 0.6436496, 1.4999155", \
+					  "0.0512548, 0.0610879, 0.0850756, 0.1444072, 0.2901265, 0.6434542, 1.4998324");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("2.4820732, 2.4919096, 2.5131655, 2.5572930, 2.6432050, 2.8212068, 3.2278373", \
+					  "4.9002762, 4.9100324, 4.9314557, 4.9754694, 5.0614974, 5.2395253, 5.6459748", \
+					  "7.0046182, 7.0131381, 7.0356925, 7.0795667, 7.1654829, 7.3436128, 7.7502298", \
+					  "7.4541830, 7.4566557, 7.4852933, 7.5291684, 7.6151290, 7.7929947, 8.1996863", \
+					  "10.0182210, 10.0203920, 10.0399080, 10.0839130, 10.1698820, 10.3478030, 10.7543920", \
+					  "11.9936540, 12.0249800, 12.0665930, 12.1104210, 12.1842270, 12.3744540, 12.7811280", \
+					  "13.4911530, 13.5205230, 13.5417510, 13.5860440, 13.6587420, 13.8497180, 14.2564540", \
+					  "15.5248310, 15.5340350, 15.5501140, 15.5587030, 15.6697620, 15.8607420, 16.2698050", \
+					  "39.8468210, 39.8468228, 39.8570240, 39.9202980, 40.0081320, 40.1838700, 40.5901730", \
+					  "53.6389880, 53.6443640, 53.6709020, 53.7563170, 53.7881450, 53.9797750, 54.4269800", \
+					  "107.4391000, 107.4391060, 107.4966700, 107.4975400, 107.6171100, 107.7845900, 108.2077500", \
+					  "275.4331700, 275.4445300, 275.4761700, 275.5248800, 275.6352400, 275.7243700, 276.2014900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0586089, 0.0670785, 0.0859456, 0.1246676, 0.2097766, 0.4164196, 0.9381848", \
+					  "0.0588413, 0.0672384, 0.0855471, 0.1255345, 0.2114807, 0.4181828, 0.9436528", \
+					  "0.0586112, 0.0668623, 0.0858384, 0.1254754, 0.2116231, 0.4179313, 0.9391017", \
+					  "0.0587006, 0.0667808, 0.0854775, 0.1254813, 0.2114948, 0.4179926, 0.9383972", \
+					  "0.0589592, 0.0667680, 0.0855221, 0.1254547, 0.2117861, 0.4168768, 0.9385356", \
+					  "0.0586314, 0.0669503, 0.0857165, 0.1249831, 0.2112374, 0.4183790, 0.9378603", \
+					  "0.0586104, 0.0672406, 0.0857028, 0.1254340, 0.2118770, 0.4182556, 0.9401626", \
+					  "0.0586555, 0.0669487, 0.0857510, 0.1256104, 0.2116135, 0.4169886, 0.9386514", \
+					  "0.0586319, 0.0671377, 0.0856095, 0.1256075, 0.2115463, 0.4180247, 0.9384973", \
+					  "0.0586005, 0.0670015, 0.0858474, 0.1255844, 0.2114215, 0.4168491, 0.9384893", \
+					  "0.0587891, 0.0671918, 0.0859755, 0.1254455, 0.2114509, 0.4176827, 0.9376018", \
+					  "0.0587736, 0.0671977, 0.0855357, 0.1254362, 0.2117807, 0.4178166, 0.9386260");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("2.4052762, 2.4131230, 2.4318001, 2.4744859, 2.5767837, 2.8199780, 3.4115144", \
+					  "3.9276884, 3.9344417, 3.9541779, 3.9976324, 4.0993258, 4.3446229, 4.9346602", \
+					  "4.8543880, 4.8623741, 4.8811519, 4.9242019, 5.0263327, 5.2712696, 5.8614411", \
+					  "5.0472022, 5.0551674, 5.0738524, 5.1169264, 5.2191189, 5.4633738, 6.0542300", \
+					  "6.1247225, 6.1364527, 6.1544134, 6.1983152, 6.3004864, 6.5454331, 7.1356188", \
+					  "6.9620061, 6.9694002, 6.9886177, 7.0313337, 7.1335058, 7.3784756, 7.9686329", \
+					  "7.5708284, 7.5753519, 7.5949007, 7.6374249, 7.7395379, 7.9844575, 8.5746628", \
+					  "8.3968246, 8.4061620, 8.4236733, 8.4681631, 8.5756025, 8.8151761, 9.4053512", \
+					  "18.6609870, 18.6674390, 18.6895070, 18.7408810, 18.8423270, 19.0869400, 19.6487980", \
+					  "24.6635810, 24.6635829, 24.7157780, 24.7157794, 24.8642300, 25.0293260, 25.6717860", \
+					  "49.8408500, 50.2971570, 50.2971592, 50.2971631, 50.2971669, 50.5859070, 51.3023330", \
+					  "171.9436100, 171.9436112, 172.0227500, 172.0227585, 172.2990400, 172.6596100, 173.1645400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0511812, 0.0609364, 0.0848644, 0.1441524, 0.2894161, 0.6433105, 1.5029807", \
+					  "0.0512186, 0.0610837, 0.0850772, 0.1444217, 0.2901113, 0.6432862, 1.4996306", \
+					  "0.0510681, 0.0609270, 0.0851240, 0.1442246, 0.2900031, 0.6434808, 1.4990676", \
+					  "0.0512578, 0.0610876, 0.0850768, 0.1444138, 0.2900509, 0.6432988, 1.4997579", \
+					  "0.0512520, 0.0609545, 0.0850989, 0.1444628, 0.2902016, 0.6437815, 1.5003141", \
+					  "0.0512326, 0.0610920, 0.0850957, 0.1444226, 0.2901935, 0.6438078, 1.5003155", \
+					  "0.0512278, 0.0610924, 0.0850936, 0.1444274, 0.2901037, 0.6434597, 1.4998296", \
+					  "0.0512564, 0.0609708, 0.0850654, 0.1439441, 0.2901478, 0.6433050, 1.4998014", \
+					  "0.0512582, 0.0610619, 0.0850483, 0.1444500, 0.2899170, 0.6436981, 1.4998899", \
+					  "0.0512233, 0.0610905, 0.0851098, 0.1444115, 0.2896401, 0.6438345, 1.5002491", \
+					  "0.0512441, 0.0610959, 0.0851178, 0.1444174, 0.2901820, 0.6431626, 1.5000702", \
+					  "0.0512381, 0.0610992, 0.0850983, 0.1439313, 0.2895555, 0.6433100, 1.4997689");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("3.5588983, 3.5676673, 3.5900576, 3.6340146, 3.7198751, 3.8982573, 4.3056430", \
+					  "5.8420166, 5.8517049, 5.8731282, 5.9170069, 6.0030643, 6.1810388, 6.5876682", \
+					  "8.2937230, 8.3033722, 8.3246539, 8.3687057, 8.4546121, 8.6325995, 9.0393408", \
+					  "8.8145162, 8.8243617, 8.8457872, 8.8898009, 8.9756051, 9.1535757, 9.5602690", \
+					  "11.7371740, 11.7468800, 11.7682600, 11.8121770, 11.8980750, 12.0761770, 12.4827720", \
+					  "13.9979090, 14.0077360, 14.0291570, 14.0726240, 14.1589990, 14.3369240, 14.7436580", \
+					  "15.6445950, 15.6542640, 15.6740100, 15.7293860, 15.8055720, 15.9836380, 16.3900980", \
+					  "17.9471480, 17.9568980, 17.9568987, 17.9854260, 18.1083400, 18.2862950, 18.6927470", \
+					  "44.8295300, 44.8382740, 44.8748170, 44.9153180, 44.9906280, 45.1701010, 45.5752910", \
+					  "60.0427940, 60.0544820, 60.0544835, 60.1259490, 60.2042580, 60.3748800, 60.7887150", \
+					  "119.1021100, 119.1345300, 119.1345330, 119.1469700, 119.2674300, 119.4427300, 119.8519300", \
+					  "306.7997100, 306.8389300, 306.8936100, 306.8936310, 307.0084100, 307.1173800, 307.5709000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0585307, 0.0668298, 0.0855640, 0.1245085, 0.2099701, 0.4165271, 0.9382153", \
+					  "0.0586109, 0.0668486, 0.0854125, 0.1256101, 0.2116321, 0.4169101, 0.9384835", \
+					  "0.0585695, 0.0668912, 0.0858293, 0.1254848, 0.2115194, 0.4182458, 0.9383166", \
+					  "0.0589889, 0.0671356, 0.0857855, 0.1252142, 0.2119085, 0.4182383, 0.9397619", \
+					  "0.0585932, 0.0668418, 0.0857984, 0.1254210, 0.2118210, 0.4180237, 0.9381971", \
+					  "0.0589587, 0.0670801, 0.0856064, 0.1254295, 0.2117679, 0.4182383, 0.9375244", \
+					  "0.0587191, 0.0667899, 0.0855566, 0.1256329, 0.2118565, 0.4175141, 0.9379879", \
+					  "0.0586938, 0.0668316, 0.0856943, 0.1247845, 0.2115985, 0.4170481, 0.9386398", \
+					  "0.0587205, 0.0668668, 0.0858148, 0.1253793, 0.2118363, 0.4181412, 0.9386668", \
+					  "0.0587432, 0.0670070, 0.0856595, 0.1254448, 0.2115571, 0.4169062, 0.9385752", \
+					  "0.0585803, 0.0672172, 0.0856794, 0.1256458, 0.2115444, 0.4175141, 0.9378923", \
+					  "0.0586219, 0.0668696, 0.0856257, 0.1254959, 0.2115735, 0.4181757, 0.9380719");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("11.9649230, 11.9728940, 11.9915860, 12.0346000, 12.1372910, 12.3831900, 12.9734720", \
+					  "12.0956560, 12.1036690, 12.1225660, 12.1652890, 12.2673970, 12.5124440, 13.1034050", \
+					  "12.1962240, 12.2041600, 12.2206900, 12.2659930, 12.3682030, 12.6130940, 13.2047280", \
+					  "12.2768310, 12.2847930, 12.3038680, 12.3465340, 12.4486960, 12.6937040, 13.2855500", \
+					  "12.3461410, 12.3539940, 12.3726100, 12.4158880, 12.5178040, 12.7630170, 13.3543290", \
+					  "12.4101900, 12.4190160, 12.4377130, 12.4799630, 12.5823720, 12.8272500, 13.4184070", \
+					  "12.4726490, 12.4808410, 12.5007470, 12.5424200, 12.6437900, 12.8897060, 13.4822680");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0512651, 0.0610924, 0.0850784, 0.1442177, 0.2901129, 0.6452460, 1.5037654", \
+					  "0.0511675, 0.0610846, 0.0851137, 0.1439636, 0.2904669, 0.6442040, 1.5008252", \
+					  "0.0512352, 0.0610040, 0.0849259, 0.1444620, 0.2903329, 0.6448473, 1.5034070", \
+					  "0.0513054, 0.0610545, 0.0850747, 0.1442064, 0.2904866, 0.6445038, 1.5040434", \
+					  "0.0512332, 0.0610509, 0.0849095, 0.1443969, 0.2903391, 0.6448425, 1.5033985", \
+					  "0.0513121, 0.0610592, 0.0850634, 0.1442672, 0.2901843, 0.6451113, 1.5024409", \
+					  "0.0512172, 0.0610688, 0.0851205, 0.1441901, 0.2904823, 0.6441581, 1.5054437");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("8.3491098, 8.3587892, 8.3803107, 8.4240046, 8.5098799, 8.6877980, 9.0955785", \
+					  "8.4182963, 8.4261895, 8.4494163, 8.4931528, 8.5790433, 8.7568957, 9.1634380", \
+					  "8.4430845, 8.4527993, 8.4740686, 8.5177989, 8.6036946, 8.7815373, 9.1881525", \
+					  "8.4590850, 8.4671585, 8.4900842, 8.5338385, 8.6197440, 8.7975485, 9.2041290", \
+					  "8.4613276, 8.4707859, 8.4950198, 8.5361096, 8.6249026, 8.8027141, 9.2064711", \
+					  "8.4664986, 8.4745047, 8.4976248, 8.5413614, 8.6272314, 8.8023642, 9.2116457", \
+					  "8.4655003, 8.4751717, 8.4958538, 8.5395859, 8.6254008, 8.8033536, 9.2099661");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0585263, 0.0669744, 0.0856467, 0.1250831, 0.2115745, 0.4179890, 0.9374233", \
+					  "0.0586687, 0.0667845, 0.0855326, 0.1253359, 0.2113540, 0.4186084, 0.9376266", \
+					  "0.0584994, 0.0667729, 0.0855992, 0.1253359, 0.2115114, 0.4186646, 0.9365754", \
+					  "0.0585011, 0.0667204, 0.0856238, 0.1253260, 0.2113038, 0.4187204, 0.9374342", \
+					  "0.0584328, 0.0667225, 0.0856217, 0.1252159, 0.2114354, 0.4187203, 0.9364109", \
+					  "0.0586814, 0.0667151, 0.0855250, 0.1253374, 0.2112917, 0.4185671, 0.9376347", \
+					  "0.0584326, 0.0666862, 0.0852917, 0.1252161, 0.2109573, 0.4185620, 0.9368529");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.334030;
+			max_transition : 3.764646;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02484362, 0.06172056, 0.1533362, 0.3809427, 0.9463999, 2.3512");
+					values("1.2358280, 1.2384337, 1.2436066, 1.2488226, 1.2529155, 1.2466167, 1.2334698", \
+					  "-0.1022642, -0.1001247, -0.0961225, -0.0918791, -0.0859578, -0.0997590, -0.0854660", \
+					  "0.1519407, 0.1527015, 0.1553495, 0.1582976, 0.1368207, 0.0564003, 0.0255276", \
+					  "0.2717159, 0.2730765, 0.2744104, 0.2799241, 0.2830443, 0.2684519, 0.1841399", \
+					  "0.3876341, 0.3871182, 0.3898481, 0.3953779, 0.3993347, 0.4115987, 0.2879309", \
+					  "0.4981245, 0.4965656, 0.4971232, 0.5024641, 0.5117210, 0.5047224, 0.4721097", \
+					  "0.5981939, 0.6026211, 0.5940289, 0.5965698, 0.6109030, 0.6170956, 0.5968865");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02484362, 0.06172056, 0.1533362, 0.3809427, 0.9463999, 2.3512");
+					values("1.2237353, 1.2344145, 1.2467679, 1.2705989, 1.2967895, 1.3088437, 1.3132492", \
+					  "2.6928553, 2.6998913, 2.7182904, 2.7425367, 2.7698273, 2.7833354, 2.7880526", \
+					  "2.6722650, 2.6737937, 2.6767414, 2.6817389, 2.6876593, 2.7256209, 2.7882104", \
+					  "2.6764192, 2.6781242, 2.6807259, 2.6866927, 2.6921729, 2.6976698, 2.7276187", \
+					  "2.6802976, 2.6818268, 2.6848235, 2.6905214, 2.6974824, 2.7009200, 2.7042053", \
+					  "2.6835324, 2.6865298, 2.6869934, 2.6933954, 2.6989301, 2.7035993, 2.7079426", \
+					  "2.6794489, 2.6861521, 2.6967116, 2.6925749, 2.6998878, 2.7064139, 2.7129154");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.1254544, 1.1280644, 1.1346211, 1.1377495, 1.1406543, 1.1349977, 1.1450301", \
+					  "-0.3171783, -0.3145076, -0.3120979, -0.3100583, -0.3051592, -0.3175936, -0.3106880", \
+					  "-0.1757737, -0.1752625, -0.1729058, -0.1711071, -0.1659974, -0.2827170, -0.2996901", \
+					  "-0.1453117, -0.1442193, -0.1421643, -0.1386154, -0.1330195, -0.1501912, -0.2481542", \
+					  "-0.1134322, -0.1118602, -0.1108753, -0.1056635, -0.1013091, -0.1042656, -0.1629829", \
+					  "-0.0776924, -0.0771464, -0.0745979, -0.0758397, -0.0681317, -0.0823133, -0.0823402", \
+					  "-0.0413626, -0.0451402, -0.0429260, -0.0362175, -0.0312950, -0.0475609, -0.0077957");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.3405282, 1.3480239, 1.3688701, 1.3992722, 1.4324876, 1.4524738, 1.4605741", \
+					  "2.7684736, 2.7780592, 2.7947842, 2.8244156, 2.8597363, 2.8795774, 2.8875374", \
+					  "2.7442714, 2.7545973, 2.7736873, 2.8071699, 2.8465099, 2.8698996, 2.8792769", \
+					  "2.7300170, 2.7318555, 2.7338524, 2.7377939, 2.7545798, 2.8355124, 2.8747315", \
+					  "2.7585295, 2.7550260, 2.7602736, 2.7662165, 2.7766209, 2.7788277, 2.8579719", \
+					  "2.7652407, 2.7570587, 2.7611262, 2.7697141, 2.7815560, 2.7833083, 2.7863291", \
+					  "2.7680299, 2.7621171, 2.7622522, 2.7698395, 2.7794780, 2.7791116, 2.7989570");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.2302304, 1.2339977, 1.2383997, 1.2436006, 1.2456223, 1.2410034, 1.2236711", \
+					  "0.2814512, 0.2830518, 0.2871485, 0.2919921, 0.2936868, 0.2886760, 0.2962603", \
+					  "0.8821044, 0.8832230, 0.8850537, 0.8879500, 0.8453056, 0.7871136, 0.7534695", \
+					  "1.3070656, 1.3080871, 1.3094840, 1.3104053, 1.3151435, 1.2917489, 1.2072995", \
+					  "1.7019718, 1.7027536, 1.7051341, 1.7035082, 1.7110536, 1.7156424, 1.5990695", \
+					  "2.0954130, 2.0962650, 2.0989272, 2.1007434, 2.1094884, 2.1154638, 2.0757430", \
+					  "2.4779553, 2.4725270, 2.4798653, 2.4819394, 2.4829086, 2.4730591, 2.5043450");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.4151172, 1.4229698, 1.4400261, 1.4684764, 1.4959592, 1.5110198, 1.5175411", \
+					  "2.8294676, 2.8355027, 2.8528263, 2.8816992, 2.9085501, 2.9249877, 2.9311529", \
+					  "2.7463327, 2.7472462, 2.7488459, 2.7907240, 2.8575679, 2.9100576, 2.9190216", \
+					  "2.7968077, 2.7981777, 2.8011833, 2.8064077, 2.8127073, 2.8167165, 2.9108560", \
+					  "2.7985090, 2.8004760, 2.8027296, 2.8059011, 2.8114273, 2.8186552, 2.8256529", \
+					  "2.7971536, 2.7984386, 2.8029280, 2.8076536, 2.8131091, 2.8187858, 2.8211222", \
+					  "2.7975729, 2.7986292, 2.8010888, 2.8109453, 2.8143490, 2.8175420, 2.8206400");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.7417103, 1.7653534, 1.9608344, 2.1884585, 2.1985208, 2.2035877, 2.1871026", \
+					  "1.7403294, 1.7684145, 1.9478075, 2.1842108, 2.2074331, 2.2064821, 2.1840404", \
+					  "1.7393347, 1.7672083, 1.9471473, 2.1807367, 2.1969318, 2.2025803, 2.1813991", \
+					  "1.7413335, 1.7614395, 1.9475455, 2.1872895, 2.1967602, 2.2030827, 2.1855437", \
+					  "1.7390322, 1.7647377, 1.9466453, 2.1802284, 2.1986180, 2.2001218, 2.1833967", \
+					  "1.7460089, 1.7646070, 1.9455183, 2.1879219, 2.1981149, 2.2000339, 2.1805358", \
+					  "1.7461142, 1.7708662, 1.9561308, 2.1884339, 2.1962830, 2.1951596, 2.1838726");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.4768853, 1.4767109, 1.4975610, 1.5193476, 1.5580004, 1.5923480, 1.6078159", \
+					  "1.4679902, 1.4814031, 1.4927650, 1.5188943, 1.5587362, 1.5916704, 1.6087711", \
+					  "1.4808711, 1.4724731, 1.4870478, 1.5102105, 1.5490096, 1.5913172, 1.6025266", \
+					  "1.4722531, 1.4782323, 1.4975114, 1.5246582, 1.5669121, 1.6003748, 1.5853196", \
+					  "1.4704274, 1.4792645, 1.4962643, 1.5269172, 1.5664287, 1.5885335, 1.6038970", \
+					  "1.4758218, 1.4844273, 1.4890402, 1.5166098, 1.5544370, 1.5873872, 1.6045951", \
+					  "1.4715915, 1.4744654, 1.4921008, 1.5170285, 1.5565280, 1.5891967, 1.6018912");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("2.7878326, 2.8157425, 2.8768428, 3.0088076, 3.2892429, 3.9288364, 5.4746652", \
+					  "4.7174074, 4.7445230, 4.8059392, 4.9378603, 5.2190345, 5.8561072, 7.4074924", \
+					  "6.2300987, 6.2572143, 6.3186252, 6.4506369, 6.7317707, 7.3692834, 8.9168779", \
+					  "6.5651680, 6.5925740, 6.6537158, 6.7859158, 7.0667650, 7.7056512, 9.2570458", \
+					  "8.5536467, 8.5998488, 8.6614564, 8.7747329, 9.0550776, 9.7220401, 11.2459180", \
+					  "10.2419530, 10.2695110, 10.3311400, 10.4630760, 10.7440900, 11.3875610, 12.9362400", \
+					  "11.4935420, 11.5210750, 11.5832330, 11.7146800, 11.9956270, 12.6189300, 14.1861950", \
+					  "13.2609210, 13.3032410, 13.3506480, 13.4968370, 13.7630220, 14.3904440, 15.9710680", \
+					  "37.6973440, 37.6973477, 37.7785800, 37.7785817, 38.2018860, 38.6114780, 40.1932780", \
+					  "53.4994240, 53.5218340, 53.5218373, 53.6939450, 53.8577540, 54.4916680, 56.1893930", \
+					  "123.9297500, 123.9297524, 124.0662000, 124.1460300, 124.2480900, 124.9841400, 126.7462300", \
+					  "372.0240300, 372.9617200, 372.9617463, 373.0812100, 373.7396500, 373.7396698, 375.5452600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("0.2641419, 0.2865735, 0.3407291, 0.4683207, 0.7891725, 1.6232633, 3.7551944", \
+					  "0.2637541, 0.2868979, 0.3398812, 0.4699021, 0.7898764, 1.6121989, 3.7436245", \
+					  "0.2643853, 0.2868838, 0.3389160, 0.4700300, 0.7877806, 1.6201420, 3.7126646", \
+					  "0.2627070, 0.2864289, 0.3408922, 0.4686691, 0.7894191, 1.6227620, 3.7183341", \
+					  "0.2629029, 0.2851104, 0.3401175, 0.4676081, 0.7894699, 1.6221025, 3.7581751", \
+					  "0.2628819, 0.2851074, 0.3401174, 0.4692026, 0.7880266, 1.6117759, 3.7433963", \
+					  "0.2629158, 0.2849546, 0.3405627, 0.4686907, 0.7887615, 1.6234590, 3.7203030", \
+					  "0.2629014, 0.2849548, 0.3405539, 0.4686924, 0.7886914, 1.6240949, 3.7303600", \
+					  "0.2629361, 0.2855454, 0.3405377, 0.4691967, 0.7891857, 1.6240993, 3.7497530", \
+					  "0.2628651, 0.2851047, 0.3405807, 0.4691004, 0.7885894, 1.6240878, 3.7457277", \
+					  "0.2629254, 0.2851048, 0.3400988, 0.4692095, 0.7881503, 1.6240576, 3.7486654", \
+					  "0.2628877, 0.2848779, 0.3405795, 0.4687933, 0.7893149, 1.6173615, 3.7434202");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("2.7997313, 2.8195339, 2.8665628, 2.9662086, 3.1663285, 3.5501115, 4.3746202", \
+					  "4.7153330, 4.7362883, 4.7831645, 4.8844147, 5.0838196, 5.4678351, 6.2931043", \
+					  "6.1220486, 6.1427365, 6.1893393, 6.2885630, 6.4885013, 6.8721406, 7.6979783", \
+					  "6.4253287, 6.4463603, 6.4926775, 6.5919510, 6.7917658, 7.1753736, 8.0013285", \
+					  "8.1669844, 8.1889251, 8.1954017, 8.3330765, 8.5330685, 8.9167500, 9.7424468", \
+					  "9.5309733, 9.5823349, 9.5959845, 9.7030322, 9.9020054, 10.2863230, 11.1108540", \
+					  "10.5869350, 10.5869356, 10.6093850, 10.7090930, 10.9090880, 11.2929980, 12.1180590", \
+					  "11.8996210, 11.9272570, 11.9754880, 12.0612010, 12.2611290, 12.6451410, 13.4702330", \
+					  "28.5983240, 28.6154660, 28.6671980, 28.7498310, 28.9627400, 29.3457700, 30.1282380", \
+					  "38.0056800, 38.0371720, 38.0830620, 38.1738660, 38.3790320, 38.7545040, 39.5821650", \
+					  "73.7190650, 73.7572070, 73.7618680, 73.9003740, 74.0891440, 74.4906430, 75.2648080", \
+					  "183.4670100, 183.4901900, 183.5317700, 183.6705400, 183.8382200, 184.2246700, 185.0278300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("0.2019450, 0.2197076, 0.2568629, 0.3443719, 0.5204828, 0.9104094, 1.9148936", \
+					  "0.2044380, 0.2197884, 0.2588835, 0.3444717, 0.5192675, 0.9069124, 1.9159136", \
+					  "0.2019067, 0.2182687, 0.2570665, 0.3459719, 0.5198700, 0.9091735, 1.9145188", \
+					  "0.2024205, 0.2188813, 0.2567752, 0.3450533, 0.5197213, 0.9089694, 1.9152406", \
+					  "0.2016007, 0.2188834, 0.2571655, 0.3445789, 0.5202617, 0.9070042, 1.9092867", \
+					  "0.2036127, 0.2194734, 0.2585806, 0.3434696, 0.5195099, 0.9105260, 1.9058677", \
+					  "0.2024582, 0.2185013, 0.2570755, 0.3456307, 0.5202772, 0.9105084, 1.9125741", \
+					  "0.2018172, 0.2180232, 0.2571806, 0.3457503, 0.5199178, 0.9105311, 1.9125352", \
+					  "0.2018754, 0.2180719, 0.2571060, 0.3445987, 0.5202897, 0.9104925, 1.9125121", \
+					  "0.2014647, 0.2195698, 0.2573535, 0.3441676, 0.5202914, 0.9084357, 1.9119277", \
+					  "0.2017798, 0.2182850, 0.2572047, 0.3456439, 0.5203400, 0.9106496, 1.9133888", \
+					  "0.2023218, 0.2195499, 0.2569449, 0.3437331, 0.5195813, 0.9097585, 1.9130426");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("2.9783038, 3.0052365, 3.0664817, 3.1971898, 3.4774055, 4.1163171, 5.6740510", \
+					  "4.4987840, 4.5262554, 4.5882547, 4.7195368, 4.9998685, 5.6377236, 7.1882429", \
+					  "5.4284652, 5.4554206, 5.5163079, 5.6478235, 5.9272516, 6.5665078, 8.1184712", \
+					  "5.6198646, 5.6466960, 5.7077658, 5.8390652, 6.1187859, 6.7575288, 8.3060963", \
+					  "6.7026895, 6.7299633, 6.7912613, 6.9220421, 7.2016295, 7.8343749, 9.3878176", \
+					  "7.5361992, 7.5635151, 7.6245019, 7.7553315, 8.0348910, 8.6731697, 10.2253920", \
+					  "8.1409066, 8.1681648, 8.2293612, 8.3604075, 8.6397449, 9.2792254, 10.8286880", \
+					  "8.9719103, 8.9992094, 9.0603125, 9.1914112, 9.4705239, 10.1112940, 11.6603560", \
+					  "19.2373020, 19.2457660, 19.3109940, 19.4639680, 19.7307410, 20.3824900, 21.9241870", \
+					  "25.2455120, 25.2763180, 25.3236280, 25.4069420, 25.6851750, 26.3897930, 27.8765030", \
+					  "50.7447770, 50.8118770, 50.9350180, 50.9350186, 50.9890260, 51.8830670, 53.3724960", \
+					  "172.8860800, 172.8860856, 172.8861008, 172.9014700, 172.9992400, 174.1487200, 175.3956600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2587026, 0.2825706, 0.3369718, 0.4666497, 0.7861201, 1.6222270, 3.7530778", \
+					  "0.2585810, 0.2813228, 0.3359178, 0.4664992, 0.7897246, 1.6123969, 3.7526751", \
+					  "0.2593894, 0.2824475, 0.3371570, 0.4655271, 0.7872848, 1.6188335, 3.7182242", \
+					  "0.2592644, 0.2833692, 0.3372949, 0.4660597, 0.7877574, 1.6214788, 3.7198957", \
+					  "0.2586208, 0.2815223, 0.3365460, 0.4658497, 0.7872684, 1.6209776, 3.7357033", \
+					  "0.2582823, 0.2817074, 0.3366190, 0.4657739, 0.7873270, 1.6202804, 3.7260723", \
+					  "0.2585815, 0.2815672, 0.3364810, 0.4658396, 0.7872151, 1.6165681, 3.7556136", \
+					  "0.2584001, 0.2816964, 0.3364510, 0.4658995, 0.7875971, 1.6201605, 3.7613814", \
+					  "0.2583129, 0.2817112, 0.3366289, 0.4658637, 0.7873757, 1.6161442, 3.7565595", \
+					  "0.2582064, 0.2815409, 0.3365728, 0.4658163, 0.7873742, 1.6213041, 3.7556878", \
+					  "0.2582873, 0.2817067, 0.3367955, 0.4658298, 0.7874293, 1.6205047, 3.7588683", \
+					  "0.2586123, 0.2815115, 0.3366539, 0.4658578, 0.7873996, 1.6212650, 3.7583758");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("4.0464236, 4.0641530, 4.1116843, 4.2170392, 4.4257322, 4.8189515, 5.6555223", \
+					  "6.3278396, 6.3489849, 6.3971246, 6.5025367, 6.7097710, 7.1037074, 7.9377847", \
+					  "8.7791179, 8.8001455, 8.8482555, 8.9536139, 9.1608463, 9.5547064, 10.3884800", \
+					  "9.3000940, 9.3216013, 9.3697521, 9.4747534, 9.6819743, 10.0756110, 10.9087610", \
+					  "12.2223790, 12.2434750, 12.2915780, 12.4027580, 12.6041300, 12.9979240, 13.8319030", \
+					  "14.5084560, 14.5199380, 14.5530130, 14.6625100, 14.8655670, 15.2593370, 16.0932600", \
+					  "16.1447280, 16.1818980, 16.1980970, 16.3330100, 16.5119400, 16.9056800, 17.7391040", \
+					  "18.3787560, 18.4321620, 18.5078490, 18.5972700, 18.8148390, 19.2086190, 20.0426770", \
+					  "45.3171470, 45.3974140, 45.4000570, 45.4356270, 45.6973160, 46.0912910, 46.9249920", \
+					  "60.5344330, 60.5344334, 60.5895850, 60.6761930, 60.9127110, 61.3060040, 62.1385180", \
+					  "119.5466100, 119.6078000, 119.6278800, 119.7633400, 119.9755400, 120.3637400, 121.1982000", \
+					  "307.2531700, 307.2781000, 307.3953400, 307.4360700, 307.7161400, 308.0820900, 308.9044200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2045677, 0.2212482, 0.2630939, 0.3552635, 0.5364386, 0.9286350, 1.9225641", \
+					  "0.2046383, 0.2220473, 0.2620825, 0.3558320, 0.5356970, 0.9272449, 1.9268529", \
+					  "0.2040625, 0.2218351, 0.2617204, 0.3552326, 0.5359684, 0.9255385, 1.9264075", \
+					  "0.2038427, 0.2216084, 0.2629650, 0.3543268, 0.5365111, 0.9246619, 1.9247715", \
+					  "0.2043486, 0.2218906, 0.2617585, 0.3551769, 0.5354758, 0.9270724, 1.9267097", \
+					  "0.2041312, 0.2215505, 0.2616838, 0.3555449, 0.5355871, 0.9273701, 1.9266182", \
+					  "0.2045762, 0.2219107, 0.2617390, 0.3545901, 0.5365189, 0.9287009, 1.9241351", \
+					  "0.2036448, 0.2213562, 0.2623551, 0.3540825, 0.5355769, 0.9272588, 1.9267818", \
+					  "0.2040369, 0.2217405, 0.2632356, 0.3546779, 0.5366982, 0.9287934, 1.9241333", \
+					  "0.2047495, 0.2220278, 0.2625798, 0.3546966, 0.5365924, 0.9289297, 1.9241351", \
+					  "0.2038606, 0.2217464, 0.2619130, 0.3548986, 0.5362092, 0.9289147, 1.9195947", \
+					  "0.2051242, 0.2220208, 0.2635579, 0.3558655, 0.5368808, 0.9290098, 1.9203996");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("2.9944942, 3.0205713, 3.0820968, 3.2136591, 3.4937093, 4.1318557, 5.6885248", \
+					  "4.9472413, 4.9743378, 5.0356532, 5.1665618, 5.4464802, 6.0843761, 7.6364524", \
+					  "6.4947421, 6.5220229, 6.5831967, 6.7138974, 6.9935702, 7.6326279, 9.1799919", \
+					  "6.8424457, 6.8697337, 6.9309332, 7.0616029, 7.3412578, 7.9802946, 9.5322497", \
+					  "8.8994812, 8.9267660, 8.9878061, 9.1177901, 9.3982626, 10.0374110, 11.5900050", \
+					  "10.6187060, 10.6460310, 10.7070370, 10.8380100, 11.1175390, 11.7566400, 13.3067370", \
+					  "11.9326030, 11.9598340, 12.0223720, 12.1515730, 12.4315200, 13.0705770, 14.6184100", \
+					  "13.7745240, 13.8007450, 13.8100840, 13.9333730, 14.2725760, 14.9116260, 16.4627090", \
+					  "39.0907220, 39.0907231, 39.1730520, 39.3148230, 39.5139000, 40.2370690, 41.7809010", \
+					  "55.3884690, 55.4099380, 55.5236210, 55.5236226, 55.6977750, 56.2366540, 58.1425400", \
+					  "129.2645200, 129.2645340, 129.5571400, 129.5571518, 129.6207000, 130.5651300, 131.9952800", \
+					  "445.9520000, 446.0351000, 446.1394100, 446.2791000, 446.5570700, 447.0415700, 448.6526800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2585677, 0.2820421, 0.3348803, 0.4653732, 0.7871862, 1.6245109, 3.7574432", \
+					  "0.2589435, 0.2812114, 0.3364925, 0.4646316, 0.7848764, 1.6181819, 3.7509995", \
+					  "0.2582484, 0.2817068, 0.3366203, 0.4658364, 0.7873737, 1.6207948, 3.7140873", \
+					  "0.2582579, 0.2817171, 0.3366415, 0.4658479, 0.7874339, 1.6209813, 3.7187873", \
+					  "0.2577861, 0.2798080, 0.3354512, 0.4641324, 0.7875268, 1.6189940, 3.7604240", \
+					  "0.2583017, 0.2817112, 0.3365859, 0.4657674, 0.7872669, 1.6101549, 3.7511922", \
+					  "0.2583099, 0.2817400, 0.3363958, 0.4656769, 0.7871513, 1.6198413, 3.7293408", \
+					  "0.2583156, 0.2818682, 0.3366659, 0.4657214, 0.7870600, 1.6194135, 3.7284913", \
+					  "0.2584311, 0.2817532, 0.3365824, 0.4657402, 0.7871706, 1.6210164, 3.7569725", \
+					  "0.2583160, 0.2817510, 0.3367317, 0.4656783, 0.7871847, 1.6198448, 3.7572412", \
+					  "0.2582865, 0.2817067, 0.3365787, 0.4657804, 0.7873013, 1.6203212, 3.7577048", \
+					  "0.2582789, 0.2817470, 0.3367087, 0.4656991, 0.7871837, 1.6203653, 3.7579815");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("2.9696028, 2.9882843, 3.0378693, 3.1422243, 3.3493699, 3.7432994, 4.5763979", \
+					  "5.3858265, 5.4068168, 5.4554499, 5.5603997, 5.7677516, 6.1611538, 6.9955055", \
+					  "7.4896018, 7.5108373, 7.5590467, 7.6643794, 7.8713756, 8.2655633, 9.0981755", \
+					  "7.9397280, 7.9607405, 8.0089908, 8.1141938, 8.3214706, 8.7150394, 9.5482084", \
+					  "10.4943750, 10.5157180, 10.5638830, 10.6689420, 10.8727750, 11.2697800, 12.1026980", \
+					  "12.5204310, 12.5439670, 12.5900240, 12.6949850, 12.8717670, 13.2962850, 14.1297890", \
+					  "13.9960320, 13.9960323, 14.0654570, 14.1707830, 14.3570910, 14.7713370, 15.6051760", \
+					  "16.0045930, 16.0178290, 16.0739670, 16.1790460, 16.3912530, 16.7802220, 17.6134540", \
+					  "40.2930630, 40.3330990, 40.3637410, 40.4901960, 40.6972520, 41.0870590, 41.8982220", \
+					  "54.1224700, 54.1470480, 54.1911580, 54.3308790, 54.5009370, 54.9018790, 55.7354590", \
+					  "107.9143700, 107.9570900, 107.9789800, 108.0873600, 108.3254700, 108.6649100, 109.5418900", \
+					  "275.9459200, 275.9763900, 275.9763947, 276.1397900, 276.3120800, 276.7025400, 277.5467500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2036593, 0.2211684, 0.2626274, 0.3550427, 0.5364348, 0.9287433, 1.9240212", \
+					  "0.2037766, 0.2206420, 0.2631275, 0.3546283, 0.5329513, 0.9255797, 1.9263746", \
+					  "0.2045608, 0.2214156, 0.2626987, 0.3547780, 0.5358643, 0.9272091, 1.9200037", \
+					  "0.2037486, 0.2216234, 0.2631096, 0.3548669, 0.5363771, 0.9288845, 1.9191400", \
+					  "0.2032872, 0.2217706, 0.2628764, 0.3546815, 0.5361635, 0.9287923, 1.9220978", \
+					  "0.2036890, 0.2217133, 0.2631365, 0.3546583, 0.5360035, 0.9282149, 1.9235886", \
+					  "0.2044826, 0.2218590, 0.2627214, 0.3546647, 0.5344941, 0.9278894, 1.9237654", \
+					  "0.2034676, 0.2211181, 0.2626966, 0.3543439, 0.5360077, 0.9277514, 1.9163124", \
+					  "0.2046447, 0.2214892, 0.2631776, 0.3545723, 0.5363461, 0.9280195, 1.9242576", \
+					  "0.2045875, 0.2213699, 0.2634202, 0.3553922, 0.5362910, 0.9281567, 1.9258082", \
+					  "0.2036556, 0.2205151, 0.2619865, 0.3546105, 0.5368453, 0.9277849, 1.9265449", \
+					  "0.2041991, 0.2224126, 0.2635781, 0.3555551, 0.5366339, 0.9287258, 1.9210249");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("11.2925370, 11.3196680, 11.3808090, 11.5116450, 11.7919520, 12.4320750, 13.9826900", \
+					  "11.4221650, 11.4493430, 11.5108420, 11.6418390, 11.9221340, 12.5612700, 14.1124750", \
+					  "11.5238420, 11.5508280, 11.6092660, 11.7427200, 12.0201920, 12.6626860, 14.2135090", \
+					  "11.6043740, 11.6315480, 11.6927220, 11.8235040, 12.1042040, 12.7435150, 14.2945420", \
+					  "11.6727290, 11.7002020, 11.7612410, 11.8921190, 12.1724160, 12.8121090, 14.3631740", \
+					  "11.7384540, 11.7651440, 11.8259410, 11.9569100, 12.2376070, 12.8769230, 14.4279730", \
+					  "11.7991340, 11.8264160, 11.8895170, 12.0185440, 12.2992070, 12.9382800, 14.4892480");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("0.2601347, 0.2823882, 0.3364080, 0.4644636, 0.7842549, 1.6162461, 3.7270000", \
+					  "0.2605976, 0.2821123, 0.3362936, 0.4643866, 0.7849476, 1.6155605, 3.7273207", \
+					  "0.2605222, 0.2826276, 0.3362107, 0.4644090, 0.7842157, 1.6162552, 3.7299310", \
+					  "0.2601240, 0.2823483, 0.3358968, 0.4644621, 0.7842352, 1.6158525, 3.7269237", \
+					  "0.2607059, 0.2822789, 0.3362039, 0.4643950, 0.7844774, 1.6158537, 3.7270902", \
+					  "0.2603278, 0.2824259, 0.3362275, 0.4644767, 0.7842176, 1.6158354, 3.7270835", \
+					  "0.2599608, 0.2823098, 0.3361181, 0.4644048, 0.7844191, 1.6132183, 3.7270165");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("10.7365930, 10.7634940, 10.8254590, 10.9587210, 11.2315450, 11.7203960, 12.6503820", \
+					  "10.8060010, 10.8329590, 10.8943850, 11.0282430, 11.3003980, 11.7905460, 12.7187370", \
+					  "10.8322360, 10.8593280, 10.9213630, 11.0545680, 11.3272540, 11.8164280, 12.7459670", \
+					  "10.8473720, 10.8746200, 10.9363850, 11.0699040, 11.3420560, 11.8322600, 12.7603970", \
+					  "10.8505440, 10.8799090, 10.9413500, 11.0752240, 11.3474210, 11.8374700, 12.7657030", \
+					  "10.8542140, 10.8811400, 10.9425670, 11.0758170, 11.3486980, 11.8387760, 12.7669470", \
+					  "10.8527140, 10.8798750, 10.9416620, 11.0750990, 11.3479060, 11.8367280, 12.7666810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("0.2957699, 0.3164669, 0.3652055, 0.4809495, 0.7095960, 1.1307477, 2.0888777", \
+					  "0.2947185, 0.3164484, 0.3646322, 0.4828158, 0.7090968, 1.1287827, 2.0873592", \
+					  "0.2964380, 0.3163400, 0.3645188, 0.4817033, 0.7093548, 1.1311890, 2.0904181", \
+					  "0.2950250, 0.3164055, 0.3651713, 0.4827153, 0.7091285, 1.1276925, 2.0854767", \
+					  "0.2952859, 0.3164081, 0.3642457, 0.4826676, 0.7093250, 1.1288073, 2.0871302", \
+					  "0.2955684, 0.3164510, 0.3641945, 0.4823744, 0.7094589, 1.1292933, 2.0874271", \
+					  "0.2965870, 0.3164976, 0.3648817, 0.4812563, 0.7096595, 1.1310896, 2.0902373");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.186372;
+			max_capacitance : 551.186000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.1646769, 9.1571456, 9.1624686, 9.1502171, 9.0596533, 7.7767195, -15.2346565", \
+					  "9.0864676, 9.0906795, 9.0983157, 9.0943302, 9.0644635, 7.7200424, -14.8632339", \
+					  "9.0145310, 9.0311236, 9.0287739, 9.0609669, 8.9591430, 7.6391693, -14.7951563", \
+					  "8.9767143, 8.9968527, 8.9865537, 9.0242874, 8.9228980, 7.5935569, -15.0588216", \
+					  "8.9483000, 8.9561418, 8.9549500, 8.9765916, 8.8804220, 7.6010365, -15.1569573", \
+					  "8.9196972, 8.9210312, 8.9198265, 8.9401253, 8.8535947, 7.4321901, -15.3071617", \
+					  "8.8852217, 8.8856051, 8.8871047, 8.9021404, 8.8325841, 7.4567428, -15.3851390");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3102953, 5.3001320, 5.3523814, 5.5043037, 6.1030119, 7.3153247, 7.8784185", \
+					  "5.2443612, 5.2522820, 5.3051416, 5.4578532, 6.0557499, 7.2563174, 7.8152401", \
+					  "5.2059616, 5.2136946, 5.2661535, 5.4188499, 6.0165719, 7.2163192, 7.7774823", \
+					  "5.2078084, 5.2216927, 5.2662920, 5.4161370, 6.0123619, 7.2165809, 7.7773494", \
+					  "5.2019044, 5.2121293, 5.2639494, 5.4153942, 6.0130294, 7.2161465, 7.7753857", \
+					  "5.1990462, 5.2101571, 5.2615522, 5.4119614, 6.0084322, 7.2157386, 7.7722691", \
+					  "5.1980225, 5.2066878, 5.2585548, 5.4108474, 6.0057826, 7.2086225, 7.7716261");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2779739, 0.2827104, 0.2786188, 0.2811093, 0.2791943, 0.2791138, 0.2805728", \
+					  "0.4417480, 0.4417645, 0.4417058, 0.4418724, 0.4420482, 0.4423386, 0.4424670", \
+					  "0.6161098, 0.6160465, 0.6162884, 0.6168979, 0.6176670, 0.6168829, 0.6175263", \
+					  "1.0032202, 1.0029450, 1.0021550, 0.9974794, 0.9879877, 0.9840072, 0.9836347", \
+					  "1.5796327, 1.5825784, 1.5939201, 1.6388719, 1.6945222, 1.7130090, 1.7151173", \
+					  "2.1003694, 2.1055227, 2.1271282, 2.2077889, 2.3146308, 2.3505185, 2.3583282", \
+					  "2.3699245, 2.3748058, 2.3995983, 2.4924379, 2.6068409, 2.6471238, 2.6541796");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8298270, 7.8301948, 7.8338125, 7.8559615, 7.9201879, 7.5052412, -1.3546583", \
+					  "7.8276297, 7.8312370, 7.8394822, 7.8582371, 7.9459049, 7.5638069, -1.3628271", \
+					  "7.8326819, 7.8324702, 7.8375738, 7.8480368, 7.9419660, 7.5652448, -1.2690858", \
+					  "7.8289159, 7.8308614, 7.8324755, 7.8437339, 7.9440061, 7.5589426, -0.5598446", \
+					  "7.8264210, 7.8303528, 7.8353184, 7.8452271, 7.9349514, 7.6631150, -0.7556284", \
+					  "7.8279842, 7.8294735, 7.8348355, 7.8471728, 7.9395824, 7.5504475, -1.2738963", \
+					  "7.8275489, 7.8301250, 7.8298483, 7.8500960, 7.9058933, 7.5616765, -0.8031308");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.9518558, 8.9493917, 8.9488426, 8.9729501, 9.0567320, 8.6459668, 0.1836331", \
+					  "8.8774160, 8.8776979, 8.8859162, 8.8997948, 8.9933415, 8.5284461, -0.5158759", \
+					  "8.8160170, 8.8144271, 8.8173892, 8.8360860, 8.9156890, 8.5395194, 0.1718645", \
+					  "8.7757787, 8.7776314, 8.7781119, 8.8020277, 8.8986466, 8.5020784, 0.4025818", \
+					  "8.7423727, 8.7452107, 8.7460243, 8.7697163, 8.8509993, 8.4141196, 0.1227967", \
+					  "8.7073714, 8.7087488, 8.7142747, 8.7287614, 8.8092960, 8.4360529, 0.1081246", \
+					  "8.6717839, 8.6738396, 8.6764078, 8.7077248, 8.7812571, 8.4484573, 0.2795622");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.1552089, 5.1695498, 5.2052244, 5.2902011, 5.4230714, 4.0240351, 5.5937816", \
+					  "5.1500018, 5.1618847, 5.1584078, 5.2291911, 5.3168067, 5.3402797, 5.3315224", \
+					  "5.1043888, 5.1152998, 5.1269311, 5.2156822, 5.2641868, 5.2808687, 5.2808462", \
+					  "5.1017960, 5.1034410, 5.1214340, 5.1834768, 5.2588115, 5.2955950, 5.2890867", \
+					  "5.0956266, 5.1291922, 5.1173605, 5.1935617, 5.3349343, 5.2614952, 5.3122527", \
+					  "5.1086515, 5.0969681, 5.1145682, 5.1732795, 5.2642176, 5.2370236, 5.2964396", \
+					  "5.0999954, 5.1062205, 5.1237984, 5.1758453, 5.2620711, 5.1418421, 5.3030899");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2777172, 0.2777001, 0.2780999, 0.2777102, 0.2777071, 0.2777122, 0.2777120", \
+					  "0.3920057, 0.3919425, 0.3919949, 0.3919476, 0.3920004, 0.3919475, 0.3919448", \
+					  "0.5588464, 0.5587885, 0.5588351, 0.5586820, 0.5588245, 0.5588267, 0.5587999", \
+					  "0.9168689, 0.9169747, 0.9168957, 0.9170751, 0.9170414, 0.9170654, 0.9171697", \
+					  "1.3859943, 1.3859977, 1.3859930, 1.3859909, 1.3859804, 1.3859902, 1.3858233", \
+					  "1.5343780, 1.5343071, 1.5339264, 1.5329976, 1.5322141, 1.5319921, 1.5323946", \
+					  "1.5939813, 1.5937958, 1.5929071, 1.5904311, 1.5882810, 1.5876795, 1.5870247");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4172057, 3.4373343, 3.4400642, 3.4612375, 3.3599360, 3.2992241, 5.4857125", \
+					  "3.4277215, 3.4275745, 3.4401449, 3.4337874, 3.4490246, 2.5478960, 3.5008685", \
+					  "3.4362078, 3.4252938, 3.4297800, 3.4234016, 3.4379818, 3.4547010, 3.4101397", \
+					  "3.4289869, 3.4284480, 3.4283624, 3.4261936, 3.4153966, 3.3723831, 3.4527700", \
+					  "3.4269999, 3.4235534, 3.4280173, 3.4117597, 3.5292174, 3.4508668, 3.3964631", \
+					  "3.4259644, 3.4315810, 3.4260093, 3.4260568, 3.4774888, 3.6143461, 3.4645852", \
+					  "3.4351651, 3.4331326, 3.4277719, 3.4216295, 3.4068303, 2.6324665, 3.4757437");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.3880660, 4.3948006, 4.3935460, 4.4823807, 5.1324562, 6.7162713, 7.1376803", \
+					  "4.3385589, 4.3429438, 4.3551259, 4.4327353, 5.0847411, 6.6583413, 7.0617542", \
+					  "4.3009217, 4.3023381, 4.3156100, 4.3954307, 5.0440699, 6.6297194, 7.0248188", \
+					  "4.2988927, 4.3004123, 4.3142931, 4.3972572, 5.0370809, 6.6137788, 7.0171970", \
+					  "4.2967907, 4.3003634, 4.3122298, 4.3928315, 5.0303242, 6.6027756, 6.9969615", \
+					  "4.2957618, 4.2944044, 4.3113739, 4.3899529, 5.0442599, 6.6310019, 7.0267604", \
+					  "4.2927817, 4.2957368, 4.3086944, 4.3967216, 5.0458820, 6.6322797, 7.0113020");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2998862, 0.2996787, 0.3007890, 0.2998766, 0.2998615, 0.2998445, 0.2997910", \
+					  "0.4435405, 0.4421641, 0.4420702, 0.4423332, 0.4434044, 0.4431061, 0.4423307", \
+					  "0.9909234, 0.9897211, 0.9907823, 0.9906408, 0.9906805, 0.9904590, 0.9902777", \
+					  "1.2301104, 1.2304817, 1.2304897, 1.2299435, 1.2300852, 1.2302426, 1.2294334", \
+					  "1.4484821, 1.4499432, 1.4485776, 1.4498077, 1.4486584, 1.4489694, 1.4492929", \
+					  "1.6300451, 1.6304891, 1.6301626, 1.6290398, 1.6297415, 1.6298571, 1.6282815", \
+					  "2.0107179, 2.0104139, 2.0103723, 2.0103744, 2.0086306, 2.0069681, 2.0061686");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.8252584, 4.8225375, 4.8361030, 4.9262466, 5.0382933, 6.2843660, 7.6016200", \
+					  "4.7794727, 4.7819277, 4.8026525, 4.8801231, 4.9956357, 6.2277400, 7.6471150", \
+					  "4.7384871, 4.7309813, 4.7600063, 4.8407694, 4.9584782, 6.1855691, 7.6111935", \
+					  "4.7345481, 4.7409818, 4.7559065, 4.8374502, 4.9533653, 6.1863837, 7.6041763", \
+					  "4.7243975, 4.7268916, 4.7542521, 4.8347588, 4.9523345, 6.1870113, 7.6045285", \
+					  "4.7291456, 4.7201623, 4.7544249, 4.8330100, 4.9492373, 6.1748628, 7.5780191", \
+					  "4.7183992, 4.7330589, 4.7578646, 4.8326233, 4.9475553, 6.1960340, 7.6029555");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2998643, 0.2998592, 0.2996528, 0.2998709, 0.2998556, 0.2996691, 0.2996827", \
+					  "0.4429197, 0.4429153, 0.4416926, 0.4429039, 0.4429041, 0.4422797, 0.4423628", \
+					  "1.0077688, 1.0077607, 1.0076959, 1.0074862, 1.0070581, 1.0066768, 1.0064707", \
+					  "1.2817337, 1.2817375, 1.2814235, 1.2820011, 1.2818284, 1.2808304, 1.2800365", \
+					  "1.5093130, 1.5093100, 1.5092734, 1.5093644, 1.5098614, 1.5099161, 1.5098027", \
+					  "1.6897451, 1.6897388, 1.6893086, 1.6896716, 1.6895944, 1.6904314, 1.6902456", \
+					  "2.0704219, 2.0704041, 2.0701569, 2.0697302, 2.0684846, 2.0675154, 2.0665363");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5856517, 7.5667831, 7.5883162, 7.5635279, 7.2548599, 5.8576602, -18.0744048", \
+					  "7.5958992, 7.5963459, 7.5882257, 7.5373220, 7.3476785, 5.8012675, -18.0953479", \
+					  "7.5970561, 7.6131726, 7.5892091, 7.5391909, 7.3463799, 5.7967410, -17.8610239", \
+					  "7.5957725, 7.5853078, 7.5886558, 7.5354935, 7.3413476, 5.8039814, -18.1189948", \
+					  "7.5914649, 7.6308856, 7.5884751, 7.5488913, 7.3515023, 5.8537459, -17.9446809", \
+					  "7.5908917, 7.6274606, 7.5861386, 7.5359556, 7.3356783, 5.8038030, -17.9779807", \
+					  "7.5871709, 7.5885994, 7.5861864, 7.5351977, 7.3435194, 5.8982254, -18.0458656");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2804393, 0.2808763, 0.2804435, 0.2808737, 0.2808724, 0.2808490, 0.2804655", \
+					  "0.4419946, 0.4420615, 0.4420689, 0.4420761, 0.4420088, 0.4420124, 0.4420886", \
+					  "0.6161804, 0.6161738, 0.6161855, 0.6163060, 0.6162278, 0.6162353, 0.6162393", \
+					  "0.9860044, 0.9859614, 0.9857532, 0.9851844, 0.9847142, 0.9845803, 0.9845581", \
+					  "1.3298174, 1.3298275, 1.3299123, 1.3301629, 1.3303134, 1.3303535, 1.3303698", \
+					  "1.4646965, 1.4648509, 1.4657250, 1.4680993, 1.4701522, 1.4707251, 1.4708348", \
+					  "1.4781043, 1.4783315, 1.4795896, 1.4832395, 1.4864097, 1.4873511, 1.4875293");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2776970, 0.2774218, 0.2776889, 0.2755695, 0.2735284, 0.2758706, 0.2729552", \
+					  "0.3919970, 0.3919347, 0.3919450, 0.3916514, 0.3917346, 0.3918316, 0.3921552", \
+					  "0.5588305, 0.5592406, 0.5588057, 0.5583554, 0.5585663, 0.5580924, 0.5585652", \
+					  "0.9164701, 0.9162935, 0.9166001, 0.9167529, 0.9163561, 0.9168203, 0.9166247", \
+					  "1.3834970, 1.3820024, 1.3833541, 1.3827075, 1.3821619, 1.3819069, 1.3831422", \
+					  "1.5320696, 1.5302473, 1.5321230, 1.5291927, 1.5277050, 1.5299863, 1.5285742", \
+					  "1.5929030, 1.5906543, 1.5913209, 1.5883538, 1.5866671, 1.5855890, 1.5847941");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3993352, 3.4120553, 3.4321967, 3.4264340, 3.4540228, 3.0616477, 2.0160121", \
+					  "3.4475574, 3.4252172, 3.4285613, 3.4238615, 3.4271109, 3.3860673, 3.4384679", \
+					  "3.4334834, 3.4390770, 3.4348814, 3.4249722, 3.4372514, 3.4155895, 3.4418981", \
+					  "3.4305694, 3.4336513, 3.4256775, 3.4240917, 3.4173532, 3.5156374, 3.4143725", \
+					  "3.4163053, 3.4189291, 3.4221350, 3.4222324, 3.4254204, 3.4959718, 3.4522045", \
+					  "3.4555001, 3.4244238, 3.4237566, 3.4287539, 3.4645577, 3.4335393, 3.4274741", \
+					  "3.4215959, 3.4405531, 3.4282787, 3.4337422, 3.4444634, 3.3901577, 3.4551755");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2821130, 0.2834342, 0.2833780, 0.2805148, 0.2805680, 0.2797149, 0.2804210", \
+					  "0.4417966, 0.4426065, 0.4417858, 0.4426870, 0.4427609, 0.4424955, 0.4425082", \
+					  "0.6158693, 0.6157023, 0.6149564, 0.6167807, 0.6175477, 0.6177194, 0.6174018", \
+					  "1.0030712, 1.0025220, 1.0017321, 0.9974306, 0.9881925, 0.9835321, 0.9828228", \
+					  "1.5804797, 1.5827513, 1.5940662, 1.6385721, 1.6951818, 1.7122625, 1.7152767", \
+					  "2.1031962, 2.1074105, 2.1285773, 2.2108236, 2.3171190, 2.3541686, 2.3612374", \
+					  "2.3740176, 2.3782870, 2.4039670, 2.4954444, 2.6111313, 2.6500835, 2.6572706");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.5479856, 9.5549835, 9.5880499, 9.7032963, 9.6010074, 7.7779761, -0.1497224", \
+					  "9.5459210, 9.5536778, 9.5781201, 9.7148761, 9.6216295, 7.7717274, 0.2246465", \
+					  "9.5498152, 9.5571453, 9.5877311, 9.7034233, 9.6121664, 7.5631232, 0.2281549", \
+					  "9.5518085, 9.5539475, 9.5841646, 9.7093726, 9.6151381, 7.6790262, 0.2243143", \
+					  "9.5474740, 9.5498003, 9.5742763, 9.7011844, 9.6232632, 7.5870569, 0.6050913", \
+					  "9.5350267, 9.5503079, 9.5890876, 9.7065822, 9.6049864, 7.8505417, 0.2473943", \
+					  "9.5448826, 9.5528381, 9.5882655, 9.7052994, 9.6088219, 7.8257725, 0.2014571");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7314731, 8.7285978, 8.6994414, 8.6641242, 8.4501782, 6.7565867, -15.5508995", \
+					  "8.6451678, 8.6508846, 8.6370536, 8.5885135, 8.3780742, 6.5939284, -15.4217539", \
+					  "8.5788838, 8.5798561, 8.5742772, 8.4907260, 8.3237771, 5.8654649, -16.1410513", \
+					  "8.5421288, 8.5435123, 8.5381476, 8.5068645, 8.2865746, 5.8839067, -15.8933636", \
+					  "8.5084088, 8.5104115, 8.5042186, 8.4653755, 8.2548182, 6.5830752, -15.5580313", \
+					  "8.4759081, 8.4749922, 8.4653445, 8.4345957, 8.2141687, 6.5793380, -15.9632847", \
+					  "8.4418472, 8.4421603, 8.4318543, 8.3995182, 8.1776401, 6.5123485, -16.2302960");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1282630, 4.1901228, 4.1897390, 4.1398449, 4.1675397, 6.2141509, 4.0296123", \
+					  "4.0812361, 4.1094288, 4.1043196, 4.1019942, 4.0954951, 4.1072600, 4.1046986", \
+					  "4.0593385, 4.0490893, 4.0664812, 4.0554471, 4.0777287, 5.2233626, 4.0985940", \
+					  "4.0495713, 4.0535130, 4.0655433, 4.0587285, 4.0584730, 3.9918766, 4.0918457", \
+					  "4.0450949, 4.0444470, 4.0462385, 4.0499734, 4.0660656, 3.5075601, 4.0382358", \
+					  "4.0468099, 4.0620208, 4.0520015, 4.0519499, 4.0538334, 4.3562499, 4.0614935", \
+					  "4.0555288, 4.0506365, 4.0493714, 4.0516643, 4.0518998, 4.0361671, 4.0677369");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1040788, 4.1795240, 4.1123868, 4.1297613, 4.1246556, 3.8275252, 4.0490863", \
+					  "4.0857433, 4.1117069, 4.0896382, 4.0924615, 4.1016208, 4.1109536, 4.1073163", \
+					  "4.0632078, 4.0657279, 4.0338138, 4.0937771, 4.0665775, 3.9774881, 4.0935420", \
+					  "4.0553210, 4.0531574, 4.0639344, 4.0583278, 4.0871649, 4.0498762, 4.0179195", \
+					  "4.0481290, 4.0445888, 4.0466297, 4.0561490, 4.0175146, 3.4990885, 4.0714649", \
+					  "4.0607376, 4.0537731, 4.0496600, 4.0525757, 4.0436913, 4.2319279, 4.0561505", \
+					  "4.0547959, 4.0478353, 4.0493068, 4.0497192, 4.0003685, 4.0655004, 4.0642024");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.6957395, 8.7284963, 8.7008682, 8.6582799, 8.4467070, 6.8824535, -16.0032655", \
+					  "8.6468548, 8.6457099, 8.6371542, 8.5998343, 8.3904349, 6.8462084, -15.4259909", \
+					  "8.5786973, 8.5785559, 8.5732694, 8.5449665, 8.3287549, 6.7102904, -15.8129403", \
+					  "8.5438479, 8.5419970, 8.5370175, 8.5076703, 8.2911161, 6.7949574, -16.2992576", \
+					  "8.5077192, 8.5101149, 8.5033330, 8.4685952, 8.2612601, 6.7552357, -16.0587593", \
+					  "8.4766185, 8.4754744, 8.4652942, 8.4238560, 8.2160613, 6.6044316, -15.9884767", \
+					  "8.4421542, 8.4423945, 8.4315716, 8.3930225, 8.1809335, 6.6180617, -16.4485870");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.8594558, 8.8644275, 8.8636746, 8.8796653, 8.9519079, 8.5495136, 0.5112115", \
+					  "8.7907996, 8.7909774, 8.7928986, 8.8128739, 8.8890760, 8.6233961, -0.5486525", \
+					  "8.7272200, 8.7265157, 8.7291698, 8.7479924, 8.8328169, 8.4937566, -0.1818096", \
+					  "8.6909846, 8.6901640, 8.6905734, 8.7073395, 8.7996815, 8.4297317, -0.7412494", \
+					  "8.6548897, 8.6563686, 8.6560927, 8.6779459, 8.7559183, 8.4025967, 0.1757361", \
+					  "8.6201790, 8.6208451, 8.6231064, 8.6432829, 8.7316497, 8.3218924, -0.7452326", \
+					  "8.5849610, 8.5867417, 8.5876594, 8.6059225, 8.6815678, 8.3285177, -0.7766064");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3631283, 0.3625337, 0.3625673, 0.3624345, 0.3609690, 0.3606568, 0.3604409", \
+					  "0.4261413, 0.4261634, 0.4264651, 0.4268688, 0.4274267, 0.4267497, 0.4264954", \
+					  "0.6485366, 0.6485084, 0.6478524, 0.6444182, 0.6412616, 0.6391075, 0.6388609", \
+					  "1.2112052, 1.2123366, 1.2176065, 1.2361984, 1.2511912, 1.2526238, 1.2520242", \
+					  "1.6946036, 1.6968551, 1.7145178, 1.7854897, 1.8818564, 1.9145494, 1.9203332", \
+					  "2.1458975, 2.1497955, 2.1732706, 2.2590652, 2.3699071, 2.4070274, 2.4139635", \
+					  "2.4045404, 2.4069849, 2.4331972, 2.5263835, 2.6432555, 2.6823211, 2.6899721");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.1205335, 11.1340355, 11.1730295, 11.3394415, 11.3840765, 9.7987075, 2.2526619", \
+					  "11.0471951, 11.0590611, 11.1004641, 11.2765911, 11.3048131, 9.5842976, 2.1796493", \
+					  "10.9877447, 10.9952767, 11.0383397, 11.2059137, 11.2538017, 9.6705367, 2.0880674", \
+					  "10.9528324, 10.9591474, 11.0035714, 11.1718934, 11.2199514, 9.3546464, 2.0336608", \
+					  "10.9173327, 10.9247427, 10.9688267, 11.1383097, 11.1801427, 9.6078357, 2.0159997", \
+					  "10.8775863, 10.8895603, 10.9333993, 11.1013483, 11.1301153, 9.2862157, 2.1630579", \
+					  "10.8489400, 10.8554170, 10.8998470, 11.0721940, 11.1151660, 9.3832886, 1.9568413");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3658598, 6.3661421, 6.4193105, 6.6381251, 7.3103139, 8.5490913, 9.1025045", \
+					  "6.3107877, 6.3196612, 6.3948138, 6.5976559, 7.2696435, 8.4956732, 9.0596387", \
+					  "6.2699239, 6.2911149, 6.3448926, 6.5564322, 7.2327080, 8.4568052, 9.0213415", \
+					  "6.2680237, 6.2768014, 6.3369131, 6.5533532, 7.2258678, 8.4518697, 9.0180123", \
+					  "6.2790684, 6.2906338, 6.3326540, 6.5528186, 7.2307537, 8.4559447, 9.0167573", \
+					  "6.2562162, 6.2751702, 6.3272353, 6.5503012, 7.2280612, 8.4520586, 9.0117524", \
+					  "6.2687480, 6.2887077, 6.3404237, 6.5450934, 7.2233928, 8.4495734, 9.0156640");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2777182, 0.2776971, 0.2806587, 0.2777097, 0.2777014, 0.2769558, 0.2794938", \
+					  "0.3920150, 0.3920051, 0.3922972, 0.3920099, 0.3920063, 0.3916685, 0.3916496", \
+					  "0.5587512, 0.5587428, 0.5587396, 0.5587471, 0.5587427, 0.5585019, 0.5585595", \
+					  "0.9180137, 0.9180136, 0.9200654, 0.9180609, 0.9181352, 0.9179241, 0.9176912", \
+					  "1.3638941, 1.3638761, 1.3634289, 1.3636953, 1.3627904, 1.3602986, 1.3600646", \
+					  "1.5379606, 1.5379538, 1.5381791, 1.5380966, 1.5387590, 1.5383633, 1.5379774", \
+					  "1.6055052, 1.6054931, 1.6053807, 1.6054746, 1.6057715, 1.6054388, 1.6051787");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.3089325, 4.3170146, 4.3489664, 4.4254057, 4.5442187, 5.7651257, 7.1443319", \
+					  "4.3172918, 4.3235607, 4.3399157, 4.4217478, 4.5402203, 5.7723224, 7.1947583", \
+					  "4.3097551, 4.3124049, 4.3389431, 4.4230408, 4.5362302, 5.7713368, 7.1940911", \
+					  "4.3078579, 4.3123283, 4.3347951, 4.4214710, 4.5393355, 5.7706886, 7.1930582", \
+					  "4.3147812, 4.3251536, 4.3381402, 4.4195833, 4.5362369, 5.7581961, 7.1907437", \
+					  "4.3192067, 4.3188693, 4.3460360, 4.4159523, 4.5366821, 5.7793178, 7.1909816", \
+					  "4.3179973, 4.3230380, 4.3467660, 4.4225773, 4.5376142, 5.7617274, 7.1896997");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2776906, 0.2781990, 0.2780382, 0.2781183, 0.2781415, 0.2776998, 0.2776986", \
+					  "0.3920039, 0.3919456, 0.3919477, 0.3920073, 0.3920131, 0.3920062, 0.3920045", \
+					  "0.5587803, 0.5587537, 0.5587552, 0.5587820, 0.5587841, 0.5587756, 0.5587739", \
+					  "0.9220912, 0.9221952, 0.9221430, 0.9221643, 0.9222552, 0.9223484, 0.9223707", \
+					  "1.4333447, 1.4331146, 1.4330928, 1.4331727, 1.4323557, 1.4316607, 1.4314845", \
+					  "1.6465069, 1.6469305, 1.6468973, 1.6463104, 1.6456426, 1.6439624, 1.6432503", \
+					  "1.7323657, 1.7313774, 1.7292135, 1.7223615, 1.7134166, 1.7082961, 1.7065843");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4704942, 3.4732065, 3.4772644, 3.5356162, 3.8888631, 5.3322181, 5.8786443", \
+					  "3.4676772, 3.4693746, 3.4761528, 3.5318082, 3.8600087, 5.2501723, 5.9445370", \
+					  "3.4683234, 3.4706950, 3.4772817, 3.5330479, 3.8646463, 5.2549712, 5.9517906", \
+					  "3.4680342, 3.4686325, 3.4755954, 3.5317127, 3.8563978, 5.2548217, 5.9649412", \
+					  "3.4660578, 3.4672392, 3.4745410, 3.5306168, 3.8669086, 5.2555109, 5.9493062", \
+					  "3.4648214, 3.4667053, 3.4768658, 3.5294899, 3.8546990, 5.2459164, 5.9784394", \
+					  "3.4662557, 3.4645669, 3.4738206, 3.5289943, 3.8694993, 5.2598463, 5.9607006");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8869437, 3.8894395, 3.8927038, 3.9834364, 4.6199623, 6.2080440, 6.6188365", \
+					  "3.8834887, 3.8862226, 3.8990990, 3.9810035, 4.6185218, 6.2013260, 6.6050759", \
+					  "3.8842877, 3.8863625, 3.9000893, 3.9810163, 4.6242028, 6.2031629, 6.6123169", \
+					  "3.8802733, 3.8871050, 3.8972918, 3.9791538, 4.6336294, 6.1993552, 6.6051882", \
+					  "3.8805899, 3.8847992, 3.8989780, 3.9783967, 4.5788166, 6.1799433, 6.6051072", \
+					  "3.8781199, 3.8844161, 3.8956536, 3.9786146, 4.6354329, 6.1979868, 6.6095279", \
+					  "3.8803569, 3.8802359, 3.8955982, 3.9763197, 4.6186956, 6.1904001, 6.6015162");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2776985, 0.2809305, 0.2801386, 0.2737089, 0.2777012, 0.2758362, 0.2774173", \
+					  "0.3919970, 0.3936066, 0.3918304, 0.3921529, 0.3919999, 0.3916616, 0.3914371", \
+					  "0.5587973, 0.5586340, 0.5583243, 0.5593622, 0.5587984, 0.5590576, 0.5583090", \
+					  "0.9133701, 0.9175908, 0.9151903, 0.9132954, 0.9134078, 0.9134598, 0.9133993", \
+					  "1.3282917, 1.3272954, 1.3273540, 1.3278510, 1.3279395, 1.3266961, 1.3274237", \
+					  "1.4791594, 1.4833337, 1.4795695, 1.4786978, 1.4793301, 1.4794450, 1.4791930", \
+					  "1.5453307, 1.5447581, 1.5449380, 1.5453816, 1.5453781, 1.5449529, 1.5460756");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2810365, 0.2792307, 0.2808736, 0.2811210, 0.2807976, 0.2808000, 0.2808410", \
+					  "0.4419769, 0.4419800, 0.4421286, 0.4421023, 0.4421949, 0.4420735, 0.4423460", \
+					  "0.6156988, 0.6159955, 0.6157514, 0.6159548, 0.6166102, 0.6170013, 0.6170184", \
+					  "1.0033961, 1.0033137, 1.0021409, 0.9974275, 0.9878682, 0.9842099, 0.9828789", \
+					  "1.5800113, 1.5824999, 1.5938239, 1.6393426, 1.6950295, 1.7129183, 1.7157276", \
+					  "2.1013903, 2.1052336, 2.1254373, 2.2083358, 2.3139451, 2.3504786, 2.3572015", \
+					  "2.3697808, 2.3743826, 2.3996744, 2.4933757, 2.6073670, 2.6455320, 2.6543624");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8380513, 7.8330076, 7.8436718, 7.8576364, 7.9362558, 7.7843105, -0.9732342", \
+					  "7.8316777, 7.8327124, 7.8368315, 7.8612807, 7.9284640, 7.5211413, -0.6111398", \
+					  "7.8325665, 7.8312204, 7.8344201, 7.8492068, 7.9375034, 7.5068063, -0.7572572", \
+					  "7.8297789, 7.8301614, 7.8303236, 7.8515488, 7.9287457, 7.6713293, -1.5331381", \
+					  "7.8294446, 7.8294967, 7.8349619, 7.8443919, 7.9239619, 7.4792291, -0.6303018", \
+					  "7.8293078, 7.8290086, 7.8313392, 7.8534326, 7.9367826, 7.4950431, -0.4593296", \
+					  "7.8267787, 7.8292689, 7.8311935, 7.8450392, 7.9267733, 7.4976192, -0.8011908");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.5771205, 10.5842195, 10.6158645, 10.7309825, 10.6541535, 8.8618940, 1.2419405", \
+					  "10.5060571, 10.5122041, 10.5463591, 10.6747421, 10.5786131, 8.5359999, 1.1653290", \
+					  "10.4422777, 10.4491897, 10.4822327, 10.6085397, 10.5146287, 8.7504271, 0.9965137", \
+					  "10.4061244, 10.4129804, 10.4459824, 10.5685564, 10.4800424, 8.7106780, 1.0835164", \
+					  "10.3717067, 10.3778827, 10.4103737, 10.5319077, 10.4511357, 8.4421519, 1.0273081", \
+					  "10.3381733, 10.3434203, 10.3766543, 10.5003733, 10.4162043, 8.6466778, 1.0091675", \
+					  "10.3021460, 10.3083250, 10.3405080, 10.4636670, 10.3814300, 8.6068090, 0.9333113");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3632169, 0.3631903, 0.3631950, 0.3631346, 0.3607945, 0.3612172, 0.3610190", \
+					  "0.4274217, 0.4270003, 0.4265216, 0.4279470, 0.4273560, 0.4267957, 0.4264252", \
+					  "0.6487787, 0.6487086, 0.6482968, 0.6447070, 0.6409542, 0.6388015, 0.6383253", \
+					  "1.2116096, 1.2127471, 1.2182919, 1.2360247, 1.2510056, 1.2520216, 1.2519209", \
+					  "1.6946222, 1.6973954, 1.7153901, 1.7860697, 1.8820177, 1.9149412, 1.9207771", \
+					  "2.1492583, 2.1526677, 2.1783668, 2.2616738, 2.3731671, 2.4093947, 2.4167512", \
+					  "2.4094684, 2.4114147, 2.4363854, 2.5290305, 2.6453504, 2.6873754, 2.6943219");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.5503570, 9.5564797, 9.5891263, 9.7049607, 9.6288567, 7.8377668, 0.2446487", \
+					  "9.5473808, 9.5533239, 9.5860235, 9.7149186, 9.6261493, 7.8483752, 0.1874854", \
+					  "9.5483494, 9.5545901, 9.5873894, 9.7160260, 9.6270425, 7.8502936, 0.2322263", \
+					  "9.5477927, 9.5539882, 9.5886801, 9.7166324, 9.6040177, 7.8373805, 0.1925447", \
+					  "9.5461305, 9.5515671, 9.5847359, 9.7092387, 9.6231236, 7.8557109, 0.2308618", \
+					  "9.5457282, 9.5505898, 9.5844611, 9.7080732, 9.6182023, 7.5724950, 0.1418132", \
+					  "9.5460536, 9.5520609, 9.5841689, 9.7206561, 9.6164339, 7.5652804, 0.2330558");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2810096, 0.2808569, 0.2804239, 0.2794292, 0.2807258, 0.2814219, 0.2805284", \
+					  "0.4417715, 0.4421281, 0.4420700, 0.4420022, 0.4431446, 0.4420547, 0.4425168", \
+					  "0.6155391, 0.6161076, 0.6160665, 0.6159502, 0.6163181, 0.6176840, 0.6176399", \
+					  "1.0030294, 1.0028992, 1.0017951, 0.9968599, 0.9887495, 0.9835111, 0.9832555", \
+					  "1.5804819, 1.5834842, 1.5939704, 1.6393472, 1.6958077, 1.7121456, 1.7152477", \
+					  "2.1035004, 2.1073851, 2.1285856, 2.2108394, 2.3169785, 2.3537783, 2.3605387", \
+					  "2.3739419, 2.3793903, 2.4036508, 2.4959724, 2.6111162, 2.6500208, 2.6572776");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8265805, 7.8367035, 7.8406768, 7.8499420, 7.9270400, 7.5061987, -1.7028862", \
+					  "7.8336370, 7.8323412, 7.8309473, 7.8502921, 7.9346933, 7.5498501, -0.2949152", \
+					  "7.8328579, 7.8321588, 7.8372381, 7.8503700, 7.9360592, 7.5510556, -0.2943137", \
+					  "7.8307183, 7.8304980, 7.8325250, 7.8503232, 7.9354210, 7.5637426, -0.7928637", \
+					  "7.8296970, 7.8302669, 7.8346523, 7.8513967, 7.9368093, 7.6783840, -1.5274424", \
+					  "7.8290970, 7.8288837, 7.8336654, 7.8481443, 7.9330425, 7.6020512, -0.7810114", \
+					  "7.8264109, 7.8289132, 7.8319932, 7.8493188, 7.9284907, 7.5161235, -0.2922054");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2804470, 0.2821669, 0.2806863, 0.2829609, 0.2808584, 0.2804072, 0.2804083", \
+					  "0.4420455, 0.4422213, 0.4420022, 0.4427540, 0.4422900, 0.4424154, 0.4424294", \
+					  "0.6159897, 0.6159999, 0.6157139, 0.6161532, 0.6168614, 0.6172772, 0.6173583", \
+					  "1.0033358, 1.0032379, 1.0024049, 0.9979420, 0.9873871, 0.9836321, 0.9829246", \
+					  "1.5804677, 1.5824755, 1.5938438, 1.6389194, 1.6945693, 1.7134617, 1.7166088", \
+					  "2.1007439, 2.1051139, 2.1270495, 2.2071985, 2.3142233, 2.3506623, 2.3575079", \
+					  "2.3699588, 2.3742850, 2.3997128, 2.4926415, 2.6064415, 2.6468883, 2.6536147");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2788181, 0.2794716, 0.2808579, 0.2802438, 0.2816652, 0.2806545, 0.2803723", \
+					  "0.4419745, 0.4415586, 0.4417497, 0.4417308, 0.4419833, 0.4418948, 0.4418762", \
+					  "0.6155387, 0.6162490, 0.6163249, 0.6159921, 0.6160925, 0.6159924, 0.6159930", \
+					  "0.9861379, 0.9859410, 0.9862713, 0.9851408, 0.9846097, 0.9849432, 0.9848942", \
+					  "1.3292706, 1.3286676, 1.3287053, 1.3304689, 1.3300256, 1.3291172, 1.3292486", \
+					  "1.4645939, 1.4635910, 1.4654731, 1.4679628, 1.4703164, 1.4704933, 1.4705884", \
+					  "1.4786031, 1.4782964, 1.4783629, 1.4808958, 1.4853412, 1.4857390, 1.4866146");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5862467, 7.6133136, 7.5896545, 7.5430738, 7.3910183, 5.6687727, -18.9169078", \
+					  "7.5919111, 7.6025476, 7.5898780, 7.4914316, 7.2834591, 5.9227654, -18.0650979", \
+					  "7.5933820, 7.6032924, 7.5874960, 7.5435165, 7.3547673, 3.9499566, -18.0192009", \
+					  "7.5907999, 7.6038260, 7.5891233, 7.5715983, 7.4300787, 5.5272006, -17.9603958", \
+					  "7.5912281, 7.5945882, 7.5712753, 7.5324765, 7.2244390, 5.9554194, -18.0934739", \
+					  "7.5914807, 7.5911892, 7.5736096, 7.5461185, 7.2434344, 5.9693559, -17.9805447", \
+					  "7.5888709, 7.5914391, 7.5847501, 7.5320370, 7.4496316, 5.9074607, -17.8603626");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.1973856, 5.1940090, 5.1970712, 5.2571755, 5.4612184, 5.2376564, 5.4751591", \
+					  "5.1496115, 5.1663720, 5.1780258, 5.2429782, 5.2778240, 5.3414762, 5.3568338", \
+					  "5.1052111, 5.1023457, 5.1162634, 5.1954930, 5.2437235, 5.1620202, 5.3257473", \
+					  "5.1106409, 5.1014551, 5.1170906, 5.2149182, 5.2139103, 5.2282812, 5.2912721", \
+					  "5.1114086, 5.1193086, 5.1200671, 5.2269204, 5.3211861, 5.3089008, 5.2966567", \
+					  "5.1155396, 5.1040488, 5.1257229, 5.1802791, 5.2585797, 5.4172349, 5.4423910", \
+					  "5.1181762, 5.1251307, 5.1397475, 5.1871366, 5.1808604, 5.2238343, 5.2312381");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.6658125, 10.6722635, 10.7061515, 10.8239625, 10.7388255, 8.8052604, 1.1413250", \
+					  "10.5966721, 10.5942061, 10.6351051, 10.7536761, 10.6666601, 8.6482313, 1.2658920", \
+					  "10.5311417, 10.5350157, 10.5696637, 10.6901387, 10.6044757, 8.5581616, 1.2176396", \
+					  "10.4960724, 10.4994254, 10.5352024, 10.6498484, 10.5696754, 8.5249941, 1.5335344", \
+					  "10.4605837, 10.4641737, 10.4998847, 10.6259307, 10.5090767, 8.7486550, 1.1272881", \
+					  "10.4251173, 10.4295333, 10.4637423, 10.5909103, 10.4855253, 8.4529118, 1.0500300", \
+					  "10.3926660, 10.3930570, 10.4314950, 10.5616960, 10.4679240, 8.7038806, 1.0759879");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.3923759, 9.3947759, 9.4082763, 9.4657928, 9.6981955, 9.5964921, 1.2054224", \
+					  "9.3203317, 9.3235756, 9.3383676, 9.3994238, 9.6310554, 9.3321096, 1.3969388", \
+					  "9.2577496, 9.2591877, 9.2692664, 9.3331615, 9.5455342, 9.4472898, 1.0796200", \
+					  "9.2187594, 9.2217284, 9.2331386, 9.2990381, 9.5276781, 9.3300749, 1.5754054", \
+					  "9.1865746, 9.1870968, 9.2033130, 9.2597626, 9.4779752, 9.4363878, 1.0053943", \
+					  "9.1491153, 9.1539361, 9.1648449, 9.2272811, 9.4282592, 9.3357186, 1.0296306", \
+					  "9.1185351, 9.1177553, 9.1264411, 9.1957459, 9.4215305, 9.2916787, 0.3019820");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.2321807, 5.2307578, 5.2590160, 5.3725138, 5.7819983, 7.2216765, 7.9230833", \
+					  "5.1823973, 5.1920567, 5.2155149, 5.3274527, 5.7413977, 7.1057442, 7.8701909", \
+					  "5.1474125, 5.1499838, 5.1728818, 5.2910290, 5.6984301, 7.1087907, 7.8293384", \
+					  "5.1450969, 5.1491527, 5.1736170, 5.2861919, 5.6980578, 7.0987334, 7.8343949", \
+					  "5.1424632, 5.1472233, 5.1711625, 5.2850241, 5.6859582, 7.0762358, 7.8445336", \
+					  "5.1400387, 5.1442120, 5.1713158, 5.2824435, 5.6866626, 7.0825500, 7.8366030", \
+					  "5.1397358, 5.1444646, 5.1659895, 5.2798329, 5.6944279, 7.1047299, 7.8108447");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.1504253, 9.1389137, 9.1613107, 9.1399111, 9.0893058, 7.7071475, -14.9942545", \
+					  "9.0817136, 9.0838455, 9.0839409, 9.0861822, 9.0184430, 7.7409328, -14.9495889", \
+					  "9.0029057, 9.0133834, 9.0204360, 9.0210839, 8.9478456, 7.6193059, -15.3608513", \
+					  "8.9778124, 8.9902929, 8.9783441, 8.9859882, 8.9435985, 7.6596325, -14.7241876", \
+					  "8.9340423, 8.9626227, 8.9391432, 8.9505967, 8.8803595, 7.6091698, -14.8731713", \
+					  "8.8986342, 8.9201647, 8.9025911, 8.9468266, 8.8472318, 7.6405139, -14.9524377", \
+					  "8.8677981, 8.8855652, 8.8845096, 8.9197253, 8.8249184, 7.5305232, -15.1696020");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1800271, 4.1819284, 4.1964814, 4.2393200, 4.5658201, 5.9283348, 6.6708281", \
+					  "4.1347865, 4.1356799, 4.1438795, 4.1983269, 4.5285506, 5.9198265, 6.6166142", \
+					  "4.0955057, 4.0965904, 4.1035839, 4.1580911, 4.4887524, 5.8753975, 6.5822774", \
+					  "4.0947804, 4.0939347, 4.1008081, 4.1570097, 4.4847982, 5.8715427, 6.5695417", \
+					  "4.0907654, 4.0937036, 4.1051242, 4.1547611, 4.4874433, 5.8883947, 6.5702001", \
+					  "4.0896897, 4.0908328, 4.0952096, 4.1543719, 4.4841068, 5.8636834, 6.5885805", \
+					  "4.0875531, 4.0898980, 4.0990790, 4.1514096, 4.4873522, 5.8663446, 6.5747615");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.0716722, 4.0876382, 4.1060959, 4.1605179, 4.4120863, 5.1358548, 5.6335587", \
+					  "4.2477131, 4.2470694, 4.2627223, 4.3144319, 4.5794905, 5.2743565, 5.8213295", \
+					  "4.4201841, 4.4193180, 4.4331686, 4.4929285, 4.7596658, 5.5796251, 5.9881177", \
+					  "4.7988185, 4.8009689, 4.8122008, 4.8666487, 5.1244521, 5.9274709, 6.3615852", \
+					  "5.2799093, 5.2822884, 5.3032157, 5.3869030, 5.6840829, 6.5058161, 6.9284648", \
+					  "5.6506846, 5.6504115, 5.6781295, 5.7885241, 6.1265926, 6.9838088, 7.3839916", \
+					  "5.8148615, 5.8238728, 5.8480645, 5.9602121, 6.2959862, 7.0819237, 7.5592529");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.5274080, 8.5328819, 8.5415580, 8.5709539, 8.4872315, 7.1904125, -7.3981593", \
+					  "8.6412595, 8.6476440, 8.6523384, 8.6737690, 8.5778610, 7.3429753, -6.8701000", \
+					  "8.8121470, 8.8130782, 8.8197131, 8.8435141, 8.7533756, 7.2888362, -6.6927117", \
+					  "9.1734476, 9.1747152, 9.1783044, 9.2129560, 9.1264582, 7.8115131, -6.3493822", \
+					  "9.6477319, 9.6526709, 9.6550608, 9.6791432, 9.5752573, 8.2453362, -5.8719482", \
+					  "9.8238496, 9.8295454, 9.8320031, 9.8640472, 9.7512893, 8.4386754, -5.7182782", \
+					  "9.8955830, 9.8996745, 9.9037204, 9.9285527, 9.8418366, 8.5217680, -5.5981244");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.9848699, 15.9919675, 16.0067283, 16.0708458, 16.0215754, 13.9967092, -9.1079013", \
+					  "15.9141893, 15.9189868, 15.9398896, 16.0118665, 15.9668806, 13.8398297, -9.1387656", \
+					  "15.9893101, 15.9966689, 16.0168827, 16.0896171, 16.0373676, 13.8818429, -9.1235592", \
+					  "16.0154832, 16.0243252, 16.0397594, 16.1225764, 16.0752960, 13.8825550, -8.9297852", \
+					  "16.0316209, 16.0423366, 16.0573351, 16.1373173, 16.0767712, 14.0931916, -8.8688834", \
+					  "16.0346985, 16.0429890, 16.0580916, 16.1426787, 16.0752404, 14.0093719, -9.0463426", \
+					  "16.1061988, 16.1119464, 16.1296546, 16.2169102, 16.1570111, 14.1591319, -9.2316401");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.2688281, 8.2925380, 8.3145037, 8.4509070, 8.9716904, 10.3400510, 11.0305390", \
+					  "8.2184200, 8.2378512, 8.2667510, 8.4025980, 8.8870413, 10.2361929, 10.9706408", \
+					  "8.2312790, 8.2357305, 8.2671278, 8.4183545, 8.8955738, 10.3990416, 10.9870613", \
+					  "8.4148824, 8.4199376, 8.4586218, 8.6058456, 9.0886814, 10.4181939, 11.1691235", \
+					  "8.5708124, 8.5837887, 8.6165959, 8.7889587, 9.3172363, 10.4721740, 11.3946812", \
+					  "8.7227988, 8.7257021, 8.7691169, 8.9334774, 9.4606927, 10.9131256, 11.5835290", \
+					  "8.8079576, 8.8177961, 8.8604021, 9.0227179, 9.5313664, 10.8824374, 11.6396192");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8024336, 4.8024338, 4.8024343, 4.8024347, 4.8024352, 4.8024357, 4.8024362", \
+					  "4.8360782, 4.8360785, 4.8360789, 4.8653428, 4.8653429, 4.8653434, 4.8653439", \
+					  "4.8853463, 4.8929722, 4.8929723, 4.8929728, 4.8929732, 4.8929737, 4.9605684", \
+					  "4.9077520, 4.9079647, 4.9079650, 4.9079655, 4.9079659, 4.9079664, 5.0110582", \
+					  "4.9086424, 4.9095634, 4.9095638, 4.9095643, 4.9095648, 4.9095653, 5.0198983", \
+					  "4.9040199, 4.9040201, 4.9040206, 4.9040211, 4.9040216, 4.9040220, 4.9625388", \
+					  "4.9017159, 4.9021529, 4.9021533, 4.9021538, 4.9021543, 4.9021547, 4.9947553");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.8219590, 13.0135080, 14.1888360, 21.4135590, 65.7545270, 337.9471400, 2014.6765000", \
+					  "12.8838380, 13.0742350, 14.2514440, 21.4767370, 65.8149370, 338.0095300, 2014.6836000", \
+					  "12.9069160, 13.0963890, 14.2720880, 21.4979310, 65.8381580, 338.0316700, 2014.7918000", \
+					  "12.9180830, 13.1095930, 14.2853030, 21.5102820, 65.8513530, 338.0422100, 2015.1729000", \
+					  "12.9220380, 13.1115660, 14.2887810, 21.5140050, 65.8543300, 338.0454500, 2014.5405000", \
+					  "12.9199620, 13.1115550, 14.2870840, 21.5134950, 65.8517510, 338.0461600, 2014.9039000", \
+					  "12.9177360, 13.1068370, 14.2821770, 21.5101710, 65.8479350, 338.0400200, 2014.8439000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0873410, 14.4677260, 16.7391770, 31.0671840, 119.1538500, 662.1106600, 4011.6338000", \
+					  "14.0873950, 14.4736330, 16.7505900, 31.0745670, 119.2022200, 662.1837100, 4013.0826000", \
+					  "14.0885370, 14.4561210, 16.7489440, 31.0672950, 119.2161000, 661.6224400, 4013.7379000", \
+					  "14.0867410, 14.4670400, 16.7391470, 31.0673440, 119.1590000, 662.1838800, 4013.8038000", \
+					  "14.0595290, 14.4564760, 16.7395980, 31.0670420, 119.2405500, 662.4854900, 4013.3855000", \
+					  "14.0871150, 14.4673460, 16.7393460, 31.0625050, 119.2362300, 662.0989600, 4014.3941000", \
+					  "14.0919250, 14.4552810, 16.7340970, 31.0604560, 119.1637900, 662.1939400, 4012.4073000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.8507725, 7.8879578, 8.1167046, 9.3788266, 12.2410850, 25.2038280, 50.1701930", \
+					  "7.9756894, 8.0125879, 8.2434584, 9.5079207, 12.3686570, 25.2968630, 50.2968900", \
+					  "8.0637754, 8.1011118, 8.3318934, 9.5966248, 12.4563520, 25.3901010, 50.3860990", \
+					  "8.1383984, 8.1758902, 8.4063290, 9.6705204, 12.5302840, 25.4992580, 50.4595260", \
+					  "8.1997733, 8.2378717, 8.4685707, 9.7310826, 12.5931930, 25.5551940, 50.5237740", \
+					  "8.2599936, 8.2981328, 8.5286791, 9.7894439, 12.6524500, 25.6213020, 50.5753870", \
+					  "8.3154772, 8.3521101, 8.5828917, 9.8466275, 12.7071700, 25.6436560, 50.6338720");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3368283, 2.3780553, 2.5551177, 2.9953224, 4.6428079, 16.4337980, 32.4035700", \
+					  "2.3414022, 2.3777140, 2.5543325, 2.9935703, 4.6414681, 16.4266470, 32.3467970", \
+					  "2.3412052, 2.3764402, 2.5546267, 2.9931311, 4.6417492, 16.4232500, 32.3476930", \
+					  "2.3444677, 2.3708611, 2.5582110, 2.9920515, 4.6246535, 16.4282030, 32.4181930", \
+					  "2.3388711, 2.3745992, 2.5553313, 2.9953431, 4.6427777, 16.4333200, 32.3419440", \
+					  "2.3340865, 2.3707400, 2.5590705, 2.9970231, 4.6367941, 16.4375870, 32.4025930", \
+					  "2.3397591, 2.3764850, 2.5546647, 2.9939795, 4.6419051, 16.4215110, 32.4186330");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("4.7420752, 4.7488116, 4.7900697, 5.0627316, 6.8370860, 18.1668670, 88.5400690", \
+					  "4.8123052, 4.8188928, 4.8602056, 5.1326219, 6.9070358, 18.2362200, 88.6101650", \
+					  "4.8379598, 4.8445297, 4.8856541, 5.1587681, 6.9328983, 18.2592250, 88.6356520", \
+					  "4.8500359, 4.8566404, 4.8979309, 5.1706794, 6.9479431, 18.2724590, 88.6570600", \
+					  "4.8528812, 4.8610755, 4.9004347, 5.1738405, 6.9487851, 18.2750440, 88.6540900", \
+					  "4.8527378, 4.8587481, 4.9005706, 5.1730606, 6.9509825, 18.2748390, 88.6605850", \
+					  "4.8505098, 4.8570943, 4.8982085, 5.1713305, 6.9454127, 18.2738620, 88.6570390");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("0.8160315, 0.8315915, 0.9314140, 1.5710352, 5.7334062, 31.6184410, 191.8319900", \
+					  "0.8160940, 0.8313843, 0.9312594, 1.5709137, 5.7333418, 31.6134920, 191.8290600", \
+					  "0.8157448, 0.8317030, 0.9319941, 1.5710252, 5.7341416, 31.6172440, 191.8219700", \
+					  "0.8169525, 0.8330270, 0.9320773, 1.5707642, 5.7274794, 31.6142280, 191.8507200", \
+					  "0.8161715, 0.8321124, 0.9322958, 1.5708638, 5.7328475, 31.6155240, 191.7915300", \
+					  "0.8168125, 0.8329474, 0.9321802, 1.5707339, 5.7269669, 31.6114600, 191.2395600", \
+					  "0.8159732, 0.8318342, 0.9320645, 1.5710651, 5.7331304, 31.6293870, 191.1317300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("76.8026780, 76.8099750, 76.8460280, 77.1205610, 78.6786960, 84.8835740, 110.8203700", \
+					  "76.9308950, 76.9406370, 76.9722770, 77.2740800, 78.8114960, 85.0181510, 110.9398000", \
+					  "77.0156630, 77.0423010, 77.0890480, 77.3746790, 78.9284870, 85.1186290, 111.0455000", \
+					  "77.0777080, 77.0865610, 77.1529190, 77.4141140, 78.9708850, 85.1893130, 111.1213900", \
+					  "77.1816320, 77.1816369, 77.2156950, 77.5059020, 79.0575570, 85.2706710, 111.1773700", \
+					  "77.2084120, 77.2084160, 77.2629040, 77.5214990, 79.0987190, 85.2898200, 111.2192000", \
+					  "77.2583770, 77.2647230, 77.3175590, 77.5823640, 79.1394260, 85.3439840, 111.2631500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("48.0525730, 48.0516780, 48.0290650, 47.8403730, 47.5524120, 39.3861440, 51.8028320", \
+					  "48.0770870, 48.0761350, 48.0210040, 47.8658000, 47.5483010, 39.3878280, 51.8245250", \
+					  "48.0628980, 48.0700530, 48.0328770, 47.8204600, 47.6135500, 39.4046790, 51.8951460", \
+					  "48.0552490, 48.0519230, 48.0487120, 47.8476140, 47.5246900, 39.4094710, 51.8183360", \
+					  "48.0359290, 48.0054960, 48.0285820, 47.8512910, 47.5376470, 39.4014460, 51.8988760", \
+					  "48.0891740, 48.0797980, 48.0472020, 47.8345150, 47.5709430, 39.3868870, 51.8214770", \
+					  "48.0932130, 48.0865910, 48.0258390, 47.8467900, 47.5223660, 39.4045650, 51.8349060");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("4.7232662, 4.7298339, 4.7704143, 5.0413997, 6.8094370, 18.2350900, 90.1730250", \
+					  "4.7929718, 4.7998707, 4.8408313, 5.1117213, 6.8757511, 18.2980880, 90.2410450", \
+					  "4.8191739, 4.8258868, 4.8666924, 5.1376339, 6.9021882, 18.3303770, 90.2711290", \
+					  "4.8316751, 4.8386721, 4.8790958, 5.1495253, 6.9146342, 18.3414900, 90.2748380", \
+					  "4.8348361, 4.8404458, 4.8832285, 5.1522635, 6.9197181, 18.3473860, 90.2866470", \
+					  "4.8350581, 4.8407087, 4.8812479, 5.1524731, 6.9191302, 18.3452670, 90.2801530", \
+					  "4.8329198, 4.8381041, 4.8789648, 5.1498641, 6.9168475, 18.3446430, 90.2781140");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("0.8232404, 0.8389554, 0.9378018, 1.5733878, 5.7283707, 31.6046180, 191.8451300", \
+					  "0.8233574, 0.8383960, 0.9358759, 1.5730943, 5.7278262, 31.6010930, 191.8762700", \
+					  "0.8231642, 0.8374766, 0.9363398, 1.5738756, 5.7280181, 31.6028840, 191.8556100", \
+					  "0.8216505, 0.8369224, 0.9364157, 1.5742619, 5.7296852, 31.6164870, 191.5997500", \
+					  "0.8220217, 0.8381815, 0.9364326, 1.5732906, 5.7286336, 31.6079390, 191.8573700", \
+					  "0.8211645, 0.8373856, 0.9376002, 1.5739121, 5.7285172, 31.6022340, 191.8604400", \
+					  "0.8217961, 0.8388837, 0.9365151, 1.5733482, 5.7297602, 31.6190590, 191.1319300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("11.4037460, 11.4161250, 11.4947700, 11.9905340, 15.5999430, 41.1796500, 88.5121170", \
+					  "11.5348110, 11.5407660, 11.6232360, 12.1232020, 15.7344720, 41.3105350, 88.6400360", \
+					  "11.6159300, 11.6279080, 11.7107040, 12.2102590, 15.8226050, 41.3798990, 88.7495230", \
+					  "11.6885850, 11.7008130, 11.7787040, 12.2812040, 15.8971240, 41.4625600, 88.7987990", \
+					  "11.7537890, 11.7638320, 11.8404760, 12.3467320, 15.9619570, 41.5352410, 88.8731650", \
+					  "11.8130340, 11.8214310, 11.9026180, 12.4027000, 16.0068370, 41.5918640, 88.9198830", \
+					  "11.8652420, 11.8780160, 11.9601290, 12.4580930, 16.0731640, 41.6458690, 88.9664590");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("62.8976050, 62.8926390, 62.8574380, 62.6720010, 62.3463170, 61.0047120, 57.0716700", \
+					  "62.8907340, 62.8309140, 62.8275100, 62.6661320, 62.2974310, 60.9836730, 57.0503720", \
+					  "62.8447910, 62.8458420, 62.8545320, 62.6914770, 62.3165660, 61.0127420, 57.0876790", \
+					  "62.8255140, 62.8236450, 62.8372020, 62.6814870, 62.3014170, 60.9700590, 57.0934550", \
+					  "62.8867550, 62.8393480, 62.8790100, 62.6839450, 62.3108530, 61.0390740, 57.1030120", \
+					  "62.8639190, 62.8267360, 62.8068480, 62.6496900, 62.3374210, 60.9915180, 57.0426300", \
+					  "62.8260680, 62.8614320, 62.8253080, 62.6427190, 62.2877110, 60.9688800, 57.0431280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7111525, 6.7200245, 6.7200249, 6.7200254, 6.7200258, 6.7200263, 6.7200268", \
+					  "6.5808745, 6.5808747, 6.5923871, 6.5923875, 6.5923880, 6.5923884, 6.5923889", \
+					  "6.4950472, 6.4950474, 6.4950479, 6.4950483, 6.4950488, 6.4950493, 6.4950498", \
+					  "6.4033663, 6.4033664, 6.4033669, 6.4033673, 6.4033678, 6.4033683, 6.4033688", \
+					  "6.3197234, 6.3197239, 6.3197244, 6.3197248, 6.3197253, 6.3197258, 6.3197263", \
+					  "6.2251870, 6.2251871, 6.2251876, 6.2251881, 6.2251885, 6.2251890, 6.2251895", \
+					  "6.1474057, 6.1528533, 6.1581686, 6.1581691, 6.1581696, 6.1581700, 6.1581705");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2066325, 5.2193774, 5.2193778, 5.2193783, 5.2193788, 5.2193792, 342.3577900", \
+					  "5.2677676, 5.2776410, 5.2798415, 5.2798417, 5.2798422, 5.2798427, 5.2798431", \
+					  "5.3161773, 5.3165814, 5.3165815, 5.3165820, 5.3165825, 5.3165830, 5.3165834", \
+					  "5.3064255, 5.3101816, 5.3101819, 5.3101824, 5.3101829, 5.3101833, 5.3101838", \
+					  "5.3261981, 5.3283036, 5.3283041, 5.3283046, 5.3283051, 5.3283055, 5.3283060", \
+					  "5.3275526, 5.3275531, 5.3275536, 5.3275540, 5.3275545, 5.3275550, 5.3275555", \
+					  "5.3211204, 5.3231903, 5.3231905, 5.3231910, 5.3231915, 5.3231919, 5.3231924");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4524417, 6.4852466, 6.7019572, 7.9837825, 15.8418190, 64.2326230, 243.4881000", \
+					  "6.5126438, 6.5489969, 6.7598038, 8.0451334, 15.9054870, 64.2978010, 243.5438700", \
+					  "6.5357662, 6.5748047, 6.7823631, 8.0708968, 15.9308090, 64.3236580, 243.5676500", \
+					  "6.5464172, 6.5805441, 6.7928299, 8.0800548, 15.9392850, 64.3340740, 243.6194100", \
+					  "6.5499002, 6.5883090, 6.7963906, 8.0834770, 15.9431210, 64.3354670, 243.6259800", \
+					  "6.5486834, 6.5872645, 6.7951555, 8.0837079, 15.9417070, 64.3363760, 243.5768900", \
+					  "6.5439907, 6.5786967, 6.7905539, 8.0777696, 15.9374700, 64.3288660, 243.6074200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3335900, 2.3934728, 2.7607452, 5.0425779, 19.3589700, 107.4525100, 194.4872400", \
+					  "2.3339830, 2.3933202, 2.7609712, 5.0435207, 19.3648280, 107.4560500, 194.4849400", \
+					  "2.3339175, 2.3933939, 2.7612295, 5.0433844, 19.3619470, 107.4611000, 194.3664100", \
+					  "2.3340501, 2.3938944, 2.7611105, 5.0431219, 19.3593730, 107.4537600, 194.3961900", \
+					  "2.3341553, 2.3932911, 2.7610414, 5.0431312, 19.3577470, 107.5209400, 194.4517900", \
+					  "2.3340831, 2.3932745, 2.7610070, 5.0412492, 19.3566640, 107.4516000, 194.3142400", \
+					  "2.3341302, 2.3939835, 2.7609632, 5.0431375, 19.3614920, 107.4513400, 194.3109900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.7305820, 14.9281050, 16.0777200, 23.2446100, 66.9650930, 335.7537000, 1991.7168000", \
+					  "14.8550660, 15.0409020, 16.2109830, 23.3427270, 66.9347950, 335.4940400, 1990.2634000", \
+					  "14.9357200, 15.1370900, 16.3124860, 23.4531540, 67.0660820, 336.1364200, 1989.9428000", \
+					  "15.0156560, 15.2133260, 16.3695880, 23.5117860, 67.1656370, 335.4099100, 1993.0289000", \
+					  "15.0812750, 15.2801750, 16.4401030, 23.5914350, 67.1970430, 335.7301600, 1992.0008000", \
+					  "15.1359090, 15.3336050, 16.4897550, 23.6242650, 67.2142020, 335.4957700, 1992.1929000", \
+					  "15.1932440, 15.3778480, 16.5452490, 23.6938540, 67.4741760, 335.5667700, 1989.8350000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3318700, 13.7123030, 15.9903890, 30.1115900, 117.2059400, 653.9803500, 3960.7207000", \
+					  "13.3249980, 13.7039410, 15.9852820, 30.0074770, 117.1887900, 653.2067100, 3961.5047000", \
+					  "13.3379740, 13.6981540, 15.9601720, 30.1376670, 116.9911100, 653.9646100, 3965.0081000", \
+					  "13.3288280, 13.7089700, 15.9869820, 30.0047840, 117.1501100, 653.0281100, 3959.7121000", \
+					  "13.3298620, 13.7047470, 15.9849640, 30.1368710, 117.0039900, 653.7266000, 3961.5243000", \
+					  "13.3295300, 13.7092130, 15.9876720, 30.0070230, 117.3483700, 653.0635200, 3962.5511000", \
+					  "13.3343800, 13.7075420, 15.9876180, 30.0110660, 117.2100000, 653.0241300, 3964.8794000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7223103, 6.7223104, 6.7223108, 6.7223113, 6.7223118, 6.7223123, 6.7223127", \
+					  "6.5593331, 6.5684560, 6.5684565, 6.5684569, 6.5684574, 6.5684579, 6.5684584", \
+					  "6.4788437, 6.4788440, 6.4788445, 6.4788449, 6.4788454, 6.4788459, 6.4788464", \
+					  "6.4030834, 6.4030836, 6.4030841, 6.4030846, 6.4030850, 6.4030855, 6.4030860", \
+					  "6.3157755, 6.3184822, 6.3184827, 6.3184832, 6.3184836, 6.3184841, 6.3184846", \
+					  "6.2462862, 6.2462867, 6.2462872, 6.2462876, 6.2462881, 6.2462886, 6.2462891", \
+					  "6.1436909, 6.1436914, 6.1436918, 6.1436923, 6.1436928, 6.1436933, 6.1436937");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.7902051, 4.7902053, 4.7902058, 4.7902062, 4.7902067, 4.7902072, 4.7902077", \
+					  "4.8623803, 4.8623808, 4.8623813, 4.8708411, 4.8708413, 4.8708418, 4.9708726", \
+					  "4.8893076, 4.8928452, 4.8972497, 4.8972500, 4.8972505, 4.8972509, 4.9029860", \
+					  "4.8998992, 4.8998993, 4.8998998, 4.8999002, 4.8999007, 4.8999012, 4.9774664", \
+					  "4.9060822, 4.9062181, 4.9062183, 4.9062188, 4.9062193, 4.9062198, 4.9908255", \
+					  "4.9050430, 4.9050434, 4.9050439, 4.9050444, 4.9050448, 4.9050453, 4.9628754", \
+					  "4.8991491, 4.8991492, 4.8991497, 4.8991502, 4.8991507, 4.8991511, 4.9896274");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.7924529, 7.8285751, 8.0622726, 9.4508348, 17.7873860, 61.7405010, 105.6241000", \
+					  "7.9196205, 7.9565785, 8.1897111, 9.5752828, 17.9127660, 61.8675170, 105.7547100", \
+					  "8.0069782, 8.0456983, 8.2778477, 9.6660724, 18.0024420, 61.9553260, 105.8396700", \
+					  "8.0791702, 8.1179241, 8.3499847, 9.7372288, 18.0760120, 62.0273610, 105.9100200", \
+					  "8.1435090, 8.1794456, 8.4139300, 9.8007895, 18.1322550, 62.0951820, 105.9836400", \
+					  "8.2006659, 8.2385245, 8.4708152, 9.8609636, 18.1980580, 62.1475420, 106.0246200", \
+					  "8.2585396, 8.3007654, 8.5275378, 9.9158051, 18.2547350, 62.2063400, 106.0936400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3857860, 2.4467046, 2.8151119, 5.1494244, 19.8299140, 53.1958340, 40.8395130", \
+					  "2.3867233, 2.4471707, 2.8148568, 5.1348490, 19.8268460, 53.1883690, 40.8873330", \
+					  "2.3863118, 2.4453006, 2.8133085, 5.1482285, 19.8304840, 53.1976840, 40.9605510", \
+					  "2.3869517, 2.4445950, 2.8158904, 5.1368425, 19.7950810, 53.2215230, 40.8321450", \
+					  "2.3868960, 2.4440512, 2.8126690, 5.1502997, 19.8198770, 53.2318510, 40.8999660", \
+					  "2.3874356, 2.4457696, 2.8153935, 5.1334692, 19.7899990, 53.1856340, 40.8892190", \
+					  "2.3869231, 2.4460720, 2.8165277, 5.1377191, 19.7921380, 53.1920320, 40.8215540");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.7882542, 4.7899444, 4.7899445, 4.8136557, 4.8136561, 4.8136566, 4.8136571", \
+					  "4.8660183, 4.8660186, 4.8660191, 4.8662456, 4.8662460, 4.8662465, 4.9328359", \
+					  "4.8910733, 4.8916937, 4.8983746, 4.8983748, 4.8983753, 4.8983758, 4.9772737", \
+					  "4.9008464, 4.9008468, 4.9008472, 4.9008477, 4.9008482, 4.9008487, 4.9917284", \
+					  "4.9071609, 4.9072897, 4.9072898, 4.9072903, 4.9072907, 4.9072912, 4.9929945", \
+					  "4.9063780, 4.9063781, 4.9063786, 4.9063790, 4.9063795, 4.9063800, 4.9701159", \
+					  "4.9001936, 4.9001940, 4.9001945, 4.9001949, 4.9001954, 4.9001959, 4.9906839");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.7740792, 7.8099571, 8.0411997, 9.3092032, 12.2096460, 25.8490760, 82.9859200", \
+					  "7.9015559, 7.9393141, 8.1689260, 9.4414068, 12.3395460, 25.9682480, 83.1211860", \
+					  "7.9891560, 8.0264559, 8.2551530, 9.5291171, 12.4272890, 26.0619560, 83.2273840", \
+					  "8.0618863, 8.0992155, 8.3290068, 9.6020543, 12.5002360, 26.1375370, 83.2731860", \
+					  "8.1239975, 8.1615550, 8.3921918, 9.6572581, 12.5628090, 26.1959110, 83.3359690", \
+					  "8.1828659, 8.2222833, 8.4490290, 9.7179749, 12.6197670, 26.2592420, 83.3884710", \
+					  "8.2382146, 8.2759923, 8.5068566, 9.7805817, 12.6768300, 26.3156710, 83.4284250");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3382711, 2.3784377, 2.5593010, 3.0145566, 4.6565032, 22.9144930, 52.8078100", \
+					  "2.3400777, 2.3750570, 2.5650519, 3.0138270, 4.6680189, 22.9182080, 52.7644810", \
+					  "2.3406854, 2.3823470, 2.5593936, 3.0137419, 4.6663473, 22.9081130, 52.7701760", \
+					  "2.3386306, 2.3793565, 2.5591711, 3.0135607, 4.6663615, 22.9130230, 52.7951660", \
+					  "2.3435160, 2.3814211, 2.5626230, 3.0152452, 4.6648880, 22.9079140, 52.7949060", \
+					  "2.3380871, 2.3750828, 2.5588485, 3.0137577, 4.6625450, 22.9124580, 52.7873920", \
+					  "2.3396745, 2.3765043, 2.5606968, 3.0138463, 4.6567248, 22.9125480, 52.7608530");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6939050, 12.8807570, 14.0475520, 21.2056510, 65.3479470, 337.2659000, 2013.5196000", \
+					  "12.8221820, 13.0136670, 14.1685610, 21.3246860, 65.4595240, 337.3836300, 2013.5396000", \
+					  "12.9090230, 13.0985020, 14.2553900, 21.4121680, 65.5461180, 337.4744300, 2013.6397000", \
+					  "12.9828880, 13.1706440, 14.3299360, 21.4869350, 65.6195170, 337.5413400, 2013.7493000", \
+					  "13.0426630, 13.2279140, 14.3915090, 21.5502660, 65.6990270, 337.6055400, 2013.7718000", \
+					  "13.1097170, 13.2886660, 14.4544690, 21.5993460, 65.7353070, 337.6613300, 2013.8368000", \
+					  "13.1549460, 13.3513520, 14.5103610, 21.6674220, 65.8006200, 337.7265300, 2013.8816000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0922150, 14.4588010, 16.7477980, 30.9777270, 119.0879100, 661.6670000, 4010.4628000", \
+					  "14.0985210, 14.4755670, 16.7335980, 31.0629390, 119.2103300, 662.2883000, 4011.5606000", \
+					  "14.0985610, 14.4612060, 16.7334750, 31.0629180, 119.2103800, 662.1569900, 4015.6283000", \
+					  "14.1009760, 14.4756230, 16.7363070, 31.0639440, 119.2330600, 662.1036700, 4011.6915000", \
+					  "14.0964310, 14.4610240, 16.7463200, 30.9556150, 118.9398400, 662.3416200, 4009.5128000", \
+					  "14.0994830, 14.4611500, 16.7521250, 31.0629280, 119.2534100, 662.2294100, 4013.1772000", \
+					  "14.0998830, 14.4642880, 16.7331870, 31.0630030, 119.2051900, 662.1398300, 4008.7393000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6755447, 8.7138119, 8.9451619, 10.2114190, 13.0814170, 26.0466010, 51.0102790", \
+					  "8.8059613, 8.8421137, 9.0728252, 10.3388440, 13.2101520, 26.1463920, 51.1370680", \
+					  "8.8927186, 8.9293817, 9.1607077, 10.4299030, 13.2981500, 26.2259740, 51.2325540", \
+					  "8.9638332, 8.9994279, 9.2305266, 10.4936690, 13.3686030, 26.3062570, 51.3008270", \
+					  "9.0296899, 9.0639709, 9.2921315, 10.5630200, 13.4320630, 26.3677290, 51.3573930", \
+					  "9.0897192, 9.1193204, 9.3576027, 10.6191440, 13.4861780, 26.4219560, 51.4295880", \
+					  "9.1480077, 9.1855465, 9.4123029, 10.6708280, 13.5466950, 26.5261750, 51.4758970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3447175, 2.3810396, 2.5629330, 3.0063159, 4.6437689, 16.4329790, 32.4315190", \
+					  "2.3476185, 2.3845630, 2.5636060, 3.0040286, 4.6444790, 16.4240050, 32.3465130", \
+					  "2.3473254, 2.3851854, 2.5636702, 3.0043654, 4.6439874, 16.4352900, 32.3263730", \
+					  "2.3478022, 2.3846107, 2.5636930, 3.0057374, 4.6445541, 16.4238060, 32.3482080", \
+					  "2.3417437, 2.3846649, 2.5641083, 3.0074381, 4.6439932, 16.4239040, 32.3456530", \
+					  "2.3418254, 2.3852202, 2.5637015, 3.0042275, 4.6445030, 16.4352940, 32.3463990", \
+					  "2.3416595, 2.3832001, 2.5637859, 3.0041472, 4.6450981, 16.4357650, 32.3434220");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.4411250, 12.6292790, 13.7947560, 20.9483310, 65.0941060, 337.0030000, 2013.9555000", \
+					  "12.5051620, 12.6953370, 13.8523980, 21.0088550, 65.1422170, 337.0644800, 2013.8857000", \
+					  "12.5277640, 12.7182790, 13.8761530, 21.0332530, 65.1665740, 337.0879500, 2013.5344000", \
+					  "12.5385170, 12.7290000, 13.8867420, 21.0468250, 65.1772180, 337.0982100, 2013.7643000", \
+					  "12.5405680, 12.7318330, 13.8904860, 21.0524360, 65.1797340, 337.0998600, 2014.0180000", \
+					  "12.5394900, 12.7302370, 13.8888640, 21.0514810, 65.1784550, 337.0988700, 2014.1042000", \
+					  "12.5349620, 12.7258790, 13.8844070, 21.0466490, 65.1729690, 337.0938400, 2013.6729000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0911360, 14.4588390, 16.7425220, 31.0527960, 119.1599600, 662.6091200, 4013.0089000", \
+					  "14.0935160, 14.4600780, 16.7425110, 31.0562990, 119.1764300, 662.5074900, 4013.0017000", \
+					  "14.0954830, 14.4309130, 16.7460050, 31.0538010, 119.1784900, 662.5269900, 4013.2263000", \
+					  "14.0957070, 14.4278170, 16.7454530, 30.9571040, 119.1792800, 662.5099300, 4012.3914000", \
+					  "14.0909950, 14.4729650, 16.7497620, 31.0541740, 119.1767300, 662.4424000, 4014.0249000", \
+					  "14.0927650, 14.4654160, 16.7490800, 31.0541350, 119.1735000, 662.4494500, 4011.8257000", \
+					  "14.0918650, 14.4700280, 16.7498480, 31.0540890, 119.1954400, 662.4970300, 4012.4301000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.2535820, 14.4342490, 15.5952000, 22.6558860, 66.1730580, 334.7232400, 1990.1640000", \
+					  "14.3843990, 14.5589900, 15.7200170, 22.7742040, 66.2886710, 334.4701400, 1992.6240000", \
+					  "14.4744000, 14.6592450, 15.8106950, 22.8502820, 66.3456060, 334.5897100, 1992.6288000", \
+					  "14.5445410, 14.7227750, 15.8861760, 22.9388760, 66.4486280, 334.7955200, 1989.0342000", \
+					  "14.6043290, 14.7830730, 15.9452060, 23.0079640, 66.5210390, 334.9863700, 1993.0351000", \
+					  "14.6710240, 14.8597710, 16.0130700, 23.0591850, 66.5888610, 335.5844700, 1993.5978000", \
+					  "14.7196420, 14.9155390, 16.0659310, 23.1044590, 66.5858410, 335.6834100, 1992.2577000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3432880, 13.7220000, 15.9726670, 30.1114580, 116.9003200, 653.4839900, 3963.8080000", \
+					  "13.3467990, 13.7144780, 15.9882630, 30.1474120, 116.9142600, 653.0805200, 3962.2182000", \
+					  "13.3466860, 13.6941970, 15.9697820, 30.0552120, 117.1963000, 653.2196700, 3964.0795000", \
+					  "13.3195330, 13.7139590, 15.9883090, 30.1460790, 116.9422400, 653.5425400, 3960.2769000", \
+					  "13.3444770, 13.7055160, 15.9578770, 30.1091950, 117.1024500, 653.2269500, 3961.2246000", \
+					  "13.3477170, 13.7117510, 15.9936260, 30.0904830, 117.1283200, 654.0538500, 3961.2573000", \
+					  "13.3438250, 13.7161480, 16.0123510, 30.0554390, 117.1732200, 654.0572000, 3963.0281000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8722075, 4.8722079, 4.8755897, 4.8783200, 4.8783205, 4.8783210, 4.9549285", \
+					  "4.9893325, 4.9893330, 4.9893334, 4.9893339, 4.9893344, 4.9893349, 4.9893353", \
+					  "5.0031295, 5.0255888, 5.0255893, 5.0255898, 5.0255902, 5.0255907, 5.0255912", \
+					  "5.0303943, 5.0305382, 5.0305384, 5.0305388, 5.0305393, 5.0305398, 5.0305403", \
+					  "5.0312599, 5.0312603, 5.0348690, 5.0348695, 5.0348700, 5.0348704, 5.0348709", \
+					  "5.0259557, 5.0259560, 5.0259564, 5.0259569, 5.0259574, 5.0259579, 5.0259583", \
+					  "4.9632907, 4.9632910, 5.0365450, 5.0365451, 5.0365456, 5.0365460, 5.0493094");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2121312, 5.2121313, 5.2249916, 5.2345435, 5.2345436, 5.2345441, 660.4680600", \
+					  "5.2669891, 5.2771125, 5.2771128, 5.2807017, 5.2807019, 5.2807024, 5.2807029", \
+					  "5.3128106, 5.3158931, 5.3158935, 5.3158939, 5.3158944, 5.3158949, 5.3158954", \
+					  "5.3052602, 5.3095111, 5.3095115, 5.3095119, 5.3095124, 5.3095129, 5.3095134", \
+					  "5.3145190, 5.3275842, 5.3275846, 5.3275850, 5.3275855, 5.3275860, 5.3275865", \
+					  "5.3172844, 5.3203566, 5.3203567, 5.3203571, 5.3203576, 5.3203581, 5.3203586", \
+					  "5.3201323, 5.3225699, 5.3225701, 5.3225706, 5.3225711, 5.3225716, 5.3225720");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7509616, 6.7852488, 6.9980746, 8.2843545, 16.1444530, 64.5395910, 243.8487500", \
+					  "6.8794250, 6.9150197, 7.1295165, 8.4157747, 16.2746880, 64.6666010, 243.9605300", \
+					  "6.9670829, 7.0021930, 7.2158590, 8.5004066, 16.3600800, 64.7538630, 244.0893600", \
+					  "7.0388437, 7.0739601, 7.2858186, 8.5702485, 16.4313770, 64.8260180, 244.1645700", \
+					  "7.1020878, 7.1394903, 7.3519031, 8.6321172, 16.4935370, 64.8889580, 244.1780700", \
+					  "7.1653892, 7.1960753, 7.4124320, 8.6905851, 16.5566760, 64.9555860, 244.2867200", \
+					  "7.2133219, 7.2559199, 7.4684161, 8.7566789, 16.6110360, 65.0079240, 244.3285600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3350295, 2.3947295, 2.7616882, 5.0424291, 19.3571350, 107.4504300, 194.5611700", \
+					  "2.3350111, 2.3946665, 2.7615539, 5.0433129, 19.3640850, 107.4430400, 194.5658700", \
+					  "2.3347648, 2.3946665, 2.7617715, 5.0424047, 19.3611970, 107.4430400, 194.6196000", \
+					  "2.3346918, 2.3946582, 2.7617810, 5.0424305, 19.3604710, 107.4430500, 194.3768200", \
+					  "2.3350137, 2.3948394, 2.7616367, 5.0424043, 19.3655030, 107.4656700, 194.4765800", \
+					  "2.3343347, 2.3946665, 2.7617708, 5.0424043, 19.3611940, 107.4429800, 194.6196700", \
+					  "2.3347462, 2.3948381, 2.7619298, 5.0408580, 19.3126850, 107.4430600, 194.4202400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.2299192, 6.2493655, 6.2527512, 6.2527516, 6.2527521, 6.2527526, 6.2527531", \
+					  "6.3413679, 6.3413680, 6.3419376, 6.3419378, 6.3419383, 6.3419388, 6.3451195", \
+					  "6.3249543, 6.3479568, 6.3479569, 6.3492549, 6.3567742, 6.3567746, 6.3567751", \
+					  "6.3514167, 6.3520988, 6.3520992, 6.3704650, 6.3704651, 6.3704656, 6.3704660", \
+					  "6.3391679, 6.3391684, 6.3391688, 6.3780536, 6.3780540, 6.3780544, 6.3907715", \
+					  "6.3610739, 6.3664816, 6.3679146, 6.3679150, 6.3679154, 6.3679159, 6.3679164", \
+					  "6.3348526, 6.3348530, 6.3348535, 6.4215335, 6.4215339, 6.4215343, 6.4215348");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.4407200, 12.6289590, 13.7944170, 20.9532590, 65.0939710, 336.9857500, 2013.5242000", \
+					  "12.5048600, 12.6945890, 13.8520650, 21.0125220, 65.1410520, 337.0665200, 2014.1165000", \
+					  "12.5274010, 12.7179120, 13.8757890, 21.0363980, 65.1662120, 337.0890900, 2013.6220000", \
+					  "12.5381580, 12.7286360, 13.8863750, 21.0469370, 65.1768700, 337.0997200, 2013.2302000", \
+					  "12.5402040, 12.7314670, 13.8901360, 21.0580890, 65.1794210, 337.2095100, 2014.2377000", \
+					  "12.5391270, 12.7298780, 13.8884970, 21.0577510, 65.1781630, 337.1010000, 2013.7306000", \
+					  "12.5346090, 12.7255250, 13.8840420, 21.0522390, 65.1726850, 337.0942900, 2013.8848000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0919550, 14.4601130, 16.7306190, 30.9732720, 119.1009700, 662.1562500, 4011.9662000", \
+					  "14.0945460, 14.4624410, 16.7299350, 30.9406790, 119.2625800, 662.2177600, 4013.7656000", \
+					  "14.0973660, 14.4281400, 16.7320910, 30.9444700, 119.2693700, 662.6195300, 4013.5797000", \
+					  "14.0975560, 14.4305230, 16.7310930, 30.9437280, 119.2708800, 662.5756400, 4012.6438000", \
+					  "14.0938770, 14.4729770, 16.7388860, 30.9719820, 119.2627200, 662.3309900, 4012.4778000", \
+					  "14.0954270, 14.4674230, 16.7372850, 30.9725010, 119.2604100, 662.5867900, 4013.2350000", \
+					  "14.0948780, 14.4709950, 16.7385540, 30.9724800, 119.2790500, 662.4297800, 4010.6825000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.2621250, 14.4345070, 15.5939310, 22.6558700, 66.1689010, 334.9667000, 1989.9603000", \
+					  "14.3873010, 14.5589990, 15.7199910, 22.7742020, 66.2576910, 334.4649100, 1991.4726000", \
+					  "14.4777720, 14.6582950, 15.8200240, 22.8639190, 66.4857820, 335.1331100, 1990.1097000", \
+					  "14.5435520, 14.7223250, 15.8858560, 22.9389820, 66.4392490, 334.7775200, 1992.2504000", \
+					  "14.6045180, 14.7830840, 15.9451470, 23.0079330, 66.5207120, 334.9835500, 1991.7939000", \
+					  "14.6707900, 14.8597670, 16.0126130, 23.0588560, 66.5944100, 335.5900400, 1990.2805000", \
+					  "14.7253530, 14.9155620, 16.0663770, 23.1052790, 66.5575970, 335.6669300, 1993.6535000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3478810, 13.7095250, 15.9643530, 30.1112950, 117.1049200, 653.9543700, 3963.7875000", \
+					  "13.3478160, 13.7144950, 15.9884000, 30.1473650, 117.1966000, 653.0689800, 3966.6538000", \
+					  "13.3430370, 13.6900100, 15.9936770, 30.0160220, 117.2130800, 653.5209400, 3959.4229000", \
+					  "13.3270590, 13.7134000, 15.9880680, 30.1460440, 116.9713600, 653.4994600, 3963.6429000", \
+					  "13.3446370, 13.7055500, 15.9580900, 30.1090890, 117.1020500, 653.2324100, 3960.7917000", \
+					  "13.3473450, 13.7117480, 15.9940590, 30.0912400, 117.1248300, 654.0518000, 3960.4483000", \
+					  "13.3469720, 13.7160790, 16.0156670, 30.0554500, 117.3522700, 654.0830700, 3960.1459000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4366428, 6.4714469, 6.6859456, 7.9698692, 15.8288880, 64.2196130, 243.5629700", \
+					  "6.4989703, 6.5335062, 6.7499122, 8.0321323, 15.8903890, 64.2814580, 243.5882600", \
+					  "6.5219647, 6.5607555, 6.7685821, 8.0573344, 15.9177740, 64.3107820, 243.6029000", \
+					  "6.5322632, 6.5714758, 6.7788548, 8.0677074, 15.9272330, 64.3211780, 243.6120500", \
+					  "6.5360370, 6.5704522, 6.7827042, 8.0698301, 15.9296750, 64.3245740, 243.6614700", \
+					  "6.5345462, 6.5736749, 6.7814203, 8.0701916, 15.9304680, 64.3212350, 243.6134100", \
+					  "6.5303434, 6.5647834, 6.7770021, 8.0640010, 15.9239980, 64.3187970, 243.6058300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3346705, 2.3946196, 2.7617841, 5.0421534, 19.3622130, 107.4727100, 194.4630700", \
+					  "2.3349134, 2.3942601, 2.7612894, 5.0426423, 19.3370780, 107.4569700, 194.5630700", \
+					  "2.3349851, 2.3943718, 2.7616684, 5.0434470, 19.3585880, 107.4637600, 194.3796100", \
+					  "2.3350322, 2.3942133, 2.7617173, 5.0409006, 19.3604550, 107.4594600, 194.5002300", \
+					  "2.3351281, 2.3948150, 2.7616736, 5.0424478, 19.3203250, 107.4516200, 194.5531800", \
+					  "2.3351057, 2.3943951, 2.7616582, 5.0434821, 19.3607350, 107.5240000, 194.5880500", \
+					  "2.3351254, 2.3948079, 2.7616727, 5.0433386, 19.3272100, 107.4519000, 194.5543500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.4347580, 5.4355032, 5.4355033, 5.4382387, 5.4382389, 5.4382394, 5.4382399", \
+					  "5.5025167, 5.5091172, 5.5091174, 5.5091179, 5.5091184, 5.5091188, 5.5274296", \
+					  "5.5303833, 5.5329283, 5.5329288, 5.5329292, 5.5329297, 5.5329302, 5.5506892", \
+					  "5.5442912, 5.5442913, 5.5442918, 5.5457061, 5.5457066, 5.5457070, 5.5733520", \
+					  "5.5441385, 5.5480402, 5.5480407, 5.5480412, 5.5480416, 5.5480421, 5.5652758", \
+					  "5.5392309, 5.5405165, 5.5405167, 5.5405171, 5.5405176, 5.5405181, 5.5771396", \
+					  "5.5422551, 5.5422552, 5.5422557, 5.5422562, 5.5422566, 5.5422571, 5.5704796");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.5363737, 6.5714865, 6.7885302, 8.1419067, 11.4765540, 22.4500920, 88.5203160", \
+					  "6.5985448, 6.6336980, 6.8510091, 8.2041574, 11.5389140, 22.5142000, 88.5808620", \
+					  "6.6214265, 6.6569670, 6.8735444, 8.2275200, 11.5622120, 22.5355360, 88.6368380", \
+					  "6.6314230, 6.6676837, 6.8848833, 8.2370031, 11.5729460, 22.5470700, 88.6147620", \
+					  "6.6346417, 6.6708328, 6.8878774, 8.2409786, 11.5760980, 22.5497450, 88.6507510", \
+					  "6.6351471, 6.6684578, 6.8855357, 8.2392537, 11.5743800, 22.5478320, 88.6490880", \
+					  "6.6305463, 6.6656525, 6.8813901, 8.2349843, 11.5709260, 22.5455370, 88.6461460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4475151, 2.5134923, 2.8266465, 3.4731411, 4.7319189, 18.6996140, 111.8075500", \
+					  "2.4474860, 2.5133950, 2.8265421, 3.4732599, 4.7347735, 18.7045540, 111.8135300", \
+					  "2.4472828, 2.5134674, 2.8265990, 3.4731293, 4.7323248, 18.6995630, 111.7975800", \
+					  "2.4473616, 2.5135183, 2.8274600, 3.4729664, 4.7335247, 18.7006710, 111.7646400", \
+					  "2.4472697, 2.5134732, 2.8266884, 3.4730316, 4.7324127, 18.6994950, 111.7980200", \
+					  "2.4473885, 2.5134999, 2.8266487, 3.4730823, 4.7326361, 18.7006750, 111.7832400", \
+					  "2.4472950, 2.5134801, 2.8274509, 3.4731953, 4.7349973, 18.7046060, 111.7980300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.9749434, 8.0145339, 8.2452845, 9.4780628, 12.2939120, 25.2106760, 50.1769360", \
+					  "8.1036324, 8.1429219, 8.3747445, 9.6080379, 12.4185480, 25.3487670, 50.3033700", \
+					  "8.1917850, 8.2294456, 8.4629317, 9.6961985, 12.5070460, 25.4651870, 50.3966360", \
+					  "8.2646032, 8.3028668, 8.5351175, 9.7676356, 12.5802670, 25.4926590, 50.4730620", \
+					  "8.3266434, 8.3631839, 8.5966896, 9.8308570, 12.6407700, 25.5978720, 50.5251520", \
+					  "8.3862055, 8.4245677, 8.6555385, 9.8914921, 12.7008640, 25.6565440, 50.5876870", \
+					  "8.4419325, 8.4800336, 8.7128837, 9.9443467, 12.7588550, 25.7186890, 50.6501580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.2824775, 2.3186435, 2.4802589, 2.8897500, 4.6049928, 16.3979440, 32.4162420", \
+					  "2.2910285, 2.3242968, 2.4804392, 2.8956255, 4.6007300, 16.3936470, 32.4088990", \
+					  "2.2854487, 2.3167517, 2.4809861, 2.8948834, 4.6126289, 16.4063230, 32.3418770", \
+					  "2.2851618, 2.3236482, 2.4805733, 2.8944367, 4.5988533, 16.4126530, 32.3210830", \
+					  "2.2823530, 2.3206035, 2.4805253, 2.8953233, 4.6079194, 16.4100250, 32.4083730", \
+					  "2.2829698, 2.3184183, 2.4802327, 2.8962879, 4.6120294, 16.4009500, 32.4119650", \
+					  "2.2827265, 2.3231082, 2.4804634, 2.8906035, 4.5976845, 16.4053410, 32.3960170");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.4862923, 5.4862927, 5.4862931, 5.4862936, 5.4862941, 5.4862946, 5.4862950", \
+					  "5.5546066, 5.5546067, 5.5555480, 5.5558247, 5.5558250, 5.5558255, 5.5932321", \
+					  "5.5790448, 5.5807186, 5.5807188, 5.5807193, 5.5814713, 5.5814717, 5.6208345", \
+					  "5.5912159, 5.5921920, 5.5921925, 5.5924447, 5.5924452, 5.5924457, 5.6307856", \
+					  "5.5808109, 5.5808113, 5.5808118, 5.5808123, 5.5808127, 5.5808132, 5.6198903", \
+					  "5.5811067, 5.5841292, 5.5841296, 5.5841301, 5.5841306, 5.5841311, 5.6282818", \
+					  "5.5922751, 5.5922754, 5.5922759, 5.5922764, 5.5922769, 5.5922773, 5.6340146");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8539976, 4.8631019, 4.8632094, 4.8632095, 4.8632100, 4.8632105, 4.9540207", \
+					  "4.9428062, 4.9428386, 4.9430824, 4.9438169, 4.9670068, 4.9670070, 4.9725730", \
+					  "4.9672546, 4.9672549, 5.0122320, 5.0122321, 5.0122326, 5.0122331, 5.0122335", \
+					  "4.9778430, 4.9785056, 4.9786189, 4.9798419, 4.9803286, 4.9803288, 4.9913078", \
+					  "5.0162852, 5.0162857, 5.0162862, 5.0162867, 5.0162871, 5.0162876, 5.0162881", \
+					  "5.0208668, 5.0208672, 5.0208676, 5.0208681, 5.0208686, 5.0208691, 5.0231668", \
+					  "5.0110107, 5.0256372, 5.0257802, 5.0257805, 5.0257810, 5.0257814, 5.0401932");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2027098, 5.2081042, 5.2234634, 5.2328457, 5.2328461, 5.2328465, 272.5049600", \
+					  "5.2670366, 5.2844804, 5.2844809, 5.2844813, 5.2844818, 5.2844823, 5.2844828", \
+					  "5.3154383, 5.3154386, 5.3154390, 5.3154395, 5.3154400, 5.3154405, 5.3154409", \
+					  "5.3053753, 5.3142730, 5.3142732, 5.3142736, 5.3142741, 5.3142746, 5.3142751", \
+					  "5.3251186, 5.3255782, 5.3255785, 5.3255790, 5.3255795, 5.3255799, 5.3255804", \
+					  "5.3269020, 5.3269022, 5.3269027, 5.3269032, 5.3269036, 5.3269041, 5.3269046", \
+					  "5.3201661, 5.3220703, 5.3220704, 5.3220709, 5.3220714, 5.3220718, 5.3220723");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7513484, 6.7858665, 6.9989072, 8.3371141, 11.7424360, 22.7113400, 88.7748750", \
+					  "6.8826824, 6.9167472, 7.1298145, 8.4668468, 11.8752310, 22.8419650, 88.9054520", \
+					  "6.9661694, 7.0008430, 7.2141493, 8.5535889, 11.9582020, 22.9259990, 89.0227700", \
+					  "7.0373440, 7.0720012, 7.2855784, 8.6216687, 12.0289580, 22.9968510, 89.0621480", \
+					  "7.1032722, 7.1328743, 7.3432296, 8.6910852, 12.0961150, 23.0551860, 89.1257630", \
+					  "7.1635706, 7.1977841, 7.4048927, 8.7413454, 12.1481120, 23.1179130, 89.1864430", \
+					  "7.2231234, 7.2538824, 7.4657382, 8.8073122, 12.2105920, 23.1810200, 89.2475570");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4449025, 2.5110405, 2.8600020, 3.5430245, 4.7902762, 18.7059680, 111.7789900", \
+					  "2.4449922, 2.5109257, 2.8593552, 3.5432626, 4.7887654, 18.6999430, 111.7950700", \
+					  "2.4447977, 2.5110913, 2.8593852, 3.5430110, 4.7880273, 18.6989940, 111.7768600", \
+					  "2.4449425, 2.5110705, 2.8596239, 3.5430914, 4.7913016, 18.7038860, 111.7929100", \
+					  "2.4447393, 2.5108202, 2.8592537, 3.5433725, 4.7921800, 18.7029080, 111.7977500", \
+					  "2.4447979, 2.5110920, 2.8601892, 3.5430110, 4.7918380, 18.7036790, 111.7993400", \
+					  "2.4449438, 2.5111027, 2.8602232, 3.5431498, 4.7916564, 18.7034940, 111.8012400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0330040, 15.2109990, 16.3713330, 23.4289440, 66.9288700, 335.1715900, 1990.2102000", \
+					  "15.1645080, 15.3546950, 16.5039450, 23.5546480, 67.1004730, 336.0395600, 1990.4608000", \
+					  "15.2365890, 15.4270750, 16.5875880, 23.6403860, 67.1482640, 335.3863200, 1993.1338000", \
+					  "15.3185300, 15.5107200, 16.6558780, 23.7055030, 67.2182380, 336.1977300, 1991.2343000", \
+					  "15.3749580, 15.5565850, 16.7177450, 23.7764100, 67.2720760, 335.6435300, 1992.8862000", \
+					  "15.4462240, 15.6207560, 16.7758700, 23.8340830, 67.3510740, 335.7745600, 1990.9112000", \
+					  "15.4982300, 15.6945920, 16.8477160, 23.8986410, 67.4001730, 336.3353800, 1990.8141000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3265320, 13.7129810, 16.0105480, 30.1107620, 117.0769400, 653.6938600, 3961.9135000", \
+					  "13.3496290, 13.7179210, 15.9964500, 30.0374590, 117.1346500, 654.0344100, 3964.4178000", \
+					  "13.3453510, 13.7167040, 15.9921370, 30.1508400, 116.9734400, 653.1632300, 3964.8148000", \
+					  "13.3491290, 13.7187680, 15.9884000, 30.0956490, 117.1786800, 654.0701600, 3962.7603000", \
+					  "13.3399230, 13.7217800, 15.9740980, 30.1130570, 117.1814600, 653.1073500, 3961.7685000", \
+					  "13.3496610, 13.7134100, 15.9818490, 30.1761570, 116.9044000, 653.1702800, 3962.7375000", \
+					  "13.3494260, 13.7186150, 15.9968930, 30.1775140, 116.9671400, 654.0026900, 3964.5083000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7208159, 6.7208164, 6.7267742, 6.7267745, 6.7267750, 6.7267755, 6.7267759", \
+					  "6.5565446, 6.5593961, 6.5834422, 6.5834425, 6.5834430, 6.5834435, 6.5834439", \
+					  "6.4775789, 6.4775794, 6.4831673, 6.4831675, 6.4831679, 6.4831684, 6.4831689", \
+					  "6.4013213, 6.4013217, 6.4013222, 6.4013227, 6.4013231, 6.4013236, 6.4013241", \
+					  "6.3143997, 6.3211170, 6.3211172, 6.3211177, 6.3211182, 6.3211186, 6.3211191", \
+					  "6.2453780, 6.2453783, 6.2453788, 6.2453793, 6.2453797, 6.2453802, 6.2453807", \
+					  "6.1430470, 6.1430471, 6.1430476, 6.1430481, 6.1430486, 6.1430491, 6.1430495");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8012860, 4.8012865, 4.8012870, 4.8012875, 4.8012879, 4.8012884, 4.8012889", \
+					  "4.8348476, 4.8360493, 4.8360494, 4.8360498, 4.8360503, 4.8360508, 4.8380824", \
+					  "4.8876343, 4.8900914, 4.8904227, 4.8904231, 4.8904236, 4.8904241, 4.9221365", \
+					  "4.9066022, 4.9070272, 4.9070275, 4.9070280, 4.9070285, 4.9070290, 4.9860698", \
+					  "4.9074482, 4.9081277, 4.9081281, 4.9081285, 4.9081290, 4.9081295, 4.9737712", \
+					  "4.9031890, 4.9031895, 4.9031899, 4.9031904, 4.9031909, 4.9031914, 4.9855906", \
+					  "4.9003392, 4.9006976, 4.9006980, 4.9006985, 4.9006990, 4.9006994, 4.9965170");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6336387, 8.6733714, 8.9061798, 10.2953020, 18.6239720, 62.5848390, 106.4830100", \
+					  "8.7638599, 8.8022540, 9.0334957, 10.4196720, 18.7631720, 62.7149250, 106.6026500", \
+					  "8.8506633, 8.8889065, 9.1210151, 10.5122260, 18.8361700, 62.7978810, 106.6793900", \
+					  "8.9214662, 8.9602983, 9.1917780, 10.5803060, 18.9145480, 62.8745770, 106.7612400", \
+					  "8.9805239, 9.0241770, 9.2558521, 10.6384830, 18.9816940, 62.9275760, 106.8346500", \
+					  "9.0398053, 9.0792778, 9.3134744, 10.7044320, 19.0305500, 63.0007550, 106.8733200", \
+					  "9.1039754, 9.1427061, 9.3713650, 10.7625940, 19.0890430, 63.0508130, 106.9319600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3876403, 2.4444924, 2.8127136, 5.1371498, 19.8190140, 53.1848490, 40.8431970", \
+					  "2.3863156, 2.4463056, 2.8162181, 5.1481965, 19.7798610, 53.1792640, 40.8322900", \
+					  "2.3870546, 2.4470055, 2.8149407, 5.1348077, 19.7997070, 53.1713840, 40.8286350", \
+					  "2.3876554, 2.4439086, 2.8127496, 5.1501430, 19.8290340, 53.1789080, 40.8323120", \
+					  "2.3842788, 2.4462741, 2.8161353, 5.1366493, 19.7928680, 53.2162720, 40.8308730", \
+					  "2.3876428, 2.4440108, 2.8161258, 5.1484292, 19.7996820, 53.2026760, 40.8284890", \
+					  "2.3853786, 2.4448239, 2.8151659, 5.1491598, 19.8147680, 53.2045980, 40.8314310");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8610236, 4.8610237, 4.8611661, 4.8611663, 4.8611668, 4.8611672, 4.8611677", \
+					  "4.9950253, 4.9950254, 4.9950259, 4.9950264, 4.9950269, 4.9950274, 4.9950278", \
+					  "4.9856306, 4.9857154, 4.9859881, 4.9881823, 4.9881824, 4.9881828, 4.9881833", \
+					  "5.0306213, 5.0306218, 5.0306223, 5.0306228, 5.0306232, 5.0306237, 5.0491487", \
+					  "4.9685549, 4.9730713, 4.9730714, 4.9730719, 4.9730723, 4.9730728, 4.9730733", \
+					  "5.0013682, 5.0016080, 5.0016082, 5.0016087, 5.0022364, 5.0022367, 5.0086220", \
+					  "5.0298201, 5.0298858, 5.0298861, 5.0298865, 5.0298870, 5.0298875, 5.0298880");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8023785, 4.8023789, 4.8049573, 4.8049577, 4.8049844, 4.8049849, 4.8049853", \
+					  "4.8381783, 4.8381784, 4.8682964, 4.8682969, 4.8682974, 4.8682979, 5.0317404", \
+					  "4.8840407, 4.8919473, 4.8919476, 4.8927049, 4.8927053, 4.8927057, 4.9822564", \
+					  "4.9074993, 4.9076401, 4.9078257, 4.9078258, 4.9078262, 4.9078267, 4.9913242", \
+					  "4.9095759, 4.9106701, 4.9106706, 4.9115498, 4.9115499, 4.9115503, 5.0195936", \
+					  "4.9053259, 4.9053262, 4.9053267, 4.9053271, 4.9053276, 4.9053281, 4.9690376", \
+					  "4.9024877, 4.9031015, 4.9031017, 4.9031022, 4.9031027, 4.9031032, 4.9932583");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.6852293, 6.7229524, 6.7229527, 6.7229531, 6.7229536, 6.7229541, 6.7229546", \
+					  "6.5972094, 6.6038934, 6.6038936, 6.6038940, 6.6038945, 6.6038950, 6.6038955", \
+					  "6.4903873, 6.4903877, 6.4903882, 6.4903887, 6.4903892, 6.4903896, 6.4903901", \
+					  "6.4035555, 6.4082601, 6.4082602, 6.4082606, 6.4082611, 6.4082616, 6.4082621", \
+					  "6.3114158, 6.3230758, 6.3230761, 6.3230765, 6.3230770, 6.3230775, 6.3230780", \
+					  "6.2258634, 6.2258637, 6.2258642, 6.2258647, 6.2258652, 6.2258657, 6.2258661", \
+					  "6.1475065, 6.1475070, 6.1584213, 6.1584218, 6.1584223, 6.1584228, 6.1584232");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2023421, 5.2023423, 5.2023428, 5.2023433, 5.2023438, 5.2023442, 1222.7529000", \
+					  "5.2676907, 5.2782223, 5.2782224, 5.2782228, 5.2782233, 5.2782238, 5.2782243", \
+					  "5.3159050, 5.3166569, 5.3166574, 5.3166578, 5.3166583, 5.3166588, 5.3166593", \
+					  "5.3063238, 5.3100986, 5.3100989, 5.3100994, 5.3100999, 5.3101004, 5.3101008", \
+					  "5.3261777, 5.3283129, 5.3283132, 5.3283136, 5.3283141, 5.3283146, 5.3283151", \
+					  "5.3276934, 5.3276938, 5.3276942, 5.3276947, 5.3276952, 5.3276957, 5.3276961", \
+					  "5.3211040, 5.3231899, 5.3231900, 5.3231905, 5.3231910, 5.3231915, 5.3231919");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4360606, 6.4706001, 6.6833006, 8.0210247, 11.4282330, 22.3981400, 88.4603250", \
+					  "6.4978321, 6.5322667, 6.7449890, 8.0841378, 11.4904650, 22.4595540, 88.5227180", \
+					  "6.5212773, 6.5559433, 6.7685368, 8.1065981, 11.5137310, 22.4823240, 88.5434020", \
+					  "6.5318617, 6.5664136, 6.7790626, 8.1176648, 11.5239450, 22.4924420, 88.5891890", \
+					  "6.5354016, 6.5699020, 6.7823120, 8.1208729, 11.5275650, 22.4970990, 88.5930620", \
+					  "6.5342376, 6.5686216, 6.7813897, 8.1201712, 11.5264680, 22.4951360, 88.5555240", \
+					  "6.5296391, 6.5642665, 6.7768302, 8.1155616, 11.5221200, 22.4905840, 88.5538820");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4447682, 2.5109623, 2.8593987, 3.5431382, 4.7906741, 18.7049590, 111.7680700", \
+					  "2.4447286, 2.5109721, 2.8601270, 3.5431256, 4.7904773, 18.7019820, 111.8091400", \
+					  "2.4446003, 2.5109779, 2.8601943, 3.5436252, 4.7919739, 18.7006530, 111.7925600", \
+					  "2.4446050, 2.5109603, 2.8601175, 3.5431651, 4.7920450, 18.7007970, 111.7914100", \
+					  "2.4446178, 2.5108553, 2.8599554, 3.5432288, 4.7915614, 18.7037570, 111.8018600", \
+					  "2.4446354, 2.5107998, 2.8602156, 3.5432495, 4.7916715, 18.7006460, 111.8105800", \
+					  "2.4446145, 2.5108491, 2.8599394, 3.5432757, 4.7916915, 18.7010090, 111.7749500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.3101675, 6.3101676, 6.3101681, 6.3101686, 6.3101690, 6.3101695, 6.3101700", \
+					  "6.3448899, 6.3448904, 6.3448909, 6.3448914, 6.3448918, 6.3448923, 6.3448928", \
+					  "6.4024985, 6.4024990, 6.4024995, 6.4025000, 6.4025004, 6.4025009, 6.4116050", \
+					  "6.3746040, 6.3746045, 6.3746050, 6.3746055, 6.3746059, 6.3746064, 6.3760153", \
+					  "6.4146950, 6.4146951, 6.4148824, 6.4148891, 6.4148896, 6.4148901, 6.4148906", \
+					  "6.3860925, 6.4174137, 6.4174140, 6.4174145, 6.4174150, 6.4174154, 6.4291714", \
+					  "6.4181052, 6.4211338, 6.4211343, 6.4211348, 6.4211352, 6.4211357, 6.4530710");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7503780, 6.7849735, 6.9978556, 8.3367242, 11.7430330, 22.7125700, 88.7765450", \
+					  "6.8802182, 6.9136488, 7.1271553, 8.4663485, 11.8726990, 22.8405540, 88.9041300", \
+					  "6.9668533, 7.0015909, 7.2147417, 8.5533927, 11.9593200, 22.9276850, 88.9908800", \
+					  "7.0390662, 7.0738233, 7.2860074, 8.6231573, 12.0297190, 22.9998440, 89.0616220", \
+					  "7.1018276, 7.1327162, 7.3508351, 8.6863015, 12.0893300, 23.0642850, 89.1195460", \
+					  "7.1649264, 7.1908235, 7.4058537, 8.7491925, 12.1507360, 23.1200800, 89.1894200", \
+					  "7.2202133, 7.2563733, 7.4683157, 8.8044319, 12.2135870, 23.1815210, 89.2440400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4451292, 2.5113223, 2.8593705, 3.5432244, 4.7905249, 18.7049680, 111.7914200", \
+					  "2.4449944, 2.5112035, 2.8601030, 3.5431542, 4.7916418, 18.7006160, 111.7701300", \
+					  "2.4449944, 2.5112101, 2.8600946, 3.5431538, 4.7916444, 18.7006140, 111.8173400", \
+					  "2.4451425, 2.5112006, 2.8594214, 3.5430638, 4.7878475, 18.7019000, 111.8124800", \
+					  "2.4450368, 2.5112665, 2.8600740, 3.5433809, 4.7915099, 18.7005660, 111.8175000", \
+					  "2.4449547, 2.5112104, 2.8597475, 3.5435761, 4.7883710, 18.7025280, 111.7575500", \
+					  "2.4450038, 2.5112958, 2.8600953, 3.5434564, 4.7911417, 18.7023130, 111.8161600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6750855, 8.7116057, 8.9441174, 10.2110040, 13.0801230, 26.0454770, 51.0080720", \
+					  "8.8052898, 8.8418570, 9.0732710, 10.3409340, 13.2084110, 26.1823420, 51.1343540", \
+					  "8.8915071, 8.9278673, 9.1606926, 10.4284720, 13.2960810, 26.2702620, 51.2231180", \
+					  "8.9634771, 9.0006518, 9.2311989, 10.4982140, 13.3671940, 26.3410310, 51.2911530", \
+					  "9.0219121, 9.0600558, 9.2944141, 10.5576150, 13.4284320, 26.3907120, 51.3544410", \
+					  "9.0867660, 9.1181072, 9.3582280, 10.6238280, 13.4921590, 26.4655370, 51.4070950", \
+					  "9.1399937, 9.1820418, 9.4147898, 10.6744600, 13.5453640, 26.5181940, 51.4755950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3447719, 2.3799784, 2.5645566, 3.0066944, 4.6437951, 16.4373030, 32.4318850", \
+					  "2.3415163, 2.3820648, 2.5685805, 3.0032552, 4.6317852, 16.4366580, 32.4162490", \
+					  "2.3400655, 2.3861637, 2.5643019, 3.0033183, 4.6442055, 16.4365750, 32.4069700", \
+					  "2.3406972, 2.3809585, 2.5684568, 3.0033571, 4.6311790, 16.4367240, 32.4155850", \
+					  "2.3463664, 2.3816500, 2.5647529, 3.0062974, 4.6289794, 16.4349190, 32.3504570", \
+					  "2.3468376, 2.3839315, 2.5685796, 3.0031855, 4.6320108, 16.4365450, 32.3469890", \
+					  "2.3459783, 2.3826077, 2.5641171, 3.0043699, 4.6443249, 16.4384040, 32.4153860");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7512933, 6.7855992, 7.0006299, 8.2842426, 16.1444420, 64.5398760, 243.8540900", \
+					  "6.8819062, 6.9154451, 7.1255064, 8.4140517, 16.2720540, 64.6674180, 244.0068600", \
+					  "6.9672303, 7.0021082, 7.2156303, 8.5003702, 16.3601740, 64.7539840, 244.0936800", \
+					  "7.0378658, 7.0727464, 7.2876762, 8.5724485, 16.4330430, 64.8288680, 244.1856000", \
+					  "7.0967054, 7.1389898, 7.3510473, 8.6310337, 16.4968800, 64.8896400, 244.1898900", \
+					  "7.1593984, 7.1935107, 7.4055451, 8.6913863, 16.5546030, 64.9479690, 244.3048900", \
+					  "7.2226531, 7.2564399, 7.4701898, 8.7541476, 16.6149370, 65.0080030, 244.3433400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3349973, 2.3949602, 2.7620064, 5.0425673, 19.3577310, 107.4507000, 194.5882000", \
+					  "2.3350899, 2.3949607, 2.7618099, 5.0425650, 19.3597260, 107.4429000, 194.5705700", \
+					  "2.3349969, 2.3949607, 2.7620073, 5.0425650, 19.3605540, 107.4429000, 194.5709200", \
+					  "2.3349878, 2.3949407, 2.7620126, 5.0426494, 19.3596300, 107.5465300, 194.5089800", \
+					  "2.3349813, 2.3946112, 2.7620084, 5.0437783, 19.3607920, 107.4625300, 194.5985700", \
+					  "2.3349780, 2.3951097, 2.7620073, 5.0425677, 19.3605520, 107.5456000, 194.5171100", \
+					  "2.3345508, 2.3949600, 2.7620091, 5.0438196, 19.3559040, 107.4952800, 194.5675200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6832008, 8.7218694, 8.9530552, 10.3436410, 18.6839470, 69.9519450, 304.0958600", \
+					  "8.8106082, 8.8499528, 9.0827941, 10.4646720, 18.7953190, 70.0555130, 304.2308900", \
+					  "8.8971257, 8.9364569, 9.1704102, 10.5578270, 18.8889830, 70.1508750, 304.3289800", \
+					  "8.9675307, 9.0083487, 9.2418810, 10.6301940, 18.9571190, 70.2341300, 304.3973900", \
+					  "9.0299395, 9.0727914, 9.3042098, 10.6936730, 19.0141860, 70.2555310, 304.4728200", \
+					  "9.0867660, 9.1313090, 9.3570396, 10.7535250, 19.0875800, 70.3397160, 304.5114200", \
+					  "9.1498382, 9.1880441, 9.4245447, 10.8099450, 19.1521060, 70.4760690, 304.5906000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3783364, 2.4362066, 2.8086169, 5.1403015, 19.8431580, 110.6664800, 224.4288200", \
+					  "2.3779505, 2.4373130, 2.8085030, 5.1282312, 19.7847920, 110.6635100, 224.4244500", \
+					  "2.3779190, 2.4373199, 2.8085365, 5.1230891, 19.7920010, 110.5343800, 224.4717100", \
+					  "2.3779614, 2.4373092, 2.8084022, 5.1232134, 19.7789290, 110.6444000, 224.4388500", \
+					  "2.3799052, 2.4364193, 2.8084981, 5.1231601, 19.7850550, 110.6366200, 224.4550600", \
+					  "2.3779598, 2.4373108, 2.8085041, 5.1230487, 19.7854850, 110.5327300, 224.4132800", \
+					  "2.3778111, 2.4394278, 2.8027400, 5.1234696, 19.7966090, 110.4499000, 224.5007100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8591757, 4.9098903, 4.9098905, 4.9098909, 4.9098914, 4.9098919, 4.9098924", \
+					  "4.9610036, 4.9610041, 4.9611857, 4.9611862, 4.9611867, 4.9611872, 4.9611877", \
+					  "4.9913278, 4.9913281, 4.9913285, 4.9913290, 4.9913295, 4.9913300, 4.9944306", \
+					  "5.0003472, 5.0003474, 5.0003479, 5.0003484, 5.0003489, 5.0003493, 5.0003498", \
+					  "4.9673350, 4.9753606, 4.9753607, 5.0228595, 5.0228599, 5.0228603, 5.0228608", \
+					  "5.0010516, 5.0010517, 5.0010522, 5.0010527, 5.0321805, 5.0321806, 5.0421485", \
+					  "4.9364290, 4.9364293, 4.9541091, 4.9541095, 4.9541100, 4.9541104, 4.9541109");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.7884896, 4.7907864, 4.7907866, 4.7907870, 4.7907875, 4.7907880, 4.8336894", \
+					  "4.8614495, 4.8614500, 4.8660072, 4.8660076, 4.8660081, 4.8660086, 4.9769899", \
+					  "4.8922701, 4.8922704, 4.8922709, 4.8922713, 4.8922718, 4.8922723, 4.9793960", \
+					  "4.8976121, 4.8976951, 4.8976954, 4.8976958, 4.8976963, 4.8976968, 4.9831161", \
+					  "4.9038902, 4.9070223, 4.9070228, 4.9070232, 4.9070237, 4.9070242, 4.9899542", \
+					  "4.9056332, 4.9059961, 4.9075877, 4.9198232, 4.9198235, 4.9198239, 4.9198244", \
+					  "4.8999871, 4.8999875, 4.8999880, 4.8999885, 4.8999889, 4.8999894, 4.9800555");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.2104151, 4.2104152, 4.2123744, 4.2123869, 4.2123874, 4.2123879, 4.2123883", \
+					  "4.3346509, 4.3349231, 4.3349236, 4.3349240, 4.3349245, 4.3349250, 4.3750381", \
+					  "4.4345891, 4.4345892, 4.4353294, 4.4354658, 4.4354661, 4.4354666, 4.4722759", \
+					  "4.5053574, 4.5110111, 4.5110114, 4.5177946, 4.5177949, 4.5177954, 4.5423696", \
+					  "4.5694430, 4.5752744, 4.5752748, 4.5752752, 4.5752757, 4.5752762, 4.6169362", \
+					  "4.6330531, 4.6339097, 4.6339100, 4.6339105, 4.6339109, 4.6339114, 4.6904431", \
+					  "4.6941389, 4.6941393, 4.6941398, 4.6941402, 4.6941407, 4.6941412, 4.7418194");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6163068, 8.6533714, 8.8827319, 10.1537190, 13.0550400, 26.6831610, 83.8445970", \
+					  "8.7453911, 8.7829939, 9.0110008, 10.2808900, 13.1828650, 26.8230700, 83.9630230", \
+					  "8.8325036, 8.8691783, 9.0997911, 10.3678480, 13.2717590, 26.8958630, 84.0446290", \
+					  "8.9028322, 8.9401979, 9.1709369, 10.4349990, 13.3425920, 26.9786170, 84.1061980", \
+					  "8.9668539, 9.0064276, 9.2293408, 10.5028250, 13.4009110, 27.0451020, 84.1575980", \
+					  "9.0321342, 9.0613268, 9.2954506, 10.5571740, 13.4661640, 27.0994480, 84.2492300", \
+					  "9.0873273, 9.1221084, 9.3499066, 10.6157610, 13.5259410, 27.1543520, 84.3018310");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3422893, 2.3762989, 2.5603015, 3.0163905, 4.6583164, 22.9183290, 52.7668580", \
+					  "2.3385613, 2.3792943, 2.5591640, 3.0138161, 4.6713984, 22.9166060, 52.8047760", \
+					  "2.3435434, 2.3800535, 2.5618618, 3.0166094, 4.6576192, 22.9135320, 52.8066160", \
+					  "2.3436668, 2.3802083, 2.5595110, 3.0152343, 4.6583639, 22.9143410, 52.7989210", \
+					  "2.3356681, 2.3792841, 2.5590721, 3.0142542, 4.6595936, 22.9166480, 52.7866360", \
+					  "2.3385600, 2.3758127, 2.5609681, 3.0157723, 4.6724118, 22.9161490, 52.7649890", \
+					  "2.3386013, 2.3792486, 2.5608633, 3.0190861, 4.6584958, 22.9181490, 52.8049820");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.2104480, 4.2106794, 4.2106798, 4.2143364, 4.2143367, 4.2143372, 4.2143376", \
+					  "4.3366106, 4.3372514, 4.3372515, 4.3372520, 4.3372524, 4.3372529, 4.4235251", \
+					  "4.4297228, 4.4298294, 4.4298299, 4.4298304, 4.4298308, 4.4298313, 4.5265334", \
+					  "4.5046606, 4.5050554, 4.5050557, 4.5050562, 4.5050567, 4.5050571, 4.5639328", \
+					  "4.5696256, 4.5696257, 4.5709094, 4.5709098, 4.5709103, 4.5709107, 4.6252491", \
+					  "4.6313904, 4.6345199, 4.6345203, 4.6385629, 4.6385630, 4.6385634, 4.6789634", \
+					  "4.6921214, 4.6921218, 4.6921223, 4.6921227, 4.6921232, 4.6921237, 4.7460769");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6929300, 12.8813300, 14.0444600, 21.2076280, 65.3434070, 337.2647400, 2013.5326000", \
+					  "12.8207730, 13.0115210, 14.1708970, 21.3307500, 65.4609020, 337.3830600, 2013.5856000", \
+					  "12.9085450, 13.1009590, 14.2548750, 21.4115750, 65.5464020, 337.4688000, 2013.6463000", \
+					  "12.9814270, 13.1719180, 14.3294500, 21.4845940, 65.6189630, 337.5388900, 2013.6802000", \
+					  "13.0417740, 13.2317330, 14.3918370, 21.5484520, 65.6825070, 337.6006800, 2014.1249000", \
+					  "13.1057830, 13.2953670, 14.4492430, 21.6159200, 65.7409270, 337.6644300, 2013.8459000", \
+					  "13.1648560, 13.3496720, 14.5144820, 21.6639830, 65.8001370, 337.7215000, 2013.8564000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0951190, 14.4591820, 16.7660640, 30.9692150, 118.9145400, 661.8383800, 4010.4856000", \
+					  "14.0992560, 14.4750130, 16.7423670, 31.0619940, 119.2385100, 662.0501300, 4011.1854000", \
+					  "14.0969320, 14.4366150, 16.7411520, 31.0522130, 119.2383500, 662.0824100, 4009.9430000", \
+					  "14.0970450, 14.4713730, 16.7416370, 31.0529020, 119.2397400, 662.0785500, 4015.1494000", \
+					  "14.0968650, 14.4636840, 16.7450370, 31.0619300, 119.2561700, 662.0604700, 4009.9294000", \
+					  "14.0969300, 14.4654080, 16.7411700, 31.0683990, 119.2380200, 661.8453200, 4009.7275000", \
+					  "14.0968490, 14.4606430, 16.7434170, 30.9569610, 119.2508700, 662.0697400, 4009.6884000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0272950, 15.2068460, 16.3674000, 23.4277340, 66.8858460, 335.7233700, 1990.0926000", \
+					  "15.1658280, 15.3527340, 16.5065850, 23.5503470, 67.1924450, 335.9129400, 1992.6479000", \
+					  "15.2477830, 15.4408650, 16.5872810, 23.6379500, 67.1239210, 335.4965100, 1994.0371000", \
+					  "15.3204720, 15.5110290, 16.6543690, 23.6982930, 67.1830520, 336.2702000, 1990.4689000", \
+					  "15.3825750, 15.5546810, 16.7138230, 23.7773430, 67.2936340, 335.7681900, 1990.9508000", \
+					  "15.4384700, 15.6377900, 16.7795770, 23.8465190, 67.4701610, 336.4098700, 1991.1611000", \
+					  "15.5073250, 15.6950350, 16.8273890, 23.8983020, 67.4129130, 336.1900000, 1990.1481000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3398830, 13.7227120, 15.9842730, 30.1016140, 117.1828200, 653.4948500, 3962.0268000", \
+					  "13.3491430, 13.7123100, 15.9963420, 30.0219620, 117.2215700, 653.8368800, 3961.7153000", \
+					  "13.3483380, 13.7183490, 15.9914000, 30.0960500, 117.1698300, 653.1036000, 3964.7439000", \
+					  "13.3487350, 13.7181360, 15.9818260, 30.0591660, 117.1861000, 654.0513500, 3962.7494000", \
+					  "13.3403470, 13.7170400, 15.9717200, 30.0200260, 116.9084200, 653.4185100, 3966.4533000", \
+					  "13.3390100, 13.7145060, 16.0067800, 30.1105310, 117.2215000, 654.1245100, 3961.7453000", \
+					  "13.3481730, 13.7137020, 15.9814690, 30.0216850, 116.9249400, 653.6871000, 3962.9385000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4502590, 6.4846941, 6.6975190, 8.0350731, 11.4335780, 22.4006840, 88.4644680", \
+					  "6.5120331, 6.5463119, 6.7593873, 8.0986284, 11.4941750, 22.4616660, 88.5278620", \
+					  "6.5354788, 6.5698909, 6.7827250, 8.1218738, 11.5175320, 22.4859370, 88.5474660", \
+					  "6.5461196, 6.5800534, 6.7933450, 8.1322531, 11.5281440, 22.4951630, 88.5917540", \
+					  "6.5493997, 6.5838792, 6.7966783, 8.1344469, 11.5323570, 22.4986570, 88.5614360", \
+					  "6.5481549, 6.5829209, 6.7954331, 8.1348208, 11.5304400, 22.4991140, 88.5605970", \
+					  "6.5438495, 6.5783081, 6.7910824, 8.1297609, 11.5256230, 22.4920140, 88.5552180");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4449325, 2.5111686, 2.8551208, 3.5343557, 4.7859525, 18.7058080, 111.7805400", \
+					  "2.4448954, 2.5110987, 2.8559526, 3.5342782, 4.7867563, 18.7037370, 111.7960200", \
+					  "2.4449260, 2.5110567, 2.8552494, 3.5343952, 4.7867394, 18.7036150, 111.8017000", \
+					  "2.4449254, 2.5111571, 2.8551848, 3.5344381, 4.7854343, 18.7031310, 111.7829200", \
+					  "2.4449542, 2.5110451, 2.8555525, 3.5343946, 4.7853921, 18.7004460, 111.7952900", \
+					  "2.4450031, 2.5110332, 2.8551328, 3.5343091, 4.7865032, 18.7020820, 111.8006900", \
+					  "2.4449298, 2.5110640, 2.8551632, 3.5341994, 4.7845363, 18.6990580, 111.8085500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.7240420, 14.9099170, 16.0979600, 23.2452140, 66.7911310, 335.3266300, 1990.4078000", \
+					  "14.8551700, 15.0522070, 16.2320500, 23.3645250, 66.9323300, 336.0281900, 1992.2713000", \
+					  "14.9421510, 15.1404200, 16.2966330, 23.4331100, 67.1662480, 335.3829400, 1992.6197000", \
+					  "15.0123040, 15.2127840, 16.3702670, 23.5051410, 67.1552910, 335.5037800, 1989.6759000", \
+					  "15.0798110, 15.2625490, 16.4552250, 23.5881550, 67.1573940, 336.2556800, 1990.5947000", \
+					  "15.1301730, 15.3318050, 16.5011290, 23.6267490, 67.4355150, 336.1754500, 1992.8332000", \
+					  "15.1863300, 15.3875100, 16.5699690, 23.6990590, 67.2670030, 336.1376200, 1993.7977000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3338120, 13.7056070, 15.9812410, 30.1123110, 117.3004700, 653.6755600, 3960.3774000", \
+					  "13.3329650, 13.6948710, 15.9945190, 30.0262000, 117.3492200, 654.0524300, 3961.9226000", \
+					  "13.3262240, 13.6991130, 15.9873710, 30.0051650, 117.2030900, 653.1840900, 3963.6880000", \
+					  "13.3376470, 13.7091240, 15.9854160, 30.0055160, 117.1257900, 653.0707400, 3960.4120000", \
+					  "13.3197360, 13.7063030, 15.9953240, 30.1384380, 117.3492900, 654.0229300, 3963.2446000", \
+					  "13.3379460, 13.6987260, 15.9781660, 30.0053030, 117.2112000, 653.7829900, 3959.9040000", \
+					  "13.3371090, 13.6831160, 15.9948230, 30.1391550, 117.3438400, 653.9229400, 3960.3710000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7520283, 6.7862413, 7.0002630, 8.2844194, 16.1445970, 64.5402030, 243.8750100", \
+					  "6.8828059, 6.9173414, 7.1309239, 8.4157561, 16.2763460, 64.6705800, 244.0073100", \
+					  "6.9671291, 7.0016672, 7.2170074, 8.5011251, 16.3616460, 64.7567550, 244.1078900", \
+					  "7.0448398, 7.0779915, 7.2867641, 8.5732559, 16.4322050, 64.8233890, 244.1318000", \
+					  "7.1051978, 7.1360060, 7.3523174, 8.6350642, 16.4972940, 64.8926530, 244.2155600", \
+					  "7.1692758, 7.2028672, 7.4112521, 8.6977474, 16.5556970, 64.9527510, 244.2570800", \
+					  "7.2183286, 7.2542439, 7.4688611, 8.7568974, 16.6137820, 65.0103830, 244.2950800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3347790, 2.3947015, 2.7618476, 5.0424451, 19.3610120, 107.4491600, 194.3087400", \
+					  "2.3348561, 2.3946742, 2.7617533, 5.0433457, 19.3606980, 107.4397500, 194.3644300", \
+					  "2.3347908, 2.3947140, 2.7618505, 5.0424438, 19.3616430, 107.4388200, 194.5086700", \
+					  "2.3343616, 2.3941777, 2.7620031, 5.0435460, 19.3402040, 107.5790500, 194.6045400", \
+					  "2.3346454, 2.3942628, 2.7618030, 5.0424802, 19.3272300, 107.4379200, 194.3346500", \
+					  "2.3345097, 2.3941289, 2.7618479, 5.0433857, 19.3616250, 107.4394400, 194.5445400", \
+					  "2.3347908, 2.3947029, 2.7617719, 5.0413473, 19.3613780, 107.4393100, 194.3759700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0329940, 15.2136630, 16.3655170, 23.4295870, 66.9001990, 335.5515300, 1990.1024000", \
+					  "15.1645610, 15.3550750, 16.5040020, 23.5554970, 67.0996630, 336.0392800, 1994.1174000", \
+					  "15.2368360, 15.4314090, 16.5871960, 23.6400660, 67.1486050, 336.1323600, 1992.9312000", \
+					  "15.3196300, 15.5105690, 16.6553990, 23.7051890, 67.2167810, 336.1946300, 1990.9978000", \
+					  "15.3748850, 15.5727400, 16.7150720, 23.7747760, 67.3170460, 336.2302400, 1990.0403000", \
+					  "15.4412940, 15.6391950, 16.7785980, 23.8430950, 67.3565810, 336.3449300, 1993.9903000", \
+					  "15.4806070, 15.6938110, 16.8472080, 23.9000180, 67.4205580, 336.3847700, 1990.5328000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3259440, 13.7171420, 15.9692450, 30.1506870, 117.1978600, 653.2802100, 3962.0363000", \
+					  "13.3496080, 13.7179790, 15.9964500, 30.0364280, 117.1352400, 654.0346900, 3960.7139000", \
+					  "13.3452560, 13.7189170, 15.9921180, 30.1508790, 116.9656600, 654.0463500, 3962.4824000", \
+					  "13.3493320, 13.7185650, 15.9843690, 30.0961320, 117.1791300, 654.0716400, 3965.7057000", \
+					  "13.3402720, 13.7130320, 15.9743740, 30.1737940, 117.1357000, 654.0465200, 3962.2810000", \
+					  "13.3374420, 13.7186070, 15.9917540, 30.1728920, 116.9026000, 654.0973900, 3964.6716000", \
+					  "13.3453030, 13.7130160, 15.9966530, 30.1729910, 116.9272300, 654.0369100, 3961.8139000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6931970, 12.8796210, 14.0418820, 21.2053450, 65.3472650, 337.2588800, 2013.4948000", \
+					  "12.8217200, 13.0114200, 14.1676060, 21.3259260, 65.4599570, 337.4947800, 2014.1458000", \
+					  "12.9091300, 13.0985160, 14.2548830, 21.4104890, 65.5452300, 337.4727800, 2014.1720000", \
+					  "12.9825790, 13.1726060, 14.3288990, 21.4965660, 65.6192540, 337.5414500, 2014.6615000", \
+					  "13.0439210, 13.2326660, 14.3886940, 21.5481140, 65.6806520, 337.6010200, 2014.3059000", \
+					  "13.1016530, 13.2917120, 14.4498820, 21.6107580, 65.7398830, 337.6648300, 2014.5062000", \
+					  "13.1617510, 13.3510010, 14.5030820, 21.6660840, 65.8001940, 337.8864100, 2014.1229000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0914560, 14.4681320, 16.7495120, 30.9772900, 119.1507300, 662.1817200, 4012.8539000", \
+					  "14.0629610, 14.4605260, 16.7498620, 31.0531870, 119.1992600, 662.0572300, 4012.5906000", \
+					  "14.0629500, 14.4605380, 16.7498620, 31.0605480, 119.1402500, 662.6492000, 4011.3669000", \
+					  "14.0605600, 14.4610370, 16.7489840, 30.9582940, 119.1997000, 662.7386100, 4014.6074000", \
+					  "14.0609520, 14.4603440, 16.7569550, 31.0531010, 119.1109100, 661.9680400, 4012.9603000", \
+					  "14.0629090, 14.4605260, 16.7498600, 30.9660030, 119.0816300, 662.7530500, 4013.1408000", \
+					  "14.0990000, 14.4619100, 16.7569900, 31.0542840, 119.1126300, 662.5588800, 4010.2741000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6843892, 8.7229264, 8.9523411, 10.3437880, 18.6847000, 69.9509070, 304.1409400", \
+					  "8.8119574, 8.8511412, 9.0820889, 10.4732180, 18.8000350, 70.0281860, 304.2563900", \
+					  "8.8990024, 8.9382493, 9.1706873, 10.5605520, 18.8842330, 70.1237750, 304.3466300", \
+					  "8.9706793, 9.0088461, 9.2409724, 10.6324110, 18.9593180, 70.1845550, 304.3919900", \
+					  "9.0351939, 9.0685752, 9.3013552, 10.6846620, 19.0275950, 70.2476370, 304.4780500", \
+					  "9.0890167, 9.1336076, 9.3678620, 10.7507940, 19.0761430, 70.2917480, 304.5499900", \
+					  "9.1521875, 9.1911554, 9.4232853, 10.8008800, 19.1579840, 70.4215280, 304.5678100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3773414, 2.4376006, 2.8067126, 5.1405755, 19.8443110, 110.6279700, 224.4715200", \
+					  "2.3782849, 2.4370634, 2.8081166, 5.1401545, 19.7904800, 110.6790500, 224.4889900", \
+					  "2.3782183, 2.4369839, 2.8066800, 5.1404525, 19.7899850, 110.6722000, 224.4547400", \
+					  "2.3783011, 2.4370921, 2.8068026, 5.1399773, 19.7907220, 110.6799800, 224.4522600", \
+					  "2.3782931, 2.4348645, 2.8068250, 5.1255094, 19.8583430, 110.6797200, 224.4546900", \
+					  "2.3782609, 2.4381541, 2.8020286, 5.1398934, 19.7905160, 110.6848000, 224.5352800", \
+					  "2.3779951, 2.4370672, 2.8056419, 5.1286708, 19.8416540, 110.6569100, 224.4267400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7509833, 6.7860384, 6.9988819, 8.3371834, 11.7420560, 22.7108130, 88.7745770", \
+					  "6.8806099, 6.9155042, 7.1269777, 8.4652267, 11.8709160, 22.8402770, 88.9053030", \
+					  "6.9674577, 7.0012107, 7.2136883, 8.5526946, 11.9584540, 22.9260520, 88.9901490", \
+					  "7.0371500, 7.0722335, 7.2842052, 8.6230809, 12.0295460, 22.9972810, 89.0648980", \
+					  "7.1058550, 7.1388824, 7.3479449, 8.6887724, 12.0916490, 23.0626650, 89.1621280", \
+					  "7.1633077, 7.1927495, 7.4087629, 8.7438643, 12.1559970, 23.1164970, 89.1827060", \
+					  "7.2230320, 7.2600748, 7.4669453, 8.8086569, 12.2057470, 23.1791280, 89.2456950");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4447924, 2.5107609, 2.8594813, 3.5430876, 4.7894018, 18.7064120, 111.7869300", \
+					  "2.4447278, 2.5109839, 2.8602716, 3.5430787, 4.7919793, 18.7063560, 111.8016300", \
+					  "2.4447389, 2.5109936, 2.8592115, 3.5430627, 4.7917394, 18.7028380, 111.8006900", \
+					  "2.4447213, 2.5108307, 2.8595206, 3.5430672, 4.7889372, 18.7049040, 111.7986800", \
+					  "2.4446933, 2.5109985, 2.8602478, 3.5430268, 4.7921294, 18.7033520, 111.8285800", \
+					  "2.4446911, 2.5109939, 2.8601310, 3.5431056, 4.7911288, 18.7005930, 111.8006200", \
+					  "2.4448219, 2.5110087, 2.8592246, 3.5431491, 4.7917879, 18.7012080, 111.8093200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6937480, 12.8803080, 14.0425700, 21.2025240, 65.3435280, 337.2680600, 2013.5367000", \
+					  "12.8216070, 13.0107870, 14.1719340, 21.3267550, 65.4610520, 337.3796700, 2013.5835000", \
+					  "12.9083290, 13.0992240, 14.2555140, 21.4131560, 65.5450380, 337.4667300, 2013.9548000", \
+					  "12.9823650, 13.1716720, 14.3301920, 21.4902730, 65.6171150, 337.5397200, 2013.6420000", \
+					  "13.0424430, 13.2315390, 14.3938480, 21.5510370, 65.6748330, 337.6036100, 2013.7300000", \
+					  "13.1012610, 13.2898300, 14.4495770, 21.6002680, 65.7363590, 337.6612800, 2013.8330000", \
+					  "13.1563610, 13.3520070, 14.5006090, 21.6752250, 65.8005490, 337.7219600, 2013.9009000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0917730, 14.4595370, 16.7540630, 31.0443010, 119.3152200, 661.9055700, 4010.5497000", \
+					  "14.0995220, 14.4604100, 16.7524700, 31.0543200, 119.2301400, 662.1683600, 4016.1140000", \
+					  "14.0995220, 14.4604060, 16.7469420, 31.0592900, 119.2294500, 662.2064500, 4010.0040000", \
+					  "14.0977420, 14.4602940, 16.7527060, 31.0597090, 119.2293400, 662.6307300, 4016.0776000", \
+					  "14.0951350, 14.4619150, 16.7480270, 31.0526750, 119.2273800, 662.5069800, 4011.3905000", \
+					  "14.0884660, 14.4652300, 16.7469700, 31.0593190, 119.2276800, 662.1984900, 4016.8429000", \
+					  "14.0944930, 14.4654320, 16.7493720, 30.9832620, 119.2237400, 662.1798400, 4010.7625000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0273840, 15.2064530, 16.3670730, 23.4292390, 66.8904150, 335.7218100, 1990.0533000", \
+					  "15.1658650, 15.3523720, 16.5071160, 23.5533550, 67.1653450, 336.1126900, 1993.0728000", \
+					  "15.2493910, 15.4419570, 16.5957720, 23.6406180, 67.1735170, 336.2200100, 1992.3832000", \
+					  "15.3181560, 15.5099700, 16.6557450, 23.6971790, 67.1849630, 336.2723600, 1990.0383000", \
+					  "15.3847900, 15.5528550, 16.7331430, 23.7765100, 67.3817060, 336.1149100, 1993.5242000", \
+					  "15.4359730, 15.6383600, 16.7796500, 23.8308560, 67.3377090, 336.4116300, 1993.9964000", \
+					  "15.5016780, 15.6952300, 16.8420570, 23.8976060, 67.5363550, 336.4513900, 1993.6710000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3388030, 13.7203420, 15.9727250, 30.1500870, 117.1849800, 653.4924100, 3961.9918000", \
+					  "13.3494240, 13.7123430, 15.9963850, 30.1759580, 117.2197700, 654.0594800, 3965.4410000", \
+					  "13.3488180, 13.7184090, 15.9964660, 30.1503070, 117.1372300, 654.1003500, 3966.6552000", \
+					  "13.3485220, 13.7180820, 15.9809480, 30.0597310, 117.1869900, 654.0554400, 3964.3273000", \
+					  "13.3485050, 13.7201050, 15.9969300, 30.0197060, 117.2184800, 653.7757700, 3964.9376000", \
+					  "13.3391390, 13.7147310, 15.9701670, 30.1502650, 117.1599600, 654.1153500, 3963.8962000", \
+					  "13.3344690, 13.7134520, 15.9882030, 30.0266050, 117.2221200, 654.0732900, 3965.4788000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.5372228, 6.5718613, 6.7875972, 8.0850935, 15.9829430, 64.4276030, 243.5868100", \
+					  "6.5990591, 6.6348997, 6.8483885, 8.1478815, 16.0473360, 64.4926170, 243.6974300", \
+					  "6.6213048, 6.6565331, 6.8719759, 8.1690921, 16.0669590, 64.5118450, 243.6736700", \
+					  "6.6322841, 6.6673427, 6.8816752, 8.1811766, 16.0802410, 64.5265170, 243.7126300", \
+					  "6.6366557, 6.6717338, 6.8869586, 8.1846379, 16.0822450, 64.5343900, 243.6943900", \
+					  "6.6358452, 6.6706138, 6.8854438, 8.1835605, 16.0801380, 64.5326990, 243.6879400", \
+					  "6.6319381, 6.6666148, 6.8802377, 8.1795495, 16.0815700, 64.5281160, 243.6882200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3294189, 2.3893874, 2.7573117, 5.0409548, 19.3580580, 107.4569900, 194.2021600", \
+					  "2.3291993, 2.3890596, 2.7575848, 5.0417963, 19.3580640, 107.4640700, 194.2392600", \
+					  "2.3291946, 2.3893523, 2.7573097, 5.0420277, 19.3271890, 107.5696900, 194.2130400", \
+					  "2.3293671, 2.3892617, 2.7574936, 5.0424767, 19.3614510, 107.4420200, 194.3978700", \
+					  "2.3292888, 2.3893496, 2.7575411, 5.0398379, 19.3270150, 107.4697200, 194.2029300", \
+					  "2.3291753, 2.3892797, 2.7577094, 5.0420086, 19.3004050, 107.4679600, 194.3155300", \
+					  "2.3292051, 2.3893270, 2.7577911, 5.0420526, 19.3625220, 107.4673300, 194.3935400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.9842168, 8.0223970, 8.2588993, 9.6591899, 18.0191810, 69.4171550, 303.3181600", \
+					  "8.1140268, 8.1519955, 8.3835756, 9.7902175, 18.1463880, 69.4376330, 303.4600400", \
+					  "8.2020586, 8.2392626, 8.4723357, 9.8766550, 18.2280840, 69.4980290, 303.5417000", \
+					  "8.2732186, 8.3126999, 8.5445873, 9.9422239, 18.2976230, 69.6256000, 303.6021200", \
+					  "8.3327008, 8.3764427, 8.6083425, 10.0049360, 18.3551360, 69.6401870, 303.6802800", \
+					  "8.3946770, 8.4329850, 8.6666034, 10.0633390, 18.4305960, 69.7050880, 303.7438000", \
+					  "8.4511100, 8.4898444, 8.7268530, 10.1189370, 18.4867130, 69.7479120, 303.7742700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3537949, 2.4146052, 2.7856326, 5.1140030, 19.8588360, 110.6442400, 224.3112200", \
+					  "2.3546878, 2.4189961, 2.7862572, 5.1226077, 19.7823710, 110.4935900, 224.3240000", \
+					  "2.3491571, 2.4143556, 2.7857399, 5.1159335, 19.7868030, 110.5187100, 224.3085500", \
+					  "2.3493392, 2.4185143, 2.7861318, 5.1231979, 19.7874370, 110.4719400, 224.2965800", \
+					  "2.3526068, 2.4136209, 2.7870888, 5.1154601, 19.7839630, 110.6758800, 224.3199900", \
+					  "2.3532376, 2.4182922, 2.7860245, 5.1233471, 19.8581810, 110.6325800, 224.2840900", \
+					  "2.3601683, 2.4154276, 2.7934186, 5.1230593, 19.7795630, 110.5461000, 224.2566000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.8225920, 13.0118780, 14.1884770, 21.4144190, 65.7539160, 337.9476500, 2014.5437000", \
+					  "12.8833950, 13.0751830, 14.2502680, 21.4751770, 65.8155700, 338.0091000, 2015.0230000", \
+					  "12.9068480, 13.0965090, 14.2721060, 21.4987460, 65.8392590, 338.0374400, 2014.7404000", \
+					  "12.9168980, 13.1084190, 14.2839540, 21.5080720, 65.8497470, 338.0401100, 2015.1811000", \
+					  "12.9209360, 13.1124840, 14.2882320, 21.5130510, 65.8540960, 338.0462500, 2015.2413000", \
+					  "12.9206580, 13.1101250, 14.2872000, 21.5124930, 65.8518360, 338.0455100, 2015.1681000", \
+					  "12.9165430, 13.1060110, 14.2829000, 21.5084250, 65.8485180, 338.0420100, 2014.8532000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0978790, 14.4571400, 16.7412360, 31.0698350, 119.2394400, 662.6011100, 4012.7429000", \
+					  "14.0884730, 14.4711390, 16.7431690, 31.0757000, 119.2399400, 662.9725200, 4012.3814000", \
+					  "14.0876870, 14.4561460, 16.7309990, 31.0764890, 119.1992200, 662.4376300, 4012.0781000", \
+					  "14.0877250, 14.4684760, 16.7446640, 31.0760130, 119.2034300, 662.1098700, 4013.8470000", \
+					  "14.0876380, 14.4684670, 16.7444330, 31.0765100, 119.1957100, 661.8666900, 4013.6310000", \
+					  "14.0896580, 14.4566940, 16.7446890, 31.0707090, 119.2371100, 661.9627000, 4013.4869000", \
+					  "14.0899410, 14.4571990, 16.7444850, 31.0707370, 119.3316700, 662.6035000, 4013.4573000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.8537052, 7.8903968, 8.1283904, 9.5145118, 17.8479760, 69.1184140, 303.2895100", \
+					  "7.9831937, 8.0218490, 8.2535800, 9.6424548, 17.9637000, 69.2113390, 303.3771100", \
+					  "8.0711349, 8.1100913, 8.3415204, 9.7275876, 18.0573300, 69.2821370, 303.4859300", \
+					  "8.1470652, 8.1842160, 8.4133145, 9.8016129, 18.1459650, 69.4874840, 303.5693800", \
+					  "8.2088611, 8.2459977, 8.4779108, 9.8648316, 18.2090380, 69.4784530, 303.6434700", \
+					  "8.2689438, 8.3066256, 8.5348066, 9.9265938, 18.2669260, 69.4895230, 303.6923300", \
+					  "8.3244300, 8.3618863, 8.5932994, 9.9824220, 18.3134930, 69.5099090, 303.7162900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3748199, 2.4342954, 2.8028317, 5.1215623, 19.7873180, 110.5029300, 224.4722500", \
+					  "2.3753968, 2.4338491, 2.8047766, 5.1343774, 19.7895500, 110.6658400, 224.3870700", \
+					  "2.3753592, 2.4339848, 2.8047480, 5.1260987, 19.7904020, 110.6800600, 224.4251900", \
+					  "2.3722151, 2.4371265, 2.8054787, 5.1220348, 19.8216200, 110.4597500, 224.4426300", \
+					  "2.3764490, 2.4333628, 2.8019311, 5.1236286, 19.8433410, 110.6110800, 224.4780700", \
+					  "2.3721178, 2.4377365, 2.8057536, 5.1364886, 19.8137220, 110.6602900, 224.4411800", \
+					  "2.3766704, 2.4315674, 2.8023466, 5.1382920, 19.7911520, 110.6866200, 224.4047900");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.4917399, -1.5350005, -1.5782611, -1.5781920, -1.5781256, -1.5780565, -1.5779874");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.5315288, 1.5860571, 1.6405855, 1.6412367, 1.6418619, 1.6425132, 1.6431644");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157654;
+			capacitance : 0.157093;
+			fall_capacitance : 0.156533;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0586390, 0.0616001, 0.0645613, 0.0646670, 0.0647685, 0.0648743, 0.0649800");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0608409, -0.0626428, -0.0644446, -0.0644518, -0.0644587, -0.0644658, -0.0644730");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150080;
+			capacitance : 0.149650;
+			fall_capacitance : 0.149221;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0612647, -0.0628762, -0.0644876, -0.0644043, -0.0643244, -0.0642410, -0.0641577");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0590427, 0.0616965, 0.0643504, 0.0643554, 0.0643603, 0.0643654, 0.0643705");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p95v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v40_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v40_5v50.lib
new file mode 100644
index 0000000..822ff39
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v40_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v40_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ff_1p40v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+		is_macro_cell : true;
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.189810e+03;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1187.2000000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.9310000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1029.3100000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1181.3300000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1189.8100000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1020.8300000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1024.7300000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1026.6900000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1185.2300000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1009.4400000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1161.8000000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1020.0700000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1020.4800000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1016.0600000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1009.0800000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1176.5700000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1180.5700000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1180.9800000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006336;
+			capacitance : 0.006174;
+			fall_capacitance : 0.006012;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5641066, -1.0104558, -1.2419143, -1.5701892, -1.6961678, -1.8747063, -1.8536354", \
+					  "-0.4974653, -0.9345579, -1.2415141, -1.4678407, -1.6356809, -1.9233719, -2.1244688", \
+					  "-0.4970357, -0.9740225, -1.2470322, -1.4706276, -1.6616004, -1.8410940, -2.0409607", \
+					  "-0.5272465, -1.0162306, -1.3114069, -1.5940669, -1.8320720, -1.9605932, -2.0661699", \
+					  "-0.5605071, -1.0138137, -1.3248311, -1.6627339, -1.8229247, -2.0688222, -2.1844668", \
+					  "-0.6051599, -1.0594990, -1.3641235, -1.6509281, -1.8965682, -2.0840966, -2.1847967", \
+					  "-0.6506285, -1.1047306, -1.4097035, -1.7359440, -1.9302741, -2.0739450, -2.2760426");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0874522, -1.6058462, -2.1617618, -2.6414088, -3.0717124, -3.4612590, -3.8252336", \
+					  "-1.0149966, -1.5486862, -2.0843922, -2.5810986, -3.0118638, -3.4077620, -3.8149241", \
+					  "-1.0172891, -1.5539937, -2.0969375, -2.5986048, -3.0162230, -3.4493403, -3.8092384", \
+					  "-1.0505955, -1.5934662, -2.1380937, -2.6433355, -3.0852545, -3.4876035, -3.8909149", \
+					  "-1.0808042, -1.6297481, -2.1979167, -2.6702227, -3.0910072, -3.4589835, -3.9023750", \
+					  "-1.1178268, -1.6629734, -2.2453374, -2.7652256, -3.1956819, -3.5979572, -3.9585310", \
+					  "-1.1693999, -1.6976838, -2.2896300, -2.8193933, -3.2845404, -3.6909710, -4.0709642");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3051061, 1.7530368, 1.9996241, 2.3781209, 2.5523055, 2.8041765, 2.9534409", \
+					  "1.2591102, 1.7032104, 1.9402898, 2.3232450, 2.4340825, 2.8088463, 2.9539276", \
+					  "1.2537694, 1.6985221, 2.0026505, 2.1378015, 2.2959814, 2.4575622, 2.6114924", \
+					  "1.2835391, 1.6991922, 1.9808657, 2.1987148, 2.4650198, 2.5940661, 2.6589629", \
+					  "1.3045587, 1.7767578, 2.0732108, 2.3181255, 2.5124316, 2.7130532, 2.8454510", \
+					  "1.3461588, 1.8349699, 2.1223372, 2.4405143, 2.6085761, 2.8657495, 3.0158430", \
+					  "1.3916284, 1.8313533, 2.1666331, 2.4493463, 2.6799856, 2.9095491, 3.0719738");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.0975556, 1.6191054, 2.1687967, 2.6512841, 3.0895458, 3.4743997, 3.8474089", \
+					  "1.0296351, 1.5587237, 2.1005271, 2.5954876, 3.0233489, 3.4228938, 3.8274129", \
+					  "1.0335041, 1.5642008, 2.1072295, 2.6056255, 3.0198788, 3.5400698, 3.8855362", \
+					  "1.0606979, 1.6003134, 2.1465818, 2.6225307, 3.0789969, 3.5324688, 3.9537944", \
+					  "1.0924326, 1.6436178, 2.2135287, 2.6796803, 3.1047891, 3.4756283, 3.9604549", \
+					  "1.1370842, 1.6809912, 2.2661220, 2.7748637, 3.2260424, 3.6106250, 3.9667015", \
+					  "1.1856058, 1.7061948, 2.3005209, 2.8338772, 3.2992827, 3.7038399, 4.0821651");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114541, 0.0171032, 0.0227523, 0.0227319, 0.0227112, 0.0226908, 0.0226704");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161464, 0.0217328, 0.0273192, 0.0272331, 0.0271458, 0.0270596, 0.0269734");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004542;
+			capacitance : 0.004405;
+			fall_capacitance : 0.004267;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.4348085, 1.8956261, 2.1790499, 2.5338323, 2.8330367, 2.8825771, 3.0327949", \
+					  "1.3859093, 1.8470363, 2.1227844, 2.4772105, 2.7551961, 2.9318708, 3.2921848", \
+					  "1.3784071, 1.8346504, 2.1032823, 2.3570957, 2.5086516, 2.6396414, 2.9625032", \
+					  "1.4040255, 1.8544503, 2.1684734, 2.4513443, 2.6127077, 2.9227450, 3.0973695", \
+					  "1.4250386, 1.9162337, 2.2577416, 2.5108041, 2.7267913, 2.9204570, 3.1201990", \
+					  "1.4666386, 1.9516875, 2.3195277, 2.5837202, 2.8540579, 3.0299372, 3.2244734", \
+					  "1.5136342, 1.9923275, 2.3615268, 2.5945628, 2.8630909, 3.0743439, 3.3220858");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.2013523, 1.7322519, 2.2821296, 2.8022023, 3.2670660, 3.6823474, 4.0686390", \
+					  "1.1448343, 1.6783605, 2.2353979, 2.7363148, 3.2054571, 3.6165527, 4.0230053", \
+					  "1.1433960, 1.6631208, 2.2242522, 2.7363081, 3.2250021, 3.6542312, 4.0367512", \
+					  "1.1781598, 1.6996327, 2.2624314, 2.7687046, 3.2228414, 3.6676347, 4.0812218", \
+					  "1.2023010, 1.7475054, 2.3289822, 2.8419265, 3.2910397, 3.6828234, 4.1348283", \
+					  "1.2423393, 1.8000474, 2.3729334, 2.9158834, 3.3831947, 3.7965389, 4.1821078", \
+					  "1.2878089, 1.8192240, 2.4140159, 2.9684031, 3.4761388, 3.8858293, 4.2702138");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.6312461, -1.0920928, -1.3663155, -1.5953288, -2.0295065, -2.1888797, -1.9665755", \
+					  "-0.5689742, -1.0241324, -1.3384567, -1.5780106, -1.7804621, -1.9640809, -2.1375909", \
+					  "-0.5644663, -1.0450512, -1.3615411, -1.5990853, -1.7525672, -2.0826191, -2.2566799", \
+					  "-0.6050023, -1.1028891, -1.4243219, -1.7117536, -1.9412183, -2.1341666, -2.3519495", \
+					  "-0.6413148, -1.1230377, -1.4591085, -1.7527608, -2.0075561, -2.2378225, -2.4463848", \
+					  "-0.6844406, -1.1696559, -1.4793616, -1.7699467, -2.1315536, -2.1886927, -2.3510433", \
+					  "-0.7299102, -1.2091854, -1.5039826, -1.8200176, -2.0396941, -2.2662058, -2.5095021");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1897207, -1.7161464, -2.2705712, -2.7894759, -3.2575251, -3.6744640, -4.0669909", \
+					  "-1.1301211, -1.6605840, -2.2262338, -2.7280913, -3.1952467, -3.6188017, -4.0161458", \
+					  "-1.1273230, -1.6526343, -2.2089954, -2.7294568, -3.1999849, -3.6437566, -4.0064278", \
+					  "-1.1573753, -1.6883664, -2.2519692, -2.7544062, -3.2150320, -3.6566325, -4.0709926", \
+					  "-1.1921618, -1.7303633, -2.3190773, -2.8352886, -3.2830693, -3.6734679, -4.1186745", \
+					  "-1.2261325, -1.7761927, -2.3613042, -2.9105713, -3.3720495, -3.7915859, -4.1847869", \
+					  "-1.2716020, -1.7910446, -2.3978532, -2.9567737, -3.4617504, -3.8746834, -4.2892345");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0106762, 0.0191089, 0.0275416, 0.0274437, 0.0273447, 0.0272468, 0.0271489");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0162853, 0.0241120, 0.0319388, 0.0318931, 0.0318469, 0.0318013, 0.0317556");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005904;
+			capacitance : 0.006066;
+			rise_capacitance : 0.006228;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5244337, -0.9615955, -1.1931390, -1.5975775, -1.8448752, -1.8840269, -2.0819477", \
+					  "-0.4518502, -0.9028174, -1.1961066, -1.4109397, -1.6133880, -1.7955316, -1.9534571", \
+					  "-0.4532069, -0.9402435, -1.2134691, -1.4849814, -1.6976721, -1.8842498, -2.0480603", \
+					  "-0.4890994, -0.9618264, -1.2717340, -1.5486016, -1.7834032, -1.9263761, -2.1531063", \
+					  "-0.5284644, -0.9854647, -1.2818793, -1.5467671, -1.8004970, -1.9822978, -2.1578303", \
+					  "-0.5715894, -1.0200310, -1.3313610, -1.6539799, -1.8331569, -1.9903285, -2.1527533", \
+					  "-0.6155331, -1.0876013, -1.3136209, -1.6728697, -1.9069426, -2.0357979, -2.2378956");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0874530, -1.6054899, -2.1604167, -2.6347775, -3.0592697, -3.4676155, -3.8137886", \
+					  "-1.0149486, -1.5483362, -2.0864796, -2.5746078, -2.9992259, -3.4016789, -3.8125362", \
+					  "-1.0188167, -1.5506890, -2.0934634, -2.5993827, -3.0013818, -3.4483427, -3.8116005", \
+					  "-1.0460226, -1.5864752, -2.1366322, -2.6458633, -3.0825904, -3.4833964, -3.8894885", \
+					  "-1.0731797, -1.6297152, -2.2030977, -2.6720672, -3.0982005, -3.4650466, -3.8932250", \
+					  "-1.1193572, -1.6611703, -2.2544925, -2.7678581, -3.1978766, -3.5930254, -3.9582588", \
+					  "-1.1633011, -1.6910598, -2.2861759, -2.8118152, -3.2862883, -3.6976362, -4.0659051");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3005285, 1.7484485, 1.9874336, 2.3735596, 2.5462020, 2.6857386, 3.0319767", \
+					  "1.2516771, 1.6977203, 1.9339466, 2.3171290, 2.4377216, 2.7347363, 3.0159240", \
+					  "1.2476611, 1.6883354, 2.0014006, 2.2261184, 2.3514011, 2.5215275, 2.8311021", \
+					  "1.2774017, 1.6904862, 1.9750235, 2.1928857, 2.3768188, 2.6947176, 2.7964771", \
+					  "1.2984553, 1.7706543, 2.0717866, 2.3107359, 2.5064666, 2.7026020, 2.8455618", \
+					  "1.3385294, 1.8107285, 2.1143874, 2.4268250, 2.6024725, 2.8342935, 3.0099139", \
+					  "1.3840000, 1.8409932, 2.1574778, 2.4418850, 2.6586231, 2.9095491, 3.0612926");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.0990815, 1.6206315, 2.1764280, 2.6543399, 3.0895480, 3.4759287, 3.8382580", \
+					  "1.0311362, 1.5645140, 2.1021034, 2.5969987, 3.0248557, 3.4212591, 3.8274133", \
+					  "1.0365476, 1.5657269, 2.1088685, 2.6086231, 3.0277548, 3.4564552, 3.8359008", \
+					  "1.0622238, 1.6003140, 2.1480751, 2.6556022, 3.1035615, 3.4985386, 3.9000827", \
+					  "1.0924324, 1.6489980, 2.2135305, 2.6811618, 3.1047962, 3.5151074, 3.9365931", \
+					  "1.1416620, 1.6809912, 2.2645961, 2.7763456, 3.2010004, 3.6076564, 3.9652178", \
+					  "1.1840799, 1.7061952, 2.3039622, 2.8353766, 3.2944028, 3.6978256, 4.0792035");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0115061, 0.0171332, 0.0227602, 0.0227441, 0.0227278, 0.0227117, 0.0226956");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161412, 0.0216745, 0.0272077, 0.0271341, 0.0270595, 0.0269859, 0.0269122");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028579;
+			capacitance : 0.028526;
+			fall_capacitance : 0.028472;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0531976, 0.3479519, 0.7491015, 1.1990730, 1.6544660, 2.1044376, 2.5544092");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3253797, 0.7277290, 1.1300783, 1.5678236, 2.0108429, 2.4485881, 2.8863333");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032176;
+			capacitance : 0.032499;
+			rise_capacitance : 0.032822;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0310051, 0.0598996, 0.0887940, 0.0897929, 0.0908039, 0.0918028, 0.0928017");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0383546, 0.0611267, 0.0838988, 0.0884024, 0.0929603, 0.0974640, 0.1019676");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052269;
+			capacitance : 0.051575;
+			fall_capacitance : 0.050882;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0095721, -0.0098253, -0.0100785, -0.0100965, -0.0101147, -0.0101327, -0.0101507");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0099245, 0.0099936, 0.0100627, 0.0100879, 0.0101133, 0.0101384, 0.0101635");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016744;
+			capacitance : 0.016678;
+			fall_capacitance : 0.016613;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0139170, 0.0134938, 0.0130707, 0.0131441, 0.0132184, 0.0132918, 0.0133652");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163644, 0.0160897, 0.0158149, 0.0158762, 0.0159382, 0.0159995, 0.0160607");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035659;
+			capacitance : 0.036229;
+			rise_capacitance : 0.036799;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0162266, 0.4295680, 0.6871642, 0.9146913, 1.1412003, 1.2679838, 1.5160712", \
+					  "-0.0596154, 0.3888191, 0.6798777, 0.8920678, 1.0451539, 2.3431892, 3.4399684", \
+					  "-0.0452390, 0.3878067, 0.6919208, 0.9371740, 1.1077788, 1.3069344, 1.4723936", \
+					  "-0.0187057, 0.4108021, 0.7089311, 0.9559849, 1.1741573, 1.3466174, 1.4975915", \
+					  "0.0106030, 0.4396133, 0.7379666, 0.9846661, 1.2180990, 1.4003602, 1.5643109", \
+					  "0.0613583, 0.4834117, 0.7385923, 1.0259425, 1.2385173, 1.4419603, 1.5952298", \
+					  "0.0839397, 0.5323266, 0.8135756, 1.0673047, 1.2852735, 1.4813841, 1.6574165");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0681140, 0.4774903, 0.9850725, 1.4586073, 1.8394536, 2.2330858, 2.5959113", \
+					  "-0.1260358, 0.4481163, 0.9537038, 1.3631090, 1.7472972, 2.0838363, 2.4350529", \
+					  "-0.0955730, 0.4519461, 0.9755087, 1.3812364, 1.7877265, 2.1600673, 2.5536960", \
+					  "-0.0867245, 0.4659614, 0.9980240, 1.4473930, 1.8302634, 2.1543519, 2.5049530", \
+					  "-0.0409091, 0.4964320, 1.0188308, 1.4774258, 1.8950939, 2.2546243, 2.5747818", \
+					  "-0.0102389, 0.5529846, 1.0598427, 1.5309601, 1.9539739, 2.3178149, 2.6694485", \
+					  "0.0275023, 0.5937273, 1.0936884, 1.5613357, 1.9780813, 2.3571809, 2.7164440");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.3956202, -0.0567320, -0.3119192, -0.5377593, -0.7579087, -0.9187050, -1.0713002", \
+					  "0.4605425, 0.0054117, -0.2986163, -0.5438937, -0.7275968, -0.9137291, -1.0706827", \
+					  "0.4427052, 0.0056692, -0.3130146, -0.5547801, -0.7674363, -0.9508417, -1.1089679", \
+					  "0.4188097, -0.0255713, -0.3357002, -0.5681476, -0.8107969, -0.9791222, -1.1435120", \
+					  "0.3817653, -0.0633251, -0.3618170, -0.5987763, -0.8158446, -1.0003358, -1.1773153", \
+					  "0.3531043, -0.1057626, -0.4051274, -0.6293067, -0.8422067, -1.0336024, -1.2311224", \
+					  "0.2862724, -0.1299233, -0.4628040, -0.6838948, -0.8727367, -1.1036381, -1.2353933");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.0782180, -0.4718488, -0.9781212, -1.4215768, -1.8316445, -2.2305910, -2.5957245", \
+					  "0.1331715, -0.4410000, -0.9465248, -1.3572610, -1.7224304, -2.0751673, -2.4290758", \
+					  "0.1072040, -0.4460302, -0.9686153, -1.3744766, -1.7832810, -2.1602049, -2.5421478", \
+					  "0.0983554, -0.4615369, -0.9909724, -1.4419473, -1.8249711, -2.1505697, -2.4989494", \
+					  "0.0450380, -0.4892552, -1.0132905, -1.4719380, -1.8896293, -2.2494106, -2.5696382", \
+					  "0.0219689, -0.5459336, -1.0558239, -1.5223983, -1.9484480, -2.3122890, -2.6584341", \
+					  "-0.0204489, -0.5866542, -1.0895767, -1.5420368, -1.9725554, -2.3501292, -2.7093922");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0774803, 0.1125725, 0.1476648, 0.1429548, 0.1381881, 0.1334781, 0.1287681");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0941614, 0.2467901, 0.3994188, 0.3992591, 0.3990975, 0.3989378, 0.3987781");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.013135;
+			capacitance : 0.013388;
+			rise_capacitance : 0.013640;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("5.2973099, 18.1478330, 30.9983570, 32.1651070, 33.3459140, 34.5126640, 35.6794140");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("4.0013314, 14.8662400, 25.7311480, 26.9884680, 28.2609370, 29.5182570, 30.7755770");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006626;
+			capacitance : 0.006462;
+			fall_capacitance : 0.006297;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.3840527, -0.8273386, -1.0650046, -1.2804357, -1.4245258, -1.5557201, -1.7650930", \
+					  "-0.3197746, -0.7594445, -1.0622963, -1.3098940, -1.4908803, -1.5856697, -1.9175867", \
+					  "-0.3215594, -0.7983367, -1.0949509, -1.3245042, -1.4839995, -1.6563837, -1.8625174", \
+					  "-0.3548219, -0.8196426, -1.1173175, -1.3996640, -1.6178225, -1.7691544, -1.9137278", \
+					  "-0.4002896, -0.8352211, -1.1402031, -1.4506763, -1.6550780, -1.8571757, -2.0402193", \
+					  "-0.4403637, -0.9046227, -1.1707802, -1.5147773, -1.7027815, -1.9018275, -2.0520452", \
+					  "-0.4858333, -0.9609294, -1.2115121, -1.5481526, -1.7289589, -1.9305124, -2.1356618");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0920299, -1.6119308, -2.1648127, -2.6490696, -3.0838944, -3.4749843, -3.8389764", \
+					  "-1.0195765, -1.5515058, -2.0919870, -2.5872650, -3.0161990, -3.4173604, -3.8234546", \
+					  "-1.0188151, -1.5570267, -2.1015627, -2.6014297, -3.0067079, -3.4498997, -3.8098886", \
+					  "-1.0551735, -1.5920159, -2.1410377, -2.6464934, -3.0902973, -3.4875666, -3.8984870", \
+					  "-1.0884340, -1.6368358, -2.2097466, -2.6747248, -3.1000382, -3.4635634, -3.9374266", \
+					  "-1.1269824, -1.6676318, -2.2544925, -2.7697080, -3.1986513, -3.6069092, -3.9645222", \
+					  "-1.1663483, -1.6976713, -2.2947606, -2.8268933, -3.2919598, -3.6985150, -4.0858230");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3386754, 1.7881315, 2.0286080, 2.4086344, 2.5843490, 2.8041803, 2.9534371", \
+					  "1.2894289, 1.7293646, 1.9739932, 2.3555864, 2.5479357, 2.8110725, 3.0711729", \
+					  "1.2828867, 1.7322260, 2.0027245, 2.1721150, 2.3206766, 2.4759004, 2.8333103", \
+					  "1.3110102, 1.7405943, 2.0074138, 2.3027480, 2.5071985, 2.7632788, 2.6879586", \
+					  "1.3350762, 1.8086063, 2.1051417, 2.3538860, 2.5378682, 2.7280110, 2.8811105", \
+					  "1.3751504, 1.8564368, 2.1481100, 2.4450789, 2.6604244, 2.8757619, 3.0321854", \
+					  "1.4190941, 1.8702713, 2.2144451, 2.4719465, 2.7257619, 2.9553254, 3.0918102");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1112885, 1.6328386, 2.1886287, 2.6665310, 3.1002199, 3.4881207, 3.8519692", \
+					  "1.0463298, 1.5737956, 2.1144036, 2.6076244, 3.0384111, 3.4305772, 3.8398598", \
+					  "1.0488257, 1.5718305, 2.1166584, 2.6279348, 3.0447503, 3.5323602, 3.8359469", \
+					  "1.0774827, 1.6149084, 2.1540694, 2.6662004, 3.1164332, 3.5109061, 3.9090263", \
+					  "1.1076915, 1.6476225, 2.2194274, 2.6959186, 3.1136993, 3.5227057, 3.9548816", \
+					  "1.1508173, 1.6858735, 2.2706997, 2.7866954, 3.2113013, 3.6239885, 3.9756126", \
+					  "1.1962869, 1.7221239, 2.3131175, 2.8458798, 3.3047756, 3.7113548, 4.0940161");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114655, 0.0171345, 0.0228035, 0.0227878, 0.0227719, 0.0227561, 0.0227404");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161472, 0.0217251, 0.0273029, 0.0272055, 0.0271070, 0.0270096, 0.0269123");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017660;
+			capacitance : 0.017582;
+			fall_capacitance : 0.017504;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0436991, 0.0763111, 0.1089230, 0.1038893, 0.0987950, 0.0937613, 0.0887276");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0405002, 0.1908625, 0.3412249, 0.3411803, 0.3411351, 0.3410904, 0.3410458");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005215;
+			capacitance : 0.005078;
+			fall_capacitance : 0.004941;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3294999, 1.7898791, 2.0389979, 2.4323830, 2.5629868, 2.8678724, 3.0472993", \
+					  "1.2722618, 1.7273052, 1.9815903, 2.3772598, 2.5567742, 2.7837226, 2.7009946", \
+					  "1.2701524, 1.7350142, 2.0138351, 2.2011600, 2.3810352, 2.5543335, 3.0130738", \
+					  "1.2957044, 1.7453489, 2.0646580, 2.3362965, 2.5439207, 2.6379170, 2.8347913", \
+					  "1.3274391, 1.8164307, 2.1357587, 2.3578220, 2.5880647, 2.7535894, 2.9219843", \
+					  "1.3690391, 1.8512211, 2.1837246, 2.4636928, 2.7055161, 2.9220307, 3.1152685", \
+					  "1.4145088, 1.8836217, 2.2316689, 2.5056953, 2.8005301, 2.9263337, 3.1024914");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.2776551, 1.7911897, 2.3318652, 2.8133778, 3.2714276, 3.7069253, 4.0950326", \
+					  "1.2109172, 1.7336024, 2.2879532, 2.7934713, 3.2537873, 3.6863306, 4.0672743", \
+					  "1.2059659, 1.7256039, 2.2662521, 2.7233780, 3.2221413, 3.6962336, 4.0962645", \
+					  "1.2285631, 1.7603924, 2.3169167, 2.7953360, 3.2271828, 3.6600496, 4.0552209", \
+					  "1.2740307, 1.8133382, 2.3665830, 2.8596803, 3.3264829, 3.7551165, 4.1781208", \
+					  "1.3049496, 1.8504013, 2.4369982, 2.9498207, 3.4064978, 3.8262263, 4.2019946", \
+					  "1.3534709, 1.8864910, 2.4793330, 3.0218088, 3.4993788, 3.9043602, 4.3140387");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5045773, -0.9663974, -1.2049353, -1.4682449, -1.7177260, -1.8621744, -1.8861221", \
+					  "-0.4355915, -0.8956979, -1.2125219, -1.4589804, -1.6336219, -1.9662040, -1.8751553", \
+					  "-0.4359943, -0.9280364, -1.2336360, -1.4563018, -1.6533379, -1.8815975, -2.0793575", \
+					  "-0.4755002, -0.9634290, -1.2717774, -1.5593915, -1.8214954, -1.9750316, -2.0518551", \
+					  "-0.5101468, -0.9742309, -1.3017517, -1.6444233, -1.8468876, -2.0245656, -2.2239550", \
+					  "-0.5563245, -1.0129598, -1.3419955, -1.6524540, -1.9179304, -2.0841193, -2.2534612", \
+					  "-0.6078974, -1.0516878, -1.3683497, -1.7108291, -1.9479879, -2.1380319, -2.3370778");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.2385601, -1.7703317, -2.3128015, -2.8210887, -3.2210980, -3.7653726, -4.2148806", \
+					  "-1.1831629, -1.7127193, -2.2644250, -2.7693409, -3.1740334, -3.7231708, -4.1302163", \
+					  "-1.1763758, -1.7032206, -2.2580928, -2.7570292, -3.1561282, -3.6993117, -4.1094645", \
+					  "-1.2123546, -1.7441126, -2.2981656, -2.7947191, -3.2192280, -3.6541081, -4.0369598", \
+					  "-1.2334082, -1.7986978, -2.3454238, -2.8455489, -3.3265048, -3.7353939, -4.1509855", \
+					  "-1.2719565, -1.8280907, -2.4195392, -2.9324545, -3.4002487, -3.8416285, -4.2323569", \
+					  "-1.3220037, -1.8592843, -2.4540005, -3.0193347, -3.4902507, -3.9096705, -4.3251395");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0106629, 0.0188953, 0.0271278, 0.0270895, 0.0270508, 0.0270125, 0.0269742");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163284, 0.0235819, 0.0308354, 0.0307996, 0.0307635, 0.0307278, 0.0306921");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005718;
+			capacitance : 0.005550;
+			fall_capacitance : 0.005382;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1040093, -0.1029041, -0.1017989, -0.1016903, -0.1015804, -0.1014718, -0.1013631");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1096376, 0.1089853, 0.1083330, 0.1084033, 0.1084746, 0.1085450, 0.1086154");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5641066, -0.4974653, -0.4970357, -0.5272465, -0.5605071, -0.6051599, -0.6506285", \
+					  "-1.0104558, -0.9345579, -0.9740225, -1.0162306, -1.0138137, -1.0594990, -1.1047306", \
+					  "-1.2419143, -1.2415141, -1.2470322, -1.3114069, -1.3248311, -1.3641235, -1.4097035", \
+					  "-1.5701892, -1.4678407, -1.4706276, -1.5940669, -1.6627339, -1.6509281, -1.7359440", \
+					  "-1.6961678, -1.6356809, -1.6616004, -1.8320720, -1.8229247, -1.8965682, -1.9302741", \
+					  "-1.8747063, -1.9233719, -1.8410940, -1.9605932, -2.0688222, -2.0840966, -2.0739450", \
+					  "-1.8536354, -2.1244688, -2.0409607, -2.0661699, -2.1844668, -2.1847967, -2.2760426");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3051061, 1.2591102, 1.2537694, 1.2835391, 1.3045587, 1.3461588, 1.3916284", \
+					  "1.7530368, 1.7032104, 1.6985221, 1.6991922, 1.7767578, 1.8349699, 1.8313533", \
+					  "1.9996241, 1.9402898, 2.0026505, 1.9808657, 2.0732108, 2.1223372, 2.1666331", \
+					  "2.3781209, 2.3232450, 2.1378015, 2.1987148, 2.3181255, 2.4405143, 2.4493463", \
+					  "2.5523055, 2.4340825, 2.2959814, 2.4650198, 2.5124316, 2.6085761, 2.6799856", \
+					  "2.8041765, 2.8088463, 2.4575622, 2.5940661, 2.7130532, 2.8657495, 2.9095491", \
+					  "2.9534409, 2.9539276, 2.6114924, 2.6589629, 2.8454510, 3.0158430, 3.0719738");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0162266, -0.0596154, -0.0452390, -0.0187057, 0.0106030, 0.0613583, 0.0839397", \
+					  "0.4295680, 0.3888191, 0.3878067, 0.4108021, 0.4396133, 0.4834117, 0.5323266", \
+					  "0.6871642, 0.6798777, 0.6919208, 0.7089311, 0.7379666, 0.7385923, 0.8135756", \
+					  "0.9146913, 0.8920678, 0.9371740, 0.9559849, 0.9846661, 1.0259425, 1.0673047", \
+					  "1.1412003, 1.0451539, 1.1077788, 1.1741573, 1.2180990, 1.2385173, 1.2852735", \
+					  "1.2679838, 2.3431892, 1.3069344, 1.3466174, 1.4003602, 1.4419603, 1.4813841", \
+					  "1.5160712, 3.4399684, 1.4723936, 1.4975915, 1.5643109, 1.5952298, 1.6574165");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3956202, 0.4605425, 0.4427052, 0.4188097, 0.3817653, 0.3531043, 0.2862724", \
+					  "-0.0567320, 0.0054117, 0.0056692, -0.0255713, -0.0633251, -0.1057626, -0.1299233", \
+					  "-0.3119192, -0.2986163, -0.3130146, -0.3357002, -0.3618170, -0.4051274, -0.4628040", \
+					  "-0.5377593, -0.5438937, -0.5547801, -0.5681476, -0.5987763, -0.6293067, -0.6838948", \
+					  "-0.7579087, -0.7275968, -0.7674363, -0.8107969, -0.8158446, -0.8422067, -0.8727367", \
+					  "-0.9187050, -0.9137291, -0.9508417, -0.9791222, -1.0003358, -1.0336024, -1.1036381", \
+					  "-1.0713002, -1.0706827, -1.1089679, -1.1435120, -1.1773153, -1.2311224, -1.2353933");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.3840527, -0.3197746, -0.3215594, -0.3548219, -0.4002896, -0.4403637, -0.4858333", \
+					  "-0.8273386, -0.7594445, -0.7983367, -0.8196426, -0.8352211, -0.9046227, -0.9609294", \
+					  "-1.0650046, -1.0622963, -1.0949509, -1.1173175, -1.1402031, -1.1707802, -1.2115121", \
+					  "-1.2804357, -1.3098940, -1.3245042, -1.3996640, -1.4506763, -1.5147773, -1.5481526", \
+					  "-1.4245258, -1.4908803, -1.4839995, -1.6178225, -1.6550780, -1.7027815, -1.7289589", \
+					  "-1.5557201, -1.5856697, -1.6563837, -1.7691544, -1.8571757, -1.9018275, -1.9305124", \
+					  "-1.7650930, -1.9175867, -1.8625174, -1.9137278, -2.0402193, -2.0520452, -2.1356618");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3386754, 1.2894289, 1.2828867, 1.3110102, 1.3350762, 1.3751504, 1.4190941", \
+					  "1.7881315, 1.7293646, 1.7322260, 1.7405943, 1.8086063, 1.8564368, 1.8702713", \
+					  "2.0286080, 1.9739932, 2.0027245, 2.0074138, 2.1051417, 2.1481100, 2.2144451", \
+					  "2.4086344, 2.3555864, 2.1721150, 2.3027480, 2.3538860, 2.4450789, 2.4719465", \
+					  "2.5843490, 2.5479357, 2.3206766, 2.5071985, 2.5378682, 2.6604244, 2.7257619", \
+					  "2.8041803, 2.8110725, 2.4759004, 2.7632788, 2.7280110, 2.8757619, 2.9553254", \
+					  "2.9534371, 3.0711729, 2.8333103, 2.6879586, 2.8811105, 3.0321854, 3.0918102");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3294999, 1.2722618, 1.2701524, 1.2957044, 1.3274391, 1.3690391, 1.4145088", \
+					  "1.7898791, 1.7273052, 1.7350142, 1.7453489, 1.8164307, 1.8512211, 1.8836217", \
+					  "2.0389979, 1.9815903, 2.0138351, 2.0646580, 2.1357587, 2.1837246, 2.2316689", \
+					  "2.4323830, 2.3772598, 2.2011600, 2.3362965, 2.3578220, 2.4636928, 2.5056953", \
+					  "2.5629868, 2.5567742, 2.3810352, 2.5439207, 2.5880647, 2.7055161, 2.8005301", \
+					  "2.8678724, 2.7837226, 2.5543335, 2.6379170, 2.7535894, 2.9220307, 2.9263337", \
+					  "3.0472993, 2.7009946, 3.0130738, 2.8347913, 2.9219843, 3.1152685, 3.1024914");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5045773, -0.4355915, -0.4359943, -0.4755002, -0.5101468, -0.5563245, -0.6078974", \
+					  "-0.9663974, -0.8956979, -0.9280364, -0.9634290, -0.9742309, -1.0129598, -1.0516878", \
+					  "-1.2049353, -1.2125219, -1.2336360, -1.2717774, -1.3017517, -1.3419955, -1.3683497", \
+					  "-1.4682449, -1.4589804, -1.4563018, -1.5593915, -1.6444233, -1.6524540, -1.7108291", \
+					  "-1.7177260, -1.6336219, -1.6533379, -1.8214954, -1.8468876, -1.9179304, -1.9479879", \
+					  "-1.8621744, -1.9662040, -1.8815975, -1.9750316, -2.0245656, -2.0841193, -2.1380319", \
+					  "-1.8861221, -1.8751553, -2.0793575, -2.0518551, -2.2239550, -2.2534612, -2.3370778");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.4348085, 1.3859093, 1.3784071, 1.4040255, 1.4250386, 1.4666386, 1.5136342", \
+					  "1.8956261, 1.8470363, 1.8346504, 1.8544503, 1.9162337, 1.9516875, 1.9923275", \
+					  "2.1790499, 2.1227844, 2.1032823, 2.1684734, 2.2577416, 2.3195277, 2.3615268", \
+					  "2.5338323, 2.4772105, 2.3570957, 2.4513443, 2.5108041, 2.5837202, 2.5945628", \
+					  "2.8330367, 2.7551961, 2.5086516, 2.6127077, 2.7267913, 2.8540579, 2.8630909", \
+					  "2.8825771, 2.9318708, 2.6396414, 2.9227450, 2.9204570, 3.0299372, 3.0743439", \
+					  "3.0327949, 3.2921848, 2.9625032, 3.0973695, 3.1201990, 3.2244734, 3.3220858");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.6312461, -0.5689742, -0.5644663, -0.6050023, -0.6413148, -0.6844406, -0.7299102", \
+					  "-1.0920928, -1.0241324, -1.0450512, -1.1028891, -1.1230377, -1.1696559, -1.2091854", \
+					  "-1.3663155, -1.3384567, -1.3615411, -1.4243219, -1.4591085, -1.4793616, -1.5039826", \
+					  "-1.5953288, -1.5780106, -1.5990853, -1.7117536, -1.7527608, -1.7699467, -1.8200176", \
+					  "-2.0295065, -1.7804621, -1.7525672, -1.9412183, -2.0075561, -2.1315536, -2.0396941", \
+					  "-2.1888797, -1.9640809, -2.0826191, -2.1341666, -2.2378225, -2.1886927, -2.2662058", \
+					  "-1.9665755, -2.1375909, -2.2566799, -2.3519495, -2.4463848, -2.3510433, -2.5095021");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0070985, -0.0540978, -0.0376451, -0.0144493, 0.0166711, 0.0689523, 0.0915337", \
+					  "0.4245414, 0.3950257, 0.3934039, 0.4103092, 0.4455421, 0.4882284, 0.5422556", \
+					  "0.6883675, 0.6815999, 0.6945364, 0.7114364, 0.7488500, 0.7793121, 0.8135959", \
+					  "0.9037790, 0.9074574, 0.9413328, 0.9620969, 0.9983527, 1.0333999, 1.0762210", \
+					  "1.1391217, 1.0749095, 1.1146805, 1.1792959, 1.2180990, 1.2690828, 1.2775103", \
+					  "1.2872402, 1.1734996, 1.2869168, 3.3566006, 1.4095155, 1.4480638, 1.4765840", \
+					  "1.4080112, 3.4486794, 1.4786063, 1.5082617, 1.5841473, 1.6242215, 1.6651134");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4124394, 0.4773674, 0.4579432, 0.4356286, 0.3989937, 0.3668714, 0.3214018", \
+					  "-0.0358383, 0.0266916, 0.0038836, -0.0009846, -0.0482460, -0.0859816, -0.1117287", \
+					  "-0.3095627, -0.2834603, -0.2962329, -0.3226373, -0.3511711, -0.3895045, -0.4414417", \
+					  "-0.4982748, -0.5370194, -0.5409487, -0.5506769, -0.5807423, -0.6194340, -0.6542225", \
+					  "-0.7348289, -0.7310778, -0.7506622, -0.7858007, -0.7979947, -0.8392103, -0.8551122", \
+					  "-0.9055683, -0.9053438, -0.9338308, -0.9536105, -0.9867615, -1.0244471, -1.0845346", \
+					  "-1.0600190, -1.0566906, -1.0991846, -1.1114577, -1.1605306, -1.2036566, -1.2262380");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.3255150, -1.2744967, -1.2603861, -1.2841036, -1.3249935, -1.3650677, -1.4090114", \
+					  "-1.7750591, -1.7197019, -1.7071751, -1.7213741, -1.8002236, -1.8403741, -1.8610801", \
+					  "-2.0602195, -2.0167263, -1.9948878, -1.9998234, -2.0963473, -2.1360318, -2.2060051", \
+					  "-2.3896404, -2.3376090, -2.1593238, -2.2324999, -2.3451636, -2.4443853, -2.4558606", \
+					  "-2.5742450, -2.4220874, -2.3097555, -2.4056359, -2.5379234, -2.6506807, -2.6927702", \
+					  "-2.7863633, -2.8095872, -2.4606883, -2.7318130, -2.7210278, -2.8665001, -2.9421700", \
+					  "-2.9616558, -3.0849383, -2.6136455, -2.8914632, -2.8815447, -3.0322979, -3.0817066");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3508776, 1.2959753, 1.2922808, 1.3155676, 1.3549317, 1.3797471, 1.4282684", \
+					  "1.7959059, 1.7393594, 1.7414565, 1.7423003, 1.8180164, 1.8627546, 1.8878441", \
+					  "2.0376683, 1.9818518, 2.0064286, 2.0270603, 2.1294535, 2.1562588, 2.2252483", \
+					  "2.4104252, 2.3568299, 2.2261458, 2.3105744, 2.3685134, 2.4651699, 2.4780307", \
+					  "2.5904526, 2.5427063, 2.3236931, 2.4253904, 2.5537997, 2.6707932, 2.7120291", \
+					  "2.7889600, 2.7540177, 2.4776583, 2.7556495, 2.7338878, 2.8942473, 2.9446441", \
+					  "2.9565009, 3.1157191, 2.7125185, 2.8309766, 2.8966434, 3.0391956, 3.0948620");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5244337, -0.4518502, -0.4532069, -0.4890994, -0.5284644, -0.5715894, -0.6155331", \
+					  "-0.9615955, -0.9028174, -0.9402435, -0.9618264, -0.9854647, -1.0200310, -1.0876013", \
+					  "-1.1931390, -1.1961066, -1.2134691, -1.2717340, -1.2818793, -1.3313610, -1.3136209", \
+					  "-1.5975775, -1.4109397, -1.4849814, -1.5486016, -1.5467671, -1.6539799, -1.6728697", \
+					  "-1.8448752, -1.6133880, -1.6976721, -1.7834032, -1.8004970, -1.8331569, -1.9069426", \
+					  "-1.8840269, -1.7955316, -1.8842498, -1.9263761, -1.9822978, -1.9903285, -2.0357979", \
+					  "-2.0819477, -1.9534571, -2.0480603, -2.1531063, -2.1578303, -2.1527533, -2.2378956");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3005285, 1.2516771, 1.2476611, 1.2774017, 1.2984553, 1.3385294, 1.3840000", \
+					  "1.7484485, 1.6977203, 1.6883354, 1.6904862, 1.7706543, 1.8107285, 1.8409932", \
+					  "1.9874336, 1.9339466, 2.0014006, 1.9750235, 2.0717866, 2.1143874, 2.1574778", \
+					  "2.3735596, 2.3171290, 2.2261184, 2.1928857, 2.3107359, 2.4268250, 2.4418850", \
+					  "2.5462020, 2.4377216, 2.3514011, 2.3768188, 2.5064666, 2.6024725, 2.6586231", \
+					  "2.6857386, 2.7347363, 2.5215275, 2.6947176, 2.7026020, 2.8342935, 2.9095491", \
+					  "3.0319767, 3.0159240, 2.8311021, 2.7964771, 2.8455618, 3.0099139, 3.0612926");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4679711, -0.4135142, -0.4179680, -0.4250320, -0.4628719, -0.5075237, -0.5529933", \
+					  "-0.9144718, -0.8647979, -0.9112518, -0.9212923, -0.9389876, -0.9698983, -1.0232961", \
+					  "-1.1445048, -1.1500555, -1.1776665, -1.2091731, -1.2622700, -1.2869912, -1.3155897", \
+					  "-1.4741964, -1.4043083, -1.4113313, -1.4795263, -1.5849140, -1.5780946, -1.6373426", \
+					  "-1.6050899, -1.5860166, -1.5548679, -1.7346698, -1.7069579, -1.7546615, -1.8108122", \
+					  "-1.7430933, -1.8557865, -1.7853941, -1.8629424, -1.9105814, -2.0116908, -1.9976511", \
+					  "-1.7548019, -2.0431698, -1.9511770, -1.9850992, -2.1074340, -2.1176580, -2.1799122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.6758898, 1.6251615, 1.6242717, 1.6571972, 1.6984025, 1.7314963, 1.7787781", \
+					  "2.1193909, 2.0709259, 2.0738987, 2.0949949, 2.1268248, 2.2059313, 2.2617859", \
+					  "2.3641940, 2.3146319, 2.3131679, 2.3609353, 2.4182496, 2.5285730, 2.5689602", \
+					  "2.7369634, 2.6933110, 2.5886977, 2.5866596, 2.6511538, 2.7628127, 2.8112375", \
+					  "2.8620591, 2.8641325, 2.9216687, 2.8840650, 2.8302314, 2.9776742, 3.0824113", \
+					  "3.1082366, 3.0249663, 2.9862331, 3.0766543, 3.0025551, 3.1572985, 3.2874615", \
+					  "3.2975090, 3.7940946, 3.1438677, 3.1986174, 4.8998821, 3.2914087, 3.4446288");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3020511, 1.2488367, 1.2476640, 1.2728384, 1.2999956, 1.3385439, 1.3870652", \
+					  "1.7500712, 1.6978172, 1.6884294, 1.6922398, 1.7706543, 1.8168320, 1.8315261", \
+					  "1.9913762, 1.9396727, 2.0002533, 1.9790743, 2.0736955, 2.1159759, 2.1590037", \
+					  "2.3707525, 2.3145017, 2.1268558, 2.1992808, 2.3130636, 2.4331264, 2.4448657", \
+					  "2.5477279, 2.4516203, 2.2951639, 2.3855327, 2.5070066, 2.6039984, 2.6723562", \
+					  "2.7935252, 2.8102323, 2.7613756, 2.5940128, 2.7124146, 2.8390453, 2.9324372", \
+					  "2.9499001, 2.9543712, 2.7542968, 3.2171308, 2.8480374, 3.0134942, 3.0628185");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1689005, -0.1075493, -0.1140541, -0.1412132, -0.1882067, -0.2404879, -0.2966386", \
+					  "-0.6061694, -0.5682564, -0.5842178, -0.6090908, -0.6393910, -0.7048661, -0.7382987", \
+					  "-0.9326651, -0.8719259, -0.9060653, -0.9256578, -0.9415748, -0.9662020, -0.9906850", \
+					  "-1.0686215, -1.1228368, -1.1626355, -1.2256737, -1.2834944, -1.2689223, -1.3120379", \
+					  "-1.3702943, -1.3197283, -1.3862051, -1.4174783, -1.4340921, -1.4983138, -1.5368165", \
+					  "-1.4325050, -1.5043093, -1.5611478, -1.6185566, -1.6786478, -1.6866785, -1.7428293", \
+					  "-1.5936202, -1.6721560, -1.7283210, -1.7992195, -1.8349691, -1.9025092, -1.9098318");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023596;
+			capacitance : 0.024402;
+			rise_capacitance : 0.024682;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0070985, 0.4245414, 0.6883675, 0.9037790, 1.1391217, 1.2872402, 1.4080112", \
+					  "-0.0540978, 0.3950257, 0.6815999, 0.9074574, 1.0749095, 1.1734996, 3.4486794", \
+					  "-0.0376451, 0.3934039, 0.6945364, 0.9413328, 1.1146805, 1.2869168, 1.4786063", \
+					  "-0.0144493, 0.4103092, 0.7114364, 0.9620969, 1.1792959, 3.3566006, 1.5082617", \
+					  "0.0166711, 0.4455421, 0.7488500, 0.9983527, 1.2180990, 1.4095155, 1.5841473", \
+					  "0.0689523, 0.4882284, 0.7793121, 1.0333999, 1.2690828, 1.4480638, 1.6242215", \
+					  "0.0915337, 0.5422556, 0.8135959, 1.0762210, 1.2775103, 1.4765840, 1.6651134");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0681070, 0.4772906, 0.9737809, 1.3837240, 1.8124589, 2.0990297, 2.5754328", \
+					  "-0.1260287, 0.4465332, 0.9483550, 1.3708269, 1.7005454, 2.0846814, 2.4719576", \
+					  "-0.1088975, 0.4519689, 0.9754427, 1.3877767, 1.8242363, 2.1565870, 2.5033474", \
+					  "-0.0804509, 0.4702772, 0.9995499, 1.4459835, 1.8459694, 2.1847204, 2.4506617", \
+					  "-0.0477652, 0.4996399, 1.0249233, 1.4835568, 1.9023614, 2.2833071, 2.6160214", \
+					  "-0.0011755, 0.5515252, 1.0615084, 1.5307984, 1.9326117, 2.3086595, 2.6816556", \
+					  "0.0458199, 0.5953747, 1.0937475, 1.5460544, 1.9902882, 2.3464997, 2.7179699");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.4124394, -0.0358383, -0.3095627, -0.4982748, -0.7348289, -0.9055683, -1.0600190", \
+					  "0.4773674, 0.0266916, -0.2834603, -0.5370194, -0.7310778, -0.9053438, -1.0566906", \
+					  "0.4579432, 0.0038836, -0.2962329, -0.5409487, -0.7506622, -0.9338308, -1.0991846", \
+					  "0.4356286, -0.0009846, -0.3226373, -0.5506769, -0.7858007, -0.9536105, -1.1114577", \
+					  "0.3989937, -0.0482460, -0.3511711, -0.5807423, -0.7979947, -0.9867615, -1.1605306", \
+					  "0.3668714, -0.0859816, -0.3895045, -0.6194340, -0.8392103, -1.0244471, -1.2036566", \
+					  "0.3214018, -0.1117287, -0.4414417, -0.6542225, -0.8551122, -1.0845346, -1.2262380");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.0812617, -0.4701505, -0.9612594, -1.3779721, -1.7495098, -2.0921704, -2.5714863", \
+					  "0.1347183, -0.4378831, -0.9411036, -1.3786477, -1.6967747, -2.0728321, -2.4076436", \
+					  "0.1102475, -0.4461501, -0.9688959, -1.3781912, -1.7869469, -2.1501336, -2.4937267", \
+					  "0.1029249, -0.4566565, -0.9863947, -1.4414920, -1.8392151, -2.1651631, -2.5618842", \
+					  "0.0480565, -0.4974458, -1.0163374, -1.4780801, -1.8968418, -2.2566664, -2.6184992", \
+					  "0.0234866, -0.5445016, -1.0636869, -1.5206935, -1.9240340, -2.3000819, -2.6837592", \
+					  "-0.0158782, -0.5868268, -1.0844191, -1.5405107, -1.9847623, -2.3394479, -2.7154958");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006213;
+			capacitance : 0.006370;
+			rise_capacitance : 0.006527;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.3255150, -1.7750591, -2.0602195, -2.3896404, -2.5742450, -2.7863633, -2.9616558", \
+					  "-1.2744967, -1.7197019, -2.0167263, -2.3376090, -2.4220874, -2.8095872, -3.0849383", \
+					  "-1.2603861, -1.7071751, -1.9948878, -2.1593238, -2.3097555, -2.4606883, -2.6136455", \
+					  "-1.2841036, -1.7213741, -1.9998234, -2.2324999, -2.4056359, -2.7318130, -2.8914632", \
+					  "-1.3249935, -1.8002236, -2.0963473, -2.3451636, -2.5379234, -2.7210278, -2.8815447", \
+					  "-1.3650677, -1.8403741, -2.1360318, -2.4443853, -2.6506807, -2.8665001, -3.0322979", \
+					  "-1.4090114, -1.8610801, -2.2060051, -2.4558606, -2.6927702, -2.9421700, -3.0817066");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.2634760, -0.7970867, -1.3279885, -1.8060405, -2.2617352, -2.6725364, -3.0893097", \
+					  "-0.1946895, -0.7430056, -1.2822124, -1.7585176, -2.1984305, -2.6255870, -3.0050630", \
+					  "-0.2009774, -0.7564071, -1.3109213, -1.7896242, -2.2532271, -2.6738267, -3.0543783", \
+					  "-0.2372918, -0.7846211, -1.3678644, -1.8548680, -2.2895587, -2.6869904, -3.0886924", \
+					  "-0.2827595, -0.8050519, -1.3995992, -1.9065891, -2.3738553, -2.8013562, -3.1619071", \
+					  "-0.3197819, -0.8643721, -1.4209389, -1.9377934, -2.4367294, -2.8757896, -3.2585341", \
+					  "-0.3637255, -0.8885947, -1.4619741, -1.9771595, -2.4944060, -2.9101268, -3.3413079");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3508776, 1.7959059, 2.0376683, 2.4104252, 2.5904526, 2.7889600, 2.9565009", \
+					  "1.2959753, 1.7393594, 1.9818518, 2.3568299, 2.5427063, 2.7540177, 3.1157191", \
+					  "1.2922808, 1.7414565, 2.0064286, 2.2261458, 2.3236931, 2.4776583, 2.7125185", \
+					  "1.3155676, 1.7423003, 2.0270603, 2.3105744, 2.4253904, 2.7556495, 2.8309766", \
+					  "1.3549317, 1.8180164, 2.1294535, 2.3685134, 2.5537997, 2.7338878, 2.8966434", \
+					  "1.3797471, 1.8627546, 2.1562588, 2.4651699, 2.6707932, 2.8942473, 3.0391956", \
+					  "1.4282684, 1.8878441, 2.2252483, 2.4780307, 2.7120291, 2.9446441, 3.0948620");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1112874, 1.6450411, 2.1970886, 2.6793073, 3.1060213, 3.5023551, 3.8671042", \
+					  "1.0504545, 1.5815968, 2.1243097, 2.6178791, 3.0504277, 3.4421431, 3.8481474", \
+					  "1.0502795, 1.5779296, 2.1315028, 2.6306253, 3.0799348, 3.4657149, 3.8387958", \
+					  "1.0805240, 1.6193999, 2.1712088, 2.6725342, 3.1226098, 3.5231292, 3.9217534", \
+					  "1.1137847, 1.6503426, 2.2261660, 2.7071832, 3.1266918, 3.5067061, 3.9642816", \
+					  "1.1553846, 1.7060672, 2.2874842, 2.7956113, 3.2270052, 3.6362072, 3.9961677", \
+					  "1.2008542, 1.7217861, 2.3268503, 2.8550960, 3.3111208, 3.7349762, 4.1150916");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0112469, 0.0166452, 0.0220435, 0.0220141, 0.0219843, 0.0219548, 0.0219254");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0167659, 0.0224890, 0.0282121, 0.0281221, 0.0280310, 0.0279410, 0.0278509");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.019259;
+			capacitance : 0.019967;
+			rise_capacitance : 0.020675;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.5533515, 1.3773818, 2.2014122, 3.0842215, 3.9776671, 4.8604764, 5.7432856");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("7.6289981, 27.9908110, 48.3526240, 49.5710300, 50.8041160, 52.0225230, 53.2409290");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033463;
+			capacitance : 0.033427;
+			fall_capacitance : 0.033391;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0549176, 0.6240160, 1.3029496, 2.1328078, 2.9726644, 3.8025226, 4.6323809");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1533679, 1.8436689, 2.5339700, 3.3419577, 4.1596802, 4.9676679, 5.7756556");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006769;
+			capacitance : 0.006609;
+			fall_capacitance : 0.006449;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.4679711, -0.9144718, -1.1445048, -1.4741964, -1.6050899, -1.7430933, -1.7548019", \
+					  "-0.4135142, -0.8647979, -1.1500555, -1.4043083, -1.5860166, -1.8557865, -2.0431698", \
+					  "-0.4179680, -0.9112518, -1.1776665, -1.4113313, -1.5548679, -1.7853941, -1.9511770", \
+					  "-0.4250320, -0.9212923, -1.2091731, -1.4795263, -1.7346698, -1.8629424, -1.9850992", \
+					  "-0.4628719, -0.9389876, -1.2622700, -1.5849140, -1.7069579, -1.9105814, -2.1074340", \
+					  "-0.5075237, -0.9698983, -1.2869912, -1.5780946, -1.7546615, -2.0116908, -2.1176580", \
+					  "-0.5529933, -1.0232961, -1.3155897, -1.6373426, -1.8108122, -1.9976511, -2.1799122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.4475580, -1.9735839, -2.5318740, -3.0098030, -3.4406961, -3.8325691, -4.1959082", \
+					  "-1.3911798, -1.9197499, -2.4789035, -2.9610407, -3.3939198, -3.7883982, -4.1482020", \
+					  "-1.3880764, -1.9269492, -2.4693407, -2.9549800, -3.3936824, -3.8121161, -4.2080838", \
+					  "-1.4228648, -1.9605912, -2.5052238, -2.9781370, -3.4292033, -3.8800187, -4.1964234", \
+					  "-1.4622833, -2.0049821, -2.5232198, -3.0343108, -3.4969132, -3.9055112, -4.2796941", \
+					  "-1.5053894, -2.0498125, -2.6250306, -3.1001419, -3.5355092, -3.9330336, -4.2916601", \
+					  "-1.5539107, -2.0993904, -2.6783801, -3.1814877, -3.6322109, -4.0420891, -4.4205126");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.6758898, 2.1193909, 2.3641940, 2.7369634, 2.8620591, 3.1082366, 3.2975090", \
+					  "1.6251615, 2.0709259, 2.3146319, 2.6933110, 2.8641325, 3.0249663, 3.7940946", \
+					  "1.6242717, 2.0738987, 2.3131679, 2.5886977, 2.9216687, 2.9862331, 3.1438677", \
+					  "1.6571972, 2.0949949, 2.3609353, 2.5866596, 2.8840650, 3.0766543, 3.1986174", \
+					  "1.6984025, 2.1268248, 2.4182496, 2.6511538, 2.8302314, 3.0025551, 4.8998821", \
+					  "1.7314963, 2.2059313, 2.5285730, 2.7628127, 2.9776742, 3.1572985, 3.2914087", \
+					  "1.7787781, 2.2617859, 2.5689602, 2.8112375, 3.0824113, 3.2874615, 3.4446288");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.4591879, 1.9898903, 2.5480347, 3.0226795, 3.4508592, 3.8517627, 4.2012445", \
+					  "1.4090266, 1.9304669, 2.4916865, 2.9691416, 3.4039463, 3.7921939, 4.1503608", \
+					  "1.4042833, 1.9365117, 2.4778713, 2.9693874, 3.4037569, 3.8183548, 4.2285218", \
+					  "1.4360200, 1.9647406, 2.5163501, 2.9417418, 3.4368080, 3.8524324, 4.2015132", \
+					  "1.4784698, 2.0175762, 2.5504979, 3.0457002, 3.5067041, 3.9156028, 4.3248128", \
+					  "1.5139662, 2.0708697, 2.6405989, 3.1051642, 3.5437171, 3.9381909, 4.2892941", \
+					  "1.5624876, 2.1171234, 2.7006884, 3.1940699, 3.6419523, 4.0473174, 4.4346800");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114323, 0.0170853, 0.0227383, 0.0227082, 0.0226778, 0.0226478, 0.0226177");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160036, 0.0215475, 0.0270913, 0.0270310, 0.0269699, 0.0269096, 0.0268492");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013948;
+			capacitance : 0.013858;
+			fall_capacitance : 0.013769;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3020511, 1.7500712, 1.9913762, 2.3707525, 2.5477279, 2.7935252, 2.9499001", \
+					  "1.2488367, 1.6978172, 1.9396727, 2.3145017, 2.4516203, 2.8102323, 2.9543712", \
+					  "1.2476640, 1.6884294, 2.0002533, 2.1268558, 2.2951639, 2.7613756, 2.7542968", \
+					  "1.2728384, 1.6922398, 1.9790743, 2.1992808, 2.3855327, 2.5940128, 3.2171308", \
+					  "1.2999956, 1.7706543, 2.0736955, 2.3130636, 2.5070066, 2.7124146, 2.8480374", \
+					  "1.3385439, 1.8168320, 2.1159759, 2.4331264, 2.6039984, 2.8390453, 3.0134942", \
+					  "1.3870652, 1.8315261, 2.1590037, 2.4448657, 2.6723562, 2.9324372, 3.0628185");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.0899252, 1.6190981, 2.1754290, 2.6511387, 3.0888021, 3.4741748, 3.8386124", \
+					  "1.0307114, 1.5587169, 2.0994926, 2.5760960, 3.0225984, 3.4604884, 3.8270669", \
+					  "1.0334948, 1.5641935, 2.1060314, 2.6060414, 3.0198633, 3.4575920, 3.9038704", \
+					  "1.0667940, 1.6004537, 2.1469891, 2.6535676, 3.0955952, 3.4931760, 3.9007036", \
+					  "1.0924253, 1.6422614, 2.2140510, 2.6841516, 3.1073879, 3.4674384, 3.9706552", \
+					  "1.1233441, 1.6747156, 2.2630702, 2.7758074, 3.2015897, 3.6117658, 3.9684041", \
+					  "1.1703395, 1.7061990, 2.3023288, 2.8294165, 3.2946508, 3.6999801, 4.0788153");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.1689005, -0.6061694, -0.9326651, -1.0686215, -1.3702943, -1.4325050, -1.5936202", \
+					  "-0.1075493, -0.5682564, -0.8719259, -1.1228368, -1.3197283, -1.5043093, -1.6721560", \
+					  "-0.1140541, -0.5842178, -0.9060653, -1.1626355, -1.3862051, -1.5611478, -1.7283210", \
+					  "-0.1412132, -0.6090908, -0.9256578, -1.2256737, -1.4174783, -1.6185566, -1.7992195", \
+					  "-0.1882067, -0.6393910, -0.9415748, -1.2834944, -1.4340921, -1.6786478, -1.8349691", \
+					  "-0.2404879, -0.7048661, -0.9662020, -1.2689223, -1.4983138, -1.6866785, -1.9025092", \
+					  "-0.2966386, -0.7382987, -0.9906850, -1.3120379, -1.5368165, -1.7428293, -1.9098318");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0859251, -1.6104229, -2.1653598, -2.6458726, -3.0832048, -3.4732725, -3.8378289", \
+					  "-1.0195898, -1.5515069, -2.0925450, -2.5871265, -3.0155114, -3.4157301, -3.8242407", \
+					  "-1.0203397, -1.5555188, -2.0989221, -2.6018673, -3.0068732, -3.4505260, -3.8128706", \
+					  "-1.0444843, -1.5921395, -2.1414861, -2.6446271, -3.0862856, -3.4876284, -3.8963625", \
+					  "-1.0777448, -1.6382668, -2.2102399, -2.6761650, -3.1025642, -3.4865093, -3.9349561", \
+					  "-1.1269745, -1.6660972, -2.2560184, -2.7691262, -3.1977447, -3.6080101, -3.9676695", \
+					  "-1.1724439, -1.6976677, -2.2930990, -2.8179003, -3.2892331, -3.6991456, -4.0883920");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114700, 0.0171402, 0.0228104, 0.0227846, 0.0227585, 0.0227327, 0.0227070");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160792, 0.0216868, 0.0272944, 0.0272207, 0.0271461, 0.0270724, 0.0269987");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.211770;
+			max_transition : 5.026971;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02457336, 0.06038503, 0.1483863, 0.3646351, 0.8960311, 2.20185");
+					values("6.5122790, 8.3991607, 9.3642997, 9.5169527, 9.4937794, 9.4974874, 9.5098126", \
+					  "6.4629122, 8.3524893, 9.3153614, 9.4309877, 9.4396301, 9.4450407, 9.3840521", \
+					  "7.7156476, 7.7156467, 7.7163553, 7.6961050, 7.6095380, 7.6060920, 7.5674710", \
+					  "7.8006499, 7.8004920, 7.8012223, 7.7701292, 7.7650933, 7.6295480, 7.6519676", \
+					  "7.7943251, 7.7954275, 7.7956547, 7.7950207, 7.7509032, 7.7647090, 7.7282182", \
+					  "7.8307803, 7.8304602, 7.8312339, 7.8312955, 7.8372482, 7.8337996, 7.6946010", \
+					  "7.8739385, 7.8736800, 7.8743548, 7.8753202, 7.8640913, 7.8518433, 7.7857018");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02457336, 0.06038503, 0.1483863, 0.3646351, 0.8960311, 2.20185");
+					values("7.1701139, 7.1819196, 7.1843864, 7.1922334, 7.1937461, 7.1971279, 7.2036774", \
+					  "7.0616221, 7.0691057, 7.0753281, 7.0825281, 7.0876794, 7.0923787, 7.0958569", \
+					  "9.0842117, 9.0812661, 9.0710694, 9.0794689, 9.0916328, 9.2074091, 9.2048444", \
+					  "9.0920110, 9.0936399, 9.0955368, 9.0970079, 9.0991130, 9.0986827, 9.2170056", \
+					  "9.0820450, 9.0981164, 9.1060293, 9.0987912, 9.0848787, 9.1023725, 9.1363974", \
+					  "9.1173622, 9.0801793, 9.0844285, 9.1110383, 9.0923623, 9.1015025, 9.1124963", \
+					  "9.0605162, 9.0549061, 9.1319894, 9.1339514, 9.0622483, 9.1014592, 9.1280395");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("12.2216664, 12.2228055, 12.2322144, 12.2191712, 12.2203309, 12.2180408, 12.2090521", \
+					  "50.2107402, 50.2055222, 50.2150152, 50.2118262, 49.9382152, 49.5113382, 49.4533222", \
+					  "73.6977150, 73.6939260, 73.7124670, 73.5543020, 73.6447080, 73.7013580, 73.0144420", \
+					  "105.2834800, 105.2819300, 105.3020300, 105.2290900, 105.2358100, 105.2842000, 104.5403800", \
+					  "136.1228600, 136.1679200, 136.1094800, 136.1692300, 136.2201500, 136.0292200, 136.0028300", \
+					  "166.7742200, 166.7719200, 166.8147600, 166.9243100, 166.9609600, 166.8300600, 166.7441300", \
+					  "197.4895900, 197.4540500, 197.3396200, 197.5398600, 197.4482900, 197.3716600, 197.3105800");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("11.0639899, 11.0668259, 11.0767889, 11.0858079, 11.0934859, 11.1017879, 11.1093879", \
+					  "25.3512225, 25.3516035, 25.3532305, 25.3559505, 25.8907285, 25.9183895, 25.9279035", \
+					  "51.7912870, 51.7945440, 51.7899580, 51.8040470, 51.7767560, 51.7729160, 52.3255540", \
+					  "68.9852480, 68.9825140, 68.9829170, 69.0058700, 68.9549880, 68.8670370, 69.3495680", \
+					  "86.2554400, 86.2161940, 86.1956410, 86.3494480, 86.2512080, 86.1440090, 86.0631640", \
+					  "103.5915100, 103.5490700, 103.4892000, 103.6804800, 103.4988100, 103.5758300, 103.3999600", \
+					  "121.0503600, 120.9938100, 120.8738700, 121.0956900, 121.0452600, 120.8868300, 120.7776500");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("10.6352628, 10.6454023, 10.6416584, 10.6357806, 10.6330326, 10.6335684, 10.6043537", \
+					  "21.6317192, 21.6354792, 21.6559992, 21.6756212, 21.6260872, 20.9317452, 20.8989022", \
+					  "22.7201520, 22.7209100, 22.7069870, 22.7222390, 22.7243290, 22.7089080, 22.0557430", \
+					  "32.6289550, 32.6695510, 32.6392180, 32.6754110, 32.6357210, 32.4906800, 32.1551920", \
+					  "42.1825870, 42.1661250, 42.3253650, 42.2987860, 42.2540410, 42.1454920, 42.1749750", \
+					  "51.7529660, 51.7744810, 51.7647180, 51.8045950, 51.7617300, 51.7059420, 51.5297040", \
+					  "61.0658680, 60.9747670, 61.0659720, 61.0163170, 61.0421570, 61.0005030, 61.0059640");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("11.3260029, 11.3285629, 11.3407979, 11.3545549, 11.3701719, 11.3862579, 11.4005989", \
+					  "19.4948685, 19.5375425, 19.7057585, 19.9457545, 19.9898265, 20.0160625, 20.0334375", \
+					  "38.9004420, 38.9019720, 38.9037100, 38.9520290, 38.9066560, 39.4241760, 39.4759780", \
+					  "48.9090050, 48.9083840, 48.9073020, 49.0154550, 48.9051130, 48.8976360, 49.4670140", \
+					  "59.0055500, 59.0049400, 59.0105950, 59.1183840, 58.9924150, 58.9676020, 59.3671920", \
+					  "69.1815560, 69.1889880, 69.1812720, 69.3411990, 69.1542380, 69.0875620, 69.0879780", \
+					  "79.2689660, 79.2981650, 79.2497040, 79.4393090, 79.3333870, 79.2279370, 79.2246130");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("28.8379691, 28.8642551, 28.8871241, 28.9727031, 28.9822941, 29.0751171, 28.8097081", \
+					  "28.8479360, 28.8527920, 28.8749910, 28.9693670, 28.9698420, 29.0770570, 28.7992550", \
+					  "28.8226039, 28.8550309, 28.8852859, 28.9832319, 28.9956479, 29.0478589, 28.8130139", \
+					  "28.8970269, 28.9252179, 28.9279239, 29.0514869, 29.0612479, 29.1177759, 28.8780329", \
+					  "28.9750100, 29.1216660, 29.0598780, 29.1325560, 29.1820720, 29.1630490, 28.9691400", \
+					  "29.0580170, 29.0294860, 29.1156740, 29.1163990, 29.1585770, 29.1771140, 29.2969380", \
+					  "29.1963691, 29.0926921, 29.1902531, 29.2724961, 29.2317371, 29.2527861, 29.2015141");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("22.7481841, 22.7951171, 22.8200611, 22.8658921, 22.9004711, 22.8968171, 22.9907081", \
+					  "22.7568188, 22.7820278, 22.8156028, 22.8629158, 22.9048438, 22.9105928, 22.9164578", \
+					  "22.7541995, 22.7786905, 22.8125345, 22.8637865, 22.9014025, 22.9097255, 22.9146355", \
+					  "22.7590689, 22.7882119, 22.8076339, 22.8628729, 22.8961129, 22.9165919, 22.9158669", \
+					  "22.7396797, 22.7852997, 22.7804737, 22.8944067, 22.9512967, 22.8969167, 22.9657907", \
+					  "22.7550132, 22.7854352, 22.8118672, 22.8588642, 22.8998482, 22.9076062, 22.9116582", \
+					  "22.7696726, 22.7871126, 22.8247576, 22.8692146, 22.9072006, 22.9164546, 22.9214356");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("2.9732805, 3.0005258, 3.0635561, 3.2123073, 3.5641274, 4.4177240, 6.5301120", \
+					  "5.1778759, 5.2044871, 5.2671316, 5.4149751, 5.7669736, 6.6225163, 8.7319183", \
+					  "6.8998984, 6.9265305, 6.9891590, 7.1369817, 7.4889650, 8.3440659, 10.4452090", \
+					  "7.2785418, 7.3051480, 7.3678241, 7.5155677, 7.8675590, 8.7228651, 10.8215870", \
+					  "9.4590877, 9.4857233, 9.5483603, 9.6961399, 10.0481910, 10.9032530, 13.0065540", \
+					  "11.2915050, 11.3181300, 11.3808480, 11.5284950, 11.8805230, 12.7361500, 14.8432430", \
+					  "12.6270270, 12.6536500, 12.7164110, 12.8639930, 13.2160000, 14.0716780, 16.1749710", \
+					  "14.5225330, 14.5490890, 14.6119360, 14.7593650, 15.1114710, 15.9661580, 18.0658140", \
+					  "38.3642490, 38.5083430, 38.6475460, 38.6475468, 38.7907630, 40.0021700, 42.1556590", \
+					  "52.4818450, 52.5099110, 52.6872590, 52.7197270, 53.0722990, 54.0420970, 56.0314990", \
+					  "109.1014000, 109.4328800, 109.4328805, 109.4328881, 109.7672400, 110.8725300, 113.0428600", \
+					  "302.7738600, 303.6511800, 303.7169200, 304.2350200, 304.5355200, 304.6434600, 307.5970800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("0.1647639, 0.1947868, 0.2700239, 0.4571914, 0.9239200, 2.0919160, 4.9787143", \
+					  "0.1654643, 0.1960525, 0.2709403, 0.4573162, 0.9250242, 2.0987987, 4.9943898", \
+					  "0.1654732, 0.1961672, 0.2708757, 0.4572879, 0.9230429, 2.0960063, 5.0075939", \
+					  "0.1662086, 0.1953166, 0.2704039, 0.4571545, 0.9250590, 2.0999698, 4.9388573", \
+					  "0.1659965, 0.1953258, 0.2699915, 0.4572796, 0.9228183, 2.1069428, 4.9801248", \
+					  "0.1662037, 0.1953201, 0.2704133, 0.4571428, 0.9266793, 2.1255102, 5.0071378", \
+					  "0.1662079, 0.1953555, 0.2704954, 0.4570492, 0.9259285, 2.1100686, 5.0432498", \
+					  "0.1662027, 0.1954321, 0.2706214, 0.4572836, 0.9232536, 2.0960453, 4.9614770", \
+					  "0.1661788, 0.1953249, 0.2706406, 0.4572797, 0.9256969, 2.0915767, 4.9954925", \
+					  "0.1657392, 0.1959420, 0.2699583, 0.4572318, 0.9226221, 2.1070907, 4.9986806", \
+					  "0.1656087, 0.1960252, 0.2706243, 0.4572321, 0.9237496, 2.0936639, 4.9990234", \
+					  "0.1657142, 0.1957150, 0.2699709, 0.4572759, 0.9226093, 2.1070734, 4.9957731");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("2.5621034, 2.6000830, 2.6791449, 2.8328253, 3.1184668, 3.6864332, 4.9837272", \
+					  "4.4921498, 4.5289470, 4.6083519, 4.7613535, 5.0457261, 5.6165725, 6.9131461", \
+					  "5.8245542, 5.8615384, 5.9417289, 6.0947483, 6.3792442, 6.9502142, 8.2496667", \
+					  "6.1003234, 6.1369363, 6.2163572, 6.3696861, 6.6560810, 7.2258772, 8.5207059", \
+					  "7.7199704, 7.7565590, 7.8363334, 7.9890752, 8.2738847, 8.8445322, 10.1574490", \
+					  "8.8743279, 8.9111944, 8.9916989, 9.1443182, 9.4293053, 10.0006020, 11.2998010", \
+					  "9.7116422, 9.7485788, 9.8280237, 9.9814725, 10.2660810, 10.8364470, 12.1343750", \
+					  "10.7796460, 10.8162680, 10.8958430, 11.0489650, 11.3352850, 11.9050550, 13.1991880", \
+					  "21.7616360, 21.7616377, 21.7756620, 21.9676240, 22.2341100, 22.8793850, 24.1209330", \
+					  "27.0406750, 27.0737960, 27.1577070, 27.3058870, 27.6263920, 28.1647270, 29.4569600", \
+					  "45.9489730, 45.9841940, 46.0658000, 46.1815510, 46.4904130, 47.0560690, 48.3349790", \
+					  "101.5992400, 101.5992470, 101.9280700, 101.9729400, 102.2053600, 102.8246100, 104.2600200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("0.2200312, 0.2488965, 0.3090977, 0.4279354, 0.6858859, 1.3446317, 3.0144296", \
+					  "0.2240464, 0.2551364, 0.3147871, 0.4361349, 0.7015366, 1.3553791, 3.0820915", \
+					  "0.2242310, 0.2546180, 0.3154909, 0.4364906, 0.7019127, 1.3471172, 3.0349765", \
+					  "0.2229423, 0.2541772, 0.3124283, 0.4392575, 0.7019984, 1.3443848, 3.0255174", \
+					  "0.2250881, 0.2553798, 0.3138182, 0.4365282, 0.7011214, 1.3343970, 3.0782836", \
+					  "0.2245496, 0.2547014, 0.3142977, 0.4364180, 0.7032504, 1.3477083, 3.0562461", \
+					  "0.2250584, 0.2553580, 0.3137836, 0.4365101, 0.7010817, 1.3496695, 3.0501839", \
+					  "0.2231588, 0.2545375, 0.3117330, 0.4403626, 0.7013224, 1.3436877, 3.0590581", \
+					  "0.2249589, 0.2553490, 0.3140085, 0.4364735, 0.7020331, 1.3468483, 3.0467810", \
+					  "0.2238158, 0.2554835, 0.3117803, 0.4382568, 0.6997464, 1.3478877, 3.0536917", \
+					  "0.2247401, 0.2532880, 0.3156904, 0.4365664, 0.7013778, 1.3490158, 3.0544558", \
+					  "0.2239878, 0.2551555, 0.3143910, 0.4374759, 0.7010633, 1.3491340, 3.0547402");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.2854000, 1.3120083, 1.3742276, 1.5191842, 1.8695587, 2.7225258, 4.8110618", \
+					  "1.8361375, 1.8629474, 1.9248334, 2.0696591, 2.4179136, 3.2807421, 5.3616378", \
+					  "2.1054616, 2.1323450, 2.1942148, 2.3373450, 2.6886720, 3.5409677, 5.6337388", \
+					  "2.1597206, 2.1857116, 2.2477757, 2.3931253, 2.7423293, 3.5992551, 5.6860423", \
+					  "2.4493498, 2.4763183, 2.5381262, 2.6816231, 3.0325560, 3.8836117, 5.9837100", \
+					  "2.6645222, 2.6914087, 2.7532228, 2.8977818, 3.2476921, 4.1021044, 6.1906804", \
+					  "2.8333760, 2.8603402, 2.9221665, 3.0629055, 3.4165517, 4.2576032, 6.3754086", \
+					  "3.0476721, 3.0728806, 3.1363991, 3.2809906, 3.6308561, 4.4820907, 6.5758385", \
+					  "5.6085718, 5.6355138, 5.6970286, 5.8421201, 6.1893082, 7.0449078, 9.1417158", \
+					  "7.1206467, 7.1645494, 7.2212902, 7.3549455, 7.7032647, 8.5828065, 10.6698320", \
+					  "13.5242190, 13.5405190, 13.5903420, 13.7574050, 14.0796650, 14.9029660, 17.0561660", \
+					  "36.6634330, 36.7542690, 36.7930680, 36.9391660, 37.2289360, 38.0830870, 40.2191130");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.1631168, 0.1927180, 0.2668469, 0.4521194, 0.9235061, 2.0822379, 4.9109223", \
+					  "0.1655828, 0.1948624, 0.2695589, 0.4543958, 0.9313258, 2.0996844, 4.9656865", \
+					  "0.1652225, 0.1958474, 0.2701830, 0.4572617, 0.9291793, 2.1035036, 4.9570175", \
+					  "0.1659664, 0.1965734, 0.2708228, 0.4565752, 0.9312525, 2.1123769, 4.9817572", \
+					  "0.1653262, 0.1958213, 0.2693605, 0.4563231, 0.9308762, 2.1075011, 4.9808011", \
+					  "0.1652414, 0.1958824, 0.2703989, 0.4570101, 0.9285718, 2.1115980, 4.9818363", \
+					  "0.1654244, 0.1956396, 0.2690539, 0.4568744, 0.9309974, 2.1125663, 5.0007225", \
+					  "0.1652430, 0.1959248, 0.2703180, 0.4570603, 0.9290235, 2.1125026, 5.0142890", \
+					  "0.1652620, 0.1956904, 0.2701783, 0.4573742, 0.9266361, 2.1099824, 5.0086055", \
+					  "0.1649346, 0.1959538, 0.2691083, 0.4566242, 0.9272500, 2.1056565, 5.0014912", \
+					  "0.1652755, 0.1959499, 0.2701147, 0.4572966, 0.9295225, 2.1113933, 5.0162585", \
+					  "0.1656161, 0.1959509, 0.2690609, 0.4572890, 0.9285174, 2.1060040, 5.0054045");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.7628087, 1.7968524, 1.8710655, 2.0148958, 2.2884332, 2.8452025, 4.1337231", \
+					  "3.1165412, 3.1515184, 3.2238965, 3.3689465, 3.6424170, 4.2024864, 5.4901728", \
+					  "4.3187618, 4.3525406, 4.4262420, 4.5709050, 4.8462225, 5.4046474, 6.6924390", \
+					  "4.5990274, 4.6329451, 4.7205462, 4.8512465, 5.1231259, 5.6828142, 6.9777921", \
+					  "6.3118835, 6.3457950, 6.4182011, 6.5637762, 6.8426522, 7.3973316, 8.6881888", \
+					  "7.7774507, 7.8025781, 7.8838864, 8.0293470, 8.3010399, 8.8629495, 10.1518770", \
+					  "8.9004848, 8.9239283, 9.0080103, 9.1525516, 9.4041051, 9.9860697, 11.2778180", \
+					  "10.5176740, 10.5528330, 10.6197190, 10.7625130, 11.1045080, 11.6025590, 12.8943470", \
+					  "33.9444140, 33.9781430, 33.9781437, 34.2064940, 34.4691950, 35.0415090, 36.3027580", \
+					  "49.5774120, 49.6106050, 49.6834250, 49.8329590, 50.1023050, 50.6603120, 51.9483830", \
+					  "119.3965600, 119.4469100, 119.5312200, 119.6536500, 119.9510800, 120.5059300, 121.7982800", \
+					  "389.5085300, 389.5085602, 389.6455200, 389.7908100, 390.0673300, 390.5896100, 391.8417200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.2031532, 0.2301949, 0.2884984, 0.4063181, 0.6649095, 1.3154179, 2.9943823", \
+					  "0.2070361, 0.2350018, 0.2937781, 0.4138900, 0.6763206, 1.3158558, 3.0136675", \
+					  "0.2098593, 0.2342193, 0.2968520, 0.4173597, 0.6689492, 1.3279603, 3.0231497", \
+					  "0.2089722, 0.2343634, 0.2930396, 0.4168807, 0.6709015, 1.3313256, 3.0328657", \
+					  "0.2101426, 0.2354049, 0.2985193, 0.4189457, 0.6791488, 1.3294910, 3.0421015", \
+					  "0.2070403, 0.2340514, 0.2930075, 0.4138182, 0.6763236, 1.3273782, 3.0400849", \
+					  "0.2100270, 0.2338577, 0.2978830, 0.4181472, 0.6758114, 1.3300191, 3.0445160", \
+					  "0.2066753, 0.2354508, 0.2930140, 0.4133402, 0.6761798, 1.3268584, 3.0452845", \
+					  "0.2102250, 0.2356765, 0.2945520, 0.4176258, 0.6813589, 1.3295313, 3.0310738", \
+					  "0.2065906, 0.2341174, 0.2934312, 0.4182934, 0.6758035, 1.3288780, 3.0444522", \
+					  "0.2068458, 0.2349621, 0.2929615, 0.4129423, 0.6780919, 1.3288841, 3.0343961", \
+					  "0.2093254, 0.2339650, 0.2938562, 0.4172632, 0.6763596, 1.3286812, 3.0575067");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.2727678, 1.2994029, 1.3615130, 1.5067007, 1.8553938, 2.7080962, 4.7985140", \
+					  "0.7770149, 0.8037173, 0.8653814, 1.0107103, 1.3600062, 2.2137465, 4.3032595", \
+					  "-0.0400954, -0.0137803, 0.0481330, 0.1935425, 0.5424253, 1.3947885, 3.4903922", \
+					  "-0.2484203, -0.2215932, -0.1597179, -0.0148368, 0.3346002, 1.1906615, 3.2833045", \
+					  "-1.6147030, -1.5878048, -1.5260300, -1.3810727, -1.0315336, -0.1788525, 1.9133828", \
+					  "-2.8534437, -2.8273521, -2.7654410, -2.6199551, -2.2713758, -1.4128433, 0.6810299", \
+					  "-3.8435872, -3.8174446, -3.7558467, -3.6101016, -3.2614154, -2.4035129, -0.3026648", \
+					  "-5.2826810, -5.2563061, -5.1968336, -5.0579132, -4.6999697, -3.8556496, -1.7409446", \
+					  "-27.7829940, -27.7614870, -27.6908330, -27.5467080, -27.2016130, -26.3427240, -24.2377580", \
+					  "-43.2978840, -43.2707100, -43.2087400, -43.0645490, -42.7151580, -41.8644890, -39.7578720", \
+					  "-113.9523100, -113.9245100, -113.8952500, -113.7509000, -113.3803500, -112.5501000, -110.4364100", \
+					  "-391.8041800, -391.7911900, -391.7911834, -391.5838500, -391.2323600, -390.4663100, -388.2837500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.1631902, 0.1927123, 0.2662609, 0.4523921, 0.9214505, 2.0789168, 4.9159148", \
+					  "0.1650202, 0.1954863, 0.2703565, 0.4571956, 0.9248204, 2.0904760, 4.9201114", \
+					  "0.1655602, 0.1947929, 0.2707676, 0.4567582, 0.9300191, 2.0970312, 4.9257367", \
+					  "0.1655728, 0.1949481, 0.2694721, 0.4544146, 0.9305736, 2.1057891, 4.9278754", \
+					  "0.1652753, 0.1958974, 0.2703961, 0.4573450, 0.9282286, 2.1128028, 4.9866276", \
+					  "0.1660650, 0.1953631, 0.2708308, 0.4572895, 0.9283573, 2.1094557, 5.0253832", \
+					  "0.1659290, 0.1952002, 0.2702600, 0.4570777, 0.9285626, 2.1078284, 4.9944440", \
+					  "0.1654032, 0.1942756, 0.2700675, 0.4572895, 0.9310082, 2.1058775, 4.9968802", \
+					  "0.1652408, 0.1953606, 0.2706300, 0.4556060, 0.9285363, 2.1061255, 5.0069655", \
+					  "0.1654431, 0.1959544, 0.2708220, 0.4566965, 0.9309621, 2.1056936, 5.0034168", \
+					  "0.1654226, 0.1959108, 0.2691833, 0.4568019, 0.9307484, 2.1066575, 5.0174962", \
+					  "0.1652328, 0.1957346, 0.2691538, 0.4570782, 0.9309711, 2.1061983, 5.0171827");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.9070656, 1.9397854, 2.0153190, 2.1586626, 2.4329381, 2.9930123, 4.2829069", \
+					  "3.8190016, 3.8527825, 3.9263703, 4.0711181, 4.3454649, 4.9023248, 6.1946084", \
+					  "5.6299183, 5.6635794, 5.7367413, 5.8821885, 6.1562018, 6.7140045, 8.0062286", \
+					  "6.0489236, 6.0826779, 6.1554153, 6.3009127, 6.5755730, 7.1347483, 8.4233118", \
+					  "8.6363432, 8.6702858, 8.7423828, 8.8879784, 9.1610346, 9.7214548, 11.0150240", \
+					  "10.8434230, 10.8773290, 10.9494890, 11.0953840, 11.3688370, 11.9307180, 13.2179170", \
+					  "12.5526340, 12.5867320, 12.6594030, 12.8045410, 13.0781650, 13.6375020, 14.9290770", \
+					  "14.9957660, 15.0328020, 15.1023660, 15.2476890, 15.5212960, 16.0834810, 17.3743810", \
+					  "50.6773080, 50.7122170, 50.7860140, 50.9294120, 51.2045270, 51.7635140, 53.0570180", \
+					  "74.5011660, 74.5330770, 74.6088490, 74.7507370, 75.0256890, 75.5836780, 76.8751320", \
+					  "181.0728500, 181.1046200, 181.1785400, 181.3223600, 181.5969300, 182.1557400, 183.4453100", \
+					  "593.2522500, 593.3009100, 593.3782700, 593.5262400, 593.7943700, 594.3530300, 595.6515000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.2032482, 0.2307690, 0.2885950, 0.4062228, 0.6673870, 1.3260304, 3.0547116", \
+					  "0.2099868, 0.2344001, 0.2966364, 0.4176483, 0.6714255, 1.3204053, 3.0216480", \
+					  "0.2092934, 0.2333315, 0.2943924, 0.4178489, 0.6798596, 1.3259762, 3.0027609", \
+					  "0.2108866, 0.2341496, 0.2939060, 0.4132637, 0.6806114, 1.3290846, 3.0067897", \
+					  "0.2102487, 0.2357351, 0.2977857, 0.4111993, 0.6736155, 1.3280250, 3.0391607", \
+					  "0.2101609, 0.2354038, 0.2974082, 0.4187447, 0.6790173, 1.3309274, 3.0400378", \
+					  "0.2070341, 0.2367557, 0.2934337, 0.4138429, 0.6757011, 1.3236718, 3.0424363", \
+					  "0.2095808, 0.2349409, 0.2971971, 0.4154497, 0.6800464, 1.3295409, 3.0197540", \
+					  "0.2066967, 0.2346647, 0.2927505, 0.4118968, 0.6806550, 1.3234440, 3.0434073", \
+					  "0.2080077, 0.2336913, 0.2925728, 0.4169472, 0.6766176, 1.3343541, 3.0404979", \
+					  "0.2094441, 0.2345271, 0.2933570, 0.4161211, 0.6759745, 1.3225108, 3.0344074", \
+					  "0.2067559, 0.2377237, 0.2952128, 0.4203696, 0.6724368, 1.3244617, 3.0170353");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("5.9837970, 6.0107994, 6.0724901, 6.2172769, 6.5657395, 7.4215536, 9.5084411", \
+					  "6.5299255, 6.5580257, 6.6197181, 6.7646808, 7.1131532, 7.9687794, 10.0558000", \
+					  "7.0902288, 7.1188535, 7.1818591, 7.3237207, 7.6737594, 8.5296170, 10.6165750", \
+					  "7.6073645, 7.6104358, 7.6721109, 7.8408693, 8.1653413, 9.0211998, 11.1081640", \
+					  "8.0840126, 8.0929929, 8.1558511, 8.3175689, 8.6498453, 9.5003205, 11.5959410", \
+					  "8.5177794, 8.5286045, 8.5901863, 8.7512646, 9.0833794, 9.9394111, 12.0444240", \
+					  "8.9236218, 8.9479961, 9.0021324, 9.1588248, 9.4953325, 10.3513680, 12.4494300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("0.1653180, 0.1956727, 0.2702259, 0.4597190, 0.9342242, 2.0989961, 4.9630908", \
+					  "0.1653200, 0.1956727, 0.2702259, 0.4597228, 0.9342033, 2.0989830, 4.9632081", \
+					  "0.1653200, 0.1956739, 0.2704031, 0.4597029, 0.9343044, 2.0994275, 4.9626059", \
+					  "0.1653200, 0.1956739, 0.2702280, 0.4597021, 0.9343074, 2.0994315, 4.9625806", \
+					  "0.1653207, 0.1943621, 0.2708179, 0.4596966, 0.9332454, 2.1042879, 4.9663442", \
+					  "0.1653118, 0.1956822, 0.2704917, 0.4595178, 0.9345439, 2.1010942, 4.9463127", \
+					  "0.1653209, 0.1956868, 0.2704916, 0.4596313, 0.9345447, 2.1011466, 4.9534901");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("4.6245376, 4.6614810, 4.7436117, 4.9218238, 5.3337761, 6.2503958, 7.6989650", \
+					  "5.0889120, 5.1260574, 5.2081179, 5.3741056, 5.7945813, 6.7070363, 8.1616163", \
+					  "5.4071894, 5.4443032, 5.5263847, 5.7032747, 6.1126979, 7.0249810, 8.4786329", \
+					  "5.6729523, 5.7085923, 5.7844698, 5.9630052, 6.3782859, 7.2914164, 8.7445340", \
+					  "5.8859433, 5.9223777, 6.0066583, 6.1861107, 6.5946657, 7.5104092, 8.9636334", \
+					  "6.0854450, 6.1222658, 6.2031758, 6.3824119, 6.7944463, 7.7103159, 9.1625374", \
+					  "6.2740888, 6.3103283, 6.3860268, 6.5653283, 6.9809096, 7.8997351, 9.3525863");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("0.2202954, 0.2537822, 0.3367340, 0.5372592, 1.0465163, 1.8475921, 3.2405703", \
+					  "0.2183181, 0.2525168, 0.3367300, 0.5372781, 1.0407896, 1.8445713, 3.2495793", \
+					  "0.2183428, 0.2521488, 0.3367213, 0.5376896, 1.0405090, 1.8444350, 3.2496266", \
+					  "0.2194147, 0.2524417, 0.3368066, 0.5373864, 1.0420405, 1.8433803, 3.2499587", \
+					  "0.2175769, 0.2518851, 0.3345673, 0.5394298, 1.0465183, 1.8456684, 3.2496856", \
+					  "0.2173273, 0.2595942, 0.3347086, 0.5383843, 1.0453386, 1.8413885, 3.2496614", \
+					  "0.2212003, 0.2541410, 0.3376677, 0.5382164, 1.0437773, 1.8492563, 3.2431520");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 8.213430;
+			max_transition : 3.768139;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("9.2301552, 9.1313727, 8.8564333, 8.2790185, 7.6020353, 6.7702389, 5.3103327", \
+					  "9.1865174, 9.0838049, 8.7797200, 8.2502933, 7.5651265, 6.7742487, 5.5512587", \
+					  "7.6313678, 7.5029713, 7.1691784, 6.5554133, 5.7530799, 5.0047709, 3.7869564", \
+					  "7.7021332, 7.5719422, 7.2300746, 6.6554613, 6.0203296, 4.9857280, 4.2474639", \
+					  "7.7085014, 7.5296141, 7.2999141, 6.6679787, 6.0419564, 5.4188060, 3.9983386", \
+					  "7.7550770, 7.6300459, 7.2784643, 6.6990333, 6.0767502, 5.5128805, 3.6395826", \
+					  "7.8046051, 7.6839125, 7.3030540, 6.7259145, 6.1206587, 5.6527630, 3.5898519");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("6.7448829, 6.6519225, 6.4854064, 6.2701090, 6.0205309, 5.8294260, 5.7419204", \
+					  "6.5474396, 6.4582254, 6.2925142, 6.1189699, 5.9106955, 5.7294247, 5.6379822", \
+					  "9.0140950, 8.9236908, 8.6539317, 8.2578718, 7.9248353, 7.7699487, 7.7487660", \
+					  "9.0364964, 8.9268783, 8.6676265, 8.2620586, 7.9368257, 7.7358155, 7.7587858", \
+					  "9.0447727, 8.9328709, 8.6580968, 8.2574052, 7.9362307, 7.7386023, 7.6963583", \
+					  "9.0504635, 8.9059983, 8.6628794, 8.2561093, 7.9463163, 7.7330902, 7.6462300", \
+					  "9.0967919, 8.9673425, 8.7461817, 8.2433140, 7.8945314, 7.7420995, 7.6360862");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("10.3437208, 10.2268374, 9.9293381, 9.4130892, 8.7264392, 7.7597638, 6.2066192", \
+					  "21.5786652, 21.4574002, 21.0923512, 20.4638822, 19.8248182, 18.3202727, 17.1252112", \
+					  "22.6541090, 22.5265640, 22.1815760, 21.5401290, 20.8921270, 20.2256280, 18.1013540", \
+					  "32.5843690, 32.3731630, 32.0247440, 31.4549880, 30.7811350, 30.1308320, 28.9965810", \
+					  "42.2308200, 42.0658130, 41.6431130, 41.0558460, 40.3979840, 39.7546580, 39.0999840", \
+					  "51.6806350, 51.5240940, 51.0983230, 50.5444470, 49.7984330, 49.2105420, 48.4880400", \
+					  "61.0068870, 60.8732860, 60.4286000, 59.8845420, 59.1525300, 58.5188980, 57.7948240");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("10.4390439, 10.3603269, 10.2427739, 10.1869519, 10.0674109, 9.9218149, 9.8438429", \
+					  "19.3111165, 19.1958455, 18.9341665, 18.5074035, 18.1384245, 18.5449215, 18.4730575", \
+					  "38.8484240, 38.7348940, 38.4734610, 38.0442310, 37.6753420, 37.4509000, 37.9261000", \
+					  "48.8564120, 48.7425240, 48.4787180, 48.0531660, 47.6909870, 47.4542350, 47.8854070", \
+					  "58.9483250, 58.8388500, 58.5698500, 58.1474520, 57.7792470, 57.5332980, 57.7648030", \
+					  "69.1378140, 69.0281440, 68.7492700, 68.3225070, 67.9649950, 67.6680310, 67.4946070", \
+					  "79.2226840, 79.1022940, 78.9165680, 78.4314490, 78.0461880, 77.8061090, 77.6055120");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("11.9119964, 11.7932760, 11.5068426, 11.0072986, 10.3105805, 9.3589545, 7.7857499", \
+					  "50.1319632, 50.0020912, 49.6562362, 49.0395552, 48.4011912, 46.6262782, 45.5250092", \
+					  "73.6504820, 73.4793750, 73.1221330, 72.5109070, 71.8574440, 71.1689460, 69.3192520", \
+					  "105.1772400, 105.0779800, 104.7019600, 104.0920800, 103.4208200, 102.7746100, 100.4562300", \
+					  "136.0940000, 135.9403300, 135.7062900, 134.9945300, 134.2925800, 133.6405400, 132.7025400", \
+					  "166.7109900, 166.6450200, 166.3579000, 165.6337300, 164.9845700, 164.3151000, 163.5865200", \
+					  "197.3448100, 197.2088200, 196.8810900, 196.2877600, 195.6408600, 194.9504300, 194.4212300");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("10.4603669, 10.3644059, 10.2028979, 10.0232499, 9.8182629, 9.6438659, 9.5525189", \
+					  "25.2935875, 25.1802995, 24.9155225, 24.4891795, 24.1266075, 24.4469905, 24.3697845", \
+					  "51.7349740, 51.6254260, 51.3555270, 50.9287920, 50.5653540, 50.3232590, 50.7468550", \
+					  "68.9082680, 68.8214970, 68.5470780, 68.1028840, 67.7465790, 67.4394980, 67.7623580", \
+					  "86.1140530, 86.0327430, 85.8053000, 85.3928870, 85.0218090, 84.8052740, 84.4864180", \
+					  "103.4138000, 103.4376500, 103.1017300, 102.6732900, 102.3765200, 102.0381300, 101.7629000", \
+					  "121.0326700, 120.8505100, 120.5851300, 120.1810200, 119.8082600, 119.4912200, 119.1605600");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("28.0032841, 27.9033051, 27.6165061, 27.1562391, 26.9406951, 26.2309431, 24.5663941", \
+					  "27.9914180, 27.8738770, 27.6131650, 27.1539060, 26.9399210, 26.2331150, 24.5533800", \
+					  "28.0986309, 27.9757209, 27.6286939, 27.1823989, 26.9602809, 26.2545829, 24.5665739", \
+					  "28.1747779, 27.9776929, 27.6947399, 27.2168189, 27.0305489, 26.3302359, 24.6337769", \
+					  "28.1072500, 28.0624240, 27.7618890, 27.3391610, 27.0620730, 26.3828820, 24.8360500", \
+					  "28.1274430, 28.0382330, 27.8399650, 27.4545910, 27.0680130, 26.3515630, 24.8829470", \
+					  "28.2469741, 28.2752681, 27.8731311, 27.5682501, 27.1535311, 26.4612651, 24.9748071");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("21.9689661, 21.8160721, 21.3843331, 20.5874891, 19.6652181, 18.7256631, 18.1722771", \
+					  "21.9818858, 21.7835558, 21.3976518, 20.5832398, 19.6394958, 18.7276488, 18.1735578", \
+					  "21.9620445, 21.7891065, 21.3914405, 20.5798815, 19.6330155, 18.7255315, 18.1688935", \
+					  "21.9612309, 21.7881319, 21.3613499, 20.5885719, 19.6627119, 18.7483669, 18.1321339", \
+					  "21.9559487, 21.8290137, 21.3819347, 20.5831377, 19.6405377, 18.7346817, 18.1758907", \
+					  "21.9730602, 21.7951562, 21.3704972, 20.5828792, 19.6445152, 18.7312522, 18.1596052", \
+					  "21.9792026, 21.8129986, 21.3781786, 20.5912506, 19.6479076, 18.7375236, 18.1681036");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("3.3358496, 3.3477234, 3.3762664, 3.4467433, 3.6255079, 4.1431369, 5.7337308", \
+					  "5.5376557, 5.5483431, 5.5777227, 5.6480784, 5.8269309, 6.3437295, 7.9356912", \
+					  "7.2594815, 7.2702151, 7.2994948, 7.3700415, 7.5486311, 8.0666140, 9.6482902", \
+					  "7.6384925, 7.6491258, 7.6777020, 7.7485387, 7.9279934, 8.4441165, 10.0293480", \
+					  "9.8194199, 9.8299475, 9.8589528, 9.9296624, 10.1084430, 10.6269690, 12.2122980", \
+					  "11.6515130, 11.6621570, 11.6907690, 11.7615790, 11.9410440, 12.4594440, 14.0503700", \
+					  "12.9869550, 12.9975810, 13.0262650, 13.0970540, 13.2765240, 13.7925170, 15.3817390", \
+					  "14.8823650, 14.8931380, 14.9216340, 14.9925070, 15.1719060, 15.6876860, 17.2764630", \
+					  "38.8281050, 38.8281079, 39.0432430, 39.0432454, 39.0432492, 39.5291020, 41.2351260", \
+					  "52.8432020, 52.8533660, 52.8824560, 53.0667530, 53.1317960, 53.6504000, 55.2020470", \
+					  "109.4961300, 109.4961358, 109.8758900, 109.8758965, 110.0494400, 110.3902600, 112.2012200", \
+					  "304.3261900, 304.3262177, 304.3262482, 304.5203400, 304.6236000, 304.8027400, 306.9054900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("0.1096277, 0.1211128, 0.1465731, 0.2194923, 0.4601901, 1.2526893, 3.7617283", \
+					  "0.1110394, 0.1210774, 0.1475880, 0.2197680, 0.4613779, 1.2588584, 3.7630832", \
+					  "0.1122140, 0.1203495, 0.1475534, 0.2197691, 0.4608684, 1.2526572, 3.7526080", \
+					  "0.1108050, 0.1208937, 0.1463176, 0.2199429, 0.4608875, 1.2566551, 3.7608241", \
+					  "0.1114958, 0.1217151, 0.1472837, 0.2194403, 0.4598565, 1.2598709, 3.7490038", \
+					  "0.1108002, 0.1208811, 0.1463511, 0.2199392, 0.4617423, 1.2568201, 3.7506314", \
+					  "0.1108007, 0.1207326, 0.1466867, 0.2198482, 0.4610938, 1.2556117, 3.7493848", \
+					  "0.1112806, 0.1208742, 0.1470377, 0.2197446, 0.4610993, 1.2574098, 3.7667256", \
+					  "0.1107931, 0.1208968, 0.1470407, 0.2197577, 0.4610034, 1.2575270, 3.7527657", \
+					  "0.1116127, 0.1217347, 0.1474654, 0.2194874, 0.4601961, 1.2595588, 3.7514600", \
+					  "0.1119979, 0.1211863, 0.1474966, 0.2197196, 0.4606398, 1.2589423, 3.7625063", \
+					  "0.1116144, 0.1216847, 0.1472693, 0.2195902, 0.4603192, 1.2595344, 3.7508339");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("1.9682869, 1.9785609, 2.0069584, 2.0782684, 2.2624276, 2.7875113, 4.3900359", \
+					  "3.8965897, 3.9069326, 3.9352357, 4.0068033, 4.1911310, 4.7160840, 6.3183707", \
+					  "5.2275229, 5.2379390, 5.2661795, 5.3380078, 5.5221334, 6.0469616, 7.6495388", \
+					  "5.5048845, 5.5154721, 5.5436713, 5.6149618, 5.7994600, 6.3243810, 7.9259719", \
+					  "7.1253039, 7.1351312, 7.1636670, 7.2357733, 7.4196964, 7.9440712, 9.5479589", \
+					  "8.2795397, 8.2896641, 8.3180574, 8.3902254, 8.5740375, 9.0988106, 10.7018200", \
+					  "9.1170973, 9.1273265, 9.1556851, 9.2274712, 9.4116075, 9.9364650, 11.5387590", \
+					  "10.1859340, 10.1962980, 10.2245490, 10.2963240, 10.4805580, 11.0053930, 12.6075910", \
+					  "21.1051900, 21.1216790, 21.1441990, 21.2001940, 21.3817120, 21.9312660, 23.5035100", \
+					  "26.4481490, 26.4547020, 26.4861060, 26.6253310, 26.7437930, 27.2632300, 28.8699800", \
+					  "45.1518570, 45.3560820, 45.3752270, 45.4723480, 45.6390520, 46.1672580, 47.7680440", \
+					  "101.1029300, 101.1449400, 101.1449471, 101.1449547, 101.3860800, 101.9415900, 103.4974000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("0.0926646, 0.1006698, 0.1244180, 0.1897488, 0.3992244, 1.0845486, 3.2406084", \
+					  "0.0926586, 0.1007895, 0.1244648, 0.1894612, 0.4009610, 1.0841753, 3.2433181", \
+					  "0.0921832, 0.1009203, 0.1236122, 0.1900490, 0.4004282, 1.0833902, 3.2472662", \
+					  "0.0927606, 0.1004246, 0.1243927, 0.1898151, 0.3997891, 1.0854841, 3.2467558", \
+					  "0.0926624, 0.1007925, 0.1244587, 0.1894275, 0.4009642, 1.0857377, 3.2490768", \
+					  "0.0922268, 0.1009185, 0.1236119, 0.1901105, 0.4003947, 1.0835058, 3.2491769", \
+					  "0.0926665, 0.1008041, 0.1244419, 0.1895374, 0.4009656, 1.0857544, 3.2449088", \
+					  "0.0929792, 0.1005705, 0.1242987, 0.1900245, 0.4003520, 1.0837217, 3.2435989", \
+					  "0.0927260, 0.1007554, 0.1244185, 0.1900242, 0.4003970, 1.0842448, 3.2462539", \
+					  "0.0928035, 0.1004848, 0.1234231, 0.1893613, 0.4005429, 1.0855065, 3.2477108", \
+					  "0.0926568, 0.1005141, 0.1244475, 0.1895615, 0.4007045, 1.0844839, 3.2441256", \
+					  "0.0922689, 0.1008005, 0.1238597, 0.1898883, 0.4010260, 1.0848480, 3.2452068");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.8604256, 0.8712480, 0.9002593, 0.9725434, 1.1516592, 1.6669748, 3.2501890", \
+					  "0.3634845, 0.3745191, 0.4039787, 0.4765609, 0.6557840, 1.1708403, 2.7535092", \
+					  "-0.4539665, -0.4429129, -0.4125440, -0.3403562, -0.1614031, 0.3538911, 1.9362292", \
+					  "-0.6622224, -0.6511734, -0.6207674, -0.5486318, -0.3696545, 0.1456935, 1.7300072", \
+					  "-2.0281252, -2.0170825, -1.9875925, -1.9150124, -1.7358267, -1.2207639, 0.3644333", \
+					  "-3.2675329, -3.2564695, -3.2260914, -3.1539031, -2.9749458, -2.4595819, -0.8756666", \
+					  "-4.2575561, -4.2464938, -4.2163211, -4.1443782, -3.9650567, -3.4498535, -1.8674724", \
+					  "-5.6960228, -5.6849054, -5.6595160, -5.5834137, -5.4039542, -4.8887547, -3.3038241", \
+					  "-28.1926840, -28.1869990, -28.1642420, -28.0798420, -27.8930850, -27.3840220, -25.8007430", \
+					  "-43.7112040, -43.7006840, -43.6711250, -43.5974100, -43.4195260, -42.9027480, -41.3164510", \
+					  "-114.3687200, -114.3657500, -114.3281100, -114.2630600, -114.0860500, -113.5934900, -112.0074300", \
+					  "-392.2299600, -392.2253900, -392.2253875, -392.1201100, -391.9439000, -391.4434500, -389.8347800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.1163918, 0.1253358, 0.1532248, 0.2244531, 0.4622132, 1.2565071, 3.7474224", \
+					  "0.1160918, 0.1264634, 0.1537648, 0.2257854, 0.4643718, 1.2554482, 3.7457419", \
+					  "0.1163729, 0.1264689, 0.1538497, 0.2254163, 0.4631814, 1.2553938, 3.7467616", \
+					  "0.1163080, 0.1263595, 0.1536717, 0.2254156, 0.4629559, 1.2549605, 3.7517753", \
+					  "0.1161548, 0.1265572, 0.1534808, 0.2258318, 0.4643198, 1.2553988, 3.7534091", \
+					  "0.1166237, 0.1262961, 0.1537102, 0.2254199, 0.4628711, 1.2547193, 3.7504848", \
+					  "0.1166882, 0.1267928, 0.1544419, 0.2246266, 0.4638008, 1.2555811, 3.7420609", \
+					  "0.1163287, 0.1263905, 0.1544399, 0.2252060, 0.4640088, 1.2557926, 3.7534993", \
+					  "0.1159472, 0.1268568, 0.1536530, 0.2249085, 0.4642563, 1.2533852, 3.7543573", \
+					  "0.1170862, 0.1260644, 0.1537931, 0.2251524, 0.4630800, 1.2564928, 3.7447858", \
+					  "0.1172994, 0.1261281, 0.1541884, 0.2254227, 0.4630685, 1.2563636, 3.7458134", \
+					  "0.1170835, 0.1264588, 0.1537552, 0.2257197, 0.4644160, 1.2563124, 3.7536743");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.8573288, 0.8679920, 0.8971820, 0.9703420, 1.1568854, 1.6826252, 3.2800131", \
+					  "2.7691169, 2.7799554, 2.8089484, 2.8820577, 3.0687273, 3.5945174, 5.1918772", \
+					  "4.5804991, 4.5912110, 4.6203610, 4.6934661, 4.8801132, 5.4059051, 7.0030852", \
+					  "4.9992162, 5.0099218, 5.0390474, 5.1122875, 5.2989244, 5.8245475, 7.4226492", \
+					  "7.5873618, 7.5979827, 7.6271274, 7.7002840, 7.8868760, 8.4128606, 10.0105900", \
+					  "9.7942259, 9.8048423, 9.8339816, 9.9071471, 10.0937290, 10.6197260, 12.2172670", \
+					  "11.5021750, 11.5128840, 11.5420310, 11.6151400, 11.8017840, 12.3276140, 13.9255470", \
+					  "13.9468400, 13.9573720, 13.9865310, 14.0598220, 14.2500270, 14.7720000, 16.3691530", \
+					  "49.6287280, 49.6369630, 49.6662020, 49.7404250, 49.9262820, 50.4540660, 52.0493590", \
+					  "73.4480780, 73.4575780, 73.4883440, 73.5608410, 73.7472660, 74.2738280, 75.8688190", \
+					  "180.0153500, 180.0258500, 180.0558400, 180.1295000, 180.3135800, 180.8397500, 182.4371200", \
+					  "592.2112800, 592.2113400, 592.2378300, 592.3292900, 592.5093700, 593.0451200, 594.6178000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.0964152, 0.1049898, 0.1285878, 0.1935211, 0.4029143, 1.0831548, 3.2365697", \
+					  "0.0968555, 0.1050992, 0.1285529, 0.1943923, 0.4037830, 1.0835891, 3.2338912", \
+					  "0.0963501, 0.1051192, 0.1286331, 0.1942748, 0.4037667, 1.0837315, 3.2301468", \
+					  "0.0966005, 0.1052156, 0.1290693, 0.1944591, 0.4042848, 1.0839244, 3.2342962", \
+					  "0.0965931, 0.1052160, 0.1287944, 0.1944815, 0.4037470, 1.0835113, 3.2303624", \
+					  "0.0965966, 0.1052290, 0.1288128, 0.1944854, 0.4037332, 1.0842075, 3.2354657", \
+					  "0.0963685, 0.1051065, 0.1286789, 0.1943052, 0.4037573, 1.0841228, 3.2276106", \
+					  "0.0967978, 0.1052493, 0.1287125, 0.1944327, 0.4037927, 1.0830928, 3.2317098", \
+					  "0.0964557, 0.1053271, 0.1290021, 0.1944774, 0.4034214, 1.0841898, 3.2350427", \
+					  "0.0966888, 0.1052582, 0.1292659, 0.1945322, 0.4038328, 1.0841875, 3.2345526", \
+					  "0.0967925, 0.1054107, 0.1291921, 0.1946015, 0.4036814, 1.0840735, 3.2333931", \
+					  "0.0968787, 0.1054216, 0.1296280, 0.1946771, 0.4037736, 1.0834472, 3.2252603");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.8727252, 0.8837380, 0.9131875, 0.9853813, 1.1642272, 1.6796405, 3.2670746", \
+					  "1.4222515, 1.4332869, 1.4636917, 1.5357799, 1.7148132, 2.2301501, 3.8141135", \
+					  "1.6918990, 1.7029407, 1.7324363, 1.8050140, 1.9842028, 2.4992524, 4.0836019", \
+					  "1.7459716, 1.7568889, 1.7866078, 1.8589182, 2.0381650, 2.5532125, 4.1396273", \
+					  "2.0358721, 2.0454574, 2.0767830, 2.1490167, 2.3280640, 2.8424023, 4.4294395", \
+					  "2.2509843, 2.2720288, 2.2917359, 2.3641169, 2.5430340, 3.0630314, 4.6403015", \
+					  "2.4199180, 2.4283162, 2.4608526, 2.5330174, 2.7121634, 3.2257590, 4.8143849", \
+					  "2.6343987, 2.6345142, 2.6748963, 2.7472731, 2.9244951, 3.4383980, 5.0238733", \
+					  "5.1952371, 5.2061151, 5.2354978, 5.3079798, 5.4872480, 6.0038334, 7.5857152", \
+					  "6.7239001, 6.7239006, 6.7601342, 6.8309394, 7.0164465, 7.5261388, 9.0980681", \
+					  "13.0543010, 13.1125130, 13.1404030, 13.1672330, 13.3776460, 13.8912170, 15.5044780", \
+					  "36.2955890, 36.2955914, 36.3029220, 36.3905000, 36.6040210, 37.0851050, 38.6008100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.1156981, 0.1258481, 0.1524752, 0.2241697, 0.4622899, 1.2556898, 3.7517980", \
+					  "0.1161970, 0.1264087, 0.1536527, 0.2253840, 0.4630210, 1.2571770, 3.7493120", \
+					  "0.1161732, 0.1265737, 0.1533168, 0.2258317, 0.4643230, 1.2555761, 3.7600389", \
+					  "0.1160514, 0.1263642, 0.1537818, 0.2257520, 0.4643936, 1.2552135, 3.7647894", \
+					  "0.1170723, 0.1266933, 0.1538024, 0.2249923, 0.4630384, 1.2564892, 3.7325321", \
+					  "0.1155691, 0.1267418, 0.1537162, 0.2252406, 0.4633217, 1.2564836, 3.7510870", \
+					  "0.1170921, 0.1264426, 0.1538256, 0.2251276, 0.4629673, 1.2533227, 3.7398769", \
+					  "0.1155223, 0.1264835, 0.1537187, 0.2253932, 0.4633680, 1.2553973, 3.7514396", \
+					  "0.1172514, 0.1270513, 0.1537106, 0.2252745, 0.4632703, 1.2533863, 3.7467052", \
+					  "0.1155061, 0.1268187, 0.1537880, 0.2250030, 0.4635611, 1.2564386, 3.7490944", \
+					  "0.1155389, 0.1267802, 0.1534086, 0.2253010, 0.4643878, 1.2551875, 3.7549062", \
+					  "0.1163831, 0.1263899, 0.1531940, 0.2250145, 0.4633858, 1.2553051, 3.7450443");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.7134151, 0.7241166, 0.7531716, 0.8264031, 1.0128887, 1.5386770, 3.1360923", \
+					  "2.0673763, 2.0780004, 2.1071604, 2.1803317, 2.3669580, 2.8931142, 4.4896931", \
+					  "3.2692020, 3.2800525, 3.3090464, 3.3821692, 3.5688232, 4.0946335, 5.6926384", \
+					  "3.5504280, 3.5611445, 3.5903078, 3.6634229, 3.8501278, 4.3758530, 5.9739049", \
+					  "5.2681184, 5.2737557, 5.3029030, 5.3761000, 5.5627369, 6.0928800, 7.6862978", \
+					  "6.7174999, 6.7381918, 6.7673454, 6.8404638, 7.0271553, 7.5509075, 9.1509298", \
+					  "7.8527309, 7.8623419, 7.8920559, 7.9646902, 8.1513143, 8.6773069, 10.2748300", \
+					  "9.4674952, 9.4778585, 9.4930863, 9.5801349, 9.7668318, 10.2910520, 11.8905530", \
+					  "32.8578160, 32.9073870, 32.9504740, 33.0091080, 33.1948090, 33.7204150, 35.3123970", \
+					  "48.5195420, 48.5368080, 48.5715860, 48.6394570, 48.8264470, 49.3530620, 50.9494380", \
+					  "118.3632100, 118.3632165, 118.3850600, 118.4502700, 118.6597400, 119.1875900, 120.7791300", \
+					  "388.4198300, 388.4471500, 388.5346000, 388.5945700, 388.8031300, 389.2665200, 390.9180700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.0970483, 0.1048780, 0.1289912, 0.1942014, 0.4032739, 1.0829840, 3.2364611", \
+					  "0.0966484, 0.1052562, 0.1288481, 0.1945353, 0.4034120, 1.0835778, 3.2353638", \
+					  "0.0969080, 0.1051515, 0.1286203, 0.1944526, 0.4034330, 1.0836516, 3.2313670", \
+					  "0.0966737, 0.1052666, 0.1287131, 0.1941827, 0.4039034, 1.0837121, 3.2342993", \
+					  "0.0967504, 0.1052010, 0.1288110, 0.1943068, 0.4034059, 1.0841420, 3.2383258", \
+					  "0.0963910, 0.1051181, 0.1286329, 0.1943806, 0.4038491, 1.0841428, 3.2346505", \
+					  "0.0966295, 0.1051810, 0.1288084, 0.1942616, 0.4033869, 1.0837135, 3.2305676", \
+					  "0.0964093, 0.1052041, 0.1288964, 0.1942952, 0.4038641, 1.0842388, 3.2335945", \
+					  "0.0966348, 0.1052598, 0.1288103, 0.1942056, 0.4038882, 1.0836971, 3.2370484", \
+					  "0.0969822, 0.1052095, 0.1287623, 0.1943283, 0.4038585, 1.0841570, 3.2307519", \
+					  "0.0966589, 0.1052740, 0.1289596, 0.1943897, 0.4038767, 1.0843044, 3.2326213", \
+					  "0.0966513, 0.1053001, 0.1288946, 0.1945607, 0.4038341, 1.0837874, 3.2326162");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("4.6086606, 4.6197544, 4.6491642, 4.7222781, 4.9024860, 5.4175668, 7.0012196", \
+					  "5.1558531, 5.1666387, 5.1963549, 5.2694711, 5.4496785, 5.9647589, 7.5482980", \
+					  "5.7174439, 5.7269420, 5.7579439, 5.8308527, 6.0112688, 6.5263519, 8.1099802", \
+					  "6.2090342, 6.2440701, 6.2734773, 6.3226357, 6.5028543, 7.0179413, 8.6015408", \
+					  "6.6900121, 6.7206098, 6.7500614, 6.8038712, 6.9837465, 7.4992341, 9.0847303", \
+					  "7.1276713, 7.1546813, 7.1840649, 7.2412383, 7.4214119, 7.9364586, 9.5189989", \
+					  "7.5388407, 7.5602564, 7.5901596, 7.6524227, 7.8325746, 8.3476035, 9.9307611");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("0.1166520, 0.1269798, 0.1530756, 0.2263369, 0.4643287, 1.2572925, 3.7511172", \
+					  "0.1166633, 0.1270004, 0.1530346, 0.2263357, 0.4643551, 1.2572871, 3.7500851", \
+					  "0.1166884, 0.1269995, 0.1529793, 0.2263421, 0.4644011, 1.2573140, 3.7510079", \
+					  "0.1166896, 0.1269976, 0.1529546, 0.2263353, 0.4644225, 1.2573140, 3.7508228", \
+					  "0.1166176, 0.1269487, 0.1533183, 0.2263286, 0.4632693, 1.2576356, 3.7523109", \
+					  "0.1164500, 0.1266639, 0.1541103, 0.2263557, 0.4637131, 1.2573483, 3.7482515", \
+					  "0.1163947, 0.1267744, 0.1541298, 0.2263536, 0.4634181, 1.2573276, 3.7506447");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("3.8554799, 3.8686805, 3.9040535, 3.9907571, 4.1991477, 4.7391508, 6.3348189", \
+					  "4.3196877, 4.3329851, 4.3685651, 4.4557487, 4.6634043, 5.2041247, 6.7993247", \
+					  "4.6354218, 4.6512629, 4.6868451, 4.7740234, 4.9816813, 5.5224056, 7.1176047", \
+					  "4.8960827, 4.9158784, 4.9517448, 5.0388254, 5.2463056, 5.7870317, 7.3823636", \
+					  "5.1173439, 5.1292086, 5.1646887, 5.2523688, 5.4596732, 6.0003775, 7.5955553", \
+					  "5.3151776, 5.3284568, 5.3661675, 5.4532356, 5.6588609, 6.2015344, 7.7967695", \
+					  "5.4977187, 5.5109690, 5.5533151, 5.6338134, 5.8413301, 6.3886403, 7.9840543");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("0.1911084, 0.1999767, 0.2274443, 0.2915190, 0.4790159, 1.1268286, 3.2417720", \
+					  "0.1907357, 0.2007021, 0.2274491, 0.2915145, 0.4786715, 1.1268448, 3.2471448", \
+					  "0.1899559, 0.2006533, 0.2274448, 0.2915190, 0.4787029, 1.1268296, 3.2471135", \
+					  "0.1907395, 0.1999808, 0.2278852, 0.2913864, 0.4790477, 1.1267970, 3.2461231", \
+					  "0.1901506, 0.2020268, 0.2269368, 0.2907853, 0.4791709, 1.1232765, 3.2460761", \
+					  "0.1907619, 0.2005061, 0.2272886, 0.2914832, 0.4790798, 1.1268336, 3.2472944", \
+					  "0.1899445, 0.2008014, 0.2267246, 0.2912299, 0.4785118, 1.1249663, 3.2433651");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.040764;
+			max_capacitance : 551.041000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("56.3640896, 56.6448856, 58.5025846, 68.3196896, 96.7542496, 164.2380986, 212.8138786", \
+					  "56.2075509, 56.4968789, 58.3653669, 68.1819239, 96.6298279, 164.0699599, 212.3761199", \
+					  "56.1232632, 56.4142252, 58.2672152, 68.3374032, 96.5266592, 163.8886412, 212.7219512", \
+					  "56.1060169, 56.4798919, 58.4239649, 68.4033159, 96.7112269, 164.0585209, 212.5529509", \
+					  "56.1468965, 56.5076765, 58.4125245, 68.3632175, 96.7898745, 164.1333825, 212.6026725", \
+					  "56.3037812, 56.6994822, 58.5463972, 68.3384182, 96.2086522, 164.2316122, 212.5737722", \
+					  "56.4705539, 56.8232409, 58.5737919, 68.5850889, 96.4236469, 164.3260119, 212.7064319");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9529717, 71.2327347, 70.9698817, 70.8504987, 63.5047077, 65.9006867, -131.5473703", \
+					  "71.3080195, 71.0811245, 71.1642865, 71.3571255, 70.8818045, 57.4408795, -106.9447625", \
+					  "70.8180752, 71.2206282, 70.7872042, 71.9123942, 71.9355632, 67.1549712, -114.5297548", \
+					  "71.1660252, 71.2456762, 71.1983742, 71.4717872, 71.5750862, 60.3034432, -132.0621148", \
+					  "71.1278399, 71.1743579, 71.1476849, 71.3361109, 71.5778959, 60.3716449, -132.7523281", \
+					  "71.1601799, 71.2084339, 71.1647949, 71.4052409, 71.5195869, 60.4418859, -131.2826081", \
+					  "71.1654529, 71.1599919, 71.1825849, 71.4031369, 71.5260669, 60.0453819, -132.3994581");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5808513, 3.5811686, 3.5810487, 3.5813425, 3.5818920, 3.5818894, 3.5819037", \
+					  "22.7331209, 22.7799519, 23.0124849, 24.1427539, 26.3006979, 27.3219249, 27.5337659", \
+					  "22.7301799, 22.7856479, 23.0143899, 24.1386319, 26.3095509, 27.3317459, 27.5464179", \
+					  "22.7503585, 22.7917235, 23.0302665, 24.1510405, 26.3178215, 27.3407915, 27.5541985", \
+					  "22.7607365, 22.8012595, 23.0390205, 24.1601495, 26.3270305, 27.3492155, 27.5622445", \
+					  "22.7593421, 22.8011261, 23.0371081, 24.1617431, 26.3243111, 27.3473261, 27.5596201", \
+					  "22.7667688, 22.8138108, 23.0447758, 24.1638838, 26.3325038, 27.3553748, 27.5674978");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.3652858, 64.3874418, 64.6811788, 65.4513678, 66.5673368, 64.0001128, -23.4569462", \
+					  "64.3219848, 64.3612588, 64.7314968, 65.3530698, 65.9068278, 64.5042698, -19.5785082", \
+					  "64.4332267, 64.4063447, 64.7300027, 65.6518907, 66.0592037, 61.2802557, -22.0150403", \
+					  "64.4753739, 64.5235229, 64.8099609, 65.6420169, 66.4192499, 63.7744759, -14.4562881", \
+					  "64.5335801, 64.5722991, 64.8228001, 65.5775501, 66.0801281, 62.4954881, -22.2157589", \
+					  "64.6647463, 64.7130063, 64.9506703, 65.7215953, 66.8655973, 62.4345443, -23.4423987", \
+					  "64.7660345, 64.7964895, 65.0547965, 65.9110485, 66.3592395, 63.0483385, -21.2402945");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.6744787, 71.7184917, 71.9716507, 72.9801217, 73.4245577, 71.0298137, -15.5438223", \
+					  "71.4925385, 71.6185345, 72.0270415, 73.1949815, 72.7806535, 69.7636225, -6.7554558", \
+					  "71.5788352, 71.5809792, 71.9357022, 72.9213032, 73.1625402, 65.6244172, -11.6459098", \
+					  "71.5785142, 71.6269962, 71.9279432, 72.8755672, 73.3474052, 70.1739082, -12.4853138", \
+					  "71.6018629, 71.6468139, 71.9509659, 72.8096199, 73.5847289, 70.5899779, -7.7891426", \
+					  "71.6323649, 71.6793969, 71.9412779, 72.8597649, 73.8310789, 70.0585479, -13.1246621", \
+					  "71.6545889, 71.6994909, 72.0014439, 72.8701439, 73.8564599, 69.2387579, -5.4243663");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.0040666, 42.1334006, 42.3033096, 42.5296526, 42.4926166, 31.6169936, 28.4464786", \
+					  "41.9513649, 42.0257979, 42.2749079, 42.2593469, 44.7928939, 46.4506749, 59.7798739", \
+					  "41.5107062, 41.5222692, 42.1398312, 42.7132262, 47.6266332, 47.4046892, 46.6749742", \
+					  "41.7501499, 41.7991909, 42.0881609, 43.4412799, 45.0621569, 45.8699519, 45.9883989", \
+					  "41.8721485, 41.8674745, 42.1386225, 43.1364355, 45.2721995, 45.9796085, 45.9474155", \
+					  "41.9585482, 42.0002052, 42.1958232, 43.2353912, 45.2031642, 46.0633342, 46.0806812", \
+					  "42.0415199, 42.0875209, 42.3050829, 43.3252739, 45.2884189, 46.1710189, 46.1694539");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3683438, 3.3682965, 3.3684225, 3.3683119, 3.3687510, 3.3683291, 3.3680712", \
+					  "16.3189329, 16.3186649, 16.3305279, 16.3088239, 16.3055579, 16.3043659, 16.3045239", \
+					  "16.3385379, 16.3346549, 16.3413899, 16.3278669, 16.3215859, 16.3167649, 16.3182899", \
+					  "16.3410915, 16.3371675, 16.3356345, 16.3276235, 16.3191685, 16.3198295, 16.3157405", \
+					  "16.3515265, 16.3508085, 16.3478935, 16.3397995, 16.3318995, 16.3306145, 16.3299015", \
+					  "16.3457761, 16.3430471, 16.3419471, 16.3312371, 16.3241601, 16.3240681, 16.3215581", \
+					  "16.3510018, 16.3559348, 16.3476568, 16.3438218, 16.3368878, 16.3296468, 16.3344648");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("28.0203468, 28.0428038, 28.1175938, 27.9754138, 29.0821448, 40.7691708, 27.4131758", \
+					  "28.0189298, 27.9512898, 28.0803308, 28.0203648, 28.8777108, 33.3240268, 26.8417888", \
+					  "28.1758057, 27.8452737, 28.0597407, 29.1748957, 30.6014277, 33.1486127, 26.8196167", \
+					  "28.1342289, 28.1325549, 28.1832139, 27.7714959, 28.9704329, 25.6192129, 27.7836979", \
+					  "28.2291201, 28.2650081, 28.2377731, 28.2835731, 28.1973771, 28.3912561, 28.0386531", \
+					  "28.3008543, 28.2881613, 28.3067393, 28.3174603, 28.3580253, 28.3198023, 28.0272513", \
+					  "28.3778985, 28.3807905, 28.3823105, 28.3864635, 28.3979005, 28.4028635, 28.1624875");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("90.9034856, 92.0744446, 98.8744636, 132.2691086, 174.3036686, 195.6042286, 200.6290586", \
+					  "90.7939339, 91.9927109, 99.0787159, 132.9561399, 173.8670399, 195.6476499, 201.1907799", \
+					  "90.6206132, 91.7655862, 98.9848672, 133.0329812, 173.8797012, 195.3789612, 200.3985712", \
+					  "90.5443409, 91.5486139, 99.0314819, 132.9372609, 174.0244109, 195.4938509, 200.7405409", \
+					  "90.8750715, 92.0500895, 98.5050995, 132.2429525, 173.9477725, 195.5655825, 200.7994625", \
+					  "91.2053142, 92.1772522, 98.6000932, 133.2043022, 174.0430322, 195.6496322, 200.8895022", \
+					  "90.9950799, 92.2676519, 99.4070109, 133.3192619, 174.1210919, 195.7300419, 200.9576419");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4474407, 3.4471794, 3.4473804, 3.4473796, 3.4478885, 3.4493433, 3.4484388", \
+					  "22.4476245, 22.4452455, 22.4325395, 22.3924845, 22.3404945, 22.3003155, 22.2891855", \
+					  "22.4987682, 22.5040192, 22.4868212, 22.4549562, 22.3869412, 22.3535552, 22.3405552", \
+					  "22.5299052, 22.5273572, 22.5167332, 22.4767272, 22.4160472, 22.3783272, 22.3610872", \
+					  "22.5003559, 22.4985599, 22.4854219, 22.4336559, 22.3851879, 22.3432539, 22.3276609", \
+					  "22.5091739, 22.5013069, 22.4959969, 22.4675279, 22.4084589, 22.3639909, 22.3512169", \
+					  "22.5264879, 22.5252879, 22.5138889, 22.4757979, 22.4191629, 22.3721499, 22.3661739");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3683132, 3.3681424, 3.3681224, 3.3686491, 3.3680032, 3.3683163, 3.3686647", \
+					  "16.3242479, 16.3238449, 16.3321389, 16.3075999, 16.3077039, 16.3085679, 16.3085919", \
+					  "16.3359909, 16.3208419, 16.3404819, 16.3272309, 16.3184479, 16.3180449, 16.3178889", \
+					  "16.3394665, 16.3400785, 16.3335145, 16.3276615, 16.3253475, 16.3178235, 16.3174335", \
+					  "16.3514535, 16.3506775, 16.3473815, 16.3402935, 16.3315825, 16.3303195, 16.3297935", \
+					  "16.3453791, 16.3452431, 16.3420151, 16.3308821, 16.3240351, 16.3236831, 16.3237781", \
+					  "16.3510748, 16.3504868, 16.3473118, 16.3434988, 16.3364648, 16.3292588, 16.3289728");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.9954458, 27.8643668, 27.9284248, 27.9403618, 26.8279498, 28.1126958, 27.5608548", \
+					  "28.1575568, 27.7105608, 28.0333058, 28.0223958, 27.5674508, 31.1845538, 27.3847218", \
+					  "28.1308957, 27.9856677, 28.0200917, 28.9691767, 27.3210447, 31.3830807, 26.7520107", \
+					  "28.1822509, 28.1575189, 28.2227459, 28.1015899, 27.2681489, 27.7403369, 27.9031359", \
+					  "28.2149981, 28.2416021, 28.2403911, 28.2528451, 28.2976321, 28.2365191, 28.0405751", \
+					  "28.3120963, 28.2982813, 28.2967443, 28.3230633, 28.3587243, 28.3702893, 28.0497573", \
+					  "28.3803115, 28.3719155, 28.3828665, 28.3893585, 28.4079055, 28.4334945, 28.1616375");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("52.4338796, 52.7162476, 54.3646446, 63.3350656, 108.3832986, 183.8617586, 207.6044886", \
+					  "52.2783749, 52.5646489, 54.1324369, 63.2064109, 108.0500999, 183.8333199, 207.7322499", \
+					  "52.1863742, 52.4589762, 54.0986572, 63.0921542, 108.3849312, 183.6690512, 207.5020412", \
+					  "52.1879599, 52.5371669, 54.2007319, 63.2955959, 108.6797409, 183.7002709, 207.4036109", \
+					  "52.2194785, 52.5100835, 54.2681555, 63.4081675, 108.7249025, 183.7674725, 207.5819225", \
+					  "52.3357922, 52.5819462, 54.4441992, 63.4248352, 108.4199222, 183.8567822, 207.5643022", \
+					  "52.4328119, 52.6905989, 54.4466419, 63.5761579, 108.4617119, 183.9490919, 207.7103319");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4485102, 3.4485369, 3.4504073, 3.4482508, 3.4486581, 3.4486650, 3.4476890", \
+					  "23.1153785, 23.1057575, 23.0901885, 23.0499325, 22.9993235, 22.9618895, 22.9604185", \
+					  "23.1417962, 23.1494772, 23.1417642, 23.0941362, 23.0336102, 23.0052942, 22.9931662", \
+					  "23.1734252, 23.1625762, 23.1582602, 23.1078182, 23.0551292, 23.0178552, 23.0191672", \
+					  "23.1399379, 23.1369819, 23.1243359, 23.0825569, 23.0268629, 22.9878859, 22.9740599", \
+					  "23.1513529, 23.1613949, 23.1302099, 23.1035419, 23.0484379, 23.0089279, 22.9969899", \
+					  "23.1622629, 23.1814869, 23.1511079, 23.1229709, 23.0577779, 23.0205979, 23.0083299");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5766286, 3.5828092, 3.5832685, 3.5820860, 3.5825878, 3.5843277, 3.5822790", \
+					  "22.7893779, 22.8355599, 23.0742669, 24.1935679, 26.3600919, 27.3779179, 27.5857169", \
+					  "22.7802159, 22.8402659, 23.0579349, 24.1902209, 26.3587899, 27.3714759, 27.5828899", \
+					  "22.8025775, 22.8399855, 23.0796495, 24.1969625, 26.3657895, 27.3901095, 27.5994855", \
+					  "22.8113575, 22.8510705, 23.0888925, 24.2099965, 26.3759105, 27.3993695, 27.6107315", \
+					  "22.8088921, 22.8497561, 23.0863081, 24.2063891, 26.3726201, 27.3962541, 27.6085641", \
+					  "22.8155168, 22.8556218, 23.0931818, 24.2139428, 26.3804718, 27.4043998, 27.6162578");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.6085678, 87.7135678, 87.8533168, 87.9761418, 90.9208978, 90.9653068, 6.7120055", \
+					  "87.7842308, 87.8415038, 87.8839148, 87.9871168, 90.6919398, 89.9652498, 2.9821497", \
+					  "87.8287277, 87.8766057, 87.9058877, 88.0409537, 90.7241677, 90.3566147, 10.7094097", \
+					  "87.9488749, 87.8545549, 87.9993479, 88.1569789, 90.8211329, 90.0254289, 8.6703241", \
+					  "87.9324111, 87.9387951, 88.0346491, 88.1683131, 90.9119381, 90.6328211, 8.8792690", \
+					  "87.9258753, 88.0025163, 88.1819413, 88.3061413, 91.0096793, 90.1701083, 3.5544234", \
+					  "88.0790035, 88.1460685, 88.2437545, 88.3360765, 91.1081085, 90.7375095, 2.7332281");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.6834948, 61.1348488, 61.3373818, 60.5866418, 61.0605678, 47.6499728, -147.1315302", \
+					  "61.3702488, 61.4601138, 61.3217038, 61.2142688, 61.9845068, 55.6528808, -152.5828802", \
+					  "61.3563957, 60.8540757, 61.3117377, 60.8479487, 58.7824477, 42.8332057, -183.3280403", \
+					  "61.7412559, 60.9882189, 60.0946979, 59.6983829, 59.0781009, 47.2443209, -143.0297131", \
+					  "61.3407311, 61.3718311, 61.2026891, 60.9353261, 59.1156711, 44.4332181, -147.8173539", \
+					  "61.4567993, 61.4460713, 61.3134343, 60.8414923, 59.1074353, 45.6860323, -147.0916867", \
+					  "61.5224065, 61.4147225, 61.4154155, 61.0111975, 59.0854325, 45.5707915, -146.9310195");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5804302, 3.5804292, 3.5806391, 3.5805861, 3.5807089, 3.5808531, 3.5808737", \
+					  "15.7936999, 15.7945949, 15.7991369, 15.8123689, 15.8229749, 15.8254709, 15.8269549", \
+					  "15.7921069, 15.7909999, 15.7952479, 15.8178859, 15.8211039, 15.8332159, 15.8322779", \
+					  "15.8015185, 15.8002255, 15.8073705, 15.8258595, 15.8353005, 15.8423915, 15.8410125", \
+					  "15.8102565, 15.8111995, 15.8164475, 15.8316385, 15.8449835, 15.8490215, 15.8507215", \
+					  "15.8078571, 15.8101231, 15.8157161, 15.8292721, 15.8420091, 15.8457561, 15.8503221", \
+					  "15.8138588, 15.8214908, 15.8271048, 15.8367378, 15.8493878, 15.8537798, 15.8615308");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.4669256, 34.3392736, 34.7316336, 34.5825026, 36.1345706, 69.6917516, 35.4972296", \
+					  "34.3657489, 34.0798279, 34.5939579, 34.5308729, 35.5771269, 74.2921409, 35.8257469", \
+					  "34.1351682, 34.0016052, 34.2541602, 33.6240212, 34.8485142, 14.7621282, 35.5679182", \
+					  "34.3727279, 34.3934169, 34.3584859, 34.0530879, 34.0519639, 34.5938879, 34.1512669", \
+					  "34.4602225, 34.4546715, 34.4095515, 34.4658085, 34.4342435, 34.4259585, 34.3165775", \
+					  "34.5483952, 34.5356312, 34.5481912, 34.5522392, 34.5830622, 34.6110332, 34.3897892", \
+					  "34.6212019, 34.6215349, 34.6173959, 34.6621999, 34.6825729, 34.6383649, 34.4410649");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.5709817, 68.4334387, 68.4211017, 67.9091987, 66.1531307, 51.2736447, -141.5505103", \
+					  "68.0338185, 68.2986065, 67.9277575, 67.9962935, 63.7218585, 41.2550905, -137.7781025", \
+					  "68.4191892, 68.3543932, 68.3172352, 67.8946292, 65.9549932, 52.5222802, -137.6852148", \
+					  "68.3888992, 68.4022702, 68.3045082, 67.9576472, 65.8563972, 52.7489792, -141.2763548", \
+					  "68.4342309, 68.3784769, 68.3158389, 67.9741129, 66.1648379, 52.6490659, -139.9304881", \
+					  "68.4096649, 68.3517189, 68.3140469, 67.9227009, 66.1769509, 52.7567909, -140.1253281", \
+					  "68.4388139, 68.4509229, 68.3438969, 68.0952209, 66.0549159, 52.7971979, -140.7765781");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.5199006, 34.1441006, 34.8198966, 34.7908846, 36.0034986, 73.9669916, 35.6153536", \
+					  "34.4636249, 34.3247879, 34.6167909, 34.6168429, 35.5739559, 81.5243689, 35.5574929", \
+					  "34.1395082, 34.0065662, 34.2633432, 33.6407202, 34.8535592, 4.6418472, 33.1109012", \
+					  "34.3622259, 34.3726619, 34.3913109, 34.5076759, 34.4756909, 35.8403499, 34.1954469", \
+					  "34.4598485, 34.4565075, 34.4109325, 34.4700695, 34.4206785, 34.3774525, 34.3202415", \
+					  "34.5165492, 34.5324572, 34.5463412, 34.5525102, 34.5852512, 34.6283102, 34.3248412", \
+					  "34.6328799, 34.6432539, 34.6589039, 34.6573189, 34.6874129, 34.6484269, 34.4388959");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.5643547, 68.4351317, 68.4214557, 67.9146317, 66.1437287, 52.3399237, -141.8182403", \
+					  "68.0300645, 68.3003975, 67.9276255, 67.9670455, 63.6470155, 41.5801565, -136.9761625", \
+					  "68.8172242, 68.3530332, 68.3173882, 67.8956282, 65.8811842, 49.7924882, -143.1277948", \
+					  "68.4194162, 68.4072872, 68.3039742, 67.9357342, 66.0639462, 52.6275172, -140.6007248", \
+					  "68.4236899, 68.3790729, 68.3193569, 67.9523239, 66.1652889, 52.6729879, -138.9216981", \
+					  "68.4126909, 68.3762819, 68.4878459, 67.9289939, 66.1702829, 52.6083539, -140.4175381", \
+					  "68.4333949, 68.4453089, 68.3465199, 67.9316989, 66.1659519, 52.8535659, -140.9841781");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.1659407, 71.2254487, 71.5348057, 72.3704357, 72.9958587, 69.4270327, -10.5058643", \
+					  "71.1459955, 71.1784905, 71.5229095, 72.4195255, 73.4718235, 65.3501875, -6.6239308", \
+					  "71.0710402, 71.1905692, 71.4748282, 72.5178542, 72.9482952, 65.2477952, -12.9538178", \
+					  "71.1163212, 71.1711392, 71.4721062, 72.5048982, 72.8243652, 69.8071532, -6.4192368", \
+					  "71.1388099, 71.1800619, 71.5041639, 72.5147369, 73.1207729, 69.2777989, -8.5497555", \
+					  "71.1623179, 71.2015919, 71.4754139, 72.4158769, 73.0665099, 69.8729949, -12.7551981", \
+					  "71.2061489, 71.2287769, 71.5054099, 72.4118789, 73.2580289, 70.5234799, -17.3562291");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3643685, 5.3631351, 5.3622604, 5.3531843, 5.3427348, 5.3452913, 5.3397554", \
+					  "27.9389619, 27.9794979, 28.2145679, 29.3351479, 31.4820659, 32.4967779, 32.7072039", \
+					  "27.9075212, 27.9580972, 28.1935792, 29.3368922, 31.4881882, 32.5187322, 32.7294172", \
+					  "27.9682649, 28.0056529, 28.2385549, 29.3700529, 31.5367639, 32.5548179, 32.7643549", \
+					  "28.0559515, 28.0963025, 28.3354065, 29.4597475, 31.6219445, 32.6388635, 32.8499905", \
+					  "28.1458412, 28.1860712, 28.4234412, 29.5505742, 31.7109222, 32.7311822, 32.9416562", \
+					  "28.2279079, 28.2684689, 28.5071639, 29.6304339, 31.7936339, 32.8124749, 33.0229009");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.9944966, 64.3767746, 66.5673066, 77.4752756, 107.7149286, 175.8015686, 224.3716486", \
+					  "63.8491949, 64.1625769, 66.3923759, 77.2988189, 107.6335899, 175.6741699, 224.3269199", \
+					  "63.7491422, 64.1007292, 66.3134732, 77.2957652, 107.4780612, 175.5958712, 224.2109512", \
+					  "63.8654039, 64.2210569, 66.3670279, 77.1888369, 107.6174309, 175.6767309, 224.2868809", \
+					  "63.9472295, 64.2571345, 66.4012245, 77.2733685, 107.3953125, 175.8026125, 224.3866525", \
+					  "63.9642502, 64.3954062, 66.5545332, 77.2756932, 107.2252822, 175.8579622, 224.3963322", \
+					  "64.0882629, 64.4139609, 66.5672309, 77.5981989, 107.1777019, 175.9464619, 224.4967919");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("97.8550427, 97.9758557, 98.1302877, 98.8152197, 103.4371397, 105.7537697, 27.7502497", \
+					  "97.8333355, 97.9685815, 98.1095245, 98.7534955, 103.8582375, 104.6053675, 22.0811765", \
+					  "97.8238062, 97.8393982, 98.0769302, 98.7425642, 103.3565852, 105.9901652, 26.0140882", \
+					  "97.7257512, 97.9089452, 98.0045632, 98.6835412, 103.3794052, 104.5301252, 22.6588072", \
+					  "97.7910249, 97.8350249, 98.0809319, 98.6499359, 103.4932919, 105.9309519, 26.3339069", \
+					  "97.7404529, 97.7728019, 98.0715079, 98.7433449, 103.7119219, 105.3653019, 26.3091339", \
+					  "97.7399519, 97.7976349, 98.1330129, 98.6758419, 103.8662519, 104.7204819, 22.2410669");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3686381, 3.3688389, 3.3711844, 3.3681582, 3.3681281, 3.3691390, 3.3686686", \
+					  "17.9776319, 17.9745599, 17.9678779, 17.9402559, 17.9015899, 17.8663599, 17.8552449", \
+					  "18.1669929, 18.1561709, 18.1698589, 18.0489259, 18.0545829, 18.0116399, 18.0150399", \
+					  "18.1692975, 18.1676755, 18.1477105, 18.1164665, 18.0525335, 18.0260715, 18.0116045", \
+					  "18.1763775, 18.1699585, 18.1628275, 18.1221935, 18.0665205, 18.0323835, 18.0169165", \
+					  "18.1758261, 18.1748231, 18.1612871, 18.1224241, 18.0693301, 18.0298521, 18.0159731", \
+					  "18.1846428, 18.1844398, 18.1704228, 18.1318458, 18.0779588, 18.0387268, 18.0259158");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("47.2982018, 47.6701228, 49.3488358, 58.4073848, 103.1692398, 178.9507698, 202.6645998", \
+					  "47.4181898, 47.6980778, 49.3516298, 58.3393048, 103.4352898, 178.8876298, 202.5259198", \
+					  "47.3907237, 47.7702397, 49.4059757, 58.4956147, 103.4303297, 179.0270297, 202.6358797", \
+					  "47.5482819, 47.7831049, 49.4028129, 58.4760059, 103.2976269, 179.1318069, 202.7557369", \
+					  "47.5200891, 47.8090451, 49.4892011, 58.6792561, 103.9745761, 179.0709761, 202.8117861", \
+					  "47.8405383, 48.0094893, 49.7494103, 58.6976243, 103.7012533, 179.1529833, 202.9795333", \
+					  "47.7443305, 48.0071175, 49.6685765, 58.9031615, 104.1762305, 179.2143405, 202.9997205");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5803962, 3.5807314, 3.5804129, 3.5809075, 3.5805031, 3.5807898, 3.5806035", \
+					  "15.7936979, 15.7945829, 15.7991539, 15.8123549, 15.8229699, 15.8259639, 15.8269269", \
+					  "15.7916299, 15.7857389, 15.7955939, 15.8108959, 15.8214529, 15.8301009, 15.8260309", \
+					  "15.8017015, 15.8008315, 15.8075775, 15.8231135, 15.8370275, 15.8397605, 15.8420165", \
+					  "15.8099995, 15.8111445, 15.8168705, 15.8321375, 15.8459895, 15.8489715, 15.8512385", \
+					  "15.8078761, 15.8102981, 15.8161771, 15.8319271, 15.8445311, 15.8484971, 15.8477841", \
+					  "15.8138518, 15.8215638, 15.8180978, 15.8427828, 15.8461698, 15.8599138, 15.8550178");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.7965988, 60.8829048, 61.4200238, 60.6133148, 57.1082888, 45.9906228, -145.5104802", \
+					  "61.4751928, 61.4678788, 61.1614298, 60.8717648, 58.3737428, 41.8182428, -160.3759602", \
+					  "60.9253797, 60.9618367, 61.3562367, 60.4676767, 58.5684247, 44.6079217, -138.4105803", \
+					  "61.1348659, 61.4706389, 59.4540919, 61.7003849, 59.9248539, 58.7269239, -144.6027231", \
+					  "61.4745271, 61.3823371, 61.3448461, 60.9783611, 58.6428411, 45.4422471, -146.3259839", \
+					  "61.4506023, 61.4113633, 61.3259173, 60.9657333, 59.0983733, 45.6848043, -146.0054267", \
+					  "61.5364445, 61.4387305, 61.2117805, 61.0521925, 59.1264065, 45.3962755, -147.5008295");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.5389598, 86.9302568, 93.9728518, 127.9050398, 169.1940198, 190.6273998, 195.9778298", \
+					  "85.8930978, 86.7455058, 94.1910498, 128.2208398, 169.1900298, 190.6316398, 196.0831298", \
+					  "85.6789537, 86.7554187, 94.4691927, 128.2154097, 169.3705597, 190.7623497, 196.0821997", \
+					  "85.9746489, 87.1811699, 94.3295969, 127.3635769, 169.4643969, 190.8728169, 196.0003569", \
+					  "85.9351901, 87.0583541, 94.7081371, 128.3780261, 169.4238761, 190.8545261, 196.0915461", \
+					  "86.4920023, 87.3571153, 94.1348463, 128.4644233, 169.3372533, 190.9357833, 196.1704733", \
+					  "86.5824715, 87.5882505, 94.4670255, 127.6071505, 169.5663205, 191.0074605, 196.2412705");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3688458, 3.3684245, 3.3691953, 3.3682775, 3.3685789, 3.3683878, 3.3673197", \
+					  "17.3325059, 17.3311329, 17.3233009, 17.2968119, 17.2554489, 17.2191829, 17.2105739", \
+					  "17.5430029, 17.5380089, 17.5579599, 17.4908829, 17.4535659, 17.4102589, 17.3901309", \
+					  "17.5470605, 17.5473735, 17.5364045, 17.4893465, 17.4305205, 17.3976635, 17.3835775", \
+					  "17.5634125, 17.5603195, 17.5475975, 17.5027895, 17.4449345, 17.4044335, 17.3924715", \
+					  "17.5544651, 17.5523521, 17.5400101, 17.4995091, 17.4429571, 17.4113631, 17.3930251", \
+					  "17.5649058, 17.5611588, 17.5454268, 17.5097428, 17.4553148, 17.4152478, 17.4030768");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5808722, 3.5808628, 3.5809908, 3.5813381, 3.5820457, 3.5822354, 3.5819100", \
+					  "22.7309059, 22.7719769, 23.0118499, 24.1401549, 26.3005119, 27.3213709, 27.5338349", \
+					  "22.7438859, 22.7850839, 23.0225489, 24.1393809, 26.3071939, 27.3319179, 27.5408199", \
+					  "22.7507095, 22.7899835, 23.0284435, 24.1506865, 26.3176855, 27.3414365, 27.5540005", \
+					  "22.7605345, 22.8002825, 23.0387205, 24.1599715, 26.3268555, 27.3498275, 27.5620585", \
+					  "22.7610091, 22.8018551, 23.0366581, 24.1584171, 26.3242161, 27.3471131, 27.5593841", \
+					  "22.7661028, 22.8041818, 23.0440278, 24.1661858, 26.3327528, 27.3554628, 27.5673208");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.3135978, 64.3977318, 64.6677588, 65.4446238, 66.4844998, 63.4437938, -14.7520072", \
+					  "64.3174738, 64.3834008, 64.6791158, 65.4753328, 66.7268808, 63.8989758, -16.8721742", \
+					  "64.3712967, 64.4515107, 64.7449977, 65.6510297, 66.8233357, 63.9828507, -21.6156443", \
+					  "64.5129479, 64.5305239, 64.7309469, 65.5256589, 66.7136389, 62.0845229, -15.3759391", \
+					  "64.5806671, 64.6095751, 64.8787551, 65.6079841, 66.1942381, 63.5501931, -22.4704889", \
+					  "64.6419733, 64.7271343, 64.9435163, 65.7357993, 66.8579993, 63.0023403, -13.9765347", \
+					  "64.7679425, 64.7938455, 65.0748285, 65.9094395, 66.2829185, 62.7438395, -15.8604765");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3660056, 3.3650726, 3.3678728, 3.3671815, 3.3686216, 3.3684498, 3.3683295", \
+					  "18.0388619, 18.0366259, 18.0225139, 17.9853479, 17.9280859, 17.8817049, 17.8684309", \
+					  "18.0210489, 18.0188449, 18.0242879, 17.9835149, 17.9261269, 17.8883239, 17.8618349", \
+					  "18.0401505, 18.0369135, 18.0243585, 17.9844155, 17.9308235, 17.8831285, 17.8696065", \
+					  "18.0433955, 18.0415685, 18.0285785, 17.9899015, 17.9327965, 17.8863735, 17.8714355", \
+					  "18.0437861, 18.0412511, 18.0292451, 17.9896921, 17.9348071, 17.8873481, 17.8735331", \
+					  "18.0550588, 18.0527308, 18.0402078, 17.9995008, 17.9442658, 17.8880968, 17.8833558");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("30.4318398, 30.4989868, 30.8952118, 32.5845238, 36.6020078, 50.2107368, 83.3391428", \
+					  "30.4509708, 30.4292388, 30.9371898, 32.4648978, 36.6453878, 50.3503898, 83.7146108", \
+					  "30.3715427, 30.5036487, 30.9596487, 32.6308567, 36.9670647, 50.2562787, 83.3409697", \
+					  "30.5877109, 30.6277579, 31.0431689, 32.7241229, 36.8571639, 50.3740669, 83.3460499", \
+					  "30.6558391, 30.7258421, 31.1364091, 32.8207501, 36.9743441, 50.4155161, 83.4558601", \
+					  "30.7470963, 30.8100433, 31.2197153, 32.8539873, 37.0367493, 50.3144613, 83.5500493", \
+					  "30.8272455, 30.8940455, 31.2628755, 32.9594945, 37.1076485, 50.3616815, 83.5765435");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.6394347, 94.6402117, 94.7117797, 94.8737677, 97.8207787, 97.7574677, 11.5834927", \
+					  "94.5829155, 94.5878905, 94.6775825, 94.7646235, 97.9128065, 97.8189935, 17.2272045", \
+					  "94.6150542, 94.5919752, 94.6291812, 94.7713452, 97.8230502, 97.8337012, 17.4453472", \
+					  "94.4178692, 94.5717392, 94.7013582, 94.7310912, 97.8919242, 97.9275762, 18.9645282", \
+					  "94.4833519, 94.5166099, 94.7257899, 94.8152809, 97.8691019, 96.4203299, 11.3163029", \
+					  "94.4390519, 94.4933699, 94.6713459, 94.7754989, 97.4375079, 96.6026339, 15.7729409", \
+					  "94.5059349, 94.5690369, 94.6930349, 94.8456489, 97.5579099, 96.6027509, 13.1553969");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3634803, 5.3656860, 5.3629148, 5.3569981, 5.3492695, 5.3459744, 5.3453655", \
+					  "27.9664959, 28.0067539, 28.2455969, 29.3700879, 31.5308179, 32.5481089, 32.7587279", \
+					  "27.9258002, 27.9985092, 28.2483802, 29.3128452, 31.4770062, 32.5141382, 32.7250882", \
+					  "28.0078439, 28.0615059, 28.2938249, 29.4164919, 31.5746019, 32.5971069, 32.8077999", \
+					  "28.1020055, 28.1423045, 28.3799425, 29.5045485, 31.6677135, 32.6862985, 32.8978545", \
+					  "28.1902002, 28.2312502, 28.4703182, 29.5939242, 31.7561632, 32.7751752, 32.9884802", \
+					  "28.2745909, 28.3151389, 28.5534119, 29.6753389, 31.8384659, 32.8585039, 33.0696129");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.7391718, 87.8100458, 87.8672088, 87.9807698, 90.6243708, 91.0073078, 10.9077188", \
+					  "87.7438328, 87.8632088, 87.8909368, 87.9636778, 90.6835298, 89.9645148, 2.9734555", \
+					  "87.8098877, 87.8576697, 87.9103567, 88.0230997, 90.7230297, 90.0238667, 10.5463267", \
+					  "87.8068699, 88.0112969, 87.9970659, 88.0778339, 90.8098359, 90.1015069, 7.5786557", \
+					  "87.9048021, 87.9502931, 87.9834961, 88.2123471, 90.8214411, 90.8235921, 10.1948341", \
+					  "87.9901023, 87.9933153, 88.1897553, 88.3014433, 91.3031323, 90.9639873, 7.3705390", \
+					  "87.9817695, 88.1627215, 88.2425605, 88.3785145, 91.1260875, 90.1589655, 3.0017661");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5799671, 3.5854267, 3.5822213, 3.5813225, 3.5825830, 3.5819034, 3.5817350", \
+					  "22.7966569, 22.8365179, 23.0739009, 24.1873659, 26.3604659, 27.3810869, 27.5907269", \
+					  "22.7818939, 22.8172389, 23.0517859, 24.1781399, 26.3471409, 27.3718279, 27.5926809", \
+					  "22.7987245, 22.8426775, 23.0773165, 24.2001935, 26.3623235, 27.3888415, 27.6017425", \
+					  "22.8101545, 22.8514465, 23.0879655, 24.2098355, 26.3744605, 27.3986775, 27.6117615", \
+					  "22.8093681, 22.8491941, 23.0868581, 24.2061121, 26.3759211, 27.3994251, 27.6085361", \
+					  "22.8226968, 22.8558678, 23.0998528, 24.2137138, 26.3866738, 27.4010858, 27.6162248");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3686725, 3.3681476, 3.3687447, 3.3682859, 3.3689753, 3.3680422, 3.3680003", \
+					  "16.3190799, 16.3186789, 16.3305999, 16.3086389, 16.3054779, 16.3043899, 16.3043769", \
+					  "16.3365829, 16.3362009, 16.3392869, 16.3286719, 16.3208369, 16.3181759, 16.3175059", \
+					  "16.3488735, 16.3375445, 16.3356155, 16.3255535, 16.3286015, 16.3268395, 16.3154905", \
+					  "16.3515465, 16.3506765, 16.3476805, 16.3403965, 16.3319485, 16.3305235, 16.3301495", \
+					  "16.3436681, 16.3430491, 16.3397931, 16.3331401, 16.3236811, 16.3219931, 16.3239571", \
+					  "16.3565058, 16.3558138, 16.3477218, 16.3388808, 16.3320138, 16.3349278, 16.3293958");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("28.0232038, 27.9614698, 28.0076538, 27.9851718, 28.7540268, 40.4729878, 27.4579678", \
+					  "27.9289948, 27.9874448, 27.8215188, 28.3940988, 29.9157148, 20.7906198, 28.6879818", \
+					  "28.1895987, 27.8182707, 28.1659317, 28.0060617, 30.6208637, 38.6245167, 28.6639157", \
+					  "28.1203699, 28.1508059, 28.1867729, 28.2285979, 27.9004069, 28.5309839, 27.8362989", \
+					  "28.2365231, 28.2648541, 28.2389111, 28.2738591, 28.3469361, 28.2382161, 27.9838601", \
+					  "28.2948363, 28.3159233, 28.2989313, 28.3141783, 28.3130193, 28.3141853, 28.1639913", \
+					  "28.3785385, 28.3769535, 28.3831915, 28.3931295, 28.4066115, 28.3924325, 28.1609105");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.9790377, 94.9947057, 95.0678977, 95.1897997, 98.1931127, 98.2317207, 14.7910927", \
+					  "94.8953175, 94.9554295, 95.0677635, 95.2019615, 98.2282625, 98.0340915, 15.2529435", \
+					  "94.8789192, 94.9638992, 94.9768752, 95.1243682, 97.8006232, 97.3189602, 11.3690292", \
+					  "94.8283882, 94.8822552, 95.0375332, 95.0583432, 97.7820812, 98.2043892, 13.8888652", \
+					  "94.8516889, 94.8807909, 95.0298169, 95.1724259, 98.2353299, 98.2004479, 17.5312209", \
+					  "94.8304129, 94.8613529, 95.0021229, 95.1694959, 98.2343779, 98.2421009, 18.5059189", \
+					  "94.8634129, 94.8659869, 95.0396539, 95.1867309, 97.8928779, 97.0041799, 13.0564439");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.2591126, 41.8707636, 42.2156856, 43.4916336, 44.2391566, 56.8178486, 46.7668296", \
+					  "41.8281849, 41.8546089, 42.0898649, 43.0223589, 44.9950859, 45.6184659, 45.2058409", \
+					  "41.5553612, 41.5801952, 42.1624862, 43.2227602, 45.0445732, 46.3183632, 45.9406242", \
+					  "41.8130509, 41.8772119, 42.2832579, 43.6340599, 45.2049869, 45.9908269, 45.9194749", \
+					  "41.9179065, 41.9055935, 42.1460955, 43.1827905, 45.2213505, 45.8518465, 45.9724345", \
+					  "42.0016002, 42.0214382, 42.2422482, 43.2764662, 45.2476802, 46.1512112, 46.0996242", \
+					  "42.0737059, 42.1120779, 42.3357499, 43.3690929, 45.3295419, 46.2200489, 46.1862289");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.3325196, 44.4341816, 45.2032956, 47.7209486, 53.9834836, 68.3337126, 101.5589486", \
+					  "44.2280889, 44.3371439, 45.0257939, 47.5699989, 53.8005809, 67.9169279, 101.3493799", \
+					  "44.1926992, 44.2093702, 44.8979022, 47.4818112, 53.6254972, 68.2125302, 100.8476212", \
+					  "44.2814059, 44.3549069, 45.0493819, 47.5569669, 53.7529929, 68.0660159, 101.3275509", \
+					  "44.3395805, 44.4524585, 45.0856785, 47.7606285, 53.8754285, 68.2821645, 101.3788625", \
+					  "44.4583782, 44.5516122, 45.1560662, 47.8795162, 53.9846952, 68.2192502, 101.5393822", \
+					  "44.5385089, 44.6488959, 45.2404189, 47.9300139, 54.0307319, 68.2697929, 101.5767619");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("74.3960097, 74.4459557, 74.8402237, 76.2475567, 78.6276037, 76.7343817, 1.3937724", \
+					  "74.3700285, 74.4269615, 74.7997195, 76.3097545, 78.9878555, 75.7851805, -1.0076732", \
+					  "74.2594612, 74.2826932, 74.7830842, 76.1414072, 79.0592402, 78.7609852, -4.9446041", \
+					  "74.2556922, 74.3426432, 74.6329672, 76.3102572, 78.8128872, 78.0796962, 3.1392778", \
+					  "74.2855989, 74.3819099, 74.7514759, 76.2586729, 78.7134179, 77.9105599, -0.9683320", \
+					  "74.3198749, 74.3843509, 74.7589199, 76.1846469, 78.7398949, 76.8743529, -2.6264386", \
+					  "74.3192079, 74.3972009, 74.7959029, 76.2840249, 78.9573449, 78.3174609, -3.5535971");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("36.9664566, 37.0045206, 37.4283026, 39.0073486, 43.1333056, 56.7758296, 90.0377126", \
+					  "36.7389469, 36.8344089, 37.3656369, 38.9313259, 42.9731649, 56.5780809, 88.7524689", \
+					  "36.7306162, 36.7841462, 37.2348142, 38.8526272, 42.9877782, 56.6653892, 90.2747442", \
+					  "36.8147989, 36.8756389, 37.2736409, 38.9672129, 43.0560779, 56.5946539, 89.5480339", \
+					  "36.8892975, 36.9499045, 37.3589835, 39.0333975, 43.1796475, 56.4439605, 89.6861685", \
+					  "36.9896852, 37.0523302, 37.4501642, 39.1263932, 43.2512082, 56.7453132, 89.7858172", \
+					  "37.0710509, 37.1494709, 37.5331019, 39.1864949, 43.3394609, 56.8411219, 89.9114669");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.1878537, 71.1035737, 71.1457757, 71.2758387, 71.6963777, 61.0049437, -131.7232403", \
+					  "71.1482855, 71.1602975, 71.1984605, 71.4057535, 71.6085845, 59.7801295, -132.1977525", \
+					  "70.8356452, 71.2673062, 70.5426292, 72.0152432, 68.7126692, 55.4158022, -132.7538648", \
+					  "71.1175222, 71.0552312, 71.0787062, 71.3606542, 71.4101562, 60.2334092, -130.3175048", \
+					  "71.0445799, 71.0777409, 71.0763599, 71.2394999, 71.5237589, 60.2879899, -131.8977381", \
+					  "71.0258159, 71.1123509, 71.0646249, 71.2926649, 71.4455489, 60.0633419, -131.6650981", \
+					  "71.0748099, 71.1016629, 71.0874519, 71.3895929, 71.4150579, 60.0459439, -132.7335281");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.1268340, 44.3420947, 45.7134101, 52.2723631, 67.5466417, 95.8748771, 112.3619540", \
+					  "60.5812474, 60.6979147, 62.2954769, 69.6744276, 86.3690335, 112.6019824, 131.7329758", \
+					  "60.5738415, 60.7478583, 62.3481693, 69.9982513, 86.4605941, 114.7624498, 131.7109732", \
+					  "60.7075556, 60.9491002, 62.4278604, 69.5820290, 86.0894288, 112.3572085, 131.8238244", \
+					  "60.7603882, 61.0139719, 62.5500403, 69.8567739, 86.3072767, 112.7411976, 131.9578209", \
+					  "60.9372349, 61.1369344, 62.6054717, 69.8973988, 86.2098073, 112.7947839, 132.0373170", \
+					  "60.9868047, 61.1970983, 62.6780797, 69.9441914, 86.4566049, 112.8694008, 132.0973937");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.4104964, 73.4294380, 73.5957903, 73.6653522, 73.9965095, 72.0975506, -58.5167099", \
+					  "87.4688187, 87.5165356, 87.4352124, 87.7853158, 88.0415834, 84.7351048, -44.6860828", \
+					  "87.5180556, 87.4093313, 87.6425653, 87.7696774, 87.8813557, 79.6431422, -45.5950373", \
+					  "87.5216653, 87.5814795, 87.3554972, 88.1386860, 88.5203056, 78.5112604, -43.1292607", \
+					  "87.6601018, 87.6587684, 87.7069242, 87.8162982, 88.0314819, 81.5869084, -44.7427581", \
+					  "87.7063518, 87.7399940, 87.8109244, 87.9019640, 88.3285450, 81.6396877, -45.8766121", \
+					  "87.8163430, 87.8259006, 87.8872295, 88.0227189, 88.1644569, 81.4236134, -46.2769012");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("84.8287970, 85.0779024, 87.6227164, 99.0387154, 125.6391302, 181.2333413, 199.0044580", \
+					  "92.1017453, 92.4432738, 95.0660021, 106.8798793, 134.4843750, 192.7751076, 212.9271341", \
+					  "91.7961289, 92.1333792, 94.8431182, 106.6572012, 134.7035171, 170.2617237, 210.4508006", \
+					  "92.0123650, 92.4211526, 94.9999708, 107.1286396, 134.2913408, 178.5061641, 210.2810518", \
+					  "92.2142728, 92.6083668, 94.9753695, 107.0501887, 134.4251780, 178.3258672, 210.4567092", \
+					  "92.4363892, 92.8275137, 95.1963027, 107.3350439, 134.3698392, 178.5867997, 210.5956967", \
+					  "92.5780125, 93.0069691, 95.4576508, 107.5858125, 134.5290653, 178.7352268, 210.7812640");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("131.8136762, 131.8502107, 132.0187746, 132.5537832, 133.1489238, 126.0585655, -85.0457188", \
+					  "138.0672203, 138.1878862, 138.3242332, 139.1354962, 140.0731200, 126.1126507, -71.4121519", \
+					  "138.1263024, 138.2163952, 138.2449406, 139.2476382, 140.3425492, 130.1700692, -76.2464625", \
+					  "138.1196216, 138.2173527, 138.3895046, 139.0790111, 140.7358051, 131.6720632, -76.5215862", \
+					  "138.1371620, 138.1810670, 138.4186905, 139.0398221, 140.9767460, 131.6071491, -76.7210552", \
+					  "138.1322256, 138.1840586, 138.4296846, 139.0448831, 140.9650930, 131.3765373, -77.6767785", \
+					  "138.1817446, 138.2371315, 138.4656515, 139.1154480, 141.0046345, 131.2569915, -79.9000872");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1790683, 2.1791478, 2.1791479, 2.1791481, 2.1791484, 2.1791486, 2.3292221", \
+					  "2.6207225, 2.6213789, 2.6226048, 2.6244826, 2.6244828, 2.6244830, 2.7546962", \
+					  "2.9233400, 2.9244314, 2.9251543, 2.9251544, 2.9251546, 2.9251549, 3.0472489", \
+					  "3.1597138, 3.1619665, 3.1619667, 3.1619670, 3.1619672, 3.1619674, 3.2910412", \
+					  "3.3780845, 3.3780846, 3.3780848, 3.3797982, 3.3797983, 3.3797986, 3.5029302", \
+					  "3.5478964, 3.5478966, 3.5480516, 3.5488783, 3.5488784, 3.5510686, 3.6845269", \
+					  "3.7071084, 3.7200627, 3.7200629, 3.7200631, 3.7205894, 3.7205896, 3.8437737");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.8820320, 9.0836005, 10.3696620, 18.1949820, 66.3526830, 360.7411000, 2174.5755000", \
+					  "9.3379953, 9.5448414, 10.8247450, 18.6396410, 66.7103280, 361.4132100, 2174.2535000", \
+					  "9.6273070, 9.8330837, 11.1130550, 18.9464000, 67.1748810, 361.6418100, 2174.6007000", \
+					  "9.8862181, 10.0941100, 11.3691860, 19.2019270, 67.2675710, 362.0465600, 2179.4961000", \
+					  "10.0948180, 10.2990110, 11.5764710, 19.3977600, 67.4784030, 362.2622600, 2175.0270000", \
+					  "10.2773640, 10.4851280, 11.7657700, 19.5962450, 67.6899960, 362.0926600, 2178.2987000", \
+					  "10.4417490, 10.6483650, 11.9148670, 19.7604560, 67.8374480, 362.6297500, 2176.9974000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3396630, 13.7737170, 16.2234010, 31.6212760, 126.9896200, 714.6787800, 4339.7877000", \
+					  "13.3740740, 13.7846370, 16.2439000, 31.6204560, 127.1129000, 714.2469900, 4341.0732000", \
+					  "13.3685970, 13.7731590, 16.2585020, 31.5934230, 127.1726800, 714.9454900, 4341.1296000", \
+					  "13.3732370, 13.7764010, 16.2558450, 31.5849640, 126.7505800, 714.9993200, 4340.6735000", \
+					  "13.3511140, 13.7642400, 16.2543770, 31.6203310, 127.1769600, 715.0121600, 4338.1851000", \
+					  "13.3723820, 13.7663280, 16.2546830, 31.6233470, 127.1566800, 715.3166200, 4341.5912000", \
+					  "13.3687730, 13.7751180, 16.2417530, 31.5810600, 126.7080700, 715.1724600, 4337.8227000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.6906684, 2.7035858, 2.7796578, 3.1438989, 4.4625916, 8.1583140, 19.8550540", \
+					  "3.2634089, 3.2763217, 3.3524215, 3.7166570, 5.0353024, 8.7313756, 20.4295980", \
+					  "3.7834069, 3.7963241, 3.8724508, 4.2366604, 5.5552989, 9.2509014, 20.9470180", \
+					  "4.2348547, 4.2479846, 4.3233777, 4.6882267, 6.0064829, 9.6988311, 21.3993110", \
+					  "4.6475108, 4.6601438, 4.7362874, 5.1014850, 6.4181558, 10.1111100, 21.8133530", \
+					  "5.0282476, 5.0407154, 5.1160862, 5.4816307, 6.7975172, 10.4905240, 22.1919940", \
+					  "5.3873159, 5.4002278, 5.4754601, 5.8400351, 7.1581954, 10.8530090, 22.5527100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6899662, 0.6958438, 0.7340385, 0.9763929, 2.0242630, 4.7649276, 17.2456240", \
+					  "0.6899839, 0.6958297, 0.7340522, 0.9764132, 2.0241411, 4.7639541, 17.2475850", \
+					  "0.6897106, 0.6955708, 0.7339622, 0.9850651, 2.0240325, 4.7603654, 17.2413750", \
+					  "0.6872095, 0.6931594, 0.7406067, 0.9830895, 2.0226123, 4.7624655, 17.2421190", \
+					  "0.6840084, 0.6914197, 0.7333837, 0.9828128, 2.0219120, 4.7446798, 17.2303030", \
+					  "0.6852572, 0.6968151, 0.7350190, 0.9759993, 2.0270710, 4.7421063, 17.2471250", \
+					  "0.6876274, 0.6965761, 0.7348237, 0.9843303, 2.0281186, 4.7706914, 17.2438350");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8866896, 3.8886441, 3.8886444, 3.8886446, 3.8886449, 3.8886451, 3.8886453", \
+					  "4.3433710, 4.3433712, 4.3433717, 4.3433722, 4.3433726, 4.3433731, 4.3433736", \
+					  "4.6512074, 4.6527342, 4.6527346, 4.6527350, 4.6527355, 4.6527360, 4.6527365", \
+					  "4.9010906, 4.9010909, 4.9050231, 4.9050234, 4.9050239, 4.9050243, 4.9050248", \
+					  "5.1029896, 5.1029900, 5.1029904, 5.1029909, 5.1029914, 5.1029919, 5.1029923", \
+					  "5.2946856, 5.2946857, 5.2977707, 5.2977708, 5.2977713, 5.2977717, 5.2977722", \
+					  "5.4327374, 5.4383258, 5.4383262, 5.4383266, 5.4383271, 5.4383276, 5.4383281");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5654848, 3.5751484, 3.5751485, 3.5751488, 3.5751490, 3.5751492, 3.5751495", \
+					  "4.0026533, 4.0036299, 4.0036300, 4.0036304, 4.0036309, 4.0036314, 4.0036319", \
+					  "4.3224269, 4.3238590, 4.3300488, 4.3300493, 4.3300498, 4.3300503, 4.3300508", \
+					  "4.5933608, 4.5986468, 4.5986469, 4.5986474, 4.5986479, 4.5986484, 4.5986488", \
+					  "4.7678035, 4.7699218, 4.7699221, 4.7699225, 4.7699230, 4.7699235, 4.7699240", \
+					  "4.9705653, 4.9728848, 4.9728849, 4.9728854, 4.9728859, 4.9728864, 4.9728869", \
+					  "5.1329327, 5.1373088, 5.1373089, 5.1373094, 5.1373098, 5.1373103, 5.1373108");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7465368, 2.7740013, 2.9419855, 3.9457593, 10.0753040, 24.1221780, 52.2580660", \
+					  "3.2009269, 3.2284382, 3.3963534, 4.4007638, 10.5327200, 24.5963890, 52.7243600", \
+					  "3.4962830, 3.5237444, 3.6917149, 4.6955475, 10.8243380, 24.8669970, 53.0015390", \
+					  "3.7495425, 3.7770400, 3.9450581, 4.9494138, 11.0800050, 25.1477420, 53.2583790", \
+					  "3.9613663, 3.9889096, 4.1567838, 5.1616769, 11.2959080, 25.3570530, 53.4857030", \
+					  "4.1478012, 4.1753045, 4.3432293, 5.3479030, 11.4807310, 25.5460770, 53.6670190", \
+					  "4.3052117, 4.3327248, 4.5006394, 5.5137592, 11.6422960, 25.7113410, 53.8412830");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7066715, 1.7574293, 2.0723205, 4.0335966, 11.9695660, 17.0667960, 40.2113760", \
+					  "1.7072888, 1.7573655, 2.0716231, 4.0342387, 11.9708700, 17.0887290, 40.2311610", \
+					  "1.7067974, 1.7582222, 2.0722180, 4.0332186, 11.9689740, 17.0856480, 40.2211460", \
+					  "1.7059992, 1.7567988, 2.0721866, 4.0348116, 11.9683130, 17.0348000, 40.2283650", \
+					  "1.7121409, 1.7605760, 2.0719064, 4.0349915, 11.9706090, 17.1368150, 40.2261970", \
+					  "1.7066306, 1.7569538, 2.0681525, 4.0356776, 11.9711410, 17.0410140, 40.2288730", \
+					  "1.7059258, 1.7571791, 2.0774884, 4.0335890, 11.9699530, 17.0866750, 40.2431300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("9.1122878, 9.3204759, 10.6250020, 18.5694500, 66.6972110, 361.5823200, 2179.5170000", \
+					  "9.6774313, 9.8955031, 11.1957520, 19.1432860, 67.2997020, 362.6185800, 2180.0920000", \
+					  "10.2062150, 10.4178430, 11.7118970, 19.6520990, 67.8492000, 363.3335000, 2181.2612000", \
+					  "10.6551940, 10.8675990, 12.1670580, 20.1172450, 68.1958530, 363.2091200, 2184.7018000", \
+					  "11.0711870, 11.2809240, 12.5793250, 20.5291820, 68.6839310, 363.8560000, 2183.7927000", \
+					  "11.4436660, 11.6605880, 12.9519400, 20.9016000, 69.0916620, 364.3208400, 2181.3687000", \
+					  "11.8061910, 12.0133150, 13.3169010, 21.2707880, 69.3551880, 364.1677900, 2183.4005000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1721340, 13.5837830, 16.0839910, 31.5289660, 127.3904900, 716.6654000, 4351.7257000", \
+					  "13.1693690, 13.5862230, 16.0807030, 31.5276130, 127.3451900, 716.7608400, 4351.6989000", \
+					  "13.1809430, 13.5825560, 16.0850690, 31.4795690, 127.2359100, 717.1717000, 4347.2478000", \
+					  "13.1535180, 13.5673690, 16.0812630, 31.5132310, 127.0425800, 715.9747500, 4352.4728000", \
+					  "13.1798820, 13.5758410, 16.0869340, 31.5091530, 126.8537600, 716.8216000, 4348.2614000", \
+					  "13.1744670, 13.5862640, 16.0623890, 31.4755160, 127.0541100, 716.9268800, 4352.8385000", \
+					  "13.1517720, 13.5454520, 16.0602270, 31.5151740, 127.0253200, 716.3814100, 4355.7120000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8833310, 3.8833312, 3.8833315, 3.8833317, 3.8833319, 3.8833322, 3.8833324", \
+					  "4.3379758, 4.3379763, 4.3379767, 4.3379772, 4.3379777, 4.3379782, 4.3379787", \
+					  "4.6489972, 4.6581681, 4.6581686, 4.6581691, 4.6581696, 4.6581700, 4.6581705", \
+					  "4.9003845, 4.9003847, 4.9042579, 4.9042581, 4.9042585, 4.9042590, 4.9042595", \
+					  "5.1050955, 5.1050957, 5.1050962, 5.1050966, 5.1050971, 5.1050976, 5.1050981", \
+					  "5.2893459, 5.2896780, 5.2896784, 5.2896789, 5.2896793, 5.2896798, 5.2896803", \
+					  "5.4316542, 5.4316543, 5.4363505, 5.4363506, 5.4363511, 5.4363516, 5.4363521");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1765258, 2.1765260, 2.1765262, 2.1765265, 2.1765267, 2.1765270, 2.3302571", \
+					  "2.6252800, 2.6252801, 2.6252803, 2.6252805, 2.6252808, 2.6252810, 2.7552791", \
+					  "2.9291201, 2.9301310, 2.9301311, 2.9301314, 2.9301316, 2.9301318, 3.0440905", \
+					  "3.1631844, 3.1631846, 3.1634246, 3.1641803, 3.1641804, 3.1641807, 3.2893954", \
+					  "3.3743497, 3.3743498, 3.3777097, 3.3777098, 3.3777100, 3.3777103, 3.5093006", \
+					  "3.5486334, 3.5511409, 3.5513303, 3.5513305, 3.5513307, 3.5513310, 3.6828938", \
+					  "3.7061849, 3.7209362, 3.7209365, 3.7209367, 3.7209369, 3.7209372, 3.8586809");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9067018, 2.9358174, 3.1112988, 4.1804173, 9.9647197, 35.1039660, 98.2411240", \
+					  "3.4817109, 3.5107359, 3.6868979, 4.7464401, 10.5400750, 35.6771110, 98.8285220", \
+					  "4.0013437, 4.0264805, 4.2051265, 5.2721245, 11.0582960, 36.1918030, 99.3291640", \
+					  "4.4502979, 4.4784261, 4.6559552, 5.7226899, 11.5068510, 36.6398290, 99.7733660", \
+					  "4.8631410, 4.8922693, 5.0706772, 6.1362946, 11.9213230, 37.0606050, 100.2221000", \
+					  "5.2433355, 5.2719491, 5.4477542, 6.5201111, 12.3010610, 37.4404290, 100.5993800", \
+					  "5.6038139, 5.6317249, 5.8093024, 6.8767956, 12.6587420, 37.7983300, 100.9116600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7718239, 1.8264087, 2.1529249, 4.0722665, 11.4106110, 42.5757780, 59.3978130", \
+					  "1.7728907, 1.8265954, 2.1574591, 4.0712216, 11.4085990, 42.5165150, 59.4709850", \
+					  "1.7710218, 1.8256072, 2.1576316, 4.0746793, 11.4058870, 42.5121270, 59.4402930", \
+					  "1.7727146, 1.8274775, 2.1572439, 4.0692791, 11.4043870, 42.5435010, 59.5736790", \
+					  "1.7719035, 1.8264253, 2.1564983, 4.0719432, 11.4087630, 42.5443500, 59.3811220", \
+					  "1.7828155, 1.8375854, 2.1716002, 4.0722536, 11.4100070, 42.5451070, 59.3919010", \
+					  "1.7728342, 1.8235546, 2.1579190, 4.0751442, 11.4114390, 42.5727290, 59.4218900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1770039, 2.1770040, 2.1770043, 2.1770045, 2.1770047, 2.1770050, 2.3251325", \
+					  "2.6254223, 2.6254224, 2.6254226, 2.6254229, 2.6254231, 2.6254234, 2.7559628", \
+					  "2.9296716, 2.9305520, 2.9305522, 2.9305524, 2.9305527, 2.9305529, 3.0447884", \
+					  "3.1635878, 3.1635880, 3.1635882, 3.1646532, 3.1646535, 3.1646537, 3.2931211", \
+					  "3.3748377, 3.3748378, 3.3783241, 3.3783242, 3.3783244, 3.3783247, 3.5114960", \
+					  "3.5491847, 3.5515348, 3.5515351, 3.5515353, 3.5515355, 3.5515358, 3.6827530", \
+					  "3.7095498, 3.7214147, 3.7214150, 3.7214152, 3.7214155, 3.7214157, 3.8641392");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.6698554, 2.6830622, 2.7591012, 3.1286786, 4.5348258, 11.5012440, 50.0563860", \
+					  "3.2444061, 3.2575767, 3.3331291, 3.6989729, 5.1090709, 12.0760350, 50.6792010", \
+					  "3.7644177, 3.7747019, 3.8519317, 4.2215782, 5.6195835, 12.5849890, 51.1912250", \
+					  "4.2119761, 4.2272119, 4.3008423, 4.6733404, 6.0794760, 13.0428660, 51.6776950", \
+					  "4.6264321, 4.6395359, 4.7160160, 5.0850808, 6.4917525, 13.4458860, 52.0005370", \
+					  "5.0049986, 5.0192925, 5.0958979, 5.4658877, 6.8598300, 13.8341120, 52.4684580", \
+					  "5.3663056, 5.3714428, 5.4545950, 5.8231735, 7.2302804, 14.1862840, 52.8285930");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6940625, 0.7014345, 0.7408257, 0.9834129, 2.3365205, 11.2836900, 46.4378440", \
+					  "0.6940587, 0.7014242, 0.7403598, 0.9834375, 2.3370086, 11.2756920, 46.4079620", \
+					  "0.6940891, 0.7014684, 0.7406797, 0.9835933, 2.3383124, 11.2726130, 46.4085910", \
+					  "0.6902391, 0.6967417, 0.7459006, 0.9888824, 2.3354143, 11.2854380, 46.5206010", \
+					  "0.6894969, 0.6960867, 0.7388885, 0.9898222, 2.3386337, 11.2812910, 46.4913800", \
+					  "0.6900632, 0.6968939, 0.7450686, 0.9866455, 2.3348341, 11.2848820, 46.5150900", \
+					  "0.6941736, 0.6962025, 0.7399502, 0.9883110, 2.3347664, 11.2791760, 46.5206260");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7056122, 8.8972039, 10.1692480, 17.8864120, 65.6534520, 359.8140100, 2173.0739000", \
+					  "9.2737595, 9.4583150, 10.7293700, 18.4525510, 66.2656420, 360.4279500, 2173.2476000", \
+					  "9.7501021, 9.9533493, 11.2187970, 18.9631870, 66.7718110, 360.7387100, 2173.7869000", \
+					  "10.2400490, 10.4037190, 11.6528350, 19.3942870, 67.0119750, 361.7063800, 2175.2512000", \
+					  "10.6026000, 10.8494010, 12.0459750, 19.7975660, 67.4877900, 362.1944100, 2176.8210000", \
+					  "10.9944750, 11.1927240, 12.4637490, 20.2031010, 67.9057730, 362.5138200, 2177.5004000", \
+					  "11.3506350, 11.5876710, 12.7887170, 20.5546050, 68.1953550, 362.8532300, 2178.8660000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3544700, 13.7647990, 16.2540100, 31.6175710, 127.1200600, 714.7899600, 4342.3420000", \
+					  "13.3458310, 13.7629470, 16.2451580, 31.6111870, 127.1986200, 714.8693700, 4341.5048000", \
+					  "13.3702720, 13.7687130, 16.2420250, 31.6227580, 127.1483400, 715.0071000, 4341.5566000", \
+					  "13.3373740, 13.7640770, 16.2545690, 31.5904600, 127.0769900, 715.5001100, 4338.2556000", \
+					  "13.3326660, 13.7673260, 16.2512300, 31.6094740, 127.1848800, 715.3293500, 4341.6512000", \
+					  "13.3590770, 13.7628110, 16.2508900, 31.6327270, 126.6982100, 715.5590000, 4346.9390000", \
+					  "13.3604800, 13.7591190, 16.2517750, 31.6006100, 127.0803000, 715.1732600, 4341.0464000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9146208, 2.9273923, 3.0037310, 3.3684842, 4.6877937, 8.3849256, 20.0846100", \
+					  "3.4885077, 3.4973551, 3.5740748, 3.9356691, 5.2539968, 8.9519379, 20.6534970", \
+					  "3.9958383, 4.0174966, 4.0784749, 4.4398547, 5.7470997, 9.4621209, 21.1583180", \
+					  "4.4462900, 4.4462903, 4.5219708, 4.8936322, 6.2101044, 9.8951638, 21.6105520", \
+					  "4.8368514, 4.8751461, 4.9234092, 5.2853721, 6.6076806, 10.3267610, 22.0032050", \
+					  "5.1924069, 5.2018967, 5.3004068, 5.6567964, 6.9742021, 10.6744330, 22.3740000", \
+					  "5.5884097, 5.5884102, 5.6314620, 6.0566396, 7.3309558, 11.0104410, 22.7701220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6916347, 0.6975016, 0.7358598, 0.9774902, 2.0239606, 4.7642366, 17.2362270", \
+					  "0.6874600, 0.6978310, 0.7357988, 0.9774578, 2.0246542, 4.7594568, 17.2284960", \
+					  "0.6920523, 0.6978769, 0.7358840, 0.9774821, 2.0246367, 4.7605893, 17.2238150", \
+					  "0.6918234, 0.6983240, 0.7358621, 0.9775117, 2.0250863, 4.7613558, 17.2353670", \
+					  "0.6894644, 0.6945971, 0.7355136, 0.9852955, 2.0223376, 4.7452295, 17.2292540", \
+					  "0.6916433, 0.6951156, 0.7424648, 0.9785026, 2.0247493, 4.7585660, 17.2434530", \
+					  "0.6886370, 0.6949425, 0.7425171, 0.9798872, 2.0248303, 4.7438244, 17.2425110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6700904, 8.8746956, 10.1305300, 17.8498710, 65.5556820, 359.9098200, 2172.4113000", \
+					  "9.1186667, 9.3291073, 10.5847900, 18.3186480, 66.0094910, 360.5384200, 2173.3063000", \
+					  "9.4162074, 9.6247588, 10.8699650, 18.5974280, 66.2603480, 360.5074100, 2173.1696000", \
+					  "9.6670965, 9.8748076, 11.1360170, 18.8583100, 66.5411050, 360.9195600, 2173.0750000", \
+					  "9.8813597, 10.0874550, 11.3474690, 19.0778560, 66.8359800, 361.1475800, 2174.1960000", \
+					  "10.0677000, 10.2747310, 11.5340980, 19.2575130, 66.9552950, 361.3010300, 2173.5814000", \
+					  "10.2285740, 10.4316360, 11.6944650, 19.4161360, 67.1221300, 361.4908100, 2178.7687000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3744860, 13.7773850, 16.2462740, 31.6085150, 126.7276400, 714.9399200, 4341.1960000", \
+					  "13.3611360, 13.7753980, 16.2388400, 31.6101780, 126.6796800, 715.0854900, 4341.2651000", \
+					  "13.3614210, 13.7738110, 16.2603090, 31.6229710, 127.0535300, 715.1518800, 4341.2256000", \
+					  "13.3620500, 13.7593850, 16.2319170, 31.6030690, 127.1912000, 715.1545000, 4341.3097000", \
+					  "13.3704890, 13.7608800, 16.2495900, 31.6213400, 127.1376800, 715.1469400, 4345.7953000", \
+					  "13.3540440, 13.7721030, 16.2529440, 31.6011290, 126.6772100, 715.5235800, 4344.3274000", \
+					  "13.3624840, 13.7568950, 16.2579660, 31.6125190, 126.6756400, 715.5400100, 4344.1423000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7811420, 8.9827807, 10.2435440, 17.9894020, 65.7769800, 361.1929700, 2181.0886000", \
+					  "9.3528900, 9.5576622, 10.8156640, 18.5661300, 66.3453860, 361.7856800, 2181.8237000", \
+					  "9.8781268, 10.0750390, 11.3406050, 19.0851970, 66.8989130, 361.9770200, 2179.6204000", \
+					  "10.3278180, 10.5336470, 11.7904520, 19.5456100, 67.3248050, 362.6648500, 2178.9465000", \
+					  "10.7412000, 10.9362760, 12.2042510, 19.9450040, 67.7492910, 362.6324800, 2181.6643000", \
+					  "11.1220420, 11.3243540, 12.5855540, 20.3371770, 68.1423840, 363.1620900, 2184.0817000", \
+					  "11.4804130, 11.6801550, 12.9368800, 20.6983440, 68.4699370, 362.9808700, 2183.7859000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1672860, 13.5880120, 16.0684990, 31.4954180, 126.8681000, 716.8093200, 4352.9813000", \
+					  "13.1703200, 13.5886220, 16.0717860, 31.5030740, 126.8502700, 716.9367700, 4355.4915000", \
+					  "13.1752920, 13.5797480, 16.0812640, 31.4568280, 127.0646100, 717.1054800, 4347.8531000", \
+					  "13.1784150, 13.5818490, 16.0838240, 31.5035140, 127.2916000, 716.5226600, 4347.9677000", \
+					  "13.1705820, 13.5841030, 16.0784330, 31.4715330, 126.9412700, 716.7946600, 4347.7985000", \
+					  "13.1794650, 13.5685030, 16.0859130, 31.4882340, 127.1719300, 716.9506900, 4355.2336000", \
+					  "13.1643030, 13.5735000, 16.0705550, 31.5176860, 126.8599400, 716.3898200, 4353.4947000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2615025, 2.2623636, 2.2626447, 2.2626449, 2.2626452, 2.2626454, 2.3525746", \
+					  "2.7116991, 2.7116992, 2.7116994, 2.7116997, 2.7116999, 2.7117001, 2.8089757", \
+					  "3.0043354, 3.0049052, 3.0053360, 3.0098164, 3.0098166, 3.0098168, 3.1023286", \
+					  "3.2439544, 3.2439607, 3.2467480, 3.2467481, 3.2507943, 3.2540317, 3.3482763", \
+					  "3.4552765, 3.4552767, 3.4622782, 3.4622783, 3.4622785, 3.4648140, 3.5452659", \
+					  "3.6405943, 3.6405944, 3.6435550, 3.6435551, 3.6435553, 3.6508572, 3.7472723", \
+					  "3.8118610, 3.8121031, 3.8121032, 3.8121034, 3.8121037, 3.8184806, 3.8993440");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5488923, 3.5592489, 3.5592491, 3.5592493, 3.5592496, 3.5592498, 3.5592500", \
+					  "4.0109520, 4.0132195, 4.0132196, 4.0132201, 4.0132206, 4.0132211, 4.0132216", \
+					  "4.3294910, 4.3310178, 4.3310183, 4.3310187, 4.3310192, 4.3310197, 4.3310202", \
+					  "4.5966377, 4.5984829, 4.5984834, 4.5984839, 4.5984843, 4.5984848, 4.5984853", \
+					  "4.7688116, 4.7706377, 4.7706378, 4.7706383, 4.7706388, 4.7706392, 4.7706397", \
+					  "4.9736264, 4.9754791, 4.9754794, 4.9754799, 4.9754804, 4.9754808, 4.9754813", \
+					  "5.1341686, 5.1348494, 5.1348498, 5.1348783, 5.1348784, 5.1348789, 5.1348794");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7782701, 2.8055567, 2.9736227, 3.9785437, 10.1083790, 24.2403180, 52.3711560", \
+					  "3.3456675, 3.3730869, 3.5433074, 4.5448658, 10.6841260, 24.7906300, 52.9265310", \
+					  "3.8363881, 3.8966914, 4.0474966, 5.0410840, 11.1719690, 25.3087580, 53.4504100", \
+					  "4.3097108, 4.3200403, 4.4917710, 5.5048788, 11.6381170, 25.7556870, 53.8971710", \
+					  "4.7306568, 4.7522715, 4.8947899, 5.9306147, 12.0744660, 26.1943270, 54.3120820", \
+					  "5.0681512, 5.1226858, 5.2749662, 6.2701750, 12.4257380, 26.5133120, 54.6531600", \
+					  "5.4235412, 5.4434377, 5.6593743, 6.6240946, 12.7514510, 26.8871500, 55.0083000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7052377, 1.7545796, 2.0705111, 4.0288373, 12.0310150, 17.1007240, 40.2190100", \
+					  "1.7041263, 1.7561980, 2.0704702, 4.0294523, 12.0292860, 17.1004440, 40.2296610", \
+					  "1.7052468, 1.7562385, 2.0705324, 4.0266128, 12.0318000, 17.1207460, 40.2251740", \
+					  "1.7055463, 1.7545289, 2.0704976, 4.0222088, 12.0294490, 17.0944860, 40.2112480", \
+					  "1.7053176, 1.7530264, 2.0686617, 4.0258716, 12.0294120, 17.1000490, 40.2174330", \
+					  "1.7089024, 1.7691972, 2.0683464, 4.0237702, 12.0304870, 17.1133880, 40.2212270", \
+					  "1.7042501, 1.7536602, 2.0729376, 4.0211505, 12.0313570, 17.0868320, 40.2311680");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.5402405, 2.5402407, 2.5402409, 2.5402412, 2.5402414, 2.5420062, 2.6239897", \
+					  "2.9815646, 2.9861349, 2.9903984, 2.9903986, 2.9903988, 2.9953953, 3.0672052", \
+					  "3.2905934, 3.2905936, 3.2905938, 3.2922225, 3.2922227, 3.2925025, 3.3678851", \
+					  "3.5226320, 3.5241610, 3.5265455, 3.5265457, 3.5328303, 3.5328304, 3.6057393", \
+					  "3.7384211, 3.7384214, 3.7412575, 3.7412576, 3.7412578, 3.7516013, 3.8042804", \
+					  "3.9104049, 3.9198538, 3.9252175, 3.9252176, 3.9252178, 3.9285482, 4.0043027", \
+					  "4.0885717, 4.0885718, 4.0885723, 4.0885728, 4.0885733, 4.0989740, 4.1717625");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6700682, 8.8747028, 10.1305750, 17.8495390, 65.5556960, 359.8526900, 2172.5905000", \
+					  "9.1185060, 9.3291144, 10.5848330, 18.3186210, 66.0095480, 360.5383400, 2173.3924000", \
+					  "9.4164303, 9.6247641, 10.8701320, 18.5974990, 66.2612580, 360.5712200, 2173.2255000", \
+					  "9.6670609, 9.8748423, 11.1360370, 18.8584440, 66.5408210, 360.9307000, 2173.0882000", \
+					  "9.8821165, 10.0874940, 11.3475310, 19.0771260, 66.8311770, 361.1477000, 2173.5041000", \
+					  "10.0690170, 10.2747480, 11.5358460, 19.2577580, 66.9560270, 361.5627100, 2174.2107000", \
+					  "10.2341320, 10.4316480, 11.6945250, 19.4159940, 67.1132910, 361.2642800, 2178.6400000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3738500, 13.7773820, 16.2463910, 31.6088280, 126.7299000, 714.4626600, 4340.9468000", \
+					  "13.3606910, 13.7753990, 16.2388090, 31.6099890, 126.6778600, 715.0816800, 4340.5335000", \
+					  "13.3761930, 13.7738530, 16.2604560, 31.6230460, 127.0485900, 714.6428500, 4341.0555000", \
+					  "13.3627540, 13.7591920, 16.2321760, 31.6028230, 127.1902200, 715.1485300, 4336.8068000", \
+					  "13.3702710, 13.7612000, 16.2494800, 31.6211730, 127.1360800, 715.1476800, 4338.5862000", \
+					  "13.3689250, 13.7721770, 16.2389980, 31.5959350, 126.6753300, 715.4573600, 4344.6107000", \
+					  "13.3714280, 13.7568460, 16.2579410, 31.5985350, 126.6720500, 715.0233600, 4340.5898000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7815089, 8.9833749, 10.2436490, 17.9914630, 65.7762340, 361.2137500, 2180.9449000", \
+					  "9.3531929, 9.5575627, 10.8152850, 18.5663590, 66.3450520, 361.7867300, 2181.0024000", \
+					  "9.8781046, 10.0749930, 11.3406520, 19.0848670, 66.8989060, 361.9820500, 2179.6852000", \
+					  "10.3276130, 10.5336340, 11.7904140, 19.5456100, 67.3267020, 362.6902900, 2183.3532000", \
+					  "10.7415170, 10.9359520, 12.2040010, 19.9446700, 67.7490990, 362.2186600, 2182.2189000", \
+					  "11.1223750, 11.3243470, 12.5854100, 20.3370960, 68.1379650, 363.2430600, 2181.1234000", \
+					  "11.4806560, 11.6802580, 12.9369480, 20.6981830, 68.4698950, 362.9493500, 2184.2513000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1660260, 13.5882660, 16.0681480, 31.4993760, 126.8650600, 716.9460900, 4349.1573000", \
+					  "13.1701250, 13.5886270, 16.0724250, 31.5034970, 126.8498000, 716.9607000, 4348.8294000", \
+					  "13.1754700, 13.5798000, 16.0811970, 31.4585830, 127.0643000, 717.1068400, 4357.4114000", \
+					  "13.1782660, 13.5818250, 16.0838280, 31.5031060, 127.2875600, 716.6125300, 4349.3374000", \
+					  "13.1708320, 13.5841240, 16.0784680, 31.4729110, 126.9414200, 716.4093200, 4352.9594000", \
+					  "13.1794270, 13.5685790, 16.0860200, 31.4874030, 127.2088400, 717.0142500, 4350.2905000", \
+					  "13.1648350, 13.5737310, 16.0712550, 31.5177080, 126.8606000, 716.3582700, 4349.4292000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7441462, 2.7708786, 2.9391456, 3.9445616, 10.0784320, 24.2120160, 52.3391960", \
+					  "3.1981200, 3.2255807, 3.3910230, 4.3958619, 10.5349700, 24.6367420, 52.7936910", \
+					  "3.5027652, 3.5301633, 3.6940224, 4.7010849, 10.8378840, 24.9386790, 53.0845630", \
+					  "3.7424308, 3.7717673, 3.9355257, 4.9465321, 11.0783640, 25.1992240, 53.3356110", \
+					  "3.9592969, 3.9867878, 4.1490318, 5.1578324, 11.2951830, 25.4292050, 53.5631170", \
+					  "4.1396717, 4.1656207, 4.3397024, 5.3431672, 11.4784180, 25.6105930, 53.7357640", \
+					  "4.2984110, 4.3399200, 4.5074757, 5.5108704, 11.6442140, 25.7674500, 53.9090620");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7057714, 1.7566468, 2.0705488, 4.0334700, 12.0321570, 17.0818040, 40.2367600", \
+					  "1.7055208, 1.7567405, 2.0708262, 4.0332093, 12.0317930, 17.1237900, 40.2254160", \
+					  "1.7061313, 1.7546690, 2.0707234, 4.0330157, 12.0315700, 17.1234600, 40.2279770", \
+					  "1.7057387, 1.7556611, 2.0690827, 4.0343680, 12.0312090, 17.0698510, 40.2484050", \
+					  "1.7107542, 1.7601501, 2.0792650, 4.0344430, 12.0317450, 17.0845350, 40.1886420", \
+					  "1.7040211, 1.7563854, 2.0671800, 4.0354307, 12.0320380, 17.0722190, 40.2384690", \
+					  "1.7048019, 1.7566451, 2.0758202, 4.0333465, 12.0315000, 17.0972620, 40.2167860");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2712834, 2.2712836, 2.2712838, 2.2791125, 2.2791127, 2.2803825, 2.3626368", \
+					  "2.7258571, 2.7258572, 2.7258574, 2.7290115, 2.7290117, 2.7306826, 2.8035134", \
+					  "3.0221072, 3.0265217, 3.0265219, 3.0299068, 3.0299069, 3.0299072, 3.1075476", \
+					  "3.2697154, 3.2697155, 3.2697157, 3.2699530, 3.2709975, 3.2729495, 3.3495564", \
+					  "3.4753789, 3.4753792, 3.4753794, 3.4753796, 3.4753799, 3.4753801, 3.5545091", \
+					  "3.6487182, 3.6487183, 3.6580665, 3.6580667, 3.6662504, 3.6662506, 3.7408587", \
+					  "3.8231138, 3.8231391, 3.8231394, 3.8235708, 3.8235709, 3.8248613, 3.9091659");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7791061, 2.8012705, 2.9162424, 3.3240428, 4.6555040, 11.2944290, 36.2989140", \
+					  "3.2337539, 3.2559164, 3.3711731, 3.7784336, 5.1103206, 11.7448010, 36.7244440", \
+					  "3.5289467, 3.5508183, 3.6660484, 4.0705044, 5.4054259, 12.0403790, 37.0099610", \
+					  "3.7824743, 3.8042653, 3.9193870, 4.3269721, 5.6585390, 12.2996840, 37.2668580", \
+					  "3.9939949, 4.0158543, 4.1310733, 4.5396886, 5.8700418, 12.5092570, 37.5179140", \
+					  "4.1806976, 4.2027950, 4.3178368, 4.7247526, 6.0572449, 12.6958650, 37.7098890", \
+					  "4.3380051, 4.3600004, 4.4750172, 4.8914730, 6.2136123, 12.8490670, 37.8463060");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0569244, 1.0634400, 1.0939656, 1.1675461, 2.5822247, 10.5730060, 38.2447500", \
+					  "1.0573166, 1.0634431, 1.0946360, 1.1679078, 2.5814972, 10.5763520, 38.2473710", \
+					  "1.0555717, 1.0634605, 1.0948257, 1.1687568, 2.5821638, 10.5611420, 38.2369480", \
+					  "1.0553457, 1.0618072, 1.0939860, 1.1677644, 2.5800131, 10.5847690, 38.2128780", \
+					  "1.0555160, 1.0639600, 1.0949502, 1.1677871, 2.5814071, 10.5648100, 38.2201040", \
+					  "1.0549164, 1.0613220, 1.0930488, 1.1681044, 2.5819218, 10.5753890, 38.2205630", \
+					  "1.0553747, 1.0624264, 1.0937657, 1.1665092, 2.5815565, 10.5751090, 38.2556960");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7147922, 2.7278844, 2.8038509, 3.1709890, 4.4881756, 8.1716758, 19.8673750", \
+					  "3.2874998, 3.3008909, 3.3767329, 3.7443297, 5.0604054, 8.7423384, 20.4418140", \
+					  "3.8074797, 3.8206163, 3.8967087, 4.2636690, 5.5809775, 9.2660581, 20.9622380", \
+					  "4.2590731, 4.2723638, 4.3479553, 4.7165325, 6.0322747, 9.7168069, 21.4133870", \
+					  "4.6715267, 4.6853081, 4.7610365, 5.1283404, 6.4450747, 10.1244510, 21.8277750", \
+					  "5.0514553, 5.0646389, 5.1405680, 5.5080998, 6.8238850, 10.5052010, 22.2055280", \
+					  "5.4113065, 5.4245191, 5.4999987, 5.8671978, 7.1837598, 10.8678710, 22.5662050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6600010, 0.6660926, 0.7120139, 0.9567371, 2.0058533, 4.7459383, 17.2208580", \
+					  "0.6597615, 0.6657106, 0.7119922, 0.9553146, 2.0048427, 4.7537561, 17.2545320", \
+					  "0.6596701, 0.6655818, 0.7121287, 0.9580543, 2.0063031, 4.7539546, 17.2289490", \
+					  "0.6583475, 0.6694878, 0.7136038, 0.9577559, 2.0056936, 4.7505595, 17.2328910", \
+					  "0.6567565, 0.6637520, 0.7113273, 0.9507493, 2.0096820, 4.7546451, 17.2437410", \
+					  "0.6588715, 0.6668214, 0.7121540, 0.9507131, 2.0128428, 4.7321507, 17.2447120", \
+					  "0.6559192, 0.6636276, 0.7067778, 0.9574771, 2.0077184, 4.7598272, 17.2424150");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.3086579, 2.3089259, 2.3089260, 2.3089263, 2.3089265, 2.3089268, 2.4021649", \
+					  "2.7565119, 2.7598952, 2.7598953, 2.7598955, 2.7598957, 2.7598960, 2.8449691", \
+					  "3.0544365, 3.0544366, 3.0549068, 3.0567060, 3.0567061, 3.0585561, 3.1481644", \
+					  "3.2980401, 3.2980403, 3.2989080, 3.2989222, 3.2989225, 3.2989227, 3.3863843", \
+					  "3.5066001, 3.5066003, 3.5066005, 3.5072603, 3.5072605, 3.5105405, 3.5931569", \
+					  "3.6869303, 3.6869306, 3.6976195, 3.6977312, 3.6977314, 3.6977317, 3.7857317", \
+					  "3.8657140, 3.8657142, 3.8657145, 3.8657147, 3.8657150, 3.8657152, 3.9438541");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2538129, 2.2538130, 2.2538132, 2.2600160, 2.2600161, 2.2600164, 2.3618369", \
+					  "2.7111584, 2.7111587, 2.7111589, 2.7111592, 2.7111594, 2.7111596, 2.8068781", \
+					  "3.0108283, 3.0108284, 3.0109166, 3.0109169, 3.0109171, 3.0109174, 3.1094496", \
+					  "3.2443503, 3.2443504, 3.2468379, 3.2504792, 3.2511664, 3.2556204, 3.3430922", \
+					  "3.4490988, 3.4491752, 3.4551886, 3.4551887, 3.4551889, 3.4659540, 3.5465473", \
+					  "3.6401924, 3.6420267, 3.6474803, 3.6474804, 3.6474807, 3.6475512, 3.7381374", \
+					  "3.8086760, 3.8169192, 3.8169193, 3.8169195, 3.8169197, 3.8185215, 3.9030104");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5584311, 3.5584313, 3.5584316, 3.5584318, 3.5584320, 3.5584323, 3.5584325", \
+					  "4.0102996, 4.0388857, 4.0388859, 4.0388864, 4.0388868, 4.0388873, 4.0388878", \
+					  "4.3294928, 4.3327235, 4.3327239, 4.3327244, 4.3327249, 4.3327253, 4.3327258", \
+					  "4.5966275, 4.5966280, 4.5966285, 4.5966290, 4.5966294, 4.5966299, 4.5966304", \
+					  "4.7688200, 4.7688201, 4.7688206, 4.7688211, 4.7688215, 4.7688220, 4.7688225", \
+					  "4.9736335, 4.9736336, 4.9736341, 4.9736345, 4.9736350, 4.9736355, 4.9736360", \
+					  "5.1346327, 5.1346329, 5.1346334, 5.1351265, 5.1351269, 5.1351274, 5.1351278");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7885492, 2.8112110, 2.9299640, 3.3432062, 4.6654485, 11.2988010, 36.3090890", \
+					  "3.3582119, 3.3782601, 3.4968033, 3.9143107, 5.2393578, 11.8634680, 36.8636110", \
+					  "3.8517025, 3.8744723, 4.0015906, 4.4249924, 5.7365344, 12.3744070, 37.3664120", \
+					  "4.2982400, 4.3485051, 4.4693458, 4.8734732, 6.1616481, 12.8166220, 37.8362730", \
+					  "4.7129936, 4.7520312, 4.8362665, 5.2662328, 6.6160624, 13.2217920, 38.2270110", \
+					  "5.1188702, 5.1188705, 5.2239235, 5.6275682, 6.9783188, 13.6291650, 38.5780470", \
+					  "5.4716738, 5.4969256, 5.6188489, 6.0023946, 7.2903772, 13.9700400, 38.9752050");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0755626, 1.0835105, 1.1183422, 1.2013193, 2.5888933, 10.5716280, 38.2244140", \
+					  "1.0762441, 1.0831772, 1.1176760, 1.2030609, 2.5891127, 10.5864930, 38.2302860", \
+					  "1.0762452, 1.0830652, 1.1183167, 1.2035266, 2.5889100, 10.5836650, 38.2399210", \
+					  "1.0762218, 1.0831956, 1.1189597, 1.2033017, 2.5892530, 10.5768510, 38.2295160", \
+					  "1.0772112, 1.0836296, 1.1182237, 1.2027574, 2.5891469, 10.5822420, 38.2304360", \
+					  "1.0759214, 1.0831905, 1.1182287, 1.2021542, 2.5886646, 10.5846110, 38.2566300", \
+					  "1.0757951, 1.0841034, 1.1184333, 1.2035338, 2.5896263, 10.5836370, 38.2367670");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9876400, 9.1907957, 10.4502470, 18.2053640, 66.0014120, 361.0986400, 2180.2680000", \
+					  "9.5551735, 9.7553619, 11.0243400, 18.7716780, 66.5711970, 361.4194700, 2179.6111000", \
+					  "10.0665560, 10.2469080, 11.5307310, 19.2683740, 67.0660110, 362.5238500, 2179.0729000", \
+					  "10.4933300, 10.7067000, 11.9502940, 19.7239860, 67.4770320, 362.9468800, 2180.0108000", \
+					  "10.9298310, 11.1062170, 12.3689900, 20.1495370, 67.8376400, 362.7404200, 2183.4628000", \
+					  "11.2969520, 11.4784550, 12.7672210, 20.4938930, 68.3016950, 363.1606400, 2184.1920000", \
+					  "11.6240190, 11.8351920, 13.1208080, 20.8471620, 68.6831850, 364.1239900, 2185.4307000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1812040, 13.5883840, 16.0834970, 31.4902100, 127.3639900, 715.8182000, 4352.7798000", \
+					  "13.1470860, 13.5509400, 16.0878940, 31.4895240, 127.3575200, 716.8867500, 4349.9003000", \
+					  "13.1526880, 13.5506520, 16.0816180, 31.5228730, 127.4005100, 717.1593700, 4350.0304000", \
+					  "13.1813980, 13.5887840, 16.0877660, 31.5106200, 127.2382500, 716.6933100, 4357.4159000", \
+					  "13.1827530, 13.5811500, 16.0931450, 31.5241810, 127.0645100, 715.9446800, 4348.3792000", \
+					  "13.1778250, 13.5846170, 16.0857110, 31.5240560, 127.0514400, 716.8172800, 4349.4115000", \
+					  "13.1787880, 13.5759480, 16.0782180, 31.4929810, 127.2647000, 716.8725300, 4349.9726000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8826502, 3.8833510, 3.8833513, 3.8833515, 3.8833517, 3.8833520, 3.8833522", \
+					  "4.3379287, 4.3379291, 4.3379295, 4.3379300, 4.3379305, 4.3379310, 4.3379314", \
+					  "4.6449387, 4.6562212, 4.6562217, 4.6562222, 4.6562226, 4.6562231, 4.6562236", \
+					  "4.9004045, 4.9004047, 4.9043156, 4.9043158, 4.9043162, 4.9043167, 4.9043172", \
+					  "5.1050448, 5.1050451, 5.1050456, 5.1050461, 5.1050466, 5.1050470, 5.1050475", \
+					  "5.2887037, 5.2889537, 5.2889541, 5.2889545, 5.2889550, 5.2889555, 5.2889560", \
+					  "5.4310441, 5.4314477, 5.4363474, 5.4363478, 5.4363482, 5.4363487, 5.4363492");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1787310, 2.1787311, 2.1787578, 2.1787581, 2.1787583, 2.1787586, 2.3285363", \
+					  "2.6201765, 2.6209168, 2.6221274, 2.6240441, 2.6240443, 2.6240446, 2.7535498", \
+					  "2.9242324, 2.9242327, 2.9245104, 2.9245106, 2.9245109, 2.9245111, 3.0466623", \
+					  "3.1593144, 3.1611556, 3.1611559, 3.1611561, 3.1611563, 3.1611566, 3.2906180", \
+					  "3.3772700, 3.3772702, 3.3772704, 3.3793388, 3.3793389, 3.3793391, 3.5023495", \
+					  "3.5513859, 3.5513860, 3.5513863, 3.5513865, 3.5530430, 3.5530431, 3.6829388", \
+					  "3.7070904, 3.7196068, 3.7196070, 3.7196073, 3.7201451, 3.7201452, 3.8430694");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.1329739, 3.1609206, 3.3362924, 4.4075179, 10.1903740, 35.3309310, 98.5176030", \
+					  "3.7003265, 3.7332937, 3.9087698, 4.9708735, 10.7568840, 35.9033940, 99.0739010", \
+					  "4.1911989, 4.2442903, 4.4098591, 5.4873803, 11.2606550, 36.4122100, 99.5411750", \
+					  "4.6539541, 4.6726196, 4.8467310, 5.9210907, 11.7334710, 36.8437900, 99.9862590", \
+					  "5.0610183, 5.0865863, 5.2883267, 6.3529701, 12.0997590, 37.2835270, 100.4434400", \
+					  "5.4197979, 5.4865992, 5.6543237, 6.6889569, 12.4860260, 37.6389230, 100.7837900", \
+					  "5.7767560, 5.8325695, 5.9747340, 7.0453541, 12.8571780, 37.9575480, 101.1203600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7700938, 1.8248109, 2.1543463, 4.0734518, 11.4116820, 42.5421760, 59.4779300", \
+					  "1.7729147, 1.8273058, 2.1563444, 4.0713890, 11.4095300, 42.5725520, 59.3071330", \
+					  "1.7719766, 1.8258750, 2.1551259, 4.0699639, 11.4101010, 42.5544970, 59.4333680", \
+					  "1.7705943, 1.8264387, 2.1560924, 4.0697281, 11.4091220, 42.5798950, 59.4251900", \
+					  "1.7713782, 1.8270662, 2.1559041, 4.0712171, 11.4102430, 42.5486280, 59.3826290", \
+					  "1.7740238, 1.8274738, 2.1577140, 4.0708117, 11.4113320, 42.5701220, 59.3951590", \
+					  "1.7750584, 1.8278925, 2.1594819, 4.0742547, 11.4116900, 42.5736920, 59.4229160");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2520870, 2.2520873, 2.2520875, 2.2559266, 2.2559268, 2.2559270, 2.3593263", \
+					  "2.7109577, 2.7109579, 2.7109582, 2.7109584, 2.7109587, 2.7109589, 2.8052694", \
+					  "3.0018972, 3.0020915, 3.0027205, 3.0027208, 3.0033154, 3.0085801, 3.1081868", \
+					  "3.2429306, 3.2429308, 3.2479870, 3.2486207, 3.2486209, 3.2534042, 3.3409082", \
+					  "3.4465664, 3.4465665, 3.4535184, 3.4535186, 3.4535188, 3.4634386, 3.5461087", \
+					  "3.6394763, 3.6395444, 3.6412535, 3.6412536, 3.6412539, 3.6458891, 3.7429762", \
+					  "3.8144536, 3.8146220, 3.8146221, 3.8146223, 3.8146226, 3.8146228, 3.9074366");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1793596, 2.1793671, 2.1793924, 2.1793925, 2.1793927, 2.1793930, 2.3292235", \
+					  "2.6208042, 2.6215234, 2.6222169, 2.6236320, 2.6236321, 2.6236324, 2.7534750", \
+					  "2.9251435, 2.9251436, 2.9254716, 2.9254717, 2.9254720, 2.9254722, 3.0476546", \
+					  "3.1602516, 3.1623277, 3.1623279, 3.1623282, 3.1623284, 3.1623287, 3.2915675", \
+					  "3.3779146, 3.3779148, 3.3779786, 3.3798850, 3.3798851, 3.3798854, 3.5034489", \
+					  "3.5520058, 3.5520059, 3.5520062, 3.5520064, 3.5532917, 3.5532920, 3.6750485", \
+					  "3.7079122, 3.7203161, 3.7203163, 3.7203166, 3.7210466, 3.7210469, 3.8424242");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8866865, 3.8885890, 3.8885891, 3.8885893, 3.8885896, 3.8885898, 3.8885900", \
+					  "4.3433439, 4.3433440, 4.3433445, 4.3433450, 4.3433455, 4.3433459, 4.3433464", \
+					  "4.6511852, 4.6511853, 4.6511858, 4.6511863, 4.6511868, 4.6511872, 4.6511877", \
+					  "4.9010627, 4.9010628, 4.9050191, 4.9050196, 4.9050200, 4.9050205, 4.9050210", \
+					  "5.1030069, 5.1030071, 5.1030076, 5.1030081, 5.1030086, 5.1030090, 5.1030095", \
+					  "5.2956870, 5.3030420, 5.3030422, 5.3030427, 5.3030432, 5.3030437, 5.3030441", \
+					  "5.4311937, 5.4384062, 5.4384063, 5.4384068, 5.4384072, 5.4384077, 5.4384082");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5744403, 3.5751622, 3.5751623, 3.5751626, 3.5751628, 3.5751631, 3.5751633", \
+					  "4.0026609, 4.0036210, 4.0036214, 4.0036219, 4.0036223, 4.0036228, 4.0036233", \
+					  "4.3223825, 4.3239647, 4.3299533, 4.3299535, 4.3299540, 4.3299544, 4.3299549", \
+					  "4.5933826, 4.5986415, 4.5986417, 4.5986422, 4.5986426, 4.5986431, 4.5986436", \
+					  "4.7678363, 4.7699102, 4.7699106, 4.7699111, 4.7699116, 4.7699121, 4.7699125", \
+					  "4.9710458, 4.9728652, 4.9728654, 4.9728659, 4.9728663, 4.9728668, 4.9728673", \
+					  "5.1346842, 5.1373199, 5.1373203, 5.1373208, 5.1373213, 5.1373218, 5.1373222");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7536113, 2.7770530, 2.8956710, 3.3091374, 4.6318052, 11.2681920, 36.2572800", \
+					  "3.2084009, 3.2251553, 3.3420990, 3.7603716, 5.0857074, 11.7204940, 36.7132850", \
+					  "3.5104186, 3.5350769, 3.6534211, 4.0680801, 5.3901852, 12.0261660, 37.0200830", \
+					  "3.7543466, 3.7769277, 3.8985433, 4.3115467, 5.6343943, 12.2687140, 37.2541430", \
+					  "3.9683998, 3.9922479, 4.1093959, 4.5237063, 5.8455791, 12.4774730, 37.4719990", \
+					  "4.1494297, 4.1760577, 4.2967847, 4.7083919, 6.0326228, 12.6687110, 37.6858900", \
+					  "4.3126995, 4.3432937, 4.4662172, 4.8703703, 6.2003402, 12.8348920, 37.8356580");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0760500, 1.0831095, 1.1180379, 1.2035826, 2.5831517, 10.5923420, 38.2285600", \
+					  "1.0759075, 1.0830866, 1.1183359, 1.2031715, 2.5834470, 10.5909710, 38.2269110", \
+					  "1.0761015, 1.0831439, 1.1183761, 1.2035301, 2.5828890, 10.5905220, 38.2261400", \
+					  "1.0754968, 1.0838660, 1.1181431, 1.2031033, 2.5841267, 10.5723630, 38.2511270", \
+					  "1.0765624, 1.0836588, 1.1182754, 1.2029594, 2.5838818, 10.5953970, 38.2567010", \
+					  "1.0751229, 1.0819211, 1.1170972, 1.2031099, 2.5845892, 10.5895700, 38.2206940", \
+					  "1.0758563, 1.0824374, 1.1180775, 1.2035901, 2.5836737, 10.5889980, 38.2634790");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.5575493, 2.5691740, 2.5700075, 2.5700077, 2.5700080, 2.5700082, 2.6583531", \
+					  "3.0195240, 3.0195243, 3.0199869, 3.0199870, 3.0204315, 3.0210789, 3.1149592", \
+					  "3.3088499, 3.3088500, 3.3088502, 3.3131420, 3.3131422, 3.3131425, 3.4104342", \
+					  "3.5545251, 3.5546237, 3.5570156, 3.5573233, 3.5587959, 3.5587961, 3.6466823", \
+					  "3.7677510, 3.7701091, 3.7701093, 3.7701096, 3.7701098, 3.7701101, 3.8573291", \
+					  "3.9519121, 3.9519123, 3.9599466, 3.9628909, 3.9628910, 3.9628913, 4.0498151", \
+					  "4.1143355, 4.1241939, 4.1241940, 4.1241944, 4.1241949, 4.1241954, 4.2103929");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7884501, 2.8113698, 2.9298395, 3.3432224, 4.6654951, 11.2996480, 36.2870050", \
+					  "3.3607124, 3.3816179, 3.4998688, 3.9153520, 5.2340927, 11.8707650, 36.8596780", \
+					  "3.8682240, 3.8772670, 4.0086459, 4.4087987, 5.7235376, 12.3748580, 37.3399090", \
+					  "4.3279291, 4.3279293, 4.4396113, 4.8745612, 6.1875745, 12.8406940, 37.8024150", \
+					  "4.7110236, 4.7356257, 4.8793951, 5.2958811, 6.5836985, 13.2112980, 38.2383940", \
+					  "5.1115823, 5.1357052, 5.2019522, 5.6353779, 6.9545485, 13.5989430, 38.5823360", \
+					  "5.4756208, 5.4829696, 5.5717662, 5.9867316, 7.3095414, 13.9698840, 38.9325590");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0762728, 1.0835798, 1.1183424, 1.2035126, 2.5877778, 10.5797760, 38.2200760", \
+					  "1.0759775, 1.0835961, 1.1179087, 1.2031388, 2.5894231, 10.5835960, 38.2314770", \
+					  "1.0761999, 1.0831238, 1.1183712, 1.2031337, 2.5886668, 10.5834830, 38.2528320", \
+					  "1.0769788, 1.0838470, 1.1180357, 1.2034341, 2.5894784, 10.5831930, 38.2345140", \
+					  "1.0772514, 1.0837181, 1.1192016, 1.2018680, 2.5865108, 10.5821090, 38.2351860", \
+					  "1.0757267, 1.0834367, 1.1175310, 1.2023740, 2.5894744, 10.5902220, 38.2432330", \
+					  "1.0755354, 1.0826720, 1.1189814, 1.2035805, 2.5897362, 10.5867310, 38.2365640");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9143161, 2.9272391, 3.0034468, 3.3682928, 4.6877524, 8.3843474, 20.0858890", \
+					  "3.4860996, 3.4935084, 3.5738035, 3.9354004, 5.2598486, 8.9526697, 20.6532960", \
+					  "3.9794230, 3.9852903, 4.0813344, 4.4262944, 5.7602079, 9.4639265, 21.1660720", \
+					  "4.4217514, 4.4680721, 4.5141482, 4.8832347, 6.2264092, 9.8897184, 21.6231760", \
+					  "4.8383408, 4.8639617, 4.9440159, 5.3204623, 6.5917418, 10.3032380, 22.0069900", \
+					  "5.2442672, 5.2442673, 5.3192797, 5.6331095, 6.9786341, 10.7074320, 22.3621580", \
+					  "5.5991132, 5.5991133, 5.6398197, 6.0096275, 7.3530129, 11.0600840, 22.7599170");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6924403, 0.6983474, 0.7357735, 0.9773310, 2.0249962, 4.7647708, 17.2230160", \
+					  "0.6918357, 0.6976837, 0.7359048, 0.9774427, 2.0238886, 4.7568358, 17.2251070", \
+					  "0.6921947, 0.6976509, 0.7359013, 0.9862722, 2.0247293, 4.7619566, 17.2275760", \
+					  "0.6919009, 0.6991509, 0.7358434, 0.9774244, 2.0216873, 4.7592819, 17.2448280", \
+					  "0.6885580, 0.6947121, 0.7360804, 0.9823972, 2.0224347, 4.7428270, 17.2308340", \
+					  "0.6885808, 0.6976412, 0.7425265, 0.9782304, 2.0245969, 4.7605200, 17.2498140", \
+					  "0.6876079, 0.6939429, 0.7433565, 0.9806115, 2.0252686, 4.7457638, 17.2431880");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7779392, 2.8054963, 2.9734732, 3.9785486, 10.1183110, 24.2189200, 52.3862200", \
+					  "3.3493317, 3.3757601, 3.5433125, 4.5459885, 10.6862180, 24.7902210, 52.9326410", \
+					  "3.8478758, 3.8690193, 4.0393271, 5.0356794, 11.2043700, 25.2937140, 53.4354640", \
+					  "4.3167732, 4.3167737, 4.4894919, 5.5160130, 11.6311630, 25.7407870, 53.8958070", \
+					  "4.6983981, 4.7293485, 4.8807376, 5.9265997, 12.0633120, 26.1624250, 54.3313940", \
+					  "5.1052114, 5.1239311, 5.2909304, 6.2688312, 12.4288310, 26.5365900, 54.6587450", \
+					  "5.4223506, 5.4894751, 5.6128542, 6.6235382, 12.7525980, 26.8729500, 55.0070990");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7063359, 1.7548946, 2.0713662, 4.0287684, 12.0304930, 17.1019840, 40.2055350", \
+					  "1.7059273, 1.7564186, 2.0705893, 4.0295962, 12.0309730, 17.1025720, 40.2307840", \
+					  "1.7062718, 1.7548273, 2.0714150, 4.0295620, 12.0306660, 17.1012520, 40.1848230", \
+					  "1.7050272, 1.7544354, 2.0697788, 4.0244874, 12.0283010, 17.1061620, 40.2304470", \
+					  "1.7061934, 1.7567497, 2.0705060, 4.0268979, 12.0302550, 17.0952510, 40.2212810", \
+					  "1.7109411, 1.7618916, 2.0682112, 4.0285180, 12.0303700, 17.1761680, 40.2368770", \
+					  "1.7056037, 1.7541597, 2.0709450, 4.0212003, 12.0285640, 17.1234350, 40.2192590");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.1473875, 3.1772107, 3.3525989, 4.4209134, 10.4799330, 22.4031900, 43.8379250", \
+					  "3.7153725, 3.7482333, 3.9202344, 4.9836784, 11.0445910, 22.9679920, 44.4032050", \
+					  "4.2391672, 4.2478479, 4.4250794, 5.5008011, 11.5569810, 23.4674400, 44.9021160", \
+					  "4.6595261, 4.6838289, 4.8842259, 5.9262231, 11.9962060, 23.9224050, 45.3405880", \
+					  "5.0615143, 5.0999667, 5.2679003, 6.3306653, 12.4378150, 24.3442050, 45.7485290", \
+					  "5.4741927, 5.4741929, 5.6488560, 6.7482846, 12.8007120, 24.6771810, 46.1652090", \
+					  "5.7886136, 5.8513332, 5.9797536, 7.0532522, 13.1223810, 25.0424680, 46.5209630");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7635086, 1.8198074, 2.1452577, 4.1995936, 11.6069550, 13.9610860, 26.8664890", \
+					  "1.7663188, 1.8193107, 2.1488067, 4.2019361, 11.6082270, 13.9583860, 26.8934990", \
+					  "1.7662313, 1.8191462, 2.1497961, 4.1992623, 11.6079010, 13.9577090, 26.8932820", \
+					  "1.7662831, 1.8193791, 2.1518864, 4.2010191, 11.6067300, 13.9610910, 26.8957940", \
+					  "1.7657377, 1.8158249, 2.1510096, 4.2026524, 11.6091450, 13.9649830, 26.9221230", \
+					  "1.7857331, 1.8303492, 2.1495268, 4.2019774, 11.6040060, 13.9898780, 26.9035570", \
+					  "1.7657841, 1.8193528, 2.1528206, 4.2055244, 11.6076690, 13.9777940, 26.8781710");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2533395, 2.2594950, 2.2594952, 2.2594954, 2.2594957, 2.2594959, 2.3445734", \
+					  "2.7094391, 2.7094392, 2.7094394, 2.7094397, 2.7094399, 2.7094402, 2.8028011", \
+					  "3.0079121, 3.0079123, 3.0079126, 3.0079128, 3.0079130, 3.0079133, 3.1066243", \
+					  "3.2416627, 3.2417748, 3.2523937, 3.2523939, 3.2523941, 3.2523944, 3.3376586", \
+					  "3.4475189, 3.4513612, 3.4553531, 3.4553532, 3.4553534, 3.4661691, 3.5471546", \
+					  "3.6356218, 3.6361125, 3.6416234, 3.6416237, 3.6416239, 3.6456327, 3.7457668", \
+					  "3.8097649, 3.8097650, 3.8097653, 3.8097655, 3.8097657, 3.8151584, 3.9006940");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1774147, 2.1774148, 2.1774151, 2.1774153, 2.1774155, 2.1774158, 2.3269546", \
+					  "2.6256173, 2.6256174, 2.6256177, 2.6256179, 2.6256182, 2.6256184, 2.7556473", \
+					  "2.9278435, 2.9308893, 2.9308895, 2.9308898, 2.9308900, 2.9308903, 3.0445833", \
+					  "3.1639644, 3.1639647, 3.1639649, 3.1639652, 3.1639654, 3.1639656, 3.2931076", \
+					  "3.3756145, 3.3756146, 3.3782916, 3.3782918, 3.3782920, 3.3782923, 3.5120180", \
+					  "3.5488688, 3.5517247, 3.5517248, 3.5517251, 3.5517253, 3.5517256, 3.6834420", \
+					  "3.7098697, 3.7216221, 3.7216222, 3.7216224, 3.7216459, 3.7216460, 3.8594727");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.9914315, 1.9914316, 1.9914317, 1.9914318, 1.9914319, 1.9914321, 2.1552642", \
+					  "2.5524050, 2.5524053, 2.5524055, 2.5524058, 2.5524060, 2.5524062, 2.6636000", \
+					  "3.0681861, 3.0685077, 3.0685078, 3.0685081, 3.0685083, 3.0685086, 3.1923910", \
+					  "3.5105283, 3.5139669, 3.5141401, 3.5153664, 3.5153665, 3.5153667, 3.6329200", \
+					  "3.9222696, 3.9222698, 3.9222700, 3.9222702, 3.9222705, 3.9222707, 4.0443195", \
+					  "4.2982733, 4.2982734, 4.2982739, 4.2982743, 4.2982748, 4.2982753, 4.4210853", \
+					  "4.6533972, 4.6533974, 4.6533978, 4.6533983, 4.6533988, 4.6533993, 4.7833044");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.8956593, 2.9089555, 2.9849412, 3.3553755, 4.7604685, 11.7243790, 50.2742770", \
+					  "3.4639123, 3.4742280, 3.5530434, 3.9257446, 5.3282556, 12.2931670, 50.9337500", \
+					  "3.9688093, 3.9688095, 4.0530495, 4.4266346, 5.8300880, 12.8093000, 51.4204470", \
+					  "4.4349884, 4.4349888, 4.5241415, 4.8928124, 6.3001355, 13.2357010, 51.8904420", \
+					  "4.8027435, 4.8217519, 4.8988169, 5.2684590, 6.6676900, 13.6496720, 52.2825840", \
+					  "5.1907474, 5.1974998, 5.2803730, 5.6493858, 7.0540698, 14.0557420, 52.5504400", \
+					  "5.5415161, 5.5524061, 5.6588796, 6.0381518, 7.4507529, 14.4093080, 53.0329770");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6898693, 0.6967726, 0.7409595, 0.9898347, 2.3383375, 11.2859610, 46.4619280", \
+					  "0.6942895, 0.7014290, 0.7408449, 0.9837963, 2.3366622, 11.2730580, 46.4939380", \
+					  "0.6900266, 0.7016491, 0.7410182, 0.9873437, 2.3370170, 11.2829750, 46.4304360", \
+					  "0.6940672, 0.6961352, 0.7400265, 0.9836683, 2.3368067, 11.2755750, 46.4814640", \
+					  "0.6911918, 0.6971538, 0.7399146, 0.9883564, 2.3346658, 11.2791540, 46.5232760", \
+					  "0.6943019, 0.7021410, 0.7407485, 0.9860418, 2.3343738, 11.2888610, 46.4937810", \
+					  "0.6891464, 0.6962801, 0.7444699, 0.9836105, 2.3379831, 11.2793090, 46.5159860");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.9918995, 1.9918996, 1.9918997, 1.9918998, 1.9919000, 1.9919001, 2.1560747", \
+					  "2.5522677, 2.5524931, 2.5524933, 2.5524935, 2.5524937, 2.5524940, 2.6713682", \
+					  "3.0690881, 3.0696450, 3.0696451, 3.0696453, 3.0696456, 3.0696458, 3.1805523", \
+					  "3.5109033, 3.5142156, 3.5142159, 3.5142161, 3.5142164, 3.5142166, 3.6301042", \
+					  "3.9250079, 3.9250080, 3.9250082, 3.9250085, 3.9250087, 3.9250089, 4.0451589", \
+					  "4.3006256, 4.3006261, 4.3006266, 4.3006270, 4.3006275, 4.3006280, 4.4191450", \
+					  "4.6616280, 4.6616281, 4.6616285, 4.6616290, 4.6616295, 4.6616300, 4.7821196");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6949612, 8.9085495, 10.1482020, 17.8855920, 65.5394100, 360.0820000, 2174.5145000", \
+					  "9.2636769, 9.4564223, 10.7320960, 18.4569210, 66.1517280, 360.3960600, 2173.1596000", \
+					  "9.7632604, 9.9670059, 11.2539000, 18.9778430, 66.7239630, 360.7423800, 2173.7835000", \
+					  "10.2154330, 10.4108280, 11.6640080, 19.3928640, 67.0926640, 361.1504800, 2176.0977000", \
+					  "10.6419400, 10.8497320, 12.1015940, 19.7822610, 67.4644060, 361.9820500, 2174.4361000", \
+					  "10.9794640, 11.1732280, 12.4595370, 20.2135090, 67.8692730, 362.0454000, 2176.8590000", \
+					  "11.3478500, 11.5420990, 12.7876600, 20.5633770, 68.1684540, 362.9036200, 2176.8094000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3682950, 13.7435940, 16.2442260, 31.5921870, 126.9500000, 715.8255400, 4346.0745000", \
+					  "13.3681110, 13.7643510, 16.2242660, 31.5867300, 126.8686700, 714.6326800, 4341.4552000", \
+					  "13.3611110, 13.7672980, 16.2496360, 31.6361910, 127.0410300, 715.0425700, 4341.5139000", \
+					  "13.3492460, 13.7628740, 16.2441560, 31.5902930, 126.7493100, 714.3868300, 4347.5225000", \
+					  "13.3616040, 13.7608720, 16.2426930, 31.6094880, 127.1932300, 715.9304700, 4342.5207000", \
+					  "13.3461230, 13.7543830, 16.2537610, 31.6261430, 126.6917700, 714.5145000, 4339.1269000", \
+					  "13.3631190, 13.7568290, 16.2526010, 31.6058220, 127.0824400, 715.3205400, 4340.8004000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9862144, 9.1928998, 10.4446340, 18.1930520, 66.0246900, 361.4898400, 2181.6286000", \
+					  "9.5543342, 9.7648165, 11.0178560, 18.7633870, 66.5764830, 361.9134600, 2180.5859000", \
+					  "10.0588210, 10.2588930, 11.5114820, 19.2712570, 67.0684200, 362.3798900, 2180.3064000", \
+					  "10.4943150, 10.7159320, 11.9715450, 19.7358800, 67.5616930, 362.1578600, 2182.9201000", \
+					  "10.8981110, 11.1116170, 12.3550220, 20.1326320, 67.8571370, 362.9033600, 2184.8284000", \
+					  "11.3111350, 11.4848450, 12.7332810, 20.4895140, 68.2804710, 362.8435100, 2181.0861000", \
+					  "11.6650200, 11.8779710, 13.0915930, 20.8785080, 68.7053690, 364.1113400, 2184.0112000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1638330, 13.5851170, 16.0749250, 31.4969420, 127.2732100, 717.2336000, 4354.0394000", \
+					  "13.1628510, 13.5830560, 16.0827810, 31.4708790, 126.9601500, 716.3807800, 4349.0022000", \
+					  "13.1665030, 13.5882620, 16.0861740, 31.4917660, 126.9128100, 716.2575500, 4355.8498000", \
+					  "13.1754620, 13.5849850, 16.0896810, 31.5116400, 127.1063200, 716.5605200, 4353.3169000", \
+					  "13.1828100, 13.5812070, 16.0915940, 31.5243010, 126.9586800, 716.8603100, 4352.0695000", \
+					  "13.1770810, 13.5897480, 16.0784840, 31.5239920, 126.8603700, 716.4392700, 4349.3033000", \
+					  "13.1781950, 13.5700850, 16.0772840, 31.4956520, 127.2062200, 716.8573600, 4352.8144000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7547509, 2.7772491, 2.8937019, 3.3048537, 4.6310906, 11.2790540, 36.2967080", \
+					  "3.2091978, 3.2314211, 3.3481915, 3.7593506, 5.0854472, 11.7337460, 36.7545740", \
+					  "3.5044516, 3.5236905, 3.6435734, 4.0546975, 5.3808211, 12.0278690, 37.0325350", \
+					  "3.7579722, 3.7798733, 3.8967980, 4.3080659, 5.6345462, 12.2850080, 37.2897590", \
+					  "3.9696491, 3.9927217, 4.1084740, 4.5196362, 5.8457044, 12.4988250, 37.5139210", \
+					  "4.1560697, 4.1778283, 4.2943937, 4.7061013, 6.0322058, 12.6831610, 37.7206820", \
+					  "4.3224393, 4.3445803, 4.4612603, 4.8637303, 6.1896133, 12.8503950, 37.8763900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0695993, 1.0768292, 1.1118202, 1.1971368, 2.5925984, 10.6075810, 38.2777930", \
+					  "1.0696558, 1.0759795, 1.1119206, 1.1975202, 2.5922406, 10.6087010, 38.2702300", \
+					  "1.0697122, 1.0768993, 1.1118326, 1.1975021, 2.5917548, 10.5988550, 38.2436800", \
+					  "1.0693366, 1.0770366, 1.1118272, 1.1968091, 2.5933689, 10.6060350, 38.2607510", \
+					  "1.0694131, 1.0777723, 1.1117943, 1.1968985, 2.5932343, 10.6090930, 38.2420640", \
+					  "1.0685989, 1.0753479, 1.1099163, 1.1967529, 2.5937674, 10.6077330, 38.2708730", \
+					  "1.0693110, 1.0760002, 1.1118663, 1.1971212, 2.5927052, 10.6115470, 38.2818790");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("9.1143448, 9.3243804, 10.6168260, 18.5692880, 66.7241690, 361.7392400, 2179.4601000", \
+					  "9.6772812, 9.8953157, 11.1917280, 19.1403180, 67.3422850, 362.2086200, 2180.3480000", \
+					  "10.2043240, 10.4175850, 11.7129990, 19.6547680, 67.8439630, 363.3025200, 2184.3432000", \
+					  "10.6559750, 10.8701720, 12.1664190, 20.1106330, 68.1855070, 363.4337400, 2181.4624000", \
+					  "11.0709320, 11.2805910, 12.5790000, 20.5286740, 68.6879820, 363.8327800, 2185.4796000", \
+					  "11.4461560, 11.6602530, 12.9532560, 20.9024550, 69.0647110, 364.4243000, 2183.2457000", \
+					  "11.8063100, 12.0128190, 13.3164560, 21.2703280, 69.3641130, 364.1869500, 2182.9953000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1787170, 13.5708750, 16.0823410, 31.5023490, 126.8509200, 716.3695300, 4350.5070000", \
+					  "13.1676310, 13.5856220, 16.0716010, 31.4901810, 127.1687800, 715.9398500, 4350.7207000", \
+					  "13.1803080, 13.5845840, 16.0862540, 31.4864790, 127.0116000, 716.2503800, 4354.8202000", \
+					  "13.1604200, 13.5836680, 16.0588720, 31.5011800, 127.0847200, 715.7901800, 4350.5834000", \
+					  "13.1798780, 13.5757130, 16.0869290, 31.5091670, 126.8739700, 716.8012000, 4349.7557000", \
+					  "13.1778480, 13.5862720, 16.0664030, 31.4820670, 127.2506300, 717.0170900, 4349.9895000", \
+					  "13.1520200, 13.5455950, 16.0597650, 31.5153590, 126.9999400, 716.4030600, 4352.3391000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7781473, 2.8055647, 2.9734979, 3.9785450, 10.1100150, 24.2412790, 52.3828730", \
+					  "3.3450223, 3.3733976, 3.5412071, 4.5462327, 10.6866660, 24.8075370, 52.9351280", \
+					  "3.8362002, 3.8843093, 4.0411172, 5.0633440, 11.2077230, 25.3173360, 53.4192350", \
+					  "4.2955137, 4.3162376, 4.5094821, 5.5132814, 11.6382930, 25.7599520, 53.9259230", \
+					  "4.7275477, 4.7567328, 4.9230491, 5.9171188, 12.0655290, 26.1879800, 54.2764870", \
+					  "5.0495355, 5.1118443, 5.2673559, 6.2558323, 12.4033550, 26.5635800, 54.6804560", \
+					  "5.4198006, 5.4331837, 5.6175491, 6.6208292, 12.8051130, 26.8815640, 55.0709560");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7059419, 1.7543210, 2.0712654, 4.0290229, 12.0304360, 17.0897470, 40.2252030", \
+					  "1.7055386, 1.7563663, 2.0708584, 4.0272425, 12.0308070, 17.0964900, 40.1845990", \
+					  "1.7055988, 1.7546659, 2.0712776, 4.0267270, 12.0306870, 17.1006640, 40.2208330", \
+					  "1.7051377, 1.7554185, 2.0682751, 4.0254440, 12.0306090, 17.1184610, 40.1983970", \
+					  "1.7038664, 1.7557062, 2.0705977, 4.0267754, 12.0277490, 17.1762980, 40.2168570", \
+					  "1.7107344, 1.7584783, 2.0675577, 4.0278478, 12.0303140, 17.1545780, 40.2124770", \
+					  "1.7038843, 1.7542282, 2.0705553, 4.0211567, 12.0278960, 17.0932390, 40.2066820");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9876782, 9.1919663, 10.4498890, 18.2050590, 66.0139610, 361.1625900, 2178.4465000", \
+					  "9.5515853, 9.7604449, 11.0226890, 18.7762840, 66.5812930, 361.3669200, 2180.6286000", \
+					  "10.0451680, 10.2660380, 11.5213890, 19.2869790, 67.0667360, 362.4162200, 2179.5133000", \
+					  "10.5038610, 10.7057070, 11.9526700, 19.7344540, 67.4825460, 362.4087700, 2182.8657000", \
+					  "10.9140570, 11.1411670, 12.3655020, 20.1337880, 67.8968560, 362.7291700, 2182.1411000", \
+					  "11.2686970, 11.5014630, 12.7393770, 20.5390780, 68.2332130, 363.0019000, 2181.3360000", \
+					  "11.6322410, 11.8324990, 13.1334610, 20.8775020, 68.6923300, 364.1095500, 2180.7864000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1812160, 13.5812870, 16.0876340, 31.4873710, 127.2366200, 715.9105200, 4351.3646000", \
+					  "13.1812770, 13.5867900, 16.0793550, 31.5126450, 127.2271100, 716.3543500, 4352.8698000", \
+					  "13.1756620, 13.5510800, 16.0809520, 31.5213380, 127.3921000, 717.2765800, 4358.3832000", \
+					  "13.1698140, 13.5802890, 16.0836300, 31.4995670, 127.2591800, 715.9965200, 4348.5643000", \
+					  "13.1834520, 13.5579460, 16.0931590, 31.5240630, 127.3327300, 716.0400600, 4352.1727000", \
+					  "13.1844610, 13.5818820, 16.0901070, 31.5240700, 126.9905900, 716.5992300, 4349.0923000", \
+					  "13.1775910, 13.5788860, 16.0693490, 31.4748160, 127.2167400, 716.8713400, 4348.8758000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7057375, 8.8967926, 10.1651730, 17.8864350, 65.4885210, 359.5785900, 2173.0673000", \
+					  "9.2723997, 9.4580708, 10.7432320, 18.4504860, 66.1587410, 360.3856800, 2173.2380000", \
+					  "9.7723163, 9.9672786, 11.2373960, 18.9470040, 66.7735380, 360.7566700, 2173.7555000", \
+					  "10.2191760, 10.4360020, 11.6446100, 19.3931980, 67.0849760, 361.5624600, 2177.1555000", \
+					  "10.6462870, 10.8120450, 12.0813840, 19.8230620, 67.5763800, 361.9174700, 2178.9247000", \
+					  "10.9653070, 11.1969230, 12.4769420, 20.1566640, 67.8661110, 362.5110300, 2177.1145000", \
+					  "11.3480660, 11.5406640, 12.8438620, 20.5238240, 68.1669900, 362.8686300, 2177.0970000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3561250, 13.7738840, 16.2583800, 31.6173820, 127.1240700, 715.3731800, 4338.7431000", \
+					  "13.3492840, 13.7631440, 16.2556030, 31.6321830, 126.8525000, 715.0705400, 4341.5566000", \
+					  "13.3656090, 13.7739220, 16.2260710, 31.6272980, 127.1674800, 714.9092800, 4341.5430000", \
+					  "13.3487470, 13.7647850, 16.2475080, 31.6010040, 126.8762400, 715.5921900, 4342.1455000", \
+					  "13.3616730, 13.7617260, 16.2514180, 31.6092860, 127.2101100, 715.2523300, 4338.1165000", \
+					  "13.3518240, 13.7580360, 16.2537520, 31.6181890, 126.8959000, 715.5603600, 4339.2083000", \
+					  "13.3615020, 13.7593470, 16.2511020, 31.6006600, 127.0786100, 715.1472800, 4345.4656000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.1492060, 3.1773664, 3.3531100, 4.4163220, 10.4798370, 22.4002240, 43.8350720", \
+					  "3.7182970, 3.7492347, 3.9185322, 4.9861333, 11.0559460, 22.9720630, 44.3989310", \
+					  "4.2265795, 4.2494017, 4.4366932, 5.4743734, 11.5458680, 23.4734670, 44.9083580", \
+					  "4.6581934, 4.7170019, 4.8657323, 5.9435070, 12.0267050, 23.9327650, 45.3422080", \
+					  "5.0616702, 5.1200013, 5.2989981, 6.3432322, 12.4013420, 24.3167230, 45.7548420", \
+					  "5.4739249, 5.4739254, 5.6283218, 6.7052874, 12.7840880, 24.6919520, 46.1401510", \
+					  "5.7860312, 5.8645511, 5.9954934, 7.0595800, 13.1110010, 25.0172770, 46.5201000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7641730, 1.8195593, 2.1470790, 4.2023252, 11.6064420, 13.9559180, 26.8844160", \
+					  "1.7639897, 1.8196870, 2.1467470, 4.2002926, 11.6087310, 13.9577840, 26.8927880", \
+					  "1.7661671, 1.8172838, 2.1499669, 4.2009733, 11.6074880, 13.9610550, 26.8796470", \
+					  "1.7641291, 1.8207370, 2.1516129, 4.2010808, 11.6133870, 13.9591510, 26.9043760", \
+					  "1.7646186, 1.8207429, 2.1479238, 4.2023882, 11.6052630, 13.9519040, 26.8876330", \
+					  "1.7852638, 1.8209124, 2.1499338, 4.2023069, 11.6116330, 13.9654900, 26.9013820", \
+					  "1.7656133, 1.8191957, 2.1559143, 4.2063535, 11.6023340, 13.9611880, 26.8685960");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7885292, 2.8111937, 2.9299456, 3.3431797, 4.6659046, 11.2994270, 36.2858260", \
+					  "3.3580245, 3.3817189, 3.4987240, 3.9098711, 5.2299867, 11.8713720, 36.8613510", \
+					  "3.8672767, 3.8898680, 3.9880437, 4.4014254, 5.7235163, 12.3873540, 37.3782500", \
+					  "4.3019499, 4.3508166, 4.4520694, 4.8654911, 6.1887735, 12.8109450, 37.8089840", \
+					  "4.7400546, 4.7400549, 4.8482875, 5.2602327, 6.6183552, 13.2432920, 38.2482670", \
+					  "5.1022271, 5.1022275, 5.2186775, 5.6316929, 6.9577708, 13.5723560, 38.6081920", \
+					  "5.4120384, 5.4995510, 5.5739222, 5.9872947, 7.3109012, 13.9391150, 38.9300860");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0754676, 1.0833525, 1.1180166, 1.2013609, 2.5865803, 10.5801470, 38.2368340", \
+					  "1.0762453, 1.0835474, 1.1179749, 1.2035832, 2.5895714, 10.5837590, 38.2312420", \
+					  "1.0759476, 1.0835325, 1.1181021, 1.2034863, 2.5886693, 10.5788520, 38.2418900", \
+					  "1.0765357, 1.0842568, 1.1184562, 1.2036487, 2.5894775, 10.5844310, 38.2402340", \
+					  "1.0769515, 1.0835284, 1.1193463, 1.2027821, 2.5893756, 10.5813330, 38.2397580", \
+					  "1.0759409, 1.0823791, 1.1177531, 1.2021660, 2.5897888, 10.5905690, 38.2287480", \
+					  "1.0771175, 1.0838996, 1.1191837, 1.2034628, 2.5895055, 10.5869760, 38.2397510");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6898986, 8.9086019, 10.1664350, 17.8860380, 65.5680520, 359.8314900, 2172.8938000", \
+					  "9.2741450, 9.4641832, 10.7357760, 18.4518180, 66.1625070, 360.5244700, 2173.2355000", \
+					  "9.7583222, 9.9618909, 11.2358550, 18.9743670, 66.7577640, 360.7795000, 2173.7055000", \
+					  "10.2120500, 10.4041240, 11.6808720, 19.3988430, 67.0574490, 361.1609100, 2175.5657000", \
+					  "10.6218370, 10.8237690, 12.0670210, 19.8221870, 67.5216820, 361.9101700, 2174.8017000", \
+					  "11.0263660, 11.1793080, 12.4429760, 20.1933120, 67.8531650, 362.4062100, 2178.2994000", \
+					  "11.3807060, 11.5751980, 12.8453430, 20.5179140, 68.1649940, 362.8610400, 2178.8051000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3627060, 13.7438590, 16.2212090, 31.5910250, 127.1775000, 715.5553600, 4341.2106000", \
+					  "13.3685680, 13.7668650, 16.2208950, 31.6110620, 126.8466000, 715.5566100, 4341.5498000", \
+					  "13.3683860, 13.7737530, 16.2448260, 31.6134000, 126.7432200, 715.1657500, 4341.4766000", \
+					  "13.3396460, 13.7665410, 16.2420990, 31.5912630, 127.0756400, 714.3918300, 4345.4115000", \
+					  "13.3600030, 13.7615390, 16.2420550, 31.6067850, 126.6767400, 715.0966900, 4345.3274000", \
+					  "13.3561810, 13.7559800, 16.2503360, 31.6266980, 126.6929200, 714.8930800, 4347.7953000", \
+					  "13.3636830, 13.7569530, 16.2555800, 31.6046180, 127.0820500, 715.2472100, 4339.8336000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9861958, 9.1839292, 10.4487120, 18.1932900, 65.9920540, 361.4310100, 2180.0049000", \
+					  "9.5574615, 9.7487538, 11.0218080, 18.7768700, 66.5869920, 361.2700500, 2179.0111000", \
+					  "10.0651070, 10.2679000, 11.5152920, 19.2556320, 67.0670910, 362.3971200, 2182.7061000", \
+					  "10.4920260, 10.6980710, 11.9551670, 19.7022590, 67.4921240, 363.0231000, 2183.2916000", \
+					  "10.8931410, 11.0996570, 12.3690850, 20.1255690, 67.8436290, 362.9293700, 2181.0897000", \
+					  "11.3063030, 11.5039840, 12.7692060, 20.5395060, 68.2755610, 362.8312300, 2184.7227000", \
+					  "11.6247070, 11.8304520, 13.1242590, 20.8767970, 68.7028030, 363.8912200, 2183.8855000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1637000, 13.5884450, 16.0690890, 31.4974000, 126.8765700, 716.7428200, 4350.9776000", \
+					  "13.1804660, 13.5885700, 16.0809960, 31.4973220, 127.0396800, 716.7273600, 4351.2005000", \
+					  "13.1697850, 13.5883760, 16.0774700, 31.4984200, 126.8895300, 716.3401400, 4353.4351000", \
+					  "13.1757910, 13.5879660, 16.0815820, 31.4791430, 127.3129700, 717.1968800, 4349.2510000", \
+					  "13.1832230, 13.5807830, 16.0930340, 31.5255660, 127.0420400, 715.7921100, 4354.0040000", \
+					  "13.1768370, 13.5899190, 16.0850340, 31.5254100, 126.8649900, 716.4222200, 4355.1599000", \
+					  "13.1754000, 13.5771850, 16.0809710, 31.5038060, 127.2255900, 717.1014400, 4349.1227000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7735523, 2.8013989, 2.9715030, 3.9881600, 10.1691400, 24.2484610, 52.3326630", \
+					  "3.2279672, 3.2559044, 3.4261161, 4.4432937, 10.6255640, 24.6992310, 52.7834130", \
+					  "3.5232550, 3.5510539, 3.7213330, 4.7391842, 10.9174580, 24.9707170, 53.0965140", \
+					  "3.7764480, 3.8045060, 3.9747574, 4.9920859, 11.1708520, 25.2543480, 53.3755190", \
+					  "3.9883270, 4.0162100, 4.1864663, 5.2034603, 11.3899740, 25.4693640, 53.6009140", \
+					  "4.1748267, 4.2027533, 4.3730086, 5.3906977, 11.5688640, 25.6548610, 53.7566220", \
+					  "4.3321857, 4.3599955, 4.5302455, 5.5475264, 11.7326200, 25.8184710, 53.9290070");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7094067, 1.7608873, 2.0761592, 4.0332018, 11.9934110, 16.9708820, 40.1517540", \
+					  "1.7067445, 1.7563470, 2.0728608, 4.0338075, 11.9941150, 16.9586180, 40.1428050", \
+					  "1.7045707, 1.7537067, 2.0697788, 4.0323118, 11.9902340, 17.0580880, 40.2226730", \
+					  "1.7025189, 1.7556355, 2.0698412, 4.0341623, 11.9899220, 17.1174220, 40.2362730", \
+					  "1.7087447, 1.7621024, 2.0712985, 4.0331232, 11.9907440, 17.0206520, 40.1987280", \
+					  "1.7049034, 1.7555600, 2.0698636, 4.0341805, 11.9914030, 17.0627100, 40.2231070", \
+					  "1.7044429, 1.7554832, 2.0752586, 4.0341450, 11.9909910, 17.1186960, 40.2396980");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9767442, 3.0057665, 3.1872334, 4.2771178, 10.3736170, 22.2061850, 43.6196820", \
+					  "3.5494863, 3.5784748, 3.7599288, 4.8498374, 10.9462960, 22.7780940, 44.1919430", \
+					  "4.0692134, 4.0980197, 4.2794919, 5.3702811, 11.4686960, 23.2958350, 44.7077400", \
+					  "4.5202100, 4.5504032, 4.7315187, 5.8217928, 11.9262160, 23.7483830, 45.1584580", \
+					  "4.9328954, 4.9624238, 5.1453908, 6.2319936, 12.3330590, 24.1601640, 45.5718590", \
+					  "5.3127156, 5.3427200, 5.5231526, 6.6128165, 12.7199790, 24.5395210, 45.9572540", \
+					  "5.6705400, 5.7020362, 5.8815548, 6.9761654, 13.0795700, 24.9005010, 46.3137120");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7629221, 1.8190065, 2.1491344, 4.2020583, 11.5601420, 13.9127780, 26.9060950", \
+					  "1.7631751, 1.8190297, 2.1491378, 4.2020596, 11.5606650, 13.9104320, 26.9133020", \
+					  "1.7647013, 1.8195687, 2.1488160, 4.2016937, 11.5595960, 13.9071160, 26.8734600", \
+					  "1.7618056, 1.8186551, 2.1448365, 4.2047401, 11.5606930, 13.9286230, 26.8549130", \
+					  "1.7639860, 1.8184906, 2.1473019, 4.2033466, 11.5563060, 13.9078910, 26.8757160", \
+					  "1.7756507, 1.8291842, 2.1497033, 4.2030179, 11.5475090, 13.9262660, 26.9018600", \
+					  "1.7649412, 1.8165743, 2.1539106, 4.1999413, 11.5533570, 13.9243730, 26.8566110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.8795336, 9.0824726, 10.3607960, 18.1925660, 66.2927140, 360.6955700, 2173.7690000", \
+					  "9.3321226, 9.5406296, 10.8136180, 18.6401860, 66.7592700, 361.1519600, 2174.2217000", \
+					  "9.6267980, 9.8401944, 11.1129030, 18.9846720, 67.1767570, 361.4719300, 2174.5323000", \
+					  "9.8866426, 10.0876250, 11.3686650, 19.2019590, 67.2535310, 362.0467100, 2175.3160000", \
+					  "10.0963750, 10.3010060, 11.5745400, 19.4134650, 67.4784530, 362.2627400, 2179.5101000", \
+					  "10.2778430, 10.4906460, 11.7576890, 19.5819860, 67.6669740, 362.6125000, 2178.9087000", \
+					  "10.4401390, 10.6481810, 11.9300630, 19.7540460, 67.8352240, 362.8512400, 2178.0650000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.4392990, 13.8210690, 16.3457160, 31.8148570, 126.9089200, 714.9190000, 4341.1665000", \
+					  "13.3981960, 13.8171450, 16.2792930, 31.6670490, 127.0129800, 714.9558900, 4341.1701000", \
+					  "13.3697580, 13.7591560, 16.2528230, 31.5837990, 127.1805700, 714.5936300, 4341.1565000", \
+					  "13.3731040, 13.7652800, 16.2555920, 31.5844450, 127.1826200, 715.0073300, 4339.2802000", \
+					  "13.3425280, 13.7712680, 16.2461440, 31.6101810, 127.1761400, 715.0179000, 4343.1373000", \
+					  "13.3737080, 13.7697550, 16.2394700, 31.6205520, 126.7463400, 714.7796200, 4340.3825000", \
+					  "13.3586340, 13.7751340, 16.2569690, 31.6004360, 126.9291400, 715.2904700, 4340.1828000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9275533, 2.9559788, 3.1317526, 4.1942023, 10.2556070, 22.1667430, 43.5991400", \
+					  "3.5002394, 3.5288763, 3.7045855, 4.7662647, 10.8282420, 22.7390530, 44.1719190", \
+					  "4.0193036, 4.0488048, 4.2244537, 5.2901741, 11.3510940, 23.2637480, 44.6942290", \
+					  "4.4706354, 4.4980912, 4.6735735, 5.7375886, 11.8090120, 23.7105570, 45.1441020", \
+					  "4.8825592, 4.9113607, 5.0887006, 6.1539902, 12.2125640, 24.1240660, 45.5566000", \
+					  "5.2641917, 5.2927365, 5.4684395, 6.5369905, 12.6010140, 24.5031270, 45.9396400", \
+					  "5.6229115, 5.6509855, 5.8277654, 6.8954780, 12.9581840, 24.8628050, 46.2961720");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7652191, 1.8211207, 2.1505882, 4.2027968, 11.6061360, 13.9779910, 26.8936040", \
+					  "1.7650321, 1.8203521, 2.1506574, 4.2025663, 11.6070260, 14.0069690, 26.8935540", \
+					  "1.7657575, 1.8201068, 2.1480018, 4.2007358, 11.6056740, 13.9598060, 26.9002880", \
+					  "1.7665759, 1.8170268, 2.1520237, 4.2038129, 11.6057730, 13.9627070, 26.8569490", \
+					  "1.7652743, 1.8155488, 2.1497435, 4.2014721, 11.6040380, 13.9775880, 26.8944370", \
+					  "1.7790233, 1.8315054, 2.1588922, 4.1992103, 11.6083120, 13.9649630, 26.9069050", \
+					  "1.7650864, 1.8196722, 2.1529727, 4.2048303, 11.6083680, 13.9638090, 26.9198990");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-9.1559642, -9.2535519, -9.3511397, -9.3420815, -9.3329143, -9.3238562, -9.3147981");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("9.4417651, 9.5159926, 9.5902201, 9.5877309, 9.5852117, 9.5827225, 9.5802333");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141910;
+			capacitance : 0.141938;
+			fall_capacitance : 0.141966;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3780695, -0.3702300, -0.3623904, -0.3614064, -0.3604104, -0.3594264, -0.3584423");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3749437, 0.3674867, 0.3600296, 0.3613777, 0.3627421, 0.3640903, 0.3654384");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.134443;
+			capacitance : 0.134806;
+			fall_capacitance : 0.135168;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3793602, -0.3705039, -0.3616476, -0.3607385, -0.3598185, -0.3589094, -0.3580003");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3748629, 0.3692645, 0.3636661, 0.3639647, 0.3642669, 0.3645655, 0.3648641");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p40v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v60_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v60_5v50.lib
new file mode 100644
index 0000000..9001038
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v60_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ff_100C_1v60_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ff_1p60v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.405750e+03;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1403.2100000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "578.4310000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1245.0200000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1397.2700000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1405.7500000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1236.5400000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1240.5100000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1242.4800000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1401.2500000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1224.9900000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1377.5300000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1235.7800000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1236.1100000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1231.8500000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1224.5500000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1396.5100000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1396.8400000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1392.5800000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006402;
+			capacitance : 0.006263;
+			fall_capacitance : 0.006124;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1078666, -0.2460414, -0.3504596, -0.4247662, -0.4895690, -0.5460780, -0.5964430", \
+					  "-0.0373539, -0.1747741, -0.2977103, -0.3856285, -0.4578154, -0.5204989, -0.5651848", \
+					  "-0.0560657, -0.1846206, -0.3105679, -0.4015856, -0.4572860, -0.5516440, -0.5989809", \
+					  "-0.0893283, -0.2221777, -0.3281663, -0.4104413, -0.4896174, -0.5481607, -0.6220434", \
+					  "-0.1317442, -0.2478089, -0.3696774, -0.4537158, -0.4861060, -0.5664285, -0.6684569", \
+					  "-0.1672407, -0.2894089, -0.3984494, -0.4685623, -0.5792263, -0.5920343, -0.6644971", \
+					  "-0.2340726, -0.3394561, -0.4454448, -0.5095446, -0.6257957, -0.6985206, -0.7015759");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3748692, -0.5180955, -0.6689502, -0.8151850, -0.9565732, -1.0759957, -1.2027116", \
+					  "-0.3166820, -0.4652024, -0.6166963, -0.7702483, -0.9140930, -1.0410494, -1.1650457", \
+					  "-0.3154140, -0.4624598, -0.6211345, -0.7769039, -0.9335676, -1.0609451, -1.1721096", \
+					  "-0.3486766, -0.4896092, -0.6377033, -0.7930491, -0.9543105, -1.0863530, -1.2212225", \
+					  "-0.3819372, -0.5243958, -0.6698555, -0.8185175, -0.9766759, -1.1076057, -1.2495080", \
+					  "-0.4220114, -0.5659958, -0.7114557, -0.8561297, -1.0093326, -1.1385443, -1.2811793", \
+					  "-0.4720587, -0.6114654, -0.7592240, -0.9047300, -1.0648274, -1.1694925, -1.3131201");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5299576, 0.6636022, 0.7762239, 0.8433589, 0.8995045, 0.9712925, 1.0012355", \
+					  "0.4765592, 0.6073043, 0.7105081, 0.7945098, 0.8607957, 0.9203735, 0.9742632", \
+					  "0.4720543, 0.6083363, 0.7311213, 0.8164619, 0.8825500, 0.9646904, 1.0346094", \
+					  "0.5037909, 0.6351395, 0.7457940, 0.8358316, 0.9094916, 0.9743317, 1.0817899", \
+					  "0.5385775, 0.6684002, 0.7794976, 0.8634122, 0.9104979, 1.0196922, 1.0918767", \
+					  "0.5801775, 0.7115261, 0.8205469, 0.9025296, 0.9734914, 1.0208922, 1.1347432", \
+					  "0.6256471, 0.7569957, 0.8629647, 0.9506513, 1.0049340, 1.1005434, 1.1859797");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3895512, 0.5328322, 0.6837199, 0.8300270, 0.9667695, 1.0938210, 1.2222223", \
+					  "0.3405831, 0.4824603, 0.6345175, 0.7862320, 0.9275247, 1.0624251, 1.1893283", \
+					  "0.3395623, 0.4756958, 0.6364593, 0.7934774, 0.9482234, 1.0718771, 1.1860942", \
+					  "0.3664092, 0.5058195, 0.6496222, 0.8037567, 0.9676713, 1.1010341, 1.2356828", \
+					  "0.4042474, 0.5406060, 0.6876178, 0.8374352, 0.9942696, 1.1268350, 1.2612175", \
+					  "0.4427957, 0.5867837, 0.7261661, 0.8722907, 1.0185424, 1.1408742, 1.2902592", \
+					  "0.4897912, 0.6246239, 0.7716357, 0.9148248, 1.0743626, 1.1784197, 1.3206174");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241733, 0.0272560, 0.0303387, 0.0301982, 0.0300633, 0.0299228, 0.0297823");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296436, 0.0330982, 0.0365528, 0.0364720, 0.0363943, 0.0363135, 0.0362326");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004599;
+			capacitance : 0.004491;
+			fall_capacitance : 0.004383;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6139262, 0.7487930, 0.8694004, 0.9384805, 0.9997212, 1.0658050, 1.1618482", \
+					  "0.5529669, 0.6849447, 0.8024317, 0.8942233, 0.9741426, 1.0168284, 1.0700479", \
+					  "0.5513297, 0.6871523, 0.8186496, 0.9163448, 0.9880562, 1.0534364, 1.1164613", \
+					  "0.5815405, 0.7111317, 0.8419730, 0.9379854, 1.0119429, 1.0842495, 1.1763945", \
+					  "0.6163270, 0.7443924, 0.8670375, 0.9528950, 1.0103877, 1.1051694, 1.1660484", \
+					  "0.6579270, 0.7859924, 0.9055858, 0.9839571, 1.0720181, 1.1348471, 1.1539207", \
+					  "0.7033966, 0.8314620, 0.9510554, 1.0529510, 1.1383767, 1.1555906, 1.2218679");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4506579, 0.5958075, 0.7456743, 0.8934625, 1.0389258, 1.1784806, 1.3080925", \
+					  "0.4031688, 0.5467436, 0.6918943, 0.8489772, 0.9989830, 1.1343719, 1.2627391", \
+					  "0.4049176, 0.5379099, 0.7006037, 0.8659908, 1.0207168, 1.1633126, 1.2919983", \
+					  "0.4274330, 0.5693295, 0.7188113, 0.8859354, 1.0378828, 1.1956386, 1.3213530", \
+					  "0.4637454, 0.5980125, 0.7565035, 0.9149858, 1.0563287, 1.2190165, 1.3530877", \
+					  "0.5053454, 0.6441902, 0.7862377, 0.9501390, 1.0831328, 1.2526151, 1.3879889", \
+					  "0.5523409, 0.6911856, 0.8347591, 0.9848027, 1.1333961, 1.2785301, 1.4051127");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1430068, -0.2777682, -0.3923434, -0.4906931, -0.5461527, -0.6017007, -0.6672269", \
+					  "-0.0769570, -0.2209111, -0.3467761, -0.4434987, -0.5212815, -0.5848485, -0.6329334", \
+					  "-0.0926171, -0.2267777, -0.3507708, -0.4534202, -0.5344398, -0.6044685, -0.6965806", \
+					  "-0.1243537, -0.2615661, -0.3812047, -0.4697378, -0.5538994, -0.6190916, -0.6951531", \
+					  "-0.1774509, -0.2887232, -0.4114136, -0.5041045, -0.5710690, -0.6205035, -0.7463878", \
+					  "-0.2083697, -0.3379527, -0.4560670, -0.5564543, -0.6136891, -0.6732794, -0.7384567", \
+					  "-0.2660463, -0.3788446, -0.4954314, -0.5796059, -0.6796647, -0.7549759, -0.7942141");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4405539, -0.5841557, -0.7339878, -0.8818173, -1.0227542, -1.1637791, -1.2949331", \
+					  "-0.3800574, -0.5244011, -0.6757754, -0.8325060, -0.9815681, -1.1182359, -1.2480753", \
+					  "-0.3864536, -0.5217401, -0.6859226, -0.8445059, -0.9990727, -1.1502261, -1.2803469", \
+					  "-0.4142770, -0.5470338, -0.7038849, -0.8648531, -1.0232017, -1.1774925, -1.3097235", \
+					  "-0.4490635, -0.5833452, -0.7284748, -0.8860836, -1.0425925, -1.2012940, -1.3368806", \
+					  "-0.4891376, -0.6279970, -0.7716020, -0.9404855, -1.0805050, -1.2279858, -1.3695198", \
+					  "-0.5330814, -0.6719417, -0.8155456, -0.9670833, -1.1171368, -1.2568701, -1.4033232");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235661, 0.0299570, 0.0363479, 0.0362419, 0.0361403, 0.0360344, 0.0359284");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0308724, 0.0368186, 0.0427649, 0.0426466, 0.0425331, 0.0424148, 0.0422965");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006016;
+			capacitance : 0.006155;
+			rise_capacitance : 0.006294;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0834525, -0.2109377, -0.3282460, -0.4003366, -0.4697078, -0.5231869, -0.5812954", \
+					  "-0.0098878, -0.1527933, -0.2702191, -0.3676048, -0.4283878, -0.4861500, -0.5363233", \
+					  "-0.0301256, -0.1583930, -0.2768334, -0.3732321, -0.4349103, -0.5003939, -0.5617402", \
+					  "-0.0603364, -0.1901296, -0.3068035, -0.3824455, -0.4534466, -0.5206426, -0.5868511", \
+					  "-0.1027523, -0.2279679, -0.3339605, -0.4277051, -0.4631576, -0.5639513, -0.6349763", \
+					  "-0.1428264, -0.2695679, -0.3694574, -0.4570981, -0.5512376, -0.5809387, -0.6611997", \
+					  "-0.1730372, -0.3135116, -0.4118749, -0.4838079, -0.5877665, -0.6674602, -0.7083130");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3748667, -0.5194470, -0.6686778, -0.8164192, -0.9517321, -1.0736677, -1.1989126", \
+					  "-0.3196733, -0.4667283, -0.6179505, -0.7701815, -0.9133412, -1.0407528, -1.1643361", \
+					  "-0.3184673, -0.4637897, -0.6196461, -0.7769170, -0.9366117, -1.0597327, -1.1739527", \
+					  "-0.3471521, -0.4910357, -0.6421166, -0.7945011, -0.9589555, -1.0909306, -1.2256421", \
+					  "-0.3819387, -0.5258227, -0.6697903, -0.8288952, -0.9764344, -1.1112304, -1.2463354", \
+					  "-0.4265905, -0.5674227, -0.7083386, -0.8570207, -1.0071585, -1.1363218, -1.2796201", \
+					  "-0.4720601, -0.6113659, -0.7568599, -0.8941252, -1.0606964, -1.1679847, -1.3127810");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5314835, 0.6651281, 0.7762244, 0.8387820, 0.8918760, 0.9651911, 0.9948407", \
+					  "0.4704239, 0.6064325, 0.7111693, 0.7880670, 0.8561398, 0.9172931, 0.9696853", \
+					  "0.4705284, 0.6040391, 0.7219660, 0.8164619, 0.8794314, 0.9603349, 1.0301779", \
+					  "0.4992133, 0.6320878, 0.7444335, 0.8328478, 0.9001704, 0.9666598, 1.0772123", \
+					  "0.5339998, 0.6638225, 0.7774600, 0.8634094, 0.9115756, 1.0091918, 1.0889797", \
+					  "0.5740740, 0.7054226, 0.8159695, 0.8811412, 0.9730399, 1.0194543, 1.1493752", \
+					  "0.6210695, 0.7524181, 0.8583873, 0.9491256, 0.9874739, 1.0990367, 1.1859792");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3971806, 0.5381426, 0.6867715, 0.8206683, 0.9698215, 1.0953877, 1.2191709", \
+					  "0.3405842, 0.4839017, 0.6375691, 0.7862003, 0.9247410, 1.0357952, 1.1817080", \
+					  "0.3408309, 0.4818478, 0.6395686, 0.7949713, 0.9496480, 1.0774841, 1.1875400", \
+					  "0.3694610, 0.5058194, 0.6518089, 0.8128134, 0.9768476, 1.1025113, 1.2372123", \
+					  "0.4057733, 0.5421318, 0.6891438, 0.8424716, 0.9920973, 1.1268346, 1.2673316", \
+					  "0.4458475, 0.5837318, 0.7261662, 0.8629950, 1.0157319, 1.1524139, 1.2951195", \
+					  "0.4928430, 0.6337791, 0.7746875, 0.9163513, 1.0702040, 1.1764782, 1.3267407");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241963, 0.0273378, 0.0304792, 0.0303069, 0.0301415, 0.0299692, 0.0297969");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0295996, 0.0331567, 0.0367138, 0.0365697, 0.0364315, 0.0362874, 0.0361434");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028521;
+			capacitance : 0.028471;
+			fall_capacitance : 0.028421;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0529755, 0.0426887, 0.1383530, 0.2696837, 0.3957612, 0.5270918, 0.6584225");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3252147, 0.4273092, 0.5294036, 0.6585461, 0.7825229, 0.9116654, 1.0408080");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032971;
+			capacitance : 0.032613;
+			fall_capacitance : 0.032256;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0661287, 0.0888350, 0.1115413, 0.1123728, 0.1131711, 0.1140027, 0.1148342");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0765623, 0.0895820, 0.1026016, 0.1046604, 0.1066369, 0.1086957, 0.1107546");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052559;
+			capacitance : 0.052150;
+			fall_capacitance : 0.051741;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0133233, -0.0135365, -0.0137498, -0.0137539, -0.0137578, -0.0137619, -0.0137660");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0139248, 0.0139049, 0.0138849, 0.0138972, 0.0139091, 0.0139214, 0.0139337");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016824;
+			capacitance : 0.016726;
+			fall_capacitance : 0.016627;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0184272, 0.0179310, 0.0174349, 0.0174763, 0.0175160, 0.0175574, 0.0175988");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0218255, 0.0214510, 0.0210765, 0.0212199, 0.0213576, 0.0215010, 0.0216443");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037111;
+			capacitance : 0.036522;
+			fall_capacitance : 0.035933;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2573188, -0.1209385, -0.0089571, 0.0728705, 0.1382145, 0.1815865, 0.2210652", \
+					  "-0.3166572, -0.1788893, -0.0758022, 0.0213847, 0.0936612, 0.1463626, 0.2071117", \
+					  "-0.3093584, -0.1705172, -0.0624997, 0.0210655, 0.0881490, 0.1626376, 0.2157219", \
+					  "-0.2797107, -0.1433582, -0.0517777, 0.0298781, 0.1178956, 0.1839809, 0.2172926", \
+					  "-0.2411427, -0.1055200, -0.0170304, 0.0776293, 0.1300865, 0.2147204, 0.2753253", \
+					  "-0.1919133, -0.0608681, 0.0291473, 0.1154542, 0.1658164, 0.2495441, 0.3156742", \
+					  "-0.1384557, -0.0123468, 0.0807204, 0.1402922, 0.2266046, 0.2680993, 0.3397182");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3138038, -0.1606113, -0.0028536, 0.1360907, 0.2445150, 0.3364644, 0.4343532", \
+					  "-0.3729245, -0.2094010, -0.0715546, 0.0779258, 0.2008377, 0.3096466, 0.4154306", \
+					  "-0.3560700, -0.1959951, -0.0549079, 0.0927087, 0.1944606, 0.3188764, 0.4219512", \
+					  "-0.3372769, -0.1740810, -0.0460244, 0.0955587, 0.2237150, 0.3428239, 0.4392947", \
+					  "-0.2991536, -0.1344271, -0.0109596, 0.1277738, 0.2624276, 0.3769022, 0.4662251", \
+					  "-0.2651829, -0.1092800, 0.0329671, 0.1843241, 0.2814461, 0.4007483, 0.5142556", \
+					  "-0.2014028, -0.0499422, 0.0854160, 0.2281588, 0.3264679, 0.4305078, 0.5643028");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5374982, 0.4092060, 0.2968004, 0.2092037, 0.1422905, 0.0837169, 0.0266671", \
+					  "0.6024253, 0.4673245, 0.3520762, 0.2732732, 0.2000534, 0.1481776, 0.0852479", \
+					  "0.5845237, 0.4488119, 0.3425198, 0.2671596, 0.1889254, 0.1298459, 0.0738354", \
+					  "0.5591614, 0.4279090, 0.3129873, 0.2370140, 0.1685098, 0.1053584, 0.0529491", \
+					  "0.5118522, 0.4025025, 0.2563843, 0.2031440, 0.1291456, 0.0675202, 0.0359030", \
+					  "0.4965078, 0.3609024, 0.2028822, 0.1742409, 0.0844938, 0.0296181, -0.0318193", \
+					  "0.4495123, 0.3093294, 0.1695613, 0.1200212, 0.0451278, -0.0168229, -0.0832046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3223778, 0.1676666, 0.0114317, -0.1288921, -0.2406555, -0.3312771, -0.4291207", \
+					  "0.3719680, 0.2177450, 0.0700685, -0.0690612, -0.1920537, -0.3071810, -0.4083057", \
+					  "0.3648775, 0.2028953, 0.0638631, -0.0794435, -0.1867626, -0.3080622, -0.4149621", \
+					  "0.3440413, 0.1823381, 0.0473163, -0.0886491, -0.2144970, -0.3325688, -0.4325943", \
+					  "0.3039201, 0.1427810, 0.0206336, -0.1208503, -0.2345513, -0.3692785, -0.4591994", \
+					  "0.2707065, 0.1191983, -0.0244572, -0.1773439, -0.2731183, -0.4013260, -0.5056780", \
+					  "0.2282887, 0.0750405, -0.0826794, -0.2181266, -0.3160838, -0.4235724, -0.5389405");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1229913, 0.1436042, 0.1642170, 0.1665257, 0.1687421, 0.1710509, 0.1733596");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1677352, 0.3271367, 0.4865382, 0.4867702, 0.4869929, 0.4872250, 0.4874570");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.012769;
+			capacitance : 0.012980;
+			rise_capacitance : 0.013191;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("5.2968832, 13.3594160, 21.4219490, 23.7455270, 25.9761610, 28.2997390, 30.6233160");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9993195, 13.4774960, 22.9556720, 23.5903430, 24.1996270, 24.8342980, 25.4689690");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006415;
+			capacitance : 0.006553;
+			rise_capacitance : 0.006692;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0187083, -0.1155355, -0.2216599, -0.2981389, -0.3660034, -0.4346776, -0.4990750", \
+					  "0.0747354, -0.0519323, -0.1685716, -0.2540172, -0.3334099, -0.3958600, -0.4540875", \
+					  "0.0568490, -0.0515938, -0.1693397, -0.2570000, -0.3327803, -0.3920324, -0.4597422", \
+					  "0.0269759, -0.0863822, -0.2026195, -0.2786566, -0.3525067, -0.4135455, -0.4871332", \
+					  "-0.0081483, -0.1196428, -0.2292409, -0.3209132, -0.3809329, -0.4299467, -0.5310786", \
+					  "-0.0451707, -0.1688723, -0.2715346, -0.3366368, -0.4173690, -0.4974563, -0.5687887", \
+					  "-0.0723298, -0.2204453, -0.3157780, -0.3770955, -0.4426693, -0.5475035, -0.6011562");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3779210, -0.5226778, -0.6735356, -0.8229025, -0.9626840, -1.0821210, -1.2027315", \
+					  "-0.3183098, -0.4667283, -0.6212818, -0.7740736, -0.9209895, -1.0550683, -1.1650794", \
+					  "-0.3169400, -0.4654976, -0.6223661, -0.7784575, -0.9350356, -1.0637319, -1.1778684", \
+					  "-0.3502026, -0.4926618, -0.6349892, -0.7939943, -0.9574098, -1.0924565, -1.2241488", \
+					  "-0.3849891, -0.5289742, -0.6729100, -0.8285550, -0.9783943, -1.1133466, -1.2541110", \
+					  "-0.4250633, -0.5705743, -0.7145102, -0.8592025, -1.0083663, -1.1363934, -1.2811843", \
+					  "-0.4751105, -0.6160438, -0.7599798, -0.9077793, -1.0619418, -1.1709416, -1.3137410");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589493, 0.6895432, 0.8006384, 0.8647211, 0.9193400, 0.9896028, 1.0664657", \
+					  "0.4915467, 0.6279069, 0.7257553, 0.8128576, 0.8807489, 0.9356414, 0.9910548", \
+					  "0.4949425, 0.6283517, 0.7535539, 0.8415768, 0.9084899, 0.9792716, 1.0450471", \
+					  "0.5251532, 0.6550447, 0.7726466, 0.8502285, 0.9387007, 0.9881191, 1.1030937", \
+					  "0.5599397, 0.6912893, 0.8037633, 0.8719786, 0.9385760, 1.0479115, 1.1020522", \
+					  "0.6015398, 0.7328893, 0.8403834, 0.9167207, 0.9731074, 1.0315543, 1.1500368", \
+					  "0.6470094, 0.7768330, 0.8812753, 0.9689625, 1.0258178, 1.1068477, 1.1895282");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4032841, 0.5435135, 0.6928757, 0.8422344, 0.9805023, 1.1045415, 1.2298516", \
+					  "0.3426430, 0.4897035, 0.6437143, 0.7886390, 0.9386696, 1.0644569, 1.1921908", \
+					  "0.3453537, 0.4864703, 0.6494585, 0.8049593, 0.9539454, 1.0831043, 1.2005591", \
+					  "0.3755645, 0.5134493, 0.6564309, 0.8205894, 0.9768476, 1.1097234, 1.2511624", \
+					  "0.4134028, 0.5512876, 0.6921960, 0.8434653, 0.9981760, 1.1325833, 1.2719354", \
+					  "0.4519511, 0.5928876, 0.7337962, 0.8649075, 1.0243918, 1.1670387, 1.3039933", \
+					  "0.5004724, 0.6414090, 0.7807916, 0.9239794, 1.0812425, 1.1871496, 1.3423405");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241879, 0.0273448, 0.0305018, 0.0303391, 0.0301830, 0.0300204, 0.0298577");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296109, 0.0330826, 0.0365542, 0.0364363, 0.0363231, 0.0362052, 0.0360873");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017742;
+			capacitance : 0.017637;
+			fall_capacitance : 0.017532;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0694705, 0.0902130, 0.1109554, 0.1131892, 0.1153337, 0.1175675, 0.1198013");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0921878, 0.2503471, 0.4085063, 0.4090979, 0.4096658, 0.4102573, 0.4108489");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005049;
+			capacitance : 0.005159;
+			rise_capacitance : 0.005269;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5558772, 0.6945214, 0.8194454, 0.8877828, 0.9361667, 1.0006921, 1.0816956", \
+					  "0.5007100, 0.6364628, 0.7495807, 0.8355288, 0.9242193, 0.9771604, 1.0391085", \
+					  "0.5025329, 0.6367256, 0.7698518, 0.8629391, 0.9420593, 1.0054753, 1.0549734", \
+					  "0.5342696, 0.6645428, 0.7863558, 0.8854811, 0.9676924, 1.0308437, 1.1253713", \
+					  "0.5690560, 0.6992998, 0.8188041, 0.9057721, 1.0003690, 1.0553169, 1.1216979", \
+					  "0.6106561, 0.7378481, 0.8573524, 0.9408692, 0.9995455, 1.0547287, 1.1476738", \
+					  "0.6545998, 0.7863695, 0.9058738, 0.9696865, 1.0468320, 1.1215413, 1.2064064");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5055567, 0.6450874, 0.7928171, 0.9356294, 1.0854118, 1.2084628, 1.3442990", \
+					  "0.4444688, 0.5838396, 0.7362504, 0.8878406, 1.0405158, 1.1738204, 1.2648635", \
+					  "0.4400108, 0.5775092, 0.7473014, 0.9071896, 1.0510754, 1.1892914, 1.3102669", \
+					  "0.4747992, 0.6135690, 0.7572622, 0.9303211, 1.0821333, 1.2403911, 1.3579740", \
+					  "0.5095857, 0.6468295, 0.7986027, 0.9552275, 1.0995580, 1.2609427, 1.3866569", \
+					  "0.5496599, 0.6853778, 0.8342173, 0.9827929, 1.1383513, 1.2944166, 1.4183933", \
+					  "0.5890260, 0.7354250, 0.8781610, 1.0236364, 1.1756713, 1.3309355, 1.4527846");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0574918, -0.2021975, -0.3115840, -0.3961936, -0.4678318, -0.5363142, -0.5936736", \
+					  "0.0084596, -0.1358146, -0.2544671, -0.3672364, -0.4255417, -0.4847000, -0.5389907", \
+					  "-0.0178816, -0.1359162, -0.2635243, -0.3600550, -0.4272344, -0.5047343, -0.5696467", \
+					  "-0.0496183, -0.1676529, -0.2842812, -0.3788982, -0.4533416, -0.5343637, -0.6006880", \
+					  "-0.0920342, -0.2039643, -0.3188716, -0.4218996, -0.4620055, -0.5534853, -0.6319246", \
+					  "-0.1244790, -0.2547206, -0.3589459, -0.4379494, -0.5406660, -0.5882754, -0.6623155", \
+					  "-0.1546898, -0.2971384, -0.3967859, -0.4815133, -0.5757931, -0.6567265, -0.6896540");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4786688, -0.6211937, -0.7719522, -0.9185517, -1.0628599, -1.1989650, -1.3218186", \
+					  "-0.4227686, -0.5629828, -0.7132173, -0.8655589, -1.0143821, -1.1316110, -1.2687321", \
+					  "-0.4270496, -0.5523971, -0.7240695, -0.8848790, -1.0345407, -1.1723082, -1.2901718", \
+					  "-0.4570647, -0.5912311, -0.7413490, -0.9089200, -1.0598227, -1.2198613, -1.3356634", \
+					  "-0.4887995, -0.6229659, -0.7753403, -0.9293897, -1.0767390, -1.2383258, -1.3643465", \
+					  "-0.5288736, -0.6676176, -0.8088606, -0.9575398, -1.1108087, -1.2646307, -1.3990548", \
+					  "-0.5743432, -0.7115614, -0.8543302, -1.0013607, -1.1520429, -1.3020188, -1.4350991");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0238054, 0.0299952, 0.0361849, 0.0360357, 0.0358925, 0.0357433, 0.0355942");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0305302, 0.0358895, 0.0412487, 0.0412192, 0.0411909, 0.0411614, 0.0411319");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005733;
+			capacitance : 0.005557;
+			fall_capacitance : 0.005380;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1039993, -0.1034503, -0.1029013, -0.1025779, -0.1022675, -0.1019442, -0.1016208");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1096624, 0.1095168, 0.1093713, 0.1090858, 0.1088118, 0.1085263, 0.1082409");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1078666, -0.0373539, -0.0560657, -0.0893283, -0.1317442, -0.1672407, -0.2340726", \
+					  "-0.2460414, -0.1747741, -0.1846206, -0.2221777, -0.2478089, -0.2894089, -0.3394561", \
+					  "-0.3504596, -0.2977103, -0.3105679, -0.3281663, -0.3696774, -0.3984494, -0.4454448", \
+					  "-0.4247662, -0.3856285, -0.4015856, -0.4104413, -0.4537158, -0.4685623, -0.5095446", \
+					  "-0.4895690, -0.4578154, -0.4572860, -0.4896174, -0.4861060, -0.5792263, -0.6257957", \
+					  "-0.5460780, -0.5204989, -0.5516440, -0.5481607, -0.5664285, -0.5920343, -0.6985206", \
+					  "-0.5964430, -0.5651848, -0.5989809, -0.6220434, -0.6684569, -0.6644971, -0.7015759");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5299576, 0.4765592, 0.4720543, 0.5037909, 0.5385775, 0.5801775, 0.6256471", \
+					  "0.6636022, 0.6073043, 0.6083363, 0.6351395, 0.6684002, 0.7115261, 0.7569957", \
+					  "0.7762239, 0.7105081, 0.7311213, 0.7457940, 0.7794976, 0.8205469, 0.8629647", \
+					  "0.8433589, 0.7945098, 0.8164619, 0.8358316, 0.8634122, 0.9025296, 0.9506513", \
+					  "0.8995045, 0.8607957, 0.8825500, 0.9094916, 0.9104979, 0.9734914, 1.0049340", \
+					  "0.9712925, 0.9203735, 0.9646904, 0.9743317, 1.0196922, 1.0208922, 1.1005434", \
+					  "1.0012355, 0.9742632, 1.0346094, 1.0817899, 1.0918767, 1.1347432, 1.1859797");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2573188, -0.3166572, -0.3093584, -0.2797107, -0.2411427, -0.1919133, -0.1384557", \
+					  "-0.1209385, -0.1788893, -0.1705172, -0.1433582, -0.1055200, -0.0608681, -0.0123468", \
+					  "-0.0089571, -0.0758022, -0.0624997, -0.0517777, -0.0170304, 0.0291473, 0.0807204", \
+					  "0.0728705, 0.0213847, 0.0210655, 0.0298781, 0.0776293, 0.1154542, 0.1402922", \
+					  "0.1382145, 0.0936612, 0.0881490, 0.1178956, 0.1300865, 0.1658164, 0.2266046", \
+					  "0.1815865, 0.1463626, 0.1626376, 0.1839809, 0.2147204, 0.2495441, 0.2680993", \
+					  "0.2210652, 0.2071117, 0.2157219, 0.2172926, 0.2753253, 0.3156742, 0.3397182");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5374982, 0.6024253, 0.5845237, 0.5591614, 0.5118522, 0.4965078, 0.4495123", \
+					  "0.4092060, 0.4673245, 0.4488119, 0.4279090, 0.4025025, 0.3609024, 0.3093294", \
+					  "0.2968004, 0.3520762, 0.3425198, 0.3129873, 0.2563843, 0.2028822, 0.1695613", \
+					  "0.2092037, 0.2732732, 0.2671596, 0.2370140, 0.2031440, 0.1742409, 0.1200212", \
+					  "0.1422905, 0.2000534, 0.1889254, 0.1685098, 0.1291456, 0.0844938, 0.0451278", \
+					  "0.0837169, 0.1481776, 0.1298459, 0.1053584, 0.0675202, 0.0296181, -0.0168229", \
+					  "0.0266671, 0.0852479, 0.0738354, 0.0529491, 0.0359030, -0.0318193, -0.0832046");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0187083, 0.0747354, 0.0568490, 0.0269759, -0.0081483, -0.0451707, -0.0723298", \
+					  "-0.1155355, -0.0519323, -0.0515938, -0.0863822, -0.1196428, -0.1688723, -0.2204453", \
+					  "-0.2216599, -0.1685716, -0.1693397, -0.2026195, -0.2292409, -0.2715346, -0.3157780", \
+					  "-0.2981389, -0.2540172, -0.2570000, -0.2786566, -0.3209132, -0.3366368, -0.3770955", \
+					  "-0.3660034, -0.3334099, -0.3327803, -0.3525067, -0.3809329, -0.4173690, -0.4426693", \
+					  "-0.4346776, -0.3958600, -0.3920324, -0.4135455, -0.4299467, -0.4974563, -0.5475035", \
+					  "-0.4990750, -0.4540875, -0.4597422, -0.4871332, -0.5310786, -0.5687887, -0.6011562");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5589493, 0.4915467, 0.4949425, 0.5251532, 0.5599397, 0.6015398, 0.6470094", \
+					  "0.6895432, 0.6279069, 0.6283517, 0.6550447, 0.6912893, 0.7328893, 0.7768330", \
+					  "0.8006384, 0.7257553, 0.7535539, 0.7726466, 0.8037633, 0.8403834, 0.8812753", \
+					  "0.8647211, 0.8128576, 0.8415768, 0.8502285, 0.8719786, 0.9167207, 0.9689625", \
+					  "0.9193400, 0.8807489, 0.9084899, 0.9387007, 0.9385760, 0.9731074, 1.0258178", \
+					  "0.9896028, 0.9356414, 0.9792716, 0.9881191, 1.0479115, 1.0315543, 1.1068477", \
+					  "1.0664657, 0.9910548, 1.0450471, 1.1030937, 1.1020522, 1.1500368, 1.1895282");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5558772, 0.5007100, 0.5025329, 0.5342696, 0.5690560, 0.6106561, 0.6545998", \
+					  "0.6945214, 0.6364628, 0.6367256, 0.6645428, 0.6992998, 0.7378481, 0.7863695", \
+					  "0.8194454, 0.7495807, 0.7698518, 0.7863558, 0.8188041, 0.8573524, 0.9058738", \
+					  "0.8877828, 0.8355288, 0.8629391, 0.8854811, 0.9057721, 0.9408692, 0.9696865", \
+					  "0.9361667, 0.9242193, 0.9420593, 0.9676924, 1.0003690, 0.9995455, 1.0468320", \
+					  "1.0006921, 0.9771604, 1.0054753, 1.0308437, 1.0553169, 1.0547287, 1.1215413", \
+					  "1.0816956, 1.0391085, 1.0549734, 1.1253713, 1.1216979, 1.1476738, 1.2064064");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0574918, 0.0084596, -0.0178816, -0.0496183, -0.0920342, -0.1244790, -0.1546898", \
+					  "-0.2021975, -0.1358146, -0.1359162, -0.1676529, -0.2039643, -0.2547206, -0.2971384", \
+					  "-0.3115840, -0.2544671, -0.2635243, -0.2842812, -0.3188716, -0.3589459, -0.3967859", \
+					  "-0.3961936, -0.3672364, -0.3600550, -0.3788982, -0.4218996, -0.4379494, -0.4815133", \
+					  "-0.4678318, -0.4255417, -0.4272344, -0.4533416, -0.4620055, -0.5406660, -0.5757931", \
+					  "-0.5363142, -0.4847000, -0.5047343, -0.5343637, -0.5534853, -0.5882754, -0.6567265", \
+					  "-0.5936736, -0.5389907, -0.5696467, -0.6006880, -0.6319246, -0.6623155, -0.6896540");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6139262, 0.5529669, 0.5513297, 0.5815405, 0.6163270, 0.6579270, 0.7033966", \
+					  "0.7487930, 0.6849447, 0.6871523, 0.7111317, 0.7443924, 0.7859924, 0.8314620", \
+					  "0.8694004, 0.8024317, 0.8186496, 0.8419730, 0.8670375, 0.9055858, 0.9510554", \
+					  "0.9384805, 0.8942233, 0.9163448, 0.9379854, 0.9528950, 0.9839571, 1.0529510", \
+					  "0.9997212, 0.9741426, 0.9880562, 1.0119429, 1.0103877, 1.0720181, 1.1383767", \
+					  "1.0658050, 1.0168284, 1.0534364, 1.0842495, 1.1051694, 1.1348471, 1.1555906", \
+					  "1.1618482, 1.0700479, 1.1164613, 1.1763945, 1.1660484, 1.1539207, 1.2218679");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1430068, -0.0769570, -0.0926171, -0.1243537, -0.1774509, -0.2083697, -0.2660463", \
+					  "-0.2777682, -0.2209111, -0.2267777, -0.2615661, -0.2887232, -0.3379527, -0.3788446", \
+					  "-0.3923434, -0.3467761, -0.3507708, -0.3812047, -0.4114136, -0.4560670, -0.4954314", \
+					  "-0.4906931, -0.4434987, -0.4534202, -0.4697378, -0.5041045, -0.5564543, -0.5796059", \
+					  "-0.5461527, -0.5212815, -0.5344398, -0.5538994, -0.5710690, -0.6136891, -0.6796647", \
+					  "-0.6017007, -0.5848485, -0.6044685, -0.6190916, -0.6205035, -0.6732794, -0.7549759", \
+					  "-0.6672269, -0.6329334, -0.6965806, -0.6951531, -0.7463878, -0.7384567, -0.7942141");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2512784, -0.3151944, -0.3017921, -0.2721749, -0.2351023, -0.1858728, -0.1310372", \
+					  "-0.1148350, -0.1745072, -0.1676152, -0.1389303, -0.1010920, -0.0579661, -0.0094448", \
+					  "-0.0074312, -0.0735550, -0.0579036, -0.0521105, -0.0111716, 0.0334802, 0.0835274", \
+					  "0.0785055, 0.0214258, 0.0234629, 0.0341554, 0.0819849, 0.1214055, 0.1482477", \
+					  "0.1455155, 0.0932692, 0.0932609, 0.1226397, 0.1333881, 0.1699858, 0.2324785", \
+					  "0.1881819, 0.1533539, 0.1654665, 0.1824550, 0.2116321, 0.2542593, 0.2740683", \
+					  "0.2368300, 0.2174958, 0.2264227, 0.2461139, 0.2780146, 0.3159604, 0.3541867");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5558749, 0.6223358, 0.5998843, 0.5775383, 0.5236308, 0.5179365, 0.4724669", \
+					  "0.4257647, 0.4844147, 0.4710979, 0.4481565, 0.4209702, 0.3778443, 0.3247454", \
+					  "0.3211851, 0.3749646, 0.3597169, 0.3265903, 0.2732004, 0.2620370, 0.1911626", \
+					  "0.2275143, 0.2868650, 0.2839442, 0.2544531, 0.2158952, 0.1852833, 0.1331745", \
+					  "0.1621270, 0.2174003, 0.2158017, 0.1852944, 0.1510131, 0.1043302, 0.0573348", \
+					  "0.0989757, 0.1538631, 0.1481883, 0.1495174, 0.0797272, 0.0611480, 0.0202230", \
+					  "0.0449777, 0.1041189, 0.0878964, 0.0697601, 0.0455657, -0.0210396, -0.0581851");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5427339, -0.4782759, -0.4787265, -0.5104632, -0.5452497, -0.5868481, -0.6307934", \
+					  "-0.6762012, -0.6129598, -0.6158051, -0.6434084, -0.6764411, -0.7180413, -0.7619850", \
+					  "-0.7858284, -0.7234806, -0.7393283, -0.7507365, -0.7904947, -0.8226824, -0.8666262", \
+					  "-0.8469598, -0.7981552, -0.8199158, -0.8389938, -0.8587617, -0.9055363, -0.9556907", \
+					  "-0.9059476, -0.8684817, -0.9090675, -0.9099627, -0.9063078, -0.9693196, -0.9944558", \
+					  "-0.9779528, -0.9215588, -0.9680469, -0.9764897, -1.0276114, -1.0197793, -1.0981002", \
+					  "-1.0955236, -0.9781203, -1.0324422, -1.0884710, -1.0922883, -1.1462764, -1.1819777");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5726742, 0.5051612, 0.5040880, 0.5358247, 0.5706112, 0.6137371, 0.6592067", \
+					  "0.7016049, 0.6322124, 0.6348775, 0.6657742, 0.7064037, 0.7480038, 0.7919474", \
+					  "0.8127775, 0.7398560, 0.7621560, 0.7780085, 0.8110207, 0.8495690, 0.8950386", \
+					  "0.8723803, 0.8207843, 0.8456140, 0.8502374, 0.8812690, 0.9276845, 0.9795563", \
+					  "0.9284334, 0.8868026, 0.9145935, 0.9387007, 0.9379493, 0.9760476, 1.0264732", \
+					  "0.9973639, 0.9424583, 0.9852283, 0.9972744, 1.0479063, 1.0371546, 1.1064686", \
+					  "1.1191828, 0.9960397, 1.0528127, 1.1178952, 1.1020522, 1.1506343, 1.1933690");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0834525, -0.0098878, -0.0301256, -0.0603364, -0.1027523, -0.1428264, -0.1730372", \
+					  "-0.2109377, -0.1527933, -0.1583930, -0.1901296, -0.2279679, -0.2695679, -0.3135116", \
+					  "-0.3282460, -0.2702191, -0.2768334, -0.3068035, -0.3339605, -0.3694574, -0.4118749", \
+					  "-0.4003366, -0.3676048, -0.3732321, -0.3824455, -0.4277051, -0.4570981, -0.4838079", \
+					  "-0.4697078, -0.4283878, -0.4349103, -0.4534466, -0.4631576, -0.5512376, -0.5877665", \
+					  "-0.5231869, -0.4861500, -0.5003939, -0.5206426, -0.5639513, -0.5809387, -0.6674602", \
+					  "-0.5812954, -0.5363233, -0.5617402, -0.5868511, -0.6349763, -0.6611997, -0.7083130");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5314835, 0.4704239, 0.4705284, 0.4992133, 0.5339998, 0.5740740, 0.6210695", \
+					  "0.6651281, 0.6064325, 0.6040391, 0.6320878, 0.6638225, 0.7054226, 0.7524181", \
+					  "0.7762244, 0.7111693, 0.7219660, 0.7444335, 0.7774600, 0.8159695, 0.8583873", \
+					  "0.8387820, 0.7880670, 0.8164619, 0.8328478, 0.8634094, 0.8811412, 0.9491256", \
+					  "0.8918760, 0.8561398, 0.8794314, 0.9001704, 0.9115756, 0.9730399, 0.9874739", \
+					  "0.9651911, 0.9172931, 0.9603349, 0.9666598, 1.0091918, 1.0194543, 1.0990367", \
+					  "0.9948407, 0.9696853, 1.0301779, 1.0772123, 1.0889797, 1.1493752, 1.1859792");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2192476, -0.1611376, -0.1704988, -0.1991837, -0.2461772, -0.2831996, -0.3408762", \
+					  "-0.3573075, -0.3104646, -0.3127944, -0.3395394, -0.3804295, -0.4220296, -0.4674992", \
+					  "-0.4684670, -0.4299268, -0.4233205, -0.4441339, -0.4789575, -0.5293834, -0.5675528", \
+					  "-0.5299654, -0.5031739, -0.5048737, -0.5150470, -0.5461438, -0.6203141, -0.6658106", \
+					  "-0.6014360, -0.5698915, -0.5901589, -0.6145218, -0.6253216, -0.6593338, -0.7508650", \
+					  "-0.6572560, -0.6336353, -0.6828771, -0.6894848, -0.7079500, -0.7591410, -0.8176436", \
+					  "-0.7637382, -0.6582819, -0.7327415, -0.7460339, -0.7898609, -0.7869939, -0.9065015");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9068417, 0.8441667, 0.8596180, 0.8867754, 0.9261395, 0.9677396, 1.0147367", \
+					  "1.0403506, 0.9810922, 0.9899597, 1.0275279, 1.0588829, 1.1020089, 1.1474784", \
+					  "1.1530491, 1.0990224, 1.0951028, 1.1428018, 1.1722244, 1.2081510, 1.2536205", \
+					  "1.2172287, 1.1603969, 1.1775830, 1.2230367, 1.2571495, 1.2867642, 1.3442419", \
+					  "1.2687050, 1.2118732, 1.2382145, 1.3018599, 1.3458016, 1.3729712, 1.4065280", \
+					  "1.3391232, 1.2749284, 1.2808650, 1.3741665, 1.4150564, 1.4593628, 1.4389554", \
+					  "1.4513323, 1.3639258, 1.3283882, 1.4525545, 1.4522698, 1.4959429, 1.5774226");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5330035, 0.4719096, 0.4690064, 0.5007430, 0.5355296, 0.5771296, 0.6225992", \
+					  "0.6665353, 0.6067203, 0.6040345, 0.6350436, 0.6683042, 0.7083784, 0.7538480", \
+					  "0.7792292, 0.7105251, 0.7280695, 0.7484779, 0.7800297, 0.8159828, 0.8599265", \
+					  "0.8387963, 0.7896049, 0.8164627, 0.8334006, 0.8674025, 0.9024228, 0.9505904", \
+					  "0.8948796, 0.8577067, 0.8840759, 0.9017355, 0.9105080, 0.9738892, 1.0048133", \
+					  "0.9683141, 0.9184334, 0.9632634, 0.9681855, 1.0195228, 1.0179977, 1.0990190", \
+					  "1.0011836, 0.9689486, 1.0347945, 1.0802640, 1.0904201, 1.1347353, 1.1859904");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1148329, 0.1772814, 0.1513167, 0.1342335, 0.0799478, 0.0364348, 0.0159590", \
+					  "-0.0230714, 0.0408798, 0.0354701, 0.0037425, -0.0432511, -0.0970582, -0.1318466", \
+					  "-0.1271241, -0.0751697, -0.0760517, -0.1070603, -0.1405075, -0.1832103, -0.2170526", \
+					  "-0.2112955, -0.1591100, -0.1611126, -0.1948750, -0.2139116, -0.2410885, -0.2882242", \
+					  "-0.2856623, -0.2406117, -0.2431064, -0.2748958, -0.2965746, -0.3148801, -0.3812068", \
+					  "-0.3466612, -0.3145998, -0.3156943, -0.3340947, -0.3804252, -0.4194077, -0.4191872", \
+					  "-0.4044496, -0.3654538, -0.3737278, -0.3939635, -0.4411897, -0.4858415, -0.5175755");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023853;
+			capacitance : 0.024639;
+			rise_capacitance : 0.024912;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2512784, -0.1148350, -0.0074312, 0.0785055, 0.1455155, 0.1881819, 0.2368300", \
+					  "-0.3151944, -0.1745072, -0.0735550, 0.0214258, 0.0932692, 0.1533539, 0.2174958", \
+					  "-0.3017921, -0.1676152, -0.0579036, 0.0234629, 0.0932609, 0.1654665, 0.2264227", \
+					  "-0.2721749, -0.1389303, -0.0521105, 0.0341554, 0.1226397, 0.1824550, 0.2461139", \
+					  "-0.2351023, -0.1010920, -0.0111716, 0.0819849, 0.1333881, 0.2116321, 0.2780146", \
+					  "-0.1858728, -0.0579661, 0.0334802, 0.1214055, 0.1699858, 0.2542593, 0.3159604", \
+					  "-0.1310372, -0.0094448, 0.0835274, 0.1482477, 0.2324785, 0.2740683, 0.3541867");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3153002, -0.1606113, -0.0043795, 0.1320852, 0.2505894, 0.3472516, 0.4294944", \
+					  "-0.3728951, -0.2122767, -0.0629432, 0.0795230, 0.1993244, 0.3157489, 0.4109393", \
+					  "-0.3606405, -0.2003458, -0.0584019, 0.0767287, 0.1942607, 0.3194203, 0.4220034", \
+					  "-0.3384882, -0.1755082, -0.0461027, 0.0971841, 0.2244842, 0.3394781, 0.4411307", \
+					  "-0.3037017, -0.1343926, 0.0026615, 0.1282990, 0.2440026, 0.3738701, 0.4741814", \
+					  "-0.2605788, -0.1092594, 0.0318193, 0.1832212, 0.2733317, 0.4099036, 0.5127297", \
+					  "-0.2212097, -0.0679842, 0.0781414, 0.2280754, 0.3197904, 0.4291789, 0.5655422");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5558749, 0.4257647, 0.3211851, 0.2275143, 0.1621270, 0.0989757, 0.0449777", \
+					  "0.6223358, 0.4844147, 0.3749646, 0.2868650, 0.2174003, 0.1538631, 0.1041189", \
+					  "0.5998843, 0.4710979, 0.3597169, 0.2839442, 0.2158017, 0.1481883, 0.0878964", \
+					  "0.5775383, 0.4481565, 0.3265903, 0.2544531, 0.1852944, 0.1495174, 0.0697601", \
+					  "0.5236308, 0.4209702, 0.2732004, 0.2158952, 0.1510131, 0.0797272, 0.0455657", \
+					  "0.5179365, 0.3778443, 0.2620370, 0.1852833, 0.1043302, 0.0611480, -0.0210396", \
+					  "0.4724669, 0.3247454, 0.1911626, 0.1331745, 0.0573348, 0.0202230, -0.0581851");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3269276, 0.1676644, 0.0144834, -0.1249382, -0.2417265, -0.3434238, -0.4230107", \
+					  "0.3864327, 0.2191871, 0.0756773, -0.0690643, -0.1921073, -0.3069940, -0.4038227", \
+					  "0.3694114, 0.2058104, 0.0669289, -0.0786295, -0.1867702, -0.3107134, -0.4151977", \
+					  "0.3501169, 0.1809152, 0.0489279, -0.0887022, -0.2205894, -0.3355649, -0.4361452", \
+					  "0.3114699, 0.1413041, 0.0229882, -0.1183655, -0.2359244, -0.3675263, -0.4635854", \
+					  "0.2706786, 0.1191755, -0.0218069, -0.1762441, -0.2651025, -0.4059036, -0.5072038", \
+					  "0.2358902, 0.0749979, -0.0796276, -0.2195430, -0.3113724, -0.4206546, -0.5343401");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006328;
+			capacitance : 0.006463;
+			rise_capacitance : 0.006599;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5427339, -0.6762012, -0.7858284, -0.8469598, -0.9059476, -0.9779528, -1.0955236", \
+					  "-0.4782759, -0.6129598, -0.7234806, -0.7981552, -0.8684817, -0.9215588, -0.9781203", \
+					  "-0.4787265, -0.6158051, -0.7393283, -0.8199158, -0.9090675, -0.9680469, -1.0324422", \
+					  "-0.5104632, -0.6434084, -0.7507365, -0.8389938, -0.9099627, -0.9764897, -1.0884710", \
+					  "-0.5452497, -0.6764411, -0.7904947, -0.8587617, -0.9063078, -1.0276114, -1.0922883", \
+					  "-0.5868481, -0.7180413, -0.8226824, -0.9055363, -0.9693196, -1.0197793, -1.1462764", \
+					  "-0.6307934, -0.7619850, -0.8666262, -0.9556907, -0.9944558, -1.0981002, -1.1819777");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1194696, -0.0419551, -0.1940401, -0.3277796, -0.4713536, -0.5769933, -0.7152288", \
+					  "0.1834859, 0.0408937, -0.1156021, -0.2819628, -0.4314522, -0.5551301, -0.6831855", \
+					  "0.1559995, 0.0320576, -0.1260857, -0.2894266, -0.4423544, -0.5748598, -0.7162394", \
+					  "0.1426593, -0.0149373, -0.1618124, -0.3060532, -0.4550584, -0.5977256, -0.7251333", \
+					  "0.0771045, -0.0344656, -0.1952232, -0.3447463, -0.4821880, -0.6215403, -0.7626546", \
+					  "0.0601693, -0.0867462, -0.2432949, -0.3797959, -0.5375465, -0.6614768, -0.7914510", \
+					  "0.0131738, -0.1444234, -0.2870281, -0.4166924, -0.5817420, -0.7182088, -0.8297038");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5726742, 0.7016049, 0.8127775, 0.8723803, 0.9284334, 0.9973639, 1.1191828", \
+					  "0.5051612, 0.6322124, 0.7398560, 0.8207843, 0.8868026, 0.9424583, 0.9960397", \
+					  "0.5040880, 0.6348775, 0.7621560, 0.8456140, 0.9145935, 0.9852283, 1.0528127", \
+					  "0.5358247, 0.6657742, 0.7780085, 0.8502374, 0.9387007, 0.9972744, 1.1178952", \
+					  "0.5706112, 0.7064037, 0.8110207, 0.8812690, 0.9379493, 1.0479063, 1.1020522", \
+					  "0.6137371, 0.7480038, 0.8495690, 0.9276845, 0.9760476, 1.0371546, 1.1506343", \
+					  "0.6592067, 0.7919474, 0.8950386, 0.9795563, 1.0264732, 1.1064686, 1.1933690");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4017610, 0.5441687, 0.6943174, 0.8391409, 0.9805514, 1.1076539, 1.2275797", \
+					  "0.3450066, 0.4911532, 0.6436378, 0.7930135, 0.9385777, 1.0368212, 1.1908756", \
+					  "0.3438253, 0.4879511, 0.6494672, 0.8095157, 0.9582679, 1.0861520, 1.2100230", \
+					  "0.3770879, 0.5179485, 0.6675175, 0.8240957, 0.9798994, 1.1097238, 1.2479750", \
+					  "0.4072967, 0.5512091, 0.6952005, 0.8434541, 1.0003865, 1.1346220, 1.2748120", \
+					  "0.4488968, 0.5912833, 0.7383194, 0.8662371, 1.0205014, 1.1684537, 1.3048327", \
+					  "0.4974181, 0.6352270, 0.7822631, 0.9256619, 1.0828802, 1.1917774, 1.3423390");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0232373, 0.0263712, 0.0295050, 0.0293598, 0.0292204, 0.0290751, 0.0289298");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0305858, 0.0341209, 0.0376559, 0.0375653, 0.0374783, 0.0373876, 0.0372970");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.018371;
+			capacitance : 0.019231;
+			rise_capacitance : 0.020091;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5533476, 0.8271680, 1.1009884, 1.3366887, 1.5629609, 1.7986611, 2.0343614");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.6291447, 27.1460350, 46.6629240, 47.0349710, 47.3921360, 47.7641840, 48.1362310");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033213;
+			capacitance : 0.033193;
+			fall_capacitance : 0.033174;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0553312, 0.0877722, 0.2308755, 0.4598452, 0.6796561, 0.9086258, 1.1375954");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1536466, 1.3106253, 1.4676039, 1.6967634, 1.9167565, 2.1459159, 2.3750754");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006835;
+			capacitance : 0.006700;
+			fall_capacitance : 0.006565;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2192476, -0.3573075, -0.4684670, -0.5299654, -0.6014360, -0.6572560, -0.7637382", \
+					  "-0.1611376, -0.3104646, -0.4299268, -0.5031739, -0.5698915, -0.6336353, -0.6582819", \
+					  "-0.1704988, -0.3127944, -0.4233205, -0.5048737, -0.5901589, -0.6828771, -0.7327415", \
+					  "-0.1991837, -0.3395394, -0.4441339, -0.5150470, -0.6145218, -0.6894848, -0.7460339", \
+					  "-0.2461772, -0.3804295, -0.4789575, -0.5461438, -0.6253216, -0.7079500, -0.7898609", \
+					  "-0.2831996, -0.4220296, -0.5293834, -0.6203141, -0.6593338, -0.7591410, -0.7869939", \
+					  "-0.3408762, -0.4674992, -0.5675528, -0.6658106, -0.7508650, -0.8176436, -0.9065015");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7487119, -0.8949468, -1.0457624, -1.1951565, -1.3304744, -1.4619991, -1.5812410", \
+					  "-0.6888288, -0.8358456, -0.9863936, -1.1398511, -1.2694125, -1.3975385, -1.5232676", \
+					  "-0.7029844, -0.8481255, -1.0037909, -1.1524594, -1.2923550, -1.4238797, -1.5400698", \
+					  "-0.7301435, -0.8772141, -1.0335161, -1.1908596, -1.3421104, -1.4799950, -1.5960415", \
+					  "-0.7679817, -0.9133849, -1.0576064, -1.2230291, -1.3723192, -1.5163421, -1.6432222", \
+					  "-0.8172111, -0.9565108, -1.0989818, -1.2489395, -1.3946764, -1.5454156, -1.6772048", \
+					  "-0.8581031, -1.0035058, -1.1444510, -1.2894156, -1.4419935, -1.5872188, -1.7139946");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9068417, 1.0403506, 1.1530491, 1.2172287, 1.2687050, 1.3391232, 1.4513323", \
+					  "0.8441667, 0.9810922, 1.0990224, 1.1603969, 1.2118732, 1.2749284, 1.3639258", \
+					  "0.8596180, 0.9899597, 1.0951028, 1.1775830, 1.2382145, 1.2808650, 1.3283882", \
+					  "0.8867754, 1.0275279, 1.1428018, 1.2230367, 1.3018599, 1.3741665, 1.4525545", \
+					  "0.9261395, 1.0588829, 1.1722244, 1.2571495, 1.3458016, 1.4150564, 1.4522698", \
+					  "0.9677396, 1.1020089, 1.2081510, 1.2867642, 1.3729712, 1.4593628, 1.4959429", \
+					  "1.0147367, 1.1474784, 1.2536205, 1.3442419, 1.4065280, 1.4389554, 1.5774226");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7771271, 0.9127358, 1.0590032, 1.2068783, 1.3452363, 1.4544827, 1.5930882", \
+					  "0.7096965, 0.8485484, 0.9992753, 1.1455070, 1.2833390, 1.4109305, 1.5385044", \
+					  "0.7268209, 0.8638881, 1.0190030, 1.1651262, 1.3116941, 1.4311682, 1.5519165", \
+					  "0.7539800, 0.8918021, 1.0512490, 1.2082461, 1.3598433, 1.4967521, 1.6085236", \
+					  "0.7902923, 0.9281021, 1.0720378, 1.2319423, 1.3885262, 1.5356009, 1.6579213", \
+					  "0.8349442, 0.9712286, 1.1136864, 1.2723537, 1.4077457, 1.5618520, 1.6922341", \
+					  "0.8819396, 1.0182241, 1.1591558, 1.3040792, 1.4576875, 1.6039596, 1.7269708");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0242321, 0.0272774, 0.0303228, 0.0301598, 0.0300033, 0.0298403, 0.0296773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296698, 0.0330096, 0.0363495, 0.0362510, 0.0361564, 0.0360579, 0.0359594");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014018;
+			capacitance : 0.013941;
+			fall_capacitance : 0.013864;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5330035, 0.6665353, 0.7792292, 0.8387963, 0.8948796, 0.9683141, 1.0011836", \
+					  "0.4719096, 0.6067203, 0.7105251, 0.7896049, 0.8577067, 0.9184334, 0.9689486", \
+					  "0.4690064, 0.6040345, 0.7280695, 0.8164627, 0.8840759, 0.9632634, 1.0347945", \
+					  "0.5007430, 0.6350436, 0.7484779, 0.8334006, 0.9017355, 0.9681855, 1.0802640", \
+					  "0.5355296, 0.6683042, 0.7800297, 0.8674025, 0.9105080, 1.0195228, 1.0904201", \
+					  "0.5771296, 0.7083784, 0.8159828, 0.9024228, 0.9738892, 1.0179977, 1.1347353", \
+					  "0.6225992, 0.7538480, 0.8599265, 0.9505904, 1.0048133, 1.0990190, 1.1859904");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3910785, 0.5328063, 0.6836640, 0.8238516, 0.9674647, 1.0939396, 1.2237897", \
+					  "0.3391351, 0.4824577, 0.6359875, 0.7862116, 0.9274539, 1.0620287, 1.1885299", \
+					  "0.3423027, 0.4802667, 0.6364755, 0.7949740, 0.9496687, 1.0762167, 1.1921965", \
+					  "0.3709847, 0.5073012, 0.6500590, 0.8054241, 0.9702716, 1.1010341, 1.2417640", \
+					  "0.4057711, 0.5420877, 0.6875785, 0.8381510, 0.9942948, 1.1267514, 1.2641583", \
+					  "0.4473712, 0.5867395, 0.7276527, 0.8755525, 1.0158559, 1.1432539, 1.2951450", \
+					  "0.4943667, 0.6276314, 0.7746482, 0.9164471, 1.0772763, 1.1788354, 1.3267403");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1148329, -0.0230714, -0.1271241, -0.2112955, -0.2856623, -0.3466612, -0.4044496", \
+					  "0.1772814, 0.0408798, -0.0751697, -0.1591100, -0.2406117, -0.3145998, -0.3654538", \
+					  "0.1513167, 0.0354701, -0.0760517, -0.1611126, -0.2431064, -0.3156943, -0.3737278", \
+					  "0.1342335, 0.0037425, -0.1070603, -0.1948750, -0.2748958, -0.3340947, -0.3939635", \
+					  "0.0799478, -0.0432511, -0.1405075, -0.2139116, -0.2965746, -0.3804252, -0.4411897", \
+					  "0.0364348, -0.0970582, -0.1832103, -0.2410885, -0.3148801, -0.4194077, -0.4858415", \
+					  "0.0159590, -0.1318466, -0.2170526, -0.2882242, -0.3812068, -0.4191872, -0.5175755");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3779218, -0.5241577, -0.6749768, -0.8228441, -0.9626910, -1.0835241, -1.2027151", \
+					  "-0.3300285, -0.4682542, -0.6227230, -0.7740508, -0.9216434, -1.0563242, -1.1689472", \
+					  "-0.3169375, -0.4652915, -0.6225016, -0.7815860, -0.9381224, -1.0638791, -1.1796683", \
+					  "-0.3517259, -0.4941082, -0.6370212, -0.7952460, -0.9574362, -1.0909306, -1.2256401", \
+					  "-0.3849865, -0.5304205, -0.6743914, -0.8282017, -0.9784018, -1.1130174, -1.2524427", \
+					  "-0.4281125, -0.5720205, -0.7159918, -0.8622884, -1.0083198, -1.1373363, -1.2811668", \
+					  "-0.4705303, -0.6159643, -0.7599352, -0.9078891, -1.0635687, -1.1712669, -1.3166859");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241450, 0.0272935, 0.0304421, 0.0302870, 0.0301381, 0.0299830, 0.0298279");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297338, 0.0332476, 0.0367614, 0.0366049, 0.0364548, 0.0362984, 0.0361419");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.883494;
+			max_transition : 1.504357;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0211223, 0.04461517, 0.09423752, 0.1990513, 0.4204423, 0.888071");
+					values("7.1235652, 7.1328954, 7.1443289, 7.1566620, 7.1611558, 7.1600213, 7.1692058", \
+					  "9.3312250, 9.3306326, 9.3294296, 9.3385169, 9.3757615, 9.4686863, 9.4868809", \
+					  "9.3455833, 9.3487032, 9.3462954, 9.3545258, 9.3523843, 9.3551035, 9.3555745", \
+					  "9.3478480, 9.3485436, 9.3658180, 9.3642784, 9.3550914, 9.3620216, 9.3713188", \
+					  "9.3607674, 9.3738498, 9.3739521, 9.3637277, 9.3879314, 9.3679179, 9.3748204", \
+					  "9.3798328, 9.3887502, 9.3584689, 9.3815121, 9.3860952, 9.3895655, 9.3942337", \
+					  "9.3635759, 9.3890945, 9.3943299, 9.4003087, 9.3971862, 9.4020165, 9.3984178");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0211223, 0.04461517, 0.09423752, 0.1990513, 0.4204423, 0.888071");
+					values("4.6042733, 5.8000926, 8.2056848, 9.2057364, 9.3413410, 9.3209975, 9.2822303", \
+					  "2.4195103, 3.6762446, 6.0952740, 7.0571951, 7.1572006, 7.1661613, 7.1594822", \
+					  "7.3563950, 7.3566535, 7.3571670, 7.3581592, 7.3593857, 7.3867648, 7.2219162", \
+					  "7.4523582, 7.4528500, 7.4535204, 7.4547313, 7.4559569, 7.4620275, 7.4376633", \
+					  "7.5239754, 7.5244177, 7.5251385, 7.5264343, 7.5278637, 7.5313549, 7.5310314", \
+					  "7.6381800, 7.6383865, 7.6391064, 7.6400926, 7.6415246, 7.5991661, 7.6213532", \
+					  "7.7027013, 7.7030405, 7.7031107, 7.7033718, 7.7037803, 7.6203852, 7.6978909");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("12.2830305, 12.2868358, 12.2908628, 12.2952564, 12.2794671, 12.2745329, 12.2776140", \
+					  "41.0699010, 41.0691480, 41.0742310, 41.0715040, 41.0760700, 41.0655290, 40.3473160", \
+					  "73.5990230, 73.6801870, 73.5995990, 73.5955670, 73.5905230, 73.5736680, 73.5922780", \
+					  "105.3155800, 105.1335700, 105.3316000, 105.3455900, 105.3403600, 105.3088200, 105.3046800", \
+					  "136.2040900, 136.1193700, 136.2096700, 136.2408500, 136.2277900, 136.2008100, 136.1966800", \
+					  "166.9276100, 166.8397500, 166.9167300, 166.9261000, 167.0093600, 166.9071800, 166.8750300", \
+					  "197.5826200, 197.3399500, 197.5951300, 197.5356700, 197.5787200, 197.5889900, 197.5681100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("11.1136076, 11.1172576, 11.1291126, 11.1376976, 11.1538396, 11.1612156, 11.1699076", \
+					  "35.0113550, 35.0110950, 35.0116810, 35.0156150, 35.0178220, 35.2664530, 35.5783180", \
+					  "51.9025860, 51.9137860, 51.9116660, 51.8936660, 51.9004390, 51.8769920, 51.8608730", \
+					  "69.0667730, 69.0635880, 69.0427020, 69.1899320, 69.0579950, 69.0723800, 68.9659580", \
+					  "86.3373330, 86.3057200, 86.3526130, 86.4508810, 86.3386450, 86.3052340, 86.3314980", \
+					  "103.6829000, 103.6856600, 103.6853500, 103.7971100, 103.6703800, 103.5949700, 103.5949700", \
+					  "121.0086600, 121.0187900, 121.0461300, 121.1772500, 121.0645900, 121.1322400, 120.9888300");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("10.7071414, 10.7096845, 10.7108199, 10.7070193, 10.6967409, 10.6832777, 10.6822724", \
+					  "12.3810010, 12.3756470, 12.3909290, 12.3786310, 12.3833650, 12.3768530, 11.6902920", \
+					  "22.7886630, 22.7835580, 22.8043450, 22.7794760, 22.7976370, 22.7961190, 22.7770530", \
+					  "32.6450120, 32.7081900, 32.6651000, 32.6874640, 32.6826140, 32.6773430, 32.6582480", \
+					  "42.2616700, 42.2561710, 42.2827570, 42.2313260, 42.2557200, 42.2329390, 42.2615800", \
+					  "51.7111560, 51.7737940, 51.7972960, 51.7936560, 51.6888860, 51.7417150, 51.7382210", \
+					  "61.0787530, 61.0765120, 61.1250430, 61.1678490, 61.0596160, 61.1359780, 61.0794610");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("11.3584326, 11.3664576, 11.3779326, 11.3957636, 11.4186706, 11.4347746, 11.4542486", \
+					  "29.0460660, 29.0429890, 29.0459980, 29.0446470, 29.3727300, 29.6436350, 29.6740200", \
+					  "38.9884440, 38.9911120, 38.9914560, 38.9950400, 38.9929230, 38.9948420, 39.1156690", \
+					  "49.0083560, 49.0059100, 49.0088350, 49.0115900, 49.0069030, 48.9968450, 48.9991480", \
+					  "59.1050540, 59.1239700, 59.1176070, 59.1185230, 59.1222420, 59.1033820, 59.1605650", \
+					  "69.2882210, 69.2481420, 69.3149770, 69.2420770, 69.2924260, 69.2771810, 69.3128400", \
+					  "79.4060930, 79.3542250, 79.4178330, 79.3396880, 79.4983660, 79.3620240, 79.4809570");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("27.4615892, 27.4705702, 27.4967782, 27.5214862, 27.5657512, 27.6334642, 27.6316812", \
+					  "27.4553311, 27.4718731, 27.4800311, 27.5262061, 27.5529441, 27.6312061, 27.6265601", \
+					  "27.4469611, 27.4633771, 27.4807271, 27.5151341, 27.5500261, 27.6233981, 27.6223401", \
+					  "27.4488527, 27.4622457, 27.4804387, 27.5163347, 27.5551267, 27.6339317, 27.6234207", \
+					  "27.5456697, 27.4483617, 27.4921997, 27.5422637, 27.5663317, 27.6199887, 27.6388947", \
+					  "27.4728094, 27.5838874, 27.4936404, 27.5482384, 27.5827044, 27.6569294, 27.6818174", \
+					  "27.5063160, 27.5170750, 27.5344640, 27.5689330, 27.6017920, 27.6778510, 27.6745900");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("21.0861947, 21.0991597, 21.1895447, 21.2562997, 21.3603817, 21.4320657, 21.4491507", \
+					  "21.0609428, 21.1325748, 21.1612778, 21.2604878, 21.3476578, 21.4312178, 21.4477728", \
+					  "21.0850720, 21.0951560, 21.1649420, 21.2682660, 21.3578610, 21.4294420, 21.4477630", \
+					  "21.0554602, 21.0929402, 21.1564052, 21.2702412, 21.3507302, 21.4418482, 21.4631792", \
+					  "21.0872306, 21.1210296, 21.1532256, 21.2712426, 21.3562096, 21.4243006, 21.4327146", \
+					  "21.0902929, 21.0990879, 21.1849879, 21.2730569, 21.3623539, 21.4380919, 21.4426139", \
+					  "21.0618952, 21.0827242, 21.1637172, 21.2617772, 21.3895832, 21.4002482, 21.4961522");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("2.1998356, 2.2135258, 2.2456723, 2.3071243, 2.4286035, 2.6824338, 3.2161012", \
+					  "4.0070005, 4.0221364, 4.0526547, 4.1136676, 4.2367825, 4.4903175, 5.0215130", \
+					  "5.3800489, 5.3952025, 5.4256781, 5.4866818, 5.6098193, 5.8633405, 6.3946097", \
+					  "5.6981295, 5.7132992, 5.7437362, 5.8047251, 5.9279373, 6.1814340, 6.7127965", \
+					  "7.5446209, 7.5597697, 7.5902413, 7.6512334, 7.7743838, 8.0278930, 8.5592360", \
+					  "8.9906447, 9.0057695, 9.0362127, 9.0972163, 9.2203543, 9.4738812, 10.0050660", \
+					  "10.0354520, 10.0505840, 10.0809250, 10.1419570, 10.2649850, 10.5186300, 11.0498520", \
+					  "11.4482500, 11.4633780, 11.4937590, 11.5547890, 11.6779060, 11.9314470, 12.4625080", \
+					  "29.5399440, 29.6285880, 29.6550890, 29.6550894, 29.7757660, 30.0307940, 30.5630610", \
+					  "40.4793890, 40.4956570, 40.5267540, 40.5267544, 40.6295690, 40.8846430, 41.4172980", \
+					  "86.7409110, 86.7409173, 86.7409249, 86.7409325, 86.7409401, 87.0728700, 87.6523740", \
+					  "253.1418200, 253.1979800, 253.3739700, 253.3739701, 253.5569200, 253.5655300, 254.0189400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("0.1242410, 0.1402408, 0.1757548, 0.2513631, 0.4121206, 0.7585922, 1.5025108", \
+					  "0.1251849, 0.1415313, 0.1767231, 0.2521396, 0.4120602, 0.7574561, 1.4938132", \
+					  "0.1254459, 0.1415360, 0.1766193, 0.2521530, 0.4120306, 0.7574734, 1.5004658", \
+					  "0.1256056, 0.1417560, 0.1759633, 0.2515687, 0.4121550, 0.7588968, 1.4977171", \
+					  "0.1253858, 0.1414388, 0.1766164, 0.2521483, 0.4120352, 0.7576437, 1.4953232", \
+					  "0.1251206, 0.1422237, 0.1768122, 0.2516030, 0.4119002, 0.7588312, 1.5034086", \
+					  "0.1252358, 0.1419302, 0.1770124, 0.2517703, 0.4119882, 0.7574182, 1.5001098", \
+					  "0.1253246, 0.1419499, 0.1769470, 0.2516763, 0.4119239, 0.7584035, 1.5003270", \
+					  "0.1253552, 0.1414479, 0.1762754, 0.2521486, 0.4120319, 0.7576281, 1.5003672", \
+					  "0.1251684, 0.1415166, 0.1767324, 0.2521415, 0.4120633, 0.7581093, 1.4992442", \
+					  "0.1255633, 0.1418460, 0.1763722, 0.2512673, 0.4121354, 0.7588635, 1.5155832", \
+					  "0.1253879, 0.1419388, 0.1769999, 0.2517165, 0.4119796, 0.7574289, 1.5177080");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("1.8488053, 1.8700614, 1.9120050, 1.9840183, 2.1083926, 2.3199926, 2.7006688", \
+					  "3.2621483, 3.2838012, 3.3249881, 3.3982632, 3.5226932, 3.7336378, 4.1160089", \
+					  "4.0802175, 4.1019215, 4.1428803, 4.2165631, 4.3404538, 4.5521373, 4.9325353", \
+					  "4.2412784, 4.2624722, 4.3040993, 4.3774047, 4.5021813, 4.7128674, 5.0950284", \
+					  "5.1854729, 5.2065845, 5.2483178, 5.3215361, 5.4461742, 5.6570362, 6.0393748", \
+					  "5.8506271, 5.8725793, 5.9137677, 5.9869953, 6.1115704, 6.3229830, 6.7041257", \
+					  "6.3045795, 6.3257275, 6.3673746, 6.4405925, 6.5652355, 6.7606107, 7.1582011", \
+					  "6.9033437, 6.9248594, 6.9661725, 7.0394837, 7.1638389, 7.3698430, 7.7575821", \
+					  "13.0510620, 13.0752220, 13.0934620, 13.2340850, 13.3130240, 13.5223890, 13.9072850", \
+					  "16.3850890, 16.4057140, 16.4311550, 16.5201720, 16.6457090, 16.8519470, 17.2390530", \
+					  "28.7993310, 28.8590950, 28.8806950, 28.9494470, 29.0774090, 29.3010950, 29.6822250", \
+					  "68.5666710, 68.5666771, 68.6466780, 68.6631050, 68.8579930, 68.9864590, 69.3432160");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("0.1658423, 0.1824567, 0.2142576, 0.2707145, 0.3744496, 0.5831972, 1.0295090", \
+					  "0.1694138, 0.1852448, 0.2171702, 0.2754326, 0.3828179, 0.5907365, 1.0355237", \
+					  "0.1694587, 0.1858082, 0.2168408, 0.2766617, 0.3793285, 0.5859071, 1.0320528", \
+					  "0.1674313, 0.1864146, 0.2174774, 0.2753469, 0.3830167, 0.5907614, 1.0320036", \
+					  "0.1672513, 0.1850651, 0.2173058, 0.2754372, 0.3825512, 0.5906983, 1.0333645", \
+					  "0.1680813, 0.1850216, 0.2176238, 0.2752155, 0.3817900, 0.5894860, 1.0363941", \
+					  "0.1673667, 0.1850695, 0.2174384, 0.2752813, 0.3830608, 0.5876807, 1.0342509", \
+					  "0.1692903, 0.1848419, 0.2174044, 0.2752394, 0.3832861, 0.5906223, 1.0327346", \
+					  "0.1679279, 0.1863743, 0.2173692, 0.2760266, 0.3822921, 0.5905833, 1.0327097", \
+					  "0.1680293, 0.1857723, 0.2180671, 0.2753668, 0.3815610, 0.5906315, 1.0328366", \
+					  "0.1674441, 0.1850008, 0.2172565, 0.2744237, 0.3831114, 0.5891579, 1.0386852", \
+					  "0.1688247, 0.1867351, 0.2173734, 0.2754099, 0.3810906, 0.5893844, 1.0330036");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.0964324, 1.1114094, 1.1414899, 1.2010147, 1.3224158, 1.5740592, 2.1011677", \
+					  "1.6507760, 1.6661331, 1.6956894, 1.7553256, 1.8764164, 2.1273121, 2.6547722", \
+					  "1.9122433, 1.9275421, 1.9569892, 2.0167437, 2.1378121, 2.3886464, 2.9170562", \
+					  "1.9611155, 1.9757738, 2.0060202, 2.0656703, 2.1866708, 2.4373599, 2.9654557", \
+					  "2.2630497, 2.2801590, 2.3079660, 2.3675830, 2.4886808, 2.7395612, 3.2682390", \
+					  "2.4820626, 2.4983018, 2.5269549, 2.5865843, 2.7102514, 2.9611935, 3.4898806", \
+					  "2.6423626, 2.6472238, 2.6872957, 2.7469031, 2.8585971, 3.1188827, 3.6475472", \
+					  "2.8444722, 2.8739955, 2.9001370, 2.9490586, 3.0738585, 3.3209897, 3.8495971", \
+					  "5.4205600, 5.4340989, 5.4489777, 5.5184599, 5.6383542, 5.8972498, 6.4231380", \
+					  "6.9608515, 6.9649961, 6.9968493, 7.0653869, 7.1767521, 7.3961153, 7.9563245", \
+					  "13.3190360, 13.3249300, 13.3601160, 13.4097960, 13.5570970, 13.7865420, 14.3239790", \
+					  "36.4878830, 36.4901390, 36.5513340, 36.6051510, 36.7250590, 37.0035310, 37.4844400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1217647, 0.1381018, 0.1733638, 0.2473352, 0.4083688, 0.7552131, 1.4997796", \
+					  "0.1240425, 0.1407835, 0.1742136, 0.2488111, 0.4091728, 0.7580797, 1.4912513", \
+					  "0.1239358, 0.1407919, 0.1751173, 0.2488471, 0.4091848, 0.7565196, 1.4978536", \
+					  "0.1229681, 0.1401174, 0.1750452, 0.2491619, 0.4086487, 0.7559519, 1.4925683", \
+					  "0.1240419, 0.1407897, 0.1742088, 0.2488014, 0.4091639, 0.7546503, 1.4993826", \
+					  "0.1239986, 0.1400100, 0.1744302, 0.2486338, 0.4091895, 0.7543746, 1.4991793", \
+					  "0.1240492, 0.1403183, 0.1741487, 0.2487324, 0.4134429, 0.7545744, 1.4993442", \
+					  "0.1240240, 0.1404400, 0.1742013, 0.2487114, 0.4134422, 0.7548321, 1.4994572", \
+					  "0.1238428, 0.1407437, 0.1741407, 0.2483372, 0.4092247, 0.7553892, 1.4984159", \
+					  "0.1240472, 0.1403466, 0.1748532, 0.2488302, 0.4133895, 0.7547830, 1.4982795", \
+					  "0.1238271, 0.1407984, 0.1752202, 0.2486669, 0.4134370, 0.7543061, 1.4994550", \
+					  "0.1240097, 0.1407417, 0.1744464, 0.2489375, 0.4092217, 0.7570518, 1.4963704");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.4043429, 1.4241318, 1.4627834, 1.5315521, 1.6490407, 1.8523162, 2.2236006", \
+					  "2.7576599, 2.7775748, 2.8158071, 2.8844953, 3.0024991, 3.2052483, 3.5790209", \
+					  "3.9602290, 3.9800012, 4.0180037, 4.0870907, 4.2046331, 4.4084905, 4.7803974", \
+					  "4.2411656, 4.2607549, 4.3127133, 4.3676369, 4.4856718, 4.7042703, 5.0623692", \
+					  "5.9550089, 5.9747913, 6.0084839, 6.0818754, 6.1994379, 6.4262093, 6.7766202", \
+					  "7.4183943, 7.4380053, 7.4756672, 7.5379178, 7.6623943, 7.8665270, 8.2394216", \
+					  "8.5426679, 8.5622629, 8.6012024, 8.6699012, 8.7872296, 8.9914449, 9.3639221", \
+					  "10.1579330, 10.1777650, 10.2121030, 10.2914630, 10.4024920, 10.6024950, 10.9796100", \
+					  "33.5865980, 33.6053110, 33.6053143, 33.6930380, 33.8427240, 33.9579920, 34.4076270", \
+					  "49.2182970, 49.2374780, 49.2557820, 49.3488310, 49.4555540, 49.6722950, 50.0398340", \
+					  "119.0488600, 119.0811000, 119.1222000, 119.1933000, 119.3073200, 119.5085000, 119.8843300", \
+					  "389.1597400, 389.1683800, 389.2660900, 389.3196900, 389.4045100, 389.5735600, 389.9921800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1549554, 0.1701549, 0.2003652, 0.2562449, 0.3585855, 0.5648055, 1.0093970", \
+					  "0.1570680, 0.1729351, 0.2039194, 0.2591562, 0.3660649, 0.5744244, 1.0157846", \
+					  "0.1580776, 0.1759167, 0.2048399, 0.2587825, 0.3648500, 0.5721488, 1.0156221", \
+					  "0.1579865, 0.1742506, 0.2045395, 0.2594133, 0.3660458, 0.5749095, 1.0221760", \
+					  "0.1581027, 0.1758629, 0.2055753, 0.2588277, 0.3648732, 0.5707638, 1.0188902", \
+					  "0.1574971, 0.1725414, 0.2035760, 0.2598359, 0.3664500, 0.5747994, 1.0200232", \
+					  "0.1573338, 0.1743119, 0.2041142, 0.2593486, 0.3660942, 0.5729579, 1.0180464", \
+					  "0.1582250, 0.1755874, 0.2055750, 0.2599402, 0.3649769, 0.5711530, 1.0189180", \
+					  "0.1580751, 0.1724861, 0.2055131, 0.2592507, 0.3661159, 0.5731330, 1.0181636", \
+					  "0.1570245, 0.1743085, 0.2054928, 0.2604424, 0.3650043, 0.5726168, 1.0192569", \
+					  "0.1580117, 0.1743842, 0.2046591, 0.2591172, 0.3648404, 0.5709660, 1.0195547", \
+					  "0.1581814, 0.1744808, 0.2033851, 0.2598195, 0.3650216, 0.5710483, 1.0189345");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.0834292, 1.0983839, 1.1285167, 1.1884457, 1.3091757, 1.5605220, 2.0876760", \
+					  "0.5877873, 0.6030738, 0.6326753, 0.6922375, 0.8133452, 1.0642748, 1.5927517", \
+					  "-0.2282901, -0.2129340, -0.1833800, -0.1237495, -0.0026608, 0.2482249, 0.7768810", \
+					  "-0.4380508, -0.4226970, -0.3931605, -0.3335307, -0.2124516, 0.0384324, 0.5671205", \
+					  "-1.8040411, -1.7887392, -1.7591288, -1.6995251, -1.5784377, -1.3275191, -0.7989806", \
+					  "-3.0429541, -3.0277809, -2.9980498, -2.9384177, -2.8173366, -2.5664542, -2.0378077", \
+					  "-4.0292418, -4.0138111, -3.9843315, -3.9247072, -3.8036099, -3.5527226, -3.0240848", \
+					  "-5.4797349, -5.4600764, -5.4289999, -5.3756062, -5.2533329, -4.9974158, -4.4688795", \
+					  "-27.9653050, -27.9493090, -27.9207240, -27.8606840, -27.7397620, -27.4891660, -26.9609490", \
+					  "-43.4866330, -43.4716160, -43.4426610, -43.3809110, -43.2613340, -43.0100080, -42.4772400", \
+					  "-114.1521400, -114.1506700, -114.1258700, -114.0623400, -113.9388500, -113.6759900, -113.1614100", \
+					  "-392.0906700, -391.9984700, -391.9830100, -391.8911800, -391.8229700, -391.6121000, -391.0383800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1219672, 0.1392691, 0.1731645, 0.2473649, 0.4085002, 0.7545702, 1.4887901", \
+					  "0.1238173, 0.1403551, 0.1748725, 0.2484140, 0.4134470, 0.7562516, 1.4894489", \
+					  "0.1240323, 0.1407712, 0.1742615, 0.2487675, 0.4091418, 0.7547531, 1.4982161", \
+					  "0.1240097, 0.1407449, 0.1743928, 0.2486525, 0.4134180, 0.7549697, 1.4994911", \
+					  "0.1238612, 0.1404607, 0.1746865, 0.2483861, 0.4134274, 0.7558765, 1.4990545", \
+					  "0.1240227, 0.1405646, 0.1743051, 0.2487205, 0.4091184, 0.7548727, 1.4994627", \
+					  "0.1240282, 0.1407661, 0.1742754, 0.2487501, 0.4091278, 0.7548391, 1.4994492", \
+					  "0.1229638, 0.1408552, 0.1743490, 0.2489277, 0.4079805, 0.7537306, 1.4964663", \
+					  "0.1240350, 0.1406406, 0.1748775, 0.2488108, 0.4091531, 0.7563336, 1.4976698", \
+					  "0.1240751, 0.1408066, 0.1748354, 0.2488299, 0.4091984, 0.7550114, 1.4982109", \
+					  "0.1231698, 0.1393526, 0.1743073, 0.2491259, 0.4092536, 0.7561198, 1.4993012", \
+					  "0.1240738, 0.1408386, 0.1742669, 0.2490770, 0.4092676, 0.7570292, 1.4985979");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.5477781, 1.5677817, 1.6061747, 1.6753497, 1.7930455, 1.9959168, 2.3685243", \
+					  "3.4611110, 3.4808958, 3.5189052, 3.5879792, 3.7055417, 3.9093782, 4.2827324", \
+					  "5.2713984, 5.2911275, 5.3297140, 5.3982325, 5.5155063, 5.7193641, 6.0926673", \
+					  "5.6910534, 5.7112248, 5.7499134, 5.8186500, 5.9357994, 6.1392873, 6.5128409", \
+					  "8.2796170, 8.2992022, 8.3371354, 8.4063325, 8.5237994, 8.7275396, 9.0989865", \
+					  "10.4865830, 10.5075300, 10.5443410, 10.6135520, 10.7311690, 10.9348260, 11.3081640", \
+					  "12.1937290, 12.2140940, 12.2519770, 12.3205690, 12.4380040, 12.6428060, 13.0150170", \
+					  "14.6426040, 14.6616260, 14.6966480, 14.7663710, 14.8866920, 15.0862060, 15.4595890", \
+					  "50.3246970, 50.3403110, 50.3786130, 50.4476390, 50.5672980, 50.7689360, 51.1417400", \
+					  "74.1409560, 74.1615620, 74.1995900, 74.2690180, 74.3849070, 74.5905880, 74.9641340", \
+					  "180.7131000, 180.7311500, 180.7705400, 180.8411000, 180.9576000, 181.1638800, 181.5336200", \
+					  "592.9138600, 592.9242100, 592.9745700, 593.0263600, 593.1642600, 593.3614500, 593.7339400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1543961, 0.1701849, 0.2002382, 0.2555766, 0.3580427, 0.5645080, 1.0117936", \
+					  "0.1581120, 0.1758591, 0.2048139, 0.2588479, 0.3648767, 0.5720269, 1.0130234", \
+					  "0.1564210, 0.1726716, 0.2028114, 0.2600999, 0.3666403, 0.5720143, 1.0201325", \
+					  "0.1566455, 0.1720468, 0.2043358, 0.2601201, 0.3660250, 0.5747046, 1.0207728", \
+					  "0.1570251, 0.1743090, 0.2054919, 0.2600784, 0.3649207, 0.5706679, 1.0156924", \
+					  "0.1576692, 0.1754403, 0.2055161, 0.2602061, 0.3660598, 0.5716669, 1.0202804", \
+					  "0.1574773, 0.1738385, 0.2035796, 0.2599542, 0.3665016, 0.5747891, 1.0175281", \
+					  "0.1590478, 0.1743393, 0.2035525, 0.2601841, 0.3634032, 0.5740818, 1.0188445", \
+					  "0.1568950, 0.1735410, 0.2044231, 0.2588146, 0.3650630, 0.5722753, 1.0207888", \
+					  "0.1571187, 0.1739459, 0.2025155, 0.2601399, 0.3658558, 0.5732681, 1.0154723", \
+					  "0.1573453, 0.1739026, 0.2043604, 0.2612061, 0.3660956, 0.5753571, 1.0146770", \
+					  "0.1570478, 0.1746078, 0.2041704, 0.2606215, 0.3617040, 0.5667401, 1.0142303");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("4.6414455, 4.6562483, 4.6864757, 4.7459596, 4.8677875, 5.1194653, 5.6503207", \
+					  "4.7876498, 4.8022626, 4.8326587, 4.8921689, 5.0136464, 5.2656288, 5.7965397", \
+					  "4.9437214, 4.9585163, 4.9887499, 5.0482609, 5.1697397, 5.4217422, 5.9526273", \
+					  "5.0996616, 5.1145626, 5.1448001, 5.2043139, 5.3257758, 5.5777938, 6.1085710", \
+					  "5.2353153, 5.2537543, 5.2839439, 5.3434470, 5.4649312, 5.7160374, 6.2478276", \
+					  "5.3864437, 5.4016209, 5.4317568, 5.4910103, 5.6125073, 5.8645111, 6.3916441", \
+					  "5.5136380, 5.5284413, 5.5586695, 5.6181797, 5.7396532, 5.9916596, 6.5225425");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("0.1233365, 0.1394097, 0.1745598, 0.2501031, 0.4112499, 0.7618721, 1.4961793", \
+					  "0.1233378, 0.1394098, 0.1745564, 0.2501131, 0.4118248, 0.7618977, 1.4959127", \
+					  "0.1233369, 0.1394098, 0.1745587, 0.2501072, 0.4118569, 0.7618788, 1.4960165", \
+					  "0.1233366, 0.1394098, 0.1745587, 0.2501078, 0.4118556, 0.7618798, 1.4960460", \
+					  "0.1241257, 0.1401188, 0.1745559, 0.2501136, 0.4118187, 0.7628990, 1.4958942", \
+					  "0.1233367, 0.1401132, 0.1748147, 0.2501066, 0.4118581, 0.7618782, 1.5043573", \
+					  "0.1233366, 0.1394098, 0.1745594, 0.2501054, 0.4118688, 0.7618754, 1.4960339");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("3.5179535, 3.5367218, 3.5744445, 3.6427115, 3.7616088, 3.9752113, 4.3932769", \
+					  "3.6580674, 3.6773113, 3.7147179, 3.7811403, 3.9017665, 4.1148778, 4.5333755", \
+					  "3.7718246, 3.7906851, 3.8282710, 3.8982675, 4.0152850, 4.2291037, 4.6472963", \
+					  "3.8638421, 3.8825489, 3.9203791, 3.9854000, 4.1072408, 4.3212052, 4.7391637", \
+					  "3.9287249, 3.9478087, 3.9851655, 4.0524375, 4.1723069, 4.3862753, 4.8043867", \
+					  "4.0041321, 4.0232160, 4.0606332, 4.1256945, 4.2474513, 4.4610671, 4.8793138", \
+					  "4.0627759, 4.0818779, 4.1191286, 4.1849697, 4.3060733, 4.5199036, 4.9379052");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("0.1526629, 0.1683080, 0.2013754, 0.2562426, 0.3720101, 0.6116092, 1.1402147", \
+					  "0.1525798, 0.1677332, 0.1996259, 0.2578309, 0.3702797, 0.6087925, 1.1401837", \
+					  "0.1526617, 0.1682803, 0.1996461, 0.2580776, 0.3703168, 0.6116864, 1.1403017", \
+					  "0.1526915, 0.1683042, 0.1997043, 0.2581072, 0.3703117, 0.6116543, 1.1401746", \
+					  "0.1528671, 0.1677350, 0.1993263, 0.2564595, 0.3698794, 0.6123609, 1.1402408", \
+					  "0.1530408, 0.1669760, 0.1993080, 0.2583251, 0.3726550, 0.6114542, 1.1402272", \
+					  "0.1531727, 0.1667669, 0.1989810, 0.2578122, 0.3726324, 0.6110163, 1.1401630");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 8.210570;
+			max_transition : 3.766258;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0305756, 0.09348671, 0.2858412, 0.8739766, 2.672236, 8.17052");
+					values("6.7057683, 6.6275340, 6.4612399, 6.2525675, 5.9886709, 5.8035204, 5.7066083", \
+					  "9.2744883, 9.1634747, 8.9047337, 8.5049147, 8.1934060, 8.1176897, 8.0306508", \
+					  "9.2924340, 9.1811741, 8.9247091, 8.5179711, 8.1901751, 7.9902031, 8.0374683", \
+					  "9.3109019, 9.1642640, 8.9264056, 8.5454517, 8.1849250, 7.9906498, 8.0310706", \
+					  "9.3205946, 9.2614787, 8.9382893, 8.5740625, 8.1877187, 7.9888049, 7.9186119", \
+					  "9.2750885, 9.2187473, 8.9213342, 8.4871641, 8.2249468, 7.9842799, 7.9196493", \
+					  "9.3377372, 9.1329574, 8.9414622, 8.5427828, 8.2958865, 8.0245862, 7.9207838");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0305756, 0.09348671, 0.2858412, 0.8739766, 2.672236, 8.17052");
+					values("9.0654866, 8.9518744, 8.6456874, 8.1028860, 7.4355248, 6.6258830, 5.3712579", \
+					  "6.9505209, 6.7837571, 6.5142345, 5.9459911, 5.2810547, 4.5360079, 2.9764797", \
+					  "7.2871474, 7.1742612, 6.8441871, 6.2600316, 5.6183374, 4.5318762, 3.8793660", \
+					  "7.3848844, 7.2591677, 6.9182542, 6.3474651, 5.7171407, 5.0284512, 3.7784233", \
+					  "7.4543306, 7.3596979, 6.9795046, 6.4018370, 5.7997808, 5.3322988, 3.1769407", \
+					  "7.5621827, 7.4414711, 7.0431499, 6.4708616, 5.8688276, 5.3335886, 3.4366505", \
+					  "7.6229561, 7.4012437, 7.1469727, 6.5235851, 5.9191580, 5.3729490, 4.5383215");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("10.5018830, 10.3840240, 10.0839477, 9.4862973, 8.7138296, 7.7908947, 6.2181419", \
+					  "12.3139910, 12.1777400, 11.8410830, 11.2033190, 10.5317860, 9.0838422, 7.7823165", \
+					  "22.7125890, 22.5740450, 22.2533190, 21.6175030, 20.9550470, 20.3195220, 18.1898780", \
+					  "32.6407480, 32.5189980, 32.1419770, 31.5227570, 30.8334850, 30.1876960, 29.0163000", \
+					  "42.1900330, 42.0644800, 41.7243950, 41.0835010, 40.3970750, 39.7505570, 39.1589710", \
+					  "51.6309980, 51.5474160, 51.2196570, 50.6239740, 49.8534300, 49.2715280, 48.6025840", \
+					  "61.0254970, 60.9184370, 60.5815260, 59.9981380, 59.2666120, 58.6590150, 58.0149500");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("10.4898186, 10.4073776, 10.2913276, 10.2405926, 10.1565936, 9.9932796, 9.9169566", \
+					  "28.9861500, 28.8755220, 28.6140260, 28.1803090, 27.8161430, 28.2114770, 28.1394390", \
+					  "38.9337760, 38.8228580, 38.5613380, 38.1313280, 37.7683680, 37.5364600, 38.0170720", \
+					  "48.9506250, 48.8386550, 48.5767640, 48.1455050, 47.7812060, 47.5347780, 47.9699650", \
+					  "59.0625470, 58.9483880, 58.6907330, 58.2460680, 57.9048680, 57.6140490, 57.8508800", \
+					  "69.1813340, 69.1048950, 68.7968710, 68.3880590, 68.0333010, 67.7342140, 67.5753690", \
+					  "79.3190030, 79.2090560, 78.9209180, 78.5622820, 78.2512460, 77.8848100, 77.7328970");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("12.0739941, 11.9540992, 11.6624607, 11.0675921, 10.3114600, 9.3941085, 7.9631114", \
+					  "41.0068330, 40.8779570, 40.5276580, 39.9077400, 39.2360870, 37.5071470, 36.4421550", \
+					  "73.5372110, 73.4065660, 73.0610090, 72.4260930, 71.7353820, 71.1080710, 69.2207190", \
+					  "105.2636200, 105.1412000, 104.7895200, 104.1686300, 103.4584300, 102.8376300, 100.6390700", \
+					  "136.1255700, 136.0613300, 135.6604800, 135.0251900, 134.3487800, 133.7165500, 132.7960400", \
+					  "166.8502000, 166.7069400, 166.3853800, 165.7236400, 165.0656700, 164.4172900, 163.8525100", \
+					  "197.5383500, 197.3967500, 197.0628200, 196.4238100, 195.7517200, 195.0710700, 194.4990100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("10.4999596, 10.4125656, 10.2515816, 10.0773526, 9.9029976, 9.7135206, 9.6219306", \
+					  "34.9561350, 34.8426290, 34.5784340, 34.1541210, 33.7872160, 34.1139200, 34.0329880", \
+					  "51.8481790, 51.7568940, 51.4692490, 51.0503450, 50.6933180, 50.4285610, 50.8656870", \
+					  "68.9714540, 68.8726850, 68.6295330, 68.1677560, 67.9477380, 67.5886080, 67.8501980", \
+					  "86.2746060, 86.1779120, 85.9015910, 85.4562390, 85.2125730, 84.9584220, 84.5635920", \
+					  "103.6052800, 103.5283200, 103.2620400, 102.7976000, 102.6103400, 102.2453900, 101.8710800", \
+					  "121.0532100, 120.9416300, 120.6737500, 120.2170600, 119.9635400, 119.6058300, 119.1629200");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("20.5009977, 20.3347697, 19.9147467, 19.1376907, 18.1932817, 17.2745457, 16.7134437", \
+					  "20.4991148, 20.3314588, 19.8971938, 19.1310998, 18.1845528, 17.2794978, 16.6975718", \
+					  "20.5045540, 20.3223370, 19.9082670, 19.1350750, 18.2052350, 17.2971400, 16.6946170", \
+					  "20.4970802, 20.3274782, 19.9010502, 19.1366852, 18.2069312, 17.3011072, 16.7120142", \
+					  "20.5094646, 20.3335606, 19.9097796, 19.1371546, 18.1835666, 17.2749446, 16.6701576", \
+					  "20.4933989, 20.3241679, 19.8907689, 19.1398169, 18.1804559, 17.2711399, 16.6578819", \
+					  "20.4818802, 20.3428802, 19.8814712, 19.1107652, 18.1852622, 17.2657342, 16.7402602");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("26.7358172, 26.6266272, 26.3642592, 25.9639092, 25.6548712, 24.8101252, 23.4543432", \
+					  "26.7358021, 26.6232241, 26.3223741, 26.0060021, 25.6225031, 24.8115081, 23.3507561", \
+					  "26.7196211, 26.6198081, 26.3543771, 25.9461631, 25.6473361, 24.8125581, 23.4584241", \
+					  "26.7308257, 26.6290547, 26.3367717, 25.9672627, 25.6507077, 24.8036037, 23.4437627", \
+					  "26.7043717, 26.6414097, 26.3289477, 25.9708027, 25.6370277, 24.8268697, 23.3699127", \
+					  "26.7614844, 26.6462464, 26.3939014, 25.9751484, 25.6800904, 24.8534184, 23.4830894", \
+					  "26.7628220, 26.6767060, 26.4064560, 25.9882670, 25.7042990, 24.8732610, 23.5200900");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("2.5567286, 2.5678626, 2.5967359, 2.6667064, 2.8457661, 3.3616199, 4.9510507", \
+					  "4.3620747, 4.3724633, 4.4015827, 4.4722763, 4.6504063, 5.1693618, 6.7509411", \
+					  "5.7349525, 5.7453571, 5.7745035, 5.8451071, 6.0235035, 6.5418493, 8.1289357", \
+					  "6.0533503, 6.0640710, 6.0933520, 6.1641949, 6.3426882, 6.8593069, 8.4480529", \
+					  "7.8996507, 7.9100406, 7.9391755, 8.0097813, 8.1881772, 8.7066594, 10.2918720", \
+					  "9.3458778, 9.3564303, 9.3850163, 9.4559578, 9.6352748, 10.1508330, 11.7365810", \
+					  "10.3906070, 10.4013140, 10.4298310, 10.5006520, 10.6800280, 11.1959250, 12.7811380", \
+					  "11.8035190, 11.8141480, 11.8426950, 11.9135860, 12.0930000, 12.6086600, 14.1944920", \
+					  "29.8380130, 29.9131530, 29.9429850, 30.0178550, 30.1899840, 30.7292640, 32.3200520", \
+					  "40.8489350, 40.8489361, 40.8489399, 40.9386200, 41.0484980, 41.6432680, 43.3732090", \
+					  "86.9748930, 86.9748955, 86.9749032, 86.9749108, 87.3849120, 87.8686490, 88.2820790", \
+					  "253.4308700, 253.5480500, 253.5480576, 253.8522800, 253.8522873, 254.0532200, 255.9285100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("0.1114845, 0.1211534, 0.1469666, 0.2195280, 0.4606696, 1.2573370, 3.7434194", \
+					  "0.1119285, 0.1214309, 0.1474380, 0.2196564, 0.4595778, 1.2557488, 3.7374308", \
+					  "0.1119389, 0.1211785, 0.1475057, 0.2197696, 0.4604346, 1.2562964, 3.7616781", \
+					  "0.1112720, 0.1212273, 0.1479202, 0.2196154, 0.4606884, 1.2581044, 3.7603454", \
+					  "0.1119824, 0.1212242, 0.1474678, 0.2197547, 0.4604224, 1.2575553, 3.7562189", \
+					  "0.1107778, 0.1206146, 0.1468005, 0.2196807, 0.4609834, 1.2562871, 3.7469174", \
+					  "0.1108445, 0.1209687, 0.1461408, 0.2199062, 0.4605820, 1.2547893, 3.7670413", \
+					  "0.1107725, 0.1208469, 0.1466076, 0.2198785, 0.4608288, 1.2558369, 3.7480392", \
+					  "0.1115952, 0.1212189, 0.1474929, 0.2197611, 0.4604191, 1.2551410, 3.7574970", \
+					  "0.1119202, 0.1214514, 0.1474293, 0.2197606, 0.4603007, 1.2574276, 3.7564551", \
+					  "0.1113374, 0.1213036, 0.1481364, 0.2199044, 0.4593999, 1.2574491, 3.7547609", \
+					  "0.1108050, 0.1209462, 0.1462481, 0.2199065, 0.4601199, 1.2551483, 3.7453503");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("1.5559093, 1.5654104, 1.5951109, 1.6669160, 1.8500252, 2.3755726, 3.9782568", \
+					  "2.9704637, 2.9809490, 3.0091285, 3.0806162, 3.2646672, 3.7894550, 5.3908811", \
+					  "3.7891863, 3.7994590, 3.8277226, 3.8995362, 4.0834154, 4.6087583, 6.2092398", \
+					  "3.9504995, 3.9605714, 3.9888359, 4.0605808, 4.2446278, 4.7697237, 6.3776482", \
+					  "4.8947468, 4.9050088, 4.9332574, 5.0047428, 5.1889288, 5.7139022, 7.3165976", \
+					  "5.5591336, 5.5695191, 5.5977378, 5.6695666, 5.8533902, 6.3787513, 7.9814919", \
+					  "6.0140639, 6.0243668, 6.0525407, 6.1243366, 6.3082650, 6.8335986, 8.4348120", \
+					  "6.6130048, 6.6235004, 6.6517885, 6.7230563, 6.9072903, 7.4326256, 9.0354133", \
+					  "12.7618030, 12.8130790, 12.8130794, 12.8742070, 13.0341580, 13.5824530, 15.1775800", \
+					  "16.0947200, 16.1051630, 16.1336900, 16.2055680, 16.3889610, 16.9145760, 18.5169940", \
+					  "28.5276440, 28.5441570, 28.5888060, 28.6448040, 28.8216300, 29.3617790, 30.9489470", \
+					  "68.1795170, 68.2128910, 68.2128945, 68.2328010, 68.4954030, 69.1311120, 70.6200040");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("0.0929678, 0.1003386, 0.1232036, 0.1883614, 0.3987513, 1.0863539, 3.2479428", \
+					  "0.0929863, 0.1007174, 0.1240713, 0.1895290, 0.4002725, 1.0869410, 3.2379113", \
+					  "0.0923760, 0.1009101, 0.1235543, 0.1900499, 0.3993427, 1.0841440, 3.2450456", \
+					  "0.0927965, 0.1004405, 0.1233505, 0.1900011, 0.3995020, 1.0864550, 3.2509151", \
+					  "0.0927390, 0.1007849, 0.1243117, 0.1890497, 0.3994430, 1.0872795, 3.2486205", \
+					  "0.0922521, 0.1009294, 0.1235566, 0.1900105, 0.3992812, 1.0868085, 3.2520415", \
+					  "0.0925838, 0.1005428, 0.1234674, 0.1900271, 0.3994575, 1.0875730, 3.2435299", \
+					  "0.0929854, 0.1006394, 0.1243415, 0.1897416, 0.3992333, 1.0869687, 3.2528058", \
+					  "0.0929862, 0.1007851, 0.1235331, 0.1899925, 0.3991740, 1.0867235, 3.2419067", \
+					  "0.0927149, 0.1007857, 0.1240356, 0.1895004, 0.4003798, 1.0867713, 3.2421053", \
+					  "0.0922359, 0.1007111, 0.1235371, 0.1891385, 0.3993501, 1.0869599, 3.2505445", \
+					  "0.0922677, 0.1005681, 0.1235915, 0.1891498, 0.3993813, 1.0854063, 3.2478253");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.8599609, 0.8708667, 0.9003144, 0.9722846, 1.1518608, 1.6701052, 3.2611926", \
+					  "0.3631792, 0.3742432, 0.4040588, 0.4761479, 0.6557760, 1.1751343, 2.7604548", \
+					  "-0.4529463, -0.4418763, -0.4120421, -0.3399814, -0.1603368, 0.3588700, 1.9462143", \
+					  "-0.6627288, -0.6516499, -0.6218144, -0.5497671, -0.3705477, 0.1491272, 1.7395457", \
+					  "-2.0285096, -2.0174422, -1.9876178, -1.9155426, -1.7359560, -1.2166150, 0.3737503", \
+					  "-3.2675260, -3.2594603, -3.2266154, -3.1545631, -2.9781353, -2.4557198, -0.8652547", \
+					  "-4.2537982, -4.2426005, -4.2129020, -4.1408219, -3.9639296, -3.4420646, -1.8515249", \
+					  "-5.6983858, -5.6937677, -5.6574767, -5.5866258, -5.4128764, -4.8870659, -3.2962284", \
+					  "-28.1900190, -28.1787290, -28.1485950, -28.0770750, -27.8987570, -27.3788730, -25.7875200", \
+					  "-43.7115980, -43.7004070, -43.6700170, -43.5982520, -43.4182890, -42.9068020, -41.3086810", \
+					  "-114.3920100, -114.3907100, -114.3251200, -114.2779400, -114.1015200, -113.5860000, -111.9927500", \
+					  "-392.2132000, -392.2131805, -392.2131500, -392.1564700, -392.0206400, -391.4374800, -389.8219600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.1154603, 0.1244986, 0.1513979, 0.2234405, 0.4634074, 1.2578506, 3.7609924", \
+					  "0.1168588, 0.1253467, 0.1528958, 0.2244605, 0.4631297, 1.2613127, 3.7563419", \
+					  "0.1168764, 0.1254474, 0.1528835, 0.2244340, 0.4631447, 1.2613152, 3.7545984", \
+					  "0.1168762, 0.1254225, 0.1528873, 0.2244402, 0.4630980, 1.2613126, 3.7496601", \
+					  "0.1168649, 0.1253746, 0.1528901, 0.2244504, 0.4631482, 1.2613128, 3.7506962", \
+					  "0.1168709, 0.1253431, 0.1528823, 0.2244362, 0.4631313, 1.2612944, 3.7510084", \
+					  "0.1168623, 0.1253749, 0.1528791, 0.2244356, 0.4631851, 1.2612290, 3.7551673", \
+					  "0.1168902, 0.1268541, 0.1530144, 0.2244362, 0.4628888, 1.2612267, 3.7583954", \
+					  "0.1168637, 0.1255024, 0.1531167, 0.2243711, 0.4631976, 1.2610927, 3.7439678", \
+					  "0.1164743, 0.1255934, 0.1529326, 0.2244098, 0.4632372, 1.2574377, 3.7534362", \
+					  "0.1168844, 0.1254671, 0.1524564, 0.2243843, 0.4631827, 1.2608770, 3.7452672", \
+					  "0.1172646, 0.1256083, 0.1529806, 0.2243770, 0.4630579, 1.2612065, 3.7670382");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.8570884, 0.8676904, 0.8969105, 0.9702679, 1.1571444, 1.6843121, 3.2885215", \
+					  "2.7686238, 2.7792748, 2.8084020, 2.8818559, 3.0687737, 3.5958685, 5.1984403", \
+					  "4.5795261, 4.5902153, 4.6194457, 4.6926898, 4.8795532, 5.4068008, 7.0112294", \
+					  "4.9993401, 5.0093782, 5.0393174, 5.1120068, 5.2987974, 5.8266663, 7.4303892", \
+					  "7.5867623, 7.5974995, 7.6266042, 7.6999038, 7.8868752, 8.4140304, 10.0171390", \
+					  "9.7936494, 9.8043254, 9.8334612, 9.9068025, 10.0937230, 10.6208700, 12.2248170", \
+					  "11.5017920, 11.5124320, 11.5415480, 11.6149680, 11.8018830, 12.3289610, 13.9323730", \
+					  "13.9464930, 13.9569760, 13.9860670, 14.0594960, 14.2463850, 14.7734930, 16.3768860", \
+					  "49.6261410, 49.6363950, 49.6653630, 49.7386490, 49.9280870, 50.4531310, 52.0563540", \
+					  "73.4468770, 73.4586370, 73.4861630, 73.5593990, 73.7479910, 74.2734940, 75.8760310", \
+					  "180.0155500, 180.0267900, 180.0529900, 180.1284700, 180.3150600, 180.8407600, 182.4450600", \
+					  "592.2109900, 592.2232200, 592.2580000, 592.3280400, 592.5123800, 593.0471000, 594.6488400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.0967193, 0.1054236, 0.1280663, 0.1933625, 0.4033868, 1.0854323, 3.2545315", \
+					  "0.0975242, 0.1053847, 0.1285013, 0.1939762, 0.4047981, 1.0876263, 3.2370377", \
+					  "0.0966520, 0.1047652, 0.1285071, 0.1936224, 0.4046757, 1.0869085, 3.2438967", \
+					  "0.0971414, 0.1059299, 0.1285135, 0.1933394, 0.4050955, 1.0876131, 3.2479175", \
+					  "0.0966122, 0.1055400, 0.1290332, 0.1937733, 0.4046338, 1.0870030, 3.2533827", \
+					  "0.0966913, 0.1059712, 0.1284602, 0.1936307, 0.4049597, 1.0875849, 3.2524698", \
+					  "0.0976061, 0.1053758, 0.1285105, 0.1939528, 0.4048151, 1.0875298, 3.2400125", \
+					  "0.0971623, 0.1055988, 0.1285465, 0.1939647, 0.4046269, 1.0876301, 3.2507248", \
+					  "0.0976946, 0.1054100, 0.1285919, 0.1934597, 0.4048586, 1.0873804, 3.2422167", \
+					  "0.0967421, 0.1054698, 0.1289437, 0.1936890, 0.4044241, 1.0872546, 3.2451737", \
+					  "0.0969426, 0.1055864, 0.1288371, 0.1943014, 0.4047109, 1.0864550, 3.2425707", \
+					  "0.0969916, 0.1056129, 0.1291012, 0.1935876, 0.4043753, 1.0859960, 3.2348406");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.8726288, 0.8838052, 0.9132877, 0.9852605, 1.1647425, 1.6825030, 3.2699561", \
+					  "1.4260418, 1.4371212, 1.4669560, 1.5390170, 1.7186216, 2.2378395, 3.8270356", \
+					  "1.6874985, 1.6985215, 1.7283699, 1.8004742, 1.9801221, 2.4992322, 4.0906984", \
+					  "1.7362266, 1.7477363, 1.7770772, 1.8493144, 2.0288928, 2.5481852, 4.1382675", \
+					  "2.0383411, 2.0493918, 2.0792223, 2.1513058, 2.3309028, 2.8501359, 4.4401918", \
+					  "2.2573536, 2.2684357, 2.2982656, 2.3703188, 2.5523375, 3.0692144, 4.6590709", \
+					  "2.4176514, 2.4286235, 2.4585813, 2.5306217, 2.7122273, 3.2294170, 4.8216529", \
+					  "2.6197684, 2.6390867, 2.6607474, 2.7327556, 2.9254474, 3.4441836, 5.0364757", \
+					  "5.1892055, 5.1893547, 5.2370703, 5.3015423, 5.4792850, 5.9879999, 7.5955349", \
+					  "6.7361530, 6.7403074, 6.7622259, 6.8491124, 7.0203261, 7.5478308, 9.1334185", \
+					  "13.0814780, 13.0952160, 13.1475030, 13.2007760, 13.3994980, 13.9212980, 15.4866430", \
+					  "36.2183950, 36.3026800, 36.3026829, 36.3468900, 36.5323270, 37.1247890, 38.6309050");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.1159028, 0.1252201, 0.1515840, 0.2235346, 0.4633384, 1.2600119, 3.7463113", \
+					  "0.1168778, 0.1254588, 0.1528830, 0.2244318, 0.4631706, 1.2556018, 3.7625316", \
+					  "0.1168647, 0.1254727, 0.1528820, 0.2244680, 0.4631787, 1.2612951, 3.7710404", \
+					  "0.1162502, 0.1268911, 0.1530461, 0.2240991, 0.4631525, 1.2588480, 3.7787573", \
+					  "0.1168807, 0.1254630, 0.1528839, 0.2244314, 0.4631717, 1.2613015, 3.7508285", \
+					  "0.1168747, 0.1254151, 0.1528883, 0.2244424, 0.4631720, 1.2613111, 3.7497170", \
+					  "0.1168805, 0.1254622, 0.1528851, 0.2244293, 0.4631452, 1.2612975, 3.7513130", \
+					  "0.1168785, 0.1253315, 0.1528859, 0.2244363, 0.4631263, 1.2613119, 3.7512837", \
+					  "0.1168636, 0.1254396, 0.1528879, 0.2244582, 0.4631049, 1.2613133, 3.7495229", \
+					  "0.1168802, 0.1254776, 0.1528956, 0.2244301, 0.4631303, 1.2613159, 3.7475529", \
+					  "0.1168476, 0.1259058, 0.1528943, 0.2244347, 0.4631202, 1.2613095, 3.7494363", \
+					  "0.1168257, 0.1253164, 0.1528931, 0.2243079, 0.4631618, 1.2613103, 3.7497454");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.7130532, 0.7236875, 0.7530111, 0.8263386, 1.0129828, 1.5403445, 3.1446625", \
+					  "2.0660702, 2.0766906, 2.1058781, 2.1792541, 2.3662134, 2.8933300, 4.4959401", \
+					  "3.2679008, 3.2785554, 3.3076895, 3.3811540, 3.5597896, 4.0951846, 5.7031162", \
+					  "3.5491170, 3.5597403, 3.5889307, 3.6622902, 3.8489989, 4.3763904, 5.9808047", \
+					  "5.2626019, 5.2732614, 5.3023967, 5.3758589, 5.5668732, 6.0898895, 7.6933251", \
+					  "6.7261197, 6.7368533, 6.7660606, 6.8393669, 7.0171571, 7.5534903, 9.1579144", \
+					  "7.8502946, 7.8572331, 7.8901374, 7.9634725, 8.1523179, 8.6732479, 10.2805230", \
+					  "9.4658246, 9.4658247, 9.5050368, 9.5786223, 9.7659587, 10.2809900, 11.8965830", \
+					  "32.8941320, 32.9153130, 32.9338650, 33.0074780, 33.2058650, 33.7198610, 35.3358120", \
+					  "48.5253830, 48.5375620, 48.5448910, 48.6116920, 48.8235120, 49.3585760, 50.9549520", \
+					  "118.3526500, 118.3798700, 118.3921400, 118.4853200, 118.6755000, 119.1773100, 120.8006600", \
+					  "388.4956600, 388.4956818, 388.4957123, 388.5369300, 388.7994900, 389.3287600, 390.8996600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.0967810, 0.1060597, 0.1282442, 0.1933771, 0.4034633, 1.0872115, 3.2479510", \
+					  "0.0967970, 0.1057268, 0.1284070, 0.1932681, 0.4042229, 1.0868708, 3.2458578", \
+					  "0.0975753, 0.1054385, 0.1285498, 0.1940275, 0.4045064, 1.0876302, 3.2483678", \
+					  "0.0967885, 0.1057395, 0.1284298, 0.1933006, 0.4048211, 1.0860038, 3.2540073", \
+					  "0.0976076, 0.1054026, 0.1285446, 0.1940332, 0.4045588, 1.0875917, 3.2570109", \
+					  "0.0971869, 0.1048035, 0.1285797, 0.1938785, 0.4048565, 1.0876183, 3.2499656", \
+					  "0.0967432, 0.1057470, 0.1284959, 0.1933641, 0.4049216, 1.0860552, 3.2523635", \
+					  "0.0976191, 0.1061911, 0.1285448, 0.1940492, 0.4048519, 1.0871993, 3.2549645", \
+					  "0.0968258, 0.1057524, 0.1284680, 0.1933653, 0.4046397, 1.0872527, 3.2524785", \
+					  "0.0966649, 0.1060779, 0.1286136, 0.1938383, 0.4048283, 1.0877371, 3.2527985", \
+					  "0.0967700, 0.1060940, 0.1285859, 0.1940021, 0.4048154, 1.0871556, 3.2558893", \
+					  "0.0976014, 0.1048142, 0.1285969, 0.1940446, 0.4048579, 1.0875829, 3.2545660");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("3.9563623, 3.9674544, 3.9979362, 4.0700381, 4.2493502, 4.7651274, 6.3553660", \
+					  "4.1022505, 4.1133554, 4.1437285, 4.2158930, 4.3951145, 4.9110387, 6.4966814", \
+					  "4.2586517, 4.2697459, 4.3002091, 4.3723012, 4.5516586, 5.0674136, 6.6579058", \
+					  "4.4146673, 4.4257611, 4.4562016, 4.5283177, 4.7076556, 5.2234057, 6.8138761", \
+					  "4.5537596, 4.5646442, 4.5949879, 4.6670006, 4.8463926, 5.3623208, 6.9480666", \
+					  "4.7013531, 4.7124464, 4.7425286, 4.8150377, 4.9943796, 5.5101141, 7.1004833", \
+					  "4.8286153, 4.8397082, 4.8701740, 4.9422906, 5.1216165, 5.6373790, 7.2277762");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("0.1168517, 0.1270619, 0.1543881, 0.2260986, 0.4631969, 1.2562933, 3.7549213", \
+					  "0.1169415, 0.1271120, 0.1545633, 0.2260555, 0.4631868, 1.2568302, 3.7546424", \
+					  "0.1168684, 0.1270713, 0.1544181, 0.2260952, 0.4631783, 1.2557920, 3.7543932", \
+					  "0.1168651, 0.1270693, 0.1544135, 0.2260951, 0.4631786, 1.2560067, 3.7544972", \
+					  "0.1171829, 0.1271251, 0.1546051, 0.2262608, 0.4631942, 1.2566949, 3.7551313", \
+					  "0.1168644, 0.1270691, 0.1553909, 0.2260961, 0.4631617, 1.2557311, 3.7546810", \
+					  "0.1168659, 0.1270697, 0.1544140, 0.2260950, 0.4631723, 1.2559399, 3.7546104");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("3.0812541, 3.0943619, 3.1301983, 3.2177774, 3.4247805, 3.9653480, 5.5598388", \
+					  "3.2213792, 3.2346790, 3.2703962, 3.3579006, 3.5636858, 4.1042454, 5.7000102", \
+					  "3.3349457, 3.3482142, 3.3838361, 3.4716625, 3.6808447, 4.2213850, 5.8136367", \
+					  "3.4270247, 3.4404422, 3.4758130, 3.5637757, 3.7679548, 4.3085215, 5.9055005", \
+					  "3.4920402, 3.5050687, 3.5407268, 3.6286325, 3.8346410, 4.3751762, 5.9707328", \
+					  "3.5672651, 3.5805183, 3.6161694, 3.7040719, 3.9083186, 4.4488120, 6.0457674", \
+					  "3.6260024, 3.6392467, 3.6749059, 3.7627030, 3.9675263, 4.5080677, 6.1045013");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("0.1898557, 0.2002167, 0.2264381, 0.2916638, 0.4781821, 1.1238802, 3.2455059", \
+					  "0.1896245, 0.2001934, 0.2260928, 0.2911829, 0.4779072, 1.1240568, 3.2463983", \
+					  "0.1909133, 0.2001705, 0.2261488, 0.2916652, 0.4778656, 1.1241744, 3.2498544", \
+					  "0.1898015, 0.2004332, 0.2261546, 0.2916389, 0.4778564, 1.1241740, 3.2471477", \
+					  "0.1908761, 0.1998664, 0.2273534, 0.2916689, 0.4778925, 1.1241670, 3.2498655", \
+					  "0.1908958, 0.1999364, 0.2273371, 0.2916428, 0.4780507, 1.1240571, 3.2467122", \
+					  "0.1908837, 0.1998940, 0.2272812, 0.2915742, 0.4778946, 1.1240006, 3.2468541");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.040767;
+			max_capacitance : 551.041000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.8254577, 71.7285957, 70.7799107, 72.7252427, 72.8931777, 55.1046197, -131.6767913", \
+					  "70.7786148, 70.6017848, 70.7647098, 70.7112458, 66.2134268, 62.2074368, -124.6798742", \
+					  "70.8982440, 70.7899560, 70.8198350, 70.6442530, 70.8070950, 59.7042470, -131.6798470", \
+					  "70.8493063, 70.6528383, 70.6716013, 70.8821623, 71.1822343, 47.0232263, -135.8115057", \
+					  "70.6974529, 70.8132839, 70.6338929, 70.6467989, 69.2062079, 67.4363599, -130.6073921", \
+					  "71.0042581, 70.8710451, 70.7218031, 71.6795441, 70.4988291, 32.9485531, -130.0692709", \
+					  "71.0696104, 70.6912604, 69.8291514, 71.6360044, 69.0944404, 54.9653574, -132.8215196");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("55.9114378, 56.1979688, 58.0935078, 67.8864448, 96.2790388, 163.8046548, 212.3091248", \
+					  "55.7140693, 56.0315243, 57.9325933, 67.8521863, 96.1314333, 163.5715233, 212.1344233", \
+					  "55.4766158, 55.8883178, 57.7639148, 67.5186118, 95.3601048, 163.4849218, 211.7748918", \
+					  "55.5965448, 55.8930268, 57.7881768, 67.6367718, 95.9780378, 163.4484098, 211.9537798", \
+					  "55.6100781, 55.9057311, 57.8027271, 67.7266291, 96.1429681, 163.4741671, 211.8452171", \
+					  "55.5971830, 55.9297640, 57.8290160, 67.7312270, 96.0151230, 163.4777850, 211.8425650", \
+					  "55.6521980, 55.9560390, 57.8544780, 67.7744110, 96.0520490, 163.4909430, 211.9871830");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4656790, 7.4654925, 7.4623188, 7.4523233, 7.4391377, 7.4344080, 7.4329595", \
+					  "19.7123426, 19.7442506, 19.9233296, 20.7762466, 22.3184086, 23.0118506, 23.1515936", \
+					  "22.2530991, 22.2925431, 22.5296351, 23.6550881, 25.8193571, 26.8404101, 27.0523641", \
+					  "22.3378288, 22.3790568, 22.6146998, 23.7375618, 25.9014388, 26.9277838, 27.1391478", \
+					  "22.3371934, 22.3771144, 22.6156204, 23.7391454, 25.9067674, 26.9341084, 27.1435524", \
+					  "22.3349390, 22.3753530, 22.6152960, 23.7403510, 25.9052780, 26.9280100, 27.1404890", \
+					  "22.3438756, 22.3886906, 22.6318566, 23.7398926, 25.9126926, 26.9262946, 27.1374856");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.9238339, 63.9554409, 64.2988259, 65.0074539, 65.4767929, 63.2061239, -14.3135741", \
+					  "63.9055168, 63.9314128, 64.2281688, 65.1072918, 66.1242358, 63.1676218, -21.7194712", \
+					  "63.9298187, 63.9778227, 64.2586327, 65.0501317, 65.2396947, 58.3895427, -23.0065543", \
+					  "63.9414099, 63.9984479, 64.2235249, 65.0625479, 66.2356929, 58.0746899, -21.7720591", \
+					  "63.9360591, 63.9382381, 64.2461311, 65.0710681, 65.6262491, 62.7673481, -17.0077309", \
+					  "63.9741292, 63.9971522, 64.2826112, 65.2877522, 65.6056292, 61.4283112, -22.3657248", \
+					  "63.9859624, 64.0392324, 64.2852094, 65.1809724, 66.2293904, 63.3526224, -18.7552296");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.3150237, 71.2753887, 71.5387267, 72.2601567, 73.9314037, 65.4301067, -13.2189113", \
+					  "71.2695838, 71.3077888, 71.5880308, 72.2547748, 73.3289708, 66.1233528, -12.2793532", \
+					  "71.1998090, 71.2514270, 71.5951940, 72.3361710, 72.8333700, 65.7584390, -16.9920650", \
+					  "71.2118983, 71.3017773, 71.4964483, 72.3298583, 72.8600873, 68.4937463, -7.0917821", \
+					  "71.2540839, 71.3424989, 71.4646719, 72.2699869, 73.4535819, 68.8641899, -12.8126121", \
+					  "71.2602471, 71.2497351, 71.5328351, 72.3265261, 73.1976351, 69.4629701, -7.3028122", \
+					  "71.2048914, 71.2227104, 71.5499274, 72.3238364, 73.5816214, 69.1628094, -11.6313266");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.4672868, 41.6196298, 41.8735038, 42.4396168, 42.1220438, 33.0405378, 44.3647468", \
+					  "41.3632923, 41.4625423, 41.5440853, 42.7913583, 44.1729263, 46.7445983, 46.4308563", \
+					  "41.1321248, 41.1979408, 41.4525668, 42.4916318, 44.0029678, 44.1587558, 44.0259178", \
+					  "41.0367198, 41.1544518, 41.7880908, 42.5342328, 46.8478938, 56.7840498, 46.3845418", \
+					  "41.2042821, 41.3053201, 41.4128901, 42.5698321, 44.4259141, 47.0891311, 46.1153121", \
+					  "41.1126270, 41.2148860, 41.5750210, 42.5668800, 44.6478170, 47.2411900, 45.0803250", \
+					  "41.3055250, 41.5456080, 41.6331420, 42.9695480, 43.4111150, 61.8163580, 46.0491580");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4883501, 5.4891242, 5.4878739, 5.4886645, 5.4890665, 5.4885990, 5.4885183", \
+					  "14.9686876, 14.9685606, 14.9687656, 14.9682436, 14.9683576, 14.9684826, 14.9684796", \
+					  "15.9020521, 15.9017211, 15.8968271, 15.8859021, 15.8959861, 15.8952731, 15.8950491", \
+					  "15.9326708, 15.9321608, 15.9297048, 15.9223688, 15.9184608, 15.9171508, 15.9168588", \
+					  "15.9401994, 15.9390714, 15.9328164, 15.9207554, 15.9222834, 15.9211784, 15.9200004", \
+					  "15.9435570, 15.9440760, 15.9405520, 15.9234340, 15.9247320, 15.9224230, 15.9222180", \
+					  "15.9425066, 15.9431816, 15.9409346, 15.9286546, 15.9245976, 15.9224446, 15.9210146");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.7007279, 27.8483389, 27.9312329, 28.1670429, 27.6225009, 24.3911359, 27.0040559", \
+					  "27.7313478, 27.5526798, 27.5054298, 27.5125938, 27.7401898, 26.3284528, 27.7994178", \
+					  "27.6115027, 27.4854437, 27.8102097, 28.0944267, 26.2845327, 58.4817047, 28.1832107", \
+					  "27.3769349, 27.5735479, 27.7482159, 26.7778049, 27.4664009, 44.3606669, 32.2275599", \
+					  "27.7205751, 27.3825521, 27.9329921, 27.6679401, 29.3150031, 33.2642471, 28.2746501", \
+					  "27.8901302, 27.8474002, 27.6409082, 26.6057672, 30.7059942, 39.1018372, 28.4946402", \
+					  "27.4091954, 27.6818224, 27.9588924, 28.0864104, 27.1683444, 35.9804274, 27.2080904");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4878695, 5.4875482, 5.4881085, 5.4888471, 5.4876054, 5.4886376, 5.4878227", \
+					  "14.9495566, 14.9495586, 14.9494076, 14.9489656, 14.9461276, 14.9490646, 14.9492236", \
+					  "15.8871111, 15.8965911, 15.8935591, 15.8949641, 15.8804931, 15.8905151, 15.8902531", \
+					  "15.9311118, 15.9316948, 15.9292938, 15.9229928, 15.9169958, 15.9167068, 15.9164408", \
+					  "15.9377244, 15.9241934, 15.9347424, 15.9269774, 15.9179564, 15.9187084, 15.9216374", \
+					  "15.9364450, 15.9423500, 15.9404030, 15.9306310, 15.9185470, 15.9204500, 15.9221830", \
+					  "15.9441636, 15.9416976, 15.9369746, 15.9321606, 15.9245916, 15.9206806, 15.9207286");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.5042129, 27.3596829, 27.6095889, 27.3434339, 26.7552619, 28.3520719, 26.2543639", \
+					  "27.3879188, 27.6482478, 27.6742148, 27.3502778, 28.8384048, 30.8454728, 27.1789688", \
+					  "27.9649767, 27.5811377, 27.9139357, 28.4258027, 31.0843077, 30.2964347, 26.7880847", \
+					  "27.4250679, 27.6384479, 27.9117899, 26.6403089, 25.8230459, 40.5030409, 28.1490269", \
+					  "27.8231311, 27.6404571, 28.1278831, 27.1711241, 29.2998311, 26.7772121, 28.1266951", \
+					  "27.7501482, 27.4505392, 27.4966552, 28.2827552, 25.0641162, 42.3291342, 28.0546132", \
+					  "27.5192924, 27.6344614, 27.6937784, 28.3548064, 28.4882814, 26.5650314, 26.9964104");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("90.4841398, 91.6847978, 98.3465518, 132.7616248, 173.6614148, 195.3255248, 200.9036148", \
+					  "90.6302163, 91.4716473, 98.5915073, 132.4127333, 173.5597233, 194.9796433, 200.2901133", \
+					  "90.1574818, 91.3122808, 98.0676338, 132.3899618, 173.5170518, 194.8197918, 200.0339418", \
+					  "90.1557028, 91.3701128, 97.8640368, 131.6842798, 173.6056598, 194.9617298, 200.2411898", \
+					  "90.1954131, 91.3340231, 98.1763071, 132.4165471, 173.6370171, 194.8360171, 200.0536871", \
+					  "90.1096520, 91.2892430, 98.4974980, 132.4418750, 173.6562150, 195.0296450, 200.0395950", \
+					  "90.2381640, 91.4219540, 98.5351460, 131.5486330, 173.7171130, 194.9639630, 200.1178130");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1770557, 10.1783797, 10.1788037, 10.1736247, 10.1763977, 10.1779057, 10.1659187", \
+					  "18.3559948, 18.3658608, 18.3545448, 18.3629428, 18.3530258, 18.3442408, 18.3411948", \
+					  "21.8359070, 21.8169620, 21.8046980, 21.8009830, 21.7676400, 21.7321150, 21.7185770", \
+					  "22.0416433, 22.0342663, 22.0262933, 21.9859193, 21.9246553, 21.8866513, 21.8732243", \
+					  "22.0920219, 22.0793539, 22.0791419, 22.0229339, 21.9677539, 21.9239329, 21.9150039", \
+					  "22.1334131, 22.1327901, 22.0953241, 22.0679651, 22.0270691, 21.9763821, 21.9584521", \
+					  "22.1119664, 22.1172454, 22.0984634, 22.0651584, 22.0068994, 21.9566554, 21.9510674");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("51.9804358, 52.2805638, 53.8807418, 62.9044098, 108.0569648, 183.4852348, 207.1813048", \
+					  "51.7621093, 52.0832933, 53.7469523, 62.7480753, 107.7502233, 183.2985633, 207.0482133", \
+					  "51.5477518, 51.9544368, 53.5910898, 62.5927118, 107.6092018, 183.1554918, 206.9436918", \
+					  "51.6076458, 51.8685508, 53.6263398, 62.5983778, 107.6776898, 183.1489298, 206.9165698", \
+					  "51.5904501, 51.9870981, 53.6348811, 62.6271391, 107.6423071, 183.2139671, 207.2742471", \
+					  "51.7150520, 51.9996230, 53.5628100, 62.6537190, 107.4894350, 183.3833850, 206.9702550", \
+					  "51.5751190, 51.9715870, 53.6760740, 62.6601690, 107.7010830, 183.2582830, 207.0964330");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.4669987, 10.4597097, 10.4657587, 10.4644247, 10.4619757, 10.4611547, 10.4609117", \
+					  "19.0183788, 19.0182348, 19.0187918, 19.0162168, 18.9991158, 18.9979318, 18.9931388", \
+					  "22.4877470, 22.4415020, 22.4798690, 22.4621820, 22.4238600, 22.3959830, 22.3728840", \
+					  "22.6923053, 22.6897643, 22.6798103, 22.6389313, 22.5849803, 22.5466843, 22.5340843", \
+					  "22.7407709, 22.7385539, 22.7250249, 22.6844319, 22.6274219, 22.5889099, 22.5754639", \
+					  "22.7656851, 22.7629131, 22.7532541, 22.7042571, 22.6499301, 22.6094781, 22.6003171", \
+					  "22.7617504, 22.7629164, 22.7470164, 22.7077054, 22.6505584, 22.6077264, 22.5971184");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.8309889, 61.1405329, 60.7895709, 59.1199339, 57.0238019, 44.7487729, -147.5736281", \
+					  "60.9032448, 60.8194718, 60.6668998, 59.9823708, 59.2319158, 32.0030648, -146.6379712", \
+					  "60.7473297, 60.8709187, 60.8516357, 60.2752147, 58.2394407, 31.9767297, -138.0130043", \
+					  "60.8605899, 60.5864269, 61.5584549, 59.5540309, 60.6811849, 45.8903559, -148.5777341", \
+					  "61.0050841, 61.0908841, 61.4575141, 60.5725211, 61.7336751, 30.8517461, -167.8142779", \
+					  "60.5244092, 60.3321812, 60.8770512, 59.1763492, 56.9020712, 49.2774442, -178.9444778", \
+					  "60.4572024, 60.7840584, 60.4215154, 60.3311084, 62.5131134, 56.4948334, -143.2622376");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4668265, 7.4666634, 7.4663607, 7.4649018, 7.4647101, 7.4645479, 7.4647144", \
+					  "15.1154536, 15.1156726, 15.1167976, 15.1227426, 15.1212076, 15.1242206, 15.1248416", \
+					  "15.3843471, 15.3853031, 15.3898461, 15.4008631, 15.4074901, 15.4113671, 15.4118231", \
+					  "15.3911508, 15.3920468, 15.3956028, 15.4131478, 15.4225448, 15.4263018, 15.4273948", \
+					  "15.3869494, 15.3877574, 15.3932374, 15.4077264, 15.4216024, 15.4242654, 15.4255524", \
+					  "15.3943520, 15.3956500, 15.4021970, 15.4161630, 15.4269550, 15.4328840, 15.4326100", \
+					  "15.3966966, 15.3977916, 15.4032396, 15.4187696, 15.4285026, 15.4352546, 15.4366036");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.0359737, 67.8916517, 68.0789177, 67.7953327, 65.6625867, 46.7967437, -155.9559813", \
+					  "68.0087128, 67.9814848, 68.0530178, 67.5132138, 65.7395138, 52.0514708, -142.5598342", \
+					  "67.9014750, 68.2717480, 67.6503560, 67.5398410, 70.0569940, 50.4913670, -172.0044570", \
+					  "67.8738953, 67.8857813, 67.9061953, 67.1616453, 65.8562793, 51.8454523, -142.8664857", \
+					  "68.0933279, 68.1507469, 67.8835509, 67.5965649, 67.6797629, 56.4259829, -145.3654021", \
+					  "67.9492921, 67.9624271, 67.8853361, 67.5136191, 65.3687591, 51.6473011, -140.0521709", \
+					  "67.8752624, 67.6965724, 67.8348784, 68.1204554, 63.9364344, 33.2882874, -139.7264096");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.0310128, 34.2141928, 34.2577828, 34.2243408, 33.5112618, 30.7454088, 33.5221668", \
+					  "34.0376033, 33.9552913, 33.9735883, 34.5466653, 34.2446323, 23.5608053, 34.2791433", \
+					  "33.8214868, 33.8188948, 33.9815668, 34.5310718, 34.6383128, 24.1579058, 34.4165148", \
+					  "33.7622118, 33.7973748, 33.8359828, 34.1015068, 33.8508358, 30.1068058, 33.8295058", \
+					  "33.7154951, 33.7105161, 33.8613751, 33.8893001, 34.6319181, 47.3574131, 33.3924441", \
+					  "34.0940950, 33.6933640, 33.4276670, 33.2898390, 34.3352570, 48.0081390, 34.8039200", \
+					  "33.8744350, 33.7639190, 33.9111760, 33.5921330, 33.6997240, 30.1488870, 33.0622860");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4668308, 7.4665168, 7.4634134, 7.4525931, 7.4399851, 7.4350715, 7.4344097", \
+					  "19.7458726, 19.7689306, 19.9567946, 20.8066306, 22.3480186, 23.0406576, 23.1686956", \
+					  "22.3034561, 22.3465661, 22.5814301, 23.7036031, 25.8696801, 26.8932721, 27.1015381", \
+					  "22.3861778, 22.4136258, 22.6588168, 23.7828988, 25.9543008, 26.9730448, 27.1834738", \
+					  "22.3845044, 22.4238584, 22.6617204, 23.7815674, 25.9545954, 26.9756204, 27.1841824", \
+					  "22.3835880, 22.4313520, 22.6594970, 23.7852200, 25.9424840, 26.9743040, 27.1940520", \
+					  "22.3915716, 22.4302926, 22.6714836, 23.7922916, 25.9625536, 26.9770446, 27.1978586");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.3361269, 87.3845329, 87.4440479, 87.5925469, 90.2625709, 89.5572669, 10.0712459", \
+					  "87.2504608, 87.3010458, 87.4373118, 87.5749658, 90.3154748, 90.8001008, 6.9029033", \
+					  "87.3541167, 87.4008707, 87.5132527, 87.5577937, 90.3956767, 89.7391317, 10.4228307", \
+					  "87.3656869, 87.4168959, 87.4950689, 87.5343359, 90.3096229, 90.1198789, 8.8973271", \
+					  "87.3720551, 87.4030931, 87.4986071, 87.6440181, 90.4600161, 89.3845361, 8.9879723", \
+					  "87.3909532, 87.5070612, 87.4950362, 87.5933332, 90.3314962, 89.5549302, 5.0977265", \
+					  "87.3539304, 87.3736084, 87.6347744, 87.6669924, 90.4857444, 89.9758254, 5.9575851");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.0129578, 34.2153028, 34.2669278, 34.2238068, 33.4925688, 32.4484798, 34.3166838", \
+					  "33.9733073, 34.0402613, 34.0503083, 34.3522443, 34.8921973, 32.5760123, 33.1280363", \
+					  "33.8237528, 33.8188538, 33.9392158, 34.5292438, 34.5982058, 24.3824608, 34.5458448", \
+					  "33.7620728, 33.7906578, 33.8413088, 34.1029948, 33.7968958, 29.4180828, 33.8469288", \
+					  "33.7170461, 33.7074811, 34.0207821, 33.8794891, 34.6435441, 38.6334991, 34.2702251", \
+					  "34.0413220, 33.6948560, 33.5019550, 33.2758710, 34.5586470, 65.2162040, 34.8214720", \
+					  "33.8793270, 33.7647270, 33.9140660, 33.6080610, 33.7323780, 27.4436710, 34.1213760");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.0326427, 67.8856977, 68.0631387, 67.7974277, 65.6360317, 46.1548377, -140.1234913", \
+					  "68.0081788, 67.9814088, 68.0526708, 67.5132968, 65.7427618, 52.0593048, -142.5447042", \
+					  "67.9019540, 67.9079770, 67.6500300, 67.5807380, 63.6350060, 57.9413070, -169.8422370", \
+					  "68.0213323, 68.0919423, 67.7053213, 67.6469163, 66.0047373, 52.8026103, -161.2930157", \
+					  "68.0455669, 67.9997769, 67.9236369, 67.3541479, 66.9647859, 55.7771729, -141.4763721", \
+					  "67.8934751, 68.0102921, 67.8849201, 67.5277681, 65.7304141, 56.5028441, -139.3380609", \
+					  "67.4394724, 68.1181584, 67.8239284, 68.0030774, 62.7101234, 66.0716494, -141.8099796");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.8414997, 70.9024577, 71.1366667, 71.8444967, 72.3414527, 70.6853057, -6.4042667", \
+					  "70.7675408, 70.8784108, 71.1308888, 71.9376118, 72.0546778, 69.6442098, -6.5426457", \
+					  "70.7829270, 70.8035360, 71.0970370, 71.7429370, 72.1320910, 64.9127480, -6.6132419", \
+					  "70.7535353, 70.8127453, 71.0259433, 71.8800583, 72.5339453, 68.2818393, -18.0772297", \
+					  "70.7273589, 70.8012449, 71.0924699, 71.8452359, 72.8985459, 67.9878359, -7.7230170", \
+					  "70.6731751, 70.7246201, 71.0300151, 71.7245501, 72.8147611, 70.5537501, -16.2690489", \
+					  "70.7123404, 70.7609824, 71.1024034, 71.9262164, 72.7638734, 68.4355714, -11.8504156");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.9638548, 12.9735198, 13.0302508, 13.2342188, 13.4501108, 13.5137268, 13.5240168", \
+					  "23.4562643, 23.4943493, 23.7220373, 24.8142643, 26.9478733, 27.9564173, 28.1656703", \
+					  "27.1658198, 27.2065528, 27.4453578, 28.5714508, 30.7327218, 31.7474688, 31.9572628", \
+					  "27.3280448, 27.3733558, 27.6080338, 28.7290508, 30.8984578, 31.9006698, 32.1124658", \
+					  "27.3601191, 27.4008641, 27.6392571, 28.7646841, 30.9271041, 31.9437661, 32.1538281", \
+					  "27.3879150, 27.4480850, 27.6855390, 28.8072930, 30.9774600, 31.9906600, 32.2038160", \
+					  "27.4073870, 27.4472900, 27.6845360, 28.8103330, 30.9750180, 31.9884050, 32.2000760");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.5437038, 63.9068508, 66.0688158, 77.0409558, 107.2310648, 175.3429148, 224.0017148", \
+					  "63.3410933, 63.6442953, 65.8388523, 76.8165713, 107.1652233, 175.2051733, 223.8694933", \
+					  "63.2317288, 63.5907188, 65.6837528, 76.7656528, 106.9126318, 175.0167718, 223.6404718", \
+					  "63.2368948, 63.6078068, 65.7657608, 76.7365338, 106.9579698, 175.0276498, 223.6742798", \
+					  "63.2659681, 63.5744781, 65.7041151, 76.6828541, 107.0658271, 175.0832971, 223.7522271", \
+					  "63.2820630, 63.6380080, 65.7449410, 76.8090900, 106.9797850, 175.1129450, 223.6882050", \
+					  "63.3045840, 63.6543110, 65.7726790, 76.8285720, 106.9971630, 175.1295630, 223.9724930");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("97.4665567, 97.5265287, 97.6700737, 98.3153077, 102.9745287, 105.4267487, 25.8119437", \
+					  "97.4304768, 97.4318918, 97.7151588, 98.3334208, 102.9154758, 104.9930558, 27.5829048", \
+					  "97.3197760, 97.4252750, 97.6591850, 98.2634520, 103.4650930, 103.9198330, 34.6229600", \
+					  "97.3800073, 97.4759513, 97.6259083, 98.2840623, 103.0007243, 104.8455343, 26.8922553", \
+					  "97.3670849, 97.4774619, 97.6394499, 98.3023019, 103.0693879, 104.8275279, 25.0982459", \
+					  "97.3443751, 97.4166371, 97.6661941, 98.2442461, 102.9512191, 105.6193291, 22.6965341", \
+					  "97.4390304, 97.4061824, 97.6723234, 98.2705044, 103.2353304, 105.5324004, 26.3325194");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4890041, 5.4889433, 5.4890080, 5.4889316, 5.4891224, 5.4891155, 5.4893323", \
+					  "14.9907446, 14.9905696, 14.9901646, 14.9918526, 14.9894916, 14.9791096, 14.9773216", \
+					  "17.1925321, 17.1940831, 17.1898451, 17.1869741, 17.1604951, 17.1562851, 17.1374581", \
+					  "17.6990278, 17.6967398, 17.6845878, 17.6460268, 17.5925498, 17.5583288, 17.5446858", \
+					  "17.7761044, 17.7738884, 17.7614314, 17.7219924, 17.6727254, 17.6259064, 17.6128784", \
+					  "17.7751020, 17.7725310, 17.7603610, 17.7312680, 17.6653550, 17.6293770, 17.6181790", \
+					  "17.7826216, 17.7802936, 17.7676456, 17.7276276, 17.6747116, 17.6359576, 17.6218266");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("47.0270759, 47.3156439, 48.8837989, 57.9517609, 102.8350719, 178.6608919, 202.2841719", \
+					  "46.9657828, 47.2501318, 48.9795888, 57.9296478, 102.9743388, 178.5364588, 201.9853788", \
+					  "46.9472857, 47.3083857, 48.9797607, 57.9045937, 103.1527357, 178.5731557, 202.3556457", \
+					  "46.9617949, 47.2500989, 48.9872259, 57.9272699, 103.0925959, 178.6555959, 202.3193059", \
+					  "47.0587261, 47.3355331, 49.0201851, 57.9496011, 102.8674721, 178.6254321, 202.1914621", \
+					  "47.0171032, 47.3591622, 48.9878632, 57.9888092, 102.9247722, 178.4984422, 202.3311422", \
+					  "47.0365104, 47.3814634, 48.9831724, 57.9890034, 103.0510924, 178.7077924, 202.3465124");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4668178, 7.4667527, 7.4660909, 7.4656165, 7.4648541, 7.4650623, 7.4649269", \
+					  "15.1154086, 15.1156876, 15.1169816, 15.1227096, 15.1238466, 15.1240576, 15.1248366", \
+					  "15.3845221, 15.3851431, 15.3903041, 15.4010361, 15.4091581, 15.4107391, 15.4121771", \
+					  "15.3910748, 15.3921538, 15.3956178, 15.4131408, 15.4235038, 15.4263438, 15.4273498", \
+					  "15.3870114, 15.3877194, 15.3930224, 15.4075474, 15.4216054, 15.4242114, 15.4255854", \
+					  "15.3943070, 15.3960870, 15.4009490, 15.4159580, 15.4278430, 15.4322680, 15.4333520", \
+					  "15.3962286, 15.3970596, 15.4033266, 15.4187726, 15.4283866, 15.4351436, 15.4366336");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.8479679, 60.4958889, 61.5421769, 60.7765739, 61.0498899, 41.9955799, -165.4013181", \
+					  "60.9257618, 60.8162658, 61.3239298, 60.7051678, 55.2097578, 31.1383328, -150.9077312", \
+					  "60.5217947, 60.4875167, 60.7852857, 60.1833507, 56.4868977, 41.2091187, -131.1059843", \
+					  "60.5932479, 60.8502789, 60.6335769, 61.0271999, 57.3004239, 36.1553589, -107.4471141", \
+					  "60.5172871, 60.4858331, 61.2437471, 60.1358771, 59.3305071, 38.9343191, -134.1597279", \
+					  "60.5301892, 60.8344462, 61.2274512, 59.7959292, 59.3859862, 35.7852302, -152.6192278", \
+					  "60.6043204, 61.0923954, 61.2564374, 61.4058604, 56.1130864, 58.1612884, -148.6995276");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3795599, 86.5874689, 93.8615249, 127.8416919, 168.9527119, 190.2196719, 195.0913119", \
+					  "85.5201648, 86.7204758, 93.5087048, 127.7106688, 168.8584988, 190.2089188, 195.5632488", \
+					  "85.2867147, 86.7085497, 93.8339607, 127.8299657, 168.9539757, 190.2448057, 195.4896557", \
+					  "85.2105699, 86.6782019, 93.5177239, 127.5513459, 168.9398659, 190.1908559, 195.8266259", \
+					  "85.5645151, 86.7544941, 93.8724461, 127.8825421, 169.0048421, 190.2029521, 195.6424621", \
+					  "85.4290922, 86.6317132, 94.1473952, 126.8847422, 168.9837622, 190.3943322, 195.3012122", \
+					  "85.4811014, 86.5928374, 93.5474894, 127.9000324, 168.9800624, 190.2502124, 195.5131224");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4891411, 5.4889824, 5.4888874, 5.4891146, 5.4890526, 5.4892985, 5.4893007", \
+					  "14.4862586, 14.4798526, 14.4858536, 14.4768996, 14.4806406, 14.4801706, 14.4643386", \
+					  "16.5333371, 16.5464221, 16.5432461, 16.5421551, 16.5268581, 16.5071241, 16.5006531", \
+					  "17.0539858, 17.0520468, 17.0397038, 17.0022148, 16.9505078, 16.9129028, 16.9010698", \
+					  "17.1397764, 17.1246214, 17.1253104, 17.0825894, 17.0272034, 16.9875894, 16.9929254", \
+					  "17.1531290, 17.1411770, 17.1400930, 17.0911180, 17.0337770, 16.9970540, 16.9854110", \
+					  "17.1556056, 17.1530796, 17.1407736, 17.0998916, 17.0449216, 17.0050276, 16.9929736");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4871956, 5.4876839, 5.4884598, 5.4878348, 5.4884698, 5.4883570, 5.4879897", \
+					  "14.9480286, 14.9495656, 14.9493136, 14.9492896, 14.9491366, 14.9449616, 14.9491996", \
+					  "15.8871671, 15.8966821, 15.8936081, 15.8950611, 15.8916421, 15.8800181, 15.8903671", \
+					  "15.9311258, 15.9317138, 15.9283088, 15.9230298, 15.9180808, 15.9156488, 15.9164808", \
+					  "15.9376634, 15.9230834, 15.9373324, 15.9292494, 15.9207064, 15.9166734, 15.9206124", \
+					  "15.9331620, 15.9420370, 15.9296600, 15.9311350, 15.9253270, 15.9160340, 15.9216390", \
+					  "15.9438366, 15.9415126, 15.9396446, 15.9301746, 15.9232616, 15.9228086, 15.9199446");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.5321809, 27.4791989, 27.3658479, 27.8396759, 28.6992039, 36.3030919, 26.7525129", \
+					  "27.4098058, 27.6525848, 27.7864948, 27.3392238, 29.1077868, 29.2713088, 27.7141448", \
+					  "27.5886407, 27.4726117, 27.6180217, 27.0408327, 26.2392787, 25.6150477, 26.9507137", \
+					  "27.3208789, 27.6355039, 27.7878419, 27.9765819, 25.9578579, 25.6284899, 28.0023859", \
+					  "27.7245411, 27.3456631, 28.1918031, 27.1370391, 25.5264261, 37.2446571, 28.2312691", \
+					  "27.5303802, 27.5328052, 27.4812592, 27.1647592, 26.6787522, 47.2897322, 26.6328652", \
+					  "27.5875314, 27.6945034, 27.6440334, 27.0060614, 27.0598464, 35.5674934, 27.9638174");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.1774647, 94.2187237, 94.3286987, 94.4171897, 96.9808477, 97.5194657, 17.0749427", \
+					  "94.1747408, 94.1106458, 94.2782068, 94.4010598, 97.0182158, 96.7319798, 15.4690648", \
+					  "94.0915180, 94.1744590, 94.2804020, 94.3946780, 97.0248730, 97.4426150, 17.4601120", \
+					  "94.0358633, 94.2047493, 94.2613423, 94.3537963, 96.9273903, 96.6192063, 16.9346173", \
+					  "94.1786629, 94.1815839, 94.2462199, 94.2801579, 97.2182939, 96.0670549, 17.8716119", \
+					  "94.0982151, 94.1395991, 94.2285691, 94.3599641, 97.0475641, 96.5411641, 18.4324151", \
+					  "94.1501274, 94.1124284, 94.3165144, 94.2920624, 96.9434554, 96.3075744, 19.4035634");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.9592968, 12.9694708, 13.0262418, 13.2307418, 13.4529288, 13.5141088, 13.5241708", \
+					  "23.5043363, 23.5455653, 23.7681463, 24.8588293, 26.9945083, 28.0052953, 28.2185573", \
+					  "27.2247428, 27.2675838, 27.5087298, 28.6292548, 30.7782268, 31.7875928, 31.9958708", \
+					  "27.3761428, 27.4167098, 27.6549198, 28.7825628, 30.9602168, 31.9819558, 32.1921348", \
+					  "27.4085571, 27.4484601, 27.6872201, 28.8106751, 30.9755311, 31.9936881, 32.2030171", \
+					  "27.4466390, 27.4960120, 27.7024510, 28.8689380, 30.9886420, 32.0342810, 32.2251250", \
+					  "27.4430980, 27.5186650, 27.7481860, 28.8594880, 30.9860720, 32.0012010, 32.2474690");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4908259, 5.4894069, 5.4900536, 5.4907817, 5.4891589, 5.4919986, 5.4892968", \
+					  "16.0705036, 16.0820916, 16.0712276, 16.0755186, 16.0460636, 16.0450656, 16.0333286", \
+					  "17.5521731, 17.5453611, 17.5370661, 17.5155171, 17.4740871, 17.4332011, 17.4195451", \
+					  "17.6512808, 17.6483228, 17.6356228, 17.5979628, 17.5446878, 17.4984658, 17.4846568", \
+					  "17.6537694, 17.6510744, 17.6390574, 17.5963594, 17.5414344, 17.4960084, 17.4800804", \
+					  "17.6469810, 17.6371540, 17.6232510, 17.5912670, 17.5335840, 17.4884460, 17.4701030", \
+					  "17.6471066, 17.6314856, 17.6233566, 17.5948186, 17.5392626, 17.4977676, 17.4797816");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("30.0903599, 30.0926779, 30.4706949, 32.2287879, 36.4051589, 49.8764009, 82.6514929", \
+					  "30.0553728, 30.0300178, 30.4674798, 32.2248588, 36.3251588, 49.9558568, 82.3072068", \
+					  "30.0714377, 30.0246657, 30.5177677, 32.2097207, 36.3033277, 49.8159217, 82.9971917", \
+					  "30.1020619, 30.1651019, 30.4809599, 32.2228619, 36.3267429, 49.6157339, 82.9950579", \
+					  "30.1658331, 30.1312671, 30.5683721, 32.2333081, 36.3099701, 49.7275221, 82.7370201", \
+					  "30.1193042, 30.1612352, 30.5653812, 32.2502592, 36.4014142, 49.8758022, 82.8776122", \
+					  "30.1530924, 30.2025004, 30.5685104, 32.2620374, 36.4053824, 49.7539864, 82.9800474");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4882234, 5.4883327, 5.4881835, 5.4876852, 5.4884702, 5.4886393, 5.4883249", \
+					  "14.9686496, 14.9686636, 14.9686756, 14.9685366, 14.9638176, 14.9684476, 14.9594986", \
+					  "15.9019031, 15.9017121, 15.8968601, 15.8982381, 15.8837671, 15.8950791, 15.8830801", \
+					  "15.9326248, 15.9321558, 15.9296828, 15.9233838, 15.9173948, 15.9171058, 15.9157528", \
+					  "15.9335864, 15.9360364, 15.9304834, 15.9227704, 15.9161894, 15.9220694, 15.9151444", \
+					  "15.9432460, 15.9326180, 15.9392080, 15.9244210, 15.9170900, 15.9226740, 15.9144790", \
+					  "15.9449906, 15.9438906, 15.9409086, 15.9323336, 15.9240646, 15.9223156, 15.9225606");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.5538269, 27.5297429, 27.9295469, 26.9327299, 29.0136219, 28.7927629, 28.0300189", \
+					  "27.6709688, 27.5584828, 27.5905438, 27.4960708, 27.7272728, 42.2954428, 26.9265918", \
+					  "27.4220017, 27.5955967, 28.0885307, 27.1861637, 29.6908117, 41.7566567, 26.4477447", \
+					  "27.3944499, 27.3213509, 27.6981549, 27.6996139, 27.2024809, 20.3455709, 28.5371259", \
+					  "27.8004631, 27.6240801, 27.7353221, 27.8059391, 29.0177691, 20.2061711, 28.3344971", \
+					  "27.8742042, 27.6877312, 27.4327442, 27.4357972, 29.7361792, 48.8548342, 28.2757782", \
+					  "27.6816284, 27.7292954, 27.7015654, 27.8207374, 27.6457284, 27.0777624, 27.2988524");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.3475069, 87.3989519, 87.4673139, 87.5605589, 90.4823259, 90.7094499, 8.2634212", \
+					  "87.3453508, 87.3876018, 87.4527088, 87.5588608, 90.3716378, 90.8197038, 7.5456795", \
+					  "87.3328837, 87.4006557, 87.4564097, 87.5243477, 90.3913397, 89.7282727, 5.0677758", \
+					  "87.3779819, 87.4094429, 87.4431939, 87.5343639, 90.2071219, 90.7334229, 10.0479789", \
+					  "87.3904921, 87.4409861, 87.4917101, 87.5777241, 90.2946551, 89.2598301, 5.0859140", \
+					  "87.3939572, 87.4192012, 87.5099762, 87.5969272, 90.2987932, 89.8787342, 5.0293350", \
+					  "87.3254664, 87.3698614, 87.5507444, 87.6155824, 90.5677064, 89.9693244, 6.2226266");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4669418, 7.4668967, 7.4632217, 7.4527648, 7.4392340, 7.4349908, 7.4342362", \
+					  "19.7380686, 19.7757016, 19.9563936, 20.8068266, 22.3443566, 23.0404616, 23.1822736", \
+					  "22.3057881, 22.3438091, 22.5818051, 23.7043411, 25.8704601, 26.8882261, 27.1047041", \
+					  "22.3729068, 22.4284368, 22.6577358, 23.7817608, 25.9542708, 26.9702948, 27.1860598", \
+					  "22.3838764, 22.4233954, 22.6613734, 23.7810884, 25.9492904, 26.9720384, 27.1870554", \
+					  "22.3934900, 22.4263240, 22.6600960, 23.7847760, 25.9352880, 26.9786450, 27.1879490", \
+					  "22.3891466, 22.4320496, 22.6714106, 23.7943546, 25.9625486, 26.9764506, 27.2035476");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.5530467, 94.6411777, 94.6577267, 94.7679097, 97.3740327, 97.7497877, 18.5355607", \
+					  "94.4976138, 94.5826508, 94.6227498, 94.7608138, 97.4433068, 97.8653368, 13.6339718", \
+					  "94.4878610, 94.6161540, 94.6060440, 94.7178300, 97.6366620, 97.8640980, 18.5105030", \
+					  "94.4909713, 94.5570223, 94.6019933, 94.6913043, 97.3766843, 97.2656683, 22.1657683", \
+					  "94.5408799, 94.5184669, 94.6157369, 94.7276679, 97.3545949, 97.8332879, 15.7062879", \
+					  "94.5083311, 94.4627361, 94.6130121, 94.7044941, 97.4030711, 96.1577761, 17.8689331", \
+					  "94.5197894, 94.4252544, 94.6003084, 94.6388544, 97.3773304, 96.5065474, 18.9716664");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.0069468, 41.3337718, 42.4343668, 43.2438998, 43.7675888, 32.5712638, 46.8459248", \
+					  "41.4869923, 41.4660783, 41.6677363, 42.8597653, 43.6390373, 48.2586653, 45.7862333", \
+					  "41.1607828, 41.1682318, 41.5341818, 42.2145128, 43.7442268, 44.7648028, 44.5924858", \
+					  "41.1916678, 41.4681798, 41.9492498, 42.8466048, 44.4515328, 56.9334098, 46.7010358", \
+					  "41.2313441, 41.2427901, 41.3202281, 42.5584941, 43.4737801, 49.8491421, 45.7570681", \
+					  "41.5119120, 41.0747300, 41.6877990, 42.3821840, 44.1357120, 46.5122490, 45.5235220", \
+					  "41.1181090, 41.2542880, 41.3437070, 42.9451890, 43.3394160, 18.6781320, 46.2104210");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.0535508, 44.1587618, 44.6984558, 47.4506598, 53.4692558, 67.9389028, 101.1202148", \
+					  "43.8676643, 43.9720143, 44.5197323, 47.2389433, 53.3245543, 67.7102103, 100.8925333", \
+					  "43.6692068, 43.7909328, 44.4019158, 47.0805158, 53.1620958, 67.3703898, 100.8479018", \
+					  "43.7476378, 43.7802578, 44.3884788, 47.0712358, 53.1408948, 67.6180568, 100.6760898", \
+					  "43.7253321, 43.8595231, 44.3815261, 47.1151201, 53.1917001, 67.6726651, 100.8040771", \
+					  "43.7256690, 43.8224870, 44.4277010, 47.1490140, 53.1123270, 67.6746470, 100.8077850", \
+					  "43.7115760, 43.8723610, 44.4675830, 47.2337550, 53.2771640, 67.5776590, 101.0895730");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.9778037, 74.0651437, 74.3866847, 75.7317617, 78.2226647, 72.7800047, -9.6078252", \
+					  "73.9280408, 74.0126118, 74.3938348, 75.7940058, 78.2642388, 75.7224658, -4.1642179", \
+					  "73.9016610, 73.9545640, 74.3761430, 75.9180070, 78.0053720, 74.7520510, -0.6233778", \
+					  "73.9027873, 73.9272683, 74.3983283, 75.8194073, 78.4140743, 75.6230153, -10.0781771", \
+					  "73.9166209, 73.9737969, 74.3807079, 75.7765219, 78.3046109, 79.2317719, 1.5917168", \
+					  "73.9460501, 73.9861221, 74.3317351, 75.7510021, 78.1324031, 78.8945711, -4.9571339", \
+					  "73.9037874, 74.0403104, 74.2308664, 75.7530374, 78.2419004, 76.6372334, -0.4658907");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.4561417, 71.1795147, 70.5437307, 70.9502397, 70.7126997, 58.7210837, -129.9090613", \
+					  "70.6766478, 70.7257338, 70.7027178, 70.9265108, 71.0957508, 60.1058818, -133.2886042", \
+					  "70.7001740, 70.7145720, 70.7423900, 70.9032470, 71.6115970, 59.7673220, -132.5158570", \
+					  "70.6049183, 70.9546523, 70.3263983, 70.9593713, 72.1070573, 55.7676553, -129.9618657", \
+					  "70.8417189, 70.6311729, 71.1072499, 70.9220019, 70.2107589, 63.8412009, -133.8980321", \
+					  "70.4663691, 70.6399381, 70.3192021, 71.4512131, 70.6760061, 43.4527721, -130.5904109", \
+					  "70.2984144, 70.6417094, 70.5856434, 71.4078604, 67.4395144, 49.8740094, -132.4178496");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("36.5281228, 36.5837308, 36.9856668, 38.6838118, 42.8010908, 56.4119678, 89.3444398", \
+					  "36.3959043, 36.4424163, 36.7640893, 38.5026013, 42.5962333, 56.0570493, 89.4374483", \
+					  "36.2349138, 36.3236348, 36.6363568, 38.3681038, 42.5413658, 55.9278418, 88.7892988", \
+					  "36.1981248, 36.2882088, 36.6745078, 38.3436998, 42.4601608, 56.1285918, 88.9958938", \
+					  "36.3282801, 36.3630681, 36.6230061, 38.3521331, 42.4483501, 56.1051691, 89.3556751", \
+					  "36.2934020, 36.3453810, 36.6447290, 38.3951240, 42.5742880, 56.1339450, 89.3010140", \
+					  "36.2907620, 36.3374610, 36.7220460, 38.4234140, 42.5087780, 55.9561010, 89.0955920");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("47.6350645, 47.8429794, 49.2397984, 55.7939591, 71.0271200, 97.5410054, 115.6725483", \
+					  "58.0339671, 58.2867535, 59.7293999, 66.7958420, 83.2062371, 111.0517499, 128.2615325", \
+					  "59.6927446, 59.9218352, 61.5334351, 68.7622797, 85.4498810, 116.2118847, 130.8027047", \
+					  "59.6497357, 59.9853893, 61.5280641, 68.6344734, 84.8187053, 113.7089042, 131.6791136", \
+					  "59.8535744, 59.9778056, 61.6681007, 68.7411159, 85.4819942, 112.3841778, 131.2441655", \
+					  "59.8382291, 60.0394916, 61.5063189, 68.6282703, 85.3875372, 118.4391780, 131.1584611", \
+					  "59.7733091, 60.0752433, 61.5559344, 68.9274379, 85.2481822, 113.2057253, 130.9152402");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("75.1870779, 75.1654343, 75.4280729, 75.2244889, 75.7473977, 67.4895680, -58.3897250", \
+					  "85.0877938, 85.0733977, 85.2625649, 85.2929659, 85.2660488, 77.3906199, -47.7526550", \
+					  "86.3884623, 86.4075075, 86.6839760, 86.6435572, 86.3375825, 79.0016506, -38.6770601", \
+					  "86.5947790, 86.6436490, 86.7994792, 86.8708031, 87.0266546, 80.3371394, -44.3970891", \
+					  "86.6003414, 86.7346194, 86.9224403, 86.7287609, 87.7147189, 80.2032784, -46.5361476", \
+					  "86.5616409, 86.6345800, 86.8566071, 86.6331870, 86.8654735, 79.3693840, -48.4388245", \
+					  "86.5930222, 86.6665160, 86.8611768, 86.9476025, 87.1549949, 85.4555071, -44.7753562");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("133.3876109, 133.6588282, 133.6381396, 134.5405191, 136.2279666, 122.8346274, -84.1411751", \
+					  "136.1524208, 136.1664179, 136.4458871, 136.9208523, 137.8614134, 129.1411113, -78.6731598", \
+					  "137.2515088, 137.3613553, 137.4601972, 138.0507198, 140.2332755, 129.4470208, -85.9310078", \
+					  "137.3097439, 137.4314598, 137.4542639, 138.1055721, 140.1288083, 127.1668816, -82.4633520", \
+					  "137.4159251, 137.4513236, 137.6319590, 138.0714586, 140.1592845, 133.8008713, -77.8540829", \
+					  "137.3404810, 137.3931425, 137.5103667, 138.3425249, 139.7496102, 124.3944819, -77.5037095", \
+					  "137.2477405, 137.3326218, 137.3985708, 138.5241288, 138.3302470, 126.8909703, -76.9112427");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.4904578, 87.0230936, 89.4938023, 101.2207553, 126.8825554, 166.3571209, 203.4930206", \
+					  "89.9221421, 90.2682131, 92.6866048, 104.9657063, 131.9030943, 174.6539928, 208.2801203", \
+					  "90.7744014, 91.2230633, 93.6677138, 105.9471206, 132.9328523, 173.4623659, 208.9273491", \
+					  "90.8332351, 91.3014489, 93.7974811, 105.8613086, 133.4377076, 179.5763903, 209.5874026", \
+					  "90.8920609, 91.3065589, 93.7107192, 105.8988162, 133.2009935, 181.2086537, 209.4961709", \
+					  "91.0529218, 91.2744065, 93.7145212, 105.7285090, 133.2451180, 185.3025125, 209.5512665", \
+					  "90.9667140, 91.4180350, 93.8771365, 105.8756177, 132.7328455, 173.7421943, 209.5416455");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9512760, 1.9516841, 1.9520037, 1.9521427, 1.9521428, 1.9521429, 2.1326581", \
+					  "2.0812105, 2.0824924, 2.0827182, 2.0827183, 2.0827185, 2.0827188, 2.2259039", \
+					  "2.1887423, 2.1887426, 2.1887428, 2.1887430, 2.1887433, 2.1887435, 2.3120508", \
+					  "2.2719227, 2.2726390, 2.2726392, 2.2726394, 2.2726397, 2.2726399, 2.4014195", \
+					  "2.3344433, 2.3391296, 2.3391299, 2.3391301, 2.3391303, 2.3391306, 2.4668876", \
+					  "2.3975875, 2.3975877, 2.3975879, 2.3984461, 2.3984463, 2.3984465, 2.5240634", \
+					  "2.4674109, 2.4674110, 2.4674112, 2.4674114, 2.4674117, 2.4674119, 2.5796463");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.6549639, 8.8471168, 10.1412770, 17.9559580, 66.0612120, 360.5563000, 2173.9004000", \
+					  "8.7736467, 8.9897485, 10.2530810, 18.0866130, 66.1113760, 360.8375000, 2173.7769000", \
+					  "8.8875085, 9.0948564, 10.3676510, 18.2017760, 66.2826660, 360.6523400, 2173.7703000", \
+					  "8.9860448, 9.1973833, 10.4674400, 18.3142250, 66.4438030, 361.2615300, 2174.0798000", \
+					  "9.0532906, 9.2510906, 10.5390310, 18.3582150, 66.4379410, 361.3791100, 2174.6705000", \
+					  "9.1163184, 9.3276658, 10.6015400, 18.4197000, 66.4326050, 361.4179900, 2174.0429000", \
+					  "9.1547010, 9.3784678, 10.6521450, 18.5056250, 66.5236040, 361.3002900, 2176.1241000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3400220, 13.8335820, 16.2322070, 31.6318170, 126.8420400, 715.2648900, 4339.6767000", \
+					  "13.3467820, 13.7468550, 16.3249500, 31.6205270, 127.0874200, 714.5396200, 4341.0437000", \
+					  "13.4158040, 13.8139400, 16.3073450, 31.7434750, 127.0474600, 714.9673700, 4341.1728000", \
+					  "13.3244830, 13.7635850, 16.2334380, 31.6311850, 127.2052700, 714.5294500, 4341.6189000", \
+					  "13.3305020, 13.7649100, 16.2306120, 31.6161820, 126.6971200, 715.3201400, 4341.8818000", \
+					  "13.3258560, 13.7574170, 16.2327520, 31.6166790, 127.1101700, 715.2306700, 4344.1992000", \
+					  "13.3593770, 13.7316270, 16.2324620, 31.5840120, 127.0467300, 714.3095200, 4338.1719000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.4513294, 2.4642173, 2.5402604, 2.9044851, 4.2231378, 7.9174480, 19.6163190", \
+					  "2.6053681, 2.6182758, 2.6942897, 3.0585245, 4.3765254, 8.0733340, 19.7693040", \
+					  "2.7640024, 2.7768943, 2.8514549, 3.2178304, 4.5337520, 8.2265226, 19.9334740", \
+					  "2.9029799, 2.9158769, 2.9918710, 3.3561238, 4.6747317, 8.3699980, 20.0672050", \
+					  "3.0209260, 3.0338141, 3.1098162, 3.4739887, 4.7930908, 8.4867766, 20.1841490", \
+					  "3.1359650, 3.1488776, 3.2248815, 3.5891485, 4.9058135, 8.6039220, 20.2999540", \
+					  "3.2418970, 3.2548058, 3.3308194, 3.6950571, 5.0138422, 8.7094429, 20.4053040");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6902169, 0.6960796, 0.7338261, 0.9762531, 2.0247293, 4.7690247, 17.2514800", \
+					  "0.6896170, 0.6954387, 0.7337717, 0.9758923, 2.0239610, 4.7616200, 17.2438850", \
+					  "0.6849131, 0.6914857, 0.7376745, 0.9765853, 2.0278073, 4.7590354, 17.2503150", \
+					  "0.6900505, 0.6958842, 0.7338258, 0.9762163, 2.0244411, 4.7666240, 17.2458420", \
+					  "0.6897529, 0.6955858, 0.7336379, 0.9759422, 2.0222619, 4.7548556, 17.2223750", \
+					  "0.6896021, 0.6954185, 0.7337947, 0.9758887, 2.0240489, 4.7617124, 17.2442840", \
+					  "0.6895908, 0.6954139, 0.7337488, 0.9758276, 2.0239630, 4.7603366, 17.2314570");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6722099, 3.6722101, 3.6722104, 3.6722106, 3.6722109, 3.6722111, 3.6722113", \
+					  "3.7883869, 3.7883870, 3.7883872, 3.7999786, 3.7999787, 3.7999789, 3.7999791", \
+					  "3.9069121, 3.9069123, 3.9069125, 3.9069127, 3.9069130, 3.9069132, 3.9069134", \
+					  "3.9977635, 3.9977636, 3.9977638, 3.9977640, 3.9977643, 3.9977645, 3.9977648", \
+					  "4.0649151, 4.0649155, 4.0649159, 4.0649164, 4.0649169, 4.0649174, 4.0649179", \
+					  "4.1250843, 4.1250967, 4.1250971, 4.1250976, 4.1250980, 4.1250985, 4.1250990", \
+					  "4.1929220, 4.1929224, 4.1929229, 4.1929234, 4.1929238, 4.1929243, 4.1929248");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3168968, 3.3407681, 3.3410987, 3.3410990, 3.3410992, 3.3410994, 3.3410997", \
+					  "3.4618144, 3.4619776, 3.4619777, 3.4619779, 3.4619781, 3.4619784, 3.4619786", \
+					  "3.5836059, 3.5836062, 3.5836064, 3.5836067, 3.5836069, 3.5836071, 3.5836074", \
+					  "3.6594794, 3.6732266, 3.6732268, 3.6732270, 3.6732272, 3.6732275, 3.6913446", \
+					  "3.7390966, 3.7400261, 3.7400262, 3.7400264, 3.7400266, 3.7400269, 3.7400271", \
+					  "3.7964196, 3.7964198, 3.7964200, 3.7964203, 3.7964205, 3.7964207, 3.7964210", \
+					  "3.8692480, 3.8694909, 3.8694910, 3.8694913, 3.8694915, 3.8694917, 3.8694920");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5090352, 2.5366187, 2.7045635, 3.7096113, 9.8436113, 23.8804140, 52.0089000", \
+					  "2.6461233, 2.6728220, 2.8408333, 3.8459271, 9.9818829, 24.0213660, 52.1480350", \
+					  "2.7572480, 2.7847864, 2.9526515, 3.9577817, 10.0846580, 24.1290220, 52.2618360", \
+					  "2.8421288, 2.8696467, 3.0376677, 4.0417967, 10.1757830, 24.2314930, 52.3709150", \
+					  "2.9104199, 2.9379290, 3.1058920, 4.1094439, 10.2459770, 24.3054000, 52.4082890", \
+					  "2.9722997, 2.9998277, 3.1677507, 4.1717723, 10.3029410, 24.3690150, 52.5003010", \
+					  "3.0271592, 3.0546219, 3.2225707, 4.2219312, 10.3583600, 24.4235670, 52.5481700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7094542, 1.7629944, 2.0710242, 4.0385362, 11.9720360, 17.0922670, 40.2165940", \
+					  "1.7094284, 1.7587302, 2.0709545, 4.0395061, 11.9712580, 17.0661050, 40.2259170", \
+					  "1.7079959, 1.7629820, 2.0717874, 4.0438937, 11.9704850, 17.0827530, 40.2052440", \
+					  "1.7098926, 1.7598407, 2.0710313, 4.0430699, 11.9711650, 17.0385840, 40.2372610", \
+					  "1.7124109, 1.7587204, 2.0710405, 4.0449173, 11.9715850, 17.0521640, 40.2233770", \
+					  "1.7118482, 1.7628393, 2.0716528, 4.0328172, 11.9700740, 17.1267110, 40.2323790", \
+					  "1.7124334, 1.7613659, 2.0710618, 4.0366488, 11.9714310, 17.0614260, 40.2378400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.8654231, 9.0840544, 10.3784070, 18.3283470, 66.5051230, 362.1243800, 2180.5095000", \
+					  "9.0251611, 9.2392662, 10.5277760, 18.4693420, 66.6602770, 362.0538700, 2179.2312000", \
+					  "9.1855581, 9.3967412, 10.6929230, 18.6467960, 66.7810200, 362.1561900, 2179.6436000", \
+					  "9.3158317, 9.5346024, 10.8306580, 18.7810890, 66.9331330, 362.4027400, 2179.3182000", \
+					  "9.4446575, 9.6546495, 10.9419020, 18.8908140, 67.0871000, 362.4752800, 2179.8180000", \
+					  "9.5543609, 9.7691233, 11.0652430, 19.0115070, 67.1939940, 362.6787200, 2183.2095000", \
+					  "9.6663131, 9.8697228, 11.1709360, 19.1147420, 67.2881480, 362.8598500, 2179.9556000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1613080, 13.5767750, 16.0629470, 31.4853670, 127.2450400, 717.0805800, 4356.6974000", \
+					  "13.1701890, 13.5752140, 16.0668580, 31.4438720, 126.8782600, 716.9136300, 4351.9635000", \
+					  "13.1716640, 13.5719450, 16.0772180, 31.5087690, 127.2305100, 717.0299900, 4351.9044000", \
+					  "13.1563420, 13.5761110, 16.0646180, 31.4933180, 127.2824600, 717.1740900, 4348.8349000", \
+					  "13.1700060, 13.5754660, 16.0647710, 31.4345030, 126.9645100, 716.2521500, 4351.5943000", \
+					  "13.1703990, 13.5716600, 16.0766170, 31.4869480, 126.9811200, 716.3208100, 4349.9535000", \
+					  "13.1696790, 13.5618290, 16.0507360, 31.5165850, 127.3025600, 717.1103100, 4349.3869000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6668300, 3.6668302, 3.6668305, 3.6668307, 3.6668309, 3.6668312, 3.6668314", \
+					  "3.7812908, 3.7812909, 3.7812912, 3.7896966, 3.7896969, 3.7896971, 3.7896973", \
+					  "3.9017722, 3.9017724, 3.9017727, 3.9017729, 3.9017731, 3.9017734, 3.9017736", \
+					  "3.9857997, 3.9858000, 3.9858002, 3.9858004, 3.9858007, 3.9858009, 3.9858012", \
+					  "4.0553498, 4.0553501, 4.0553506, 4.0553511, 4.0553515, 4.0553520, 4.0553525", \
+					  "4.1265205, 4.1265209, 4.1265214, 4.1265219, 4.1265224, 4.1265228, 4.1265233", \
+					  "4.1937205, 4.1937206, 4.1937211, 4.1937216, 4.1937221, 4.1937225, 4.1937230");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9507285, 1.9509909, 1.9509910, 1.9509911, 1.9509913, 1.9509914, 2.1212379", \
+					  "2.0834505, 2.0834507, 2.0834510, 2.0834512, 2.0834514, 2.0834517, 2.2302826", \
+					  "2.1897866, 2.1897868, 2.1897871, 2.1897873, 2.1897876, 2.1897878, 2.3259930", \
+					  "2.2697217, 2.2697219, 2.2697222, 2.2697224, 2.2697226, 2.2697229, 2.3990809", \
+					  "2.3365589, 2.3374680, 2.3374681, 2.3374683, 2.3374686, 2.3374688, 2.4699807", \
+					  "2.4011146, 2.4011149, 2.4011151, 2.4011153, 2.4011156, 2.4011158, 2.5327511", \
+					  "2.4677504, 2.4677505, 2.4677507, 2.4677510, 2.4677512, 2.4677514, 2.5803706");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6678302, 2.6970615, 2.8728497, 3.9378110, 9.7250004, 34.8647050, 97.9862310", \
+					  "2.8226970, 2.8509690, 3.0270595, 4.0962544, 9.8808934, 35.0186480, 98.1910940", \
+					  "2.9796232, 3.0080345, 3.1815257, 4.2515804, 10.0375410, 35.1757730, 98.3426180", \
+					  "3.1155425, 3.1444369, 3.3213783, 4.3947224, 10.1779150, 35.3161870, 98.4796100", \
+					  "3.2387177, 3.2667906, 3.4422125, 4.5091708, 10.2959050, 35.4366280, 98.6077850", \
+					  "3.3526246, 3.3822130, 3.5579808, 4.6247122, 10.4112050, 35.5439480, 98.7074030", \
+					  "3.4531606, 3.4852485, 3.6627341, 4.7321542, 10.5110220, 35.6567220, 98.8031700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7686627, 1.8242844, 2.1495656, 4.0689110, 11.4102830, 42.5744200, 59.4241810", \
+					  "1.7714494, 1.8239142, 2.1511899, 4.0720458, 11.4078790, 42.5732130, 59.5193300", \
+					  "1.7678919, 1.8240446, 2.1518991, 4.0722341, 11.4111960, 42.5392880, 59.5555890", \
+					  "1.7695617, 1.8226423, 2.1561990, 4.0722843, 11.4048990, 42.5736740, 59.5293520", \
+					  "1.7681465, 1.8238193, 2.1524520, 4.0722345, 11.4096610, 42.5379980, 59.5490130", \
+					  "1.7717797, 1.8237138, 2.1565132, 4.0726609, 11.4051750, 42.5747860, 59.4933490", \
+					  "1.7681440, 1.8222972, 2.1505142, 4.0722581, 11.4092070, 42.5711770, 59.3828100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9511661, 1.9512005, 1.9512006, 1.9512007, 1.9512008, 1.9512010, 2.1416438", \
+					  "2.0805839, 2.0814892, 2.0814893, 2.0814895, 2.0814897, 2.0814900, 2.2309483", \
+					  "2.1902342, 2.1902344, 2.1902346, 2.1902348, 2.1902351, 2.1902353, 2.3263491", \
+					  "2.2694260, 2.2696884, 2.2696885, 2.2696888, 2.2696890, 2.2696893, 2.4006286", \
+					  "2.3369002, 2.3379052, 2.3379053, 2.3379056, 2.3379058, 2.3379061, 2.4708771", \
+					  "2.4014566, 2.4014568, 2.4014570, 2.4014572, 2.4014575, 2.4014577, 2.5287417", \
+					  "2.4682534, 2.4682535, 2.4682538, 2.4682540, 2.4682543, 2.4682545, 2.5698659");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.4306301, 2.4433953, 2.5204376, 2.8891043, 4.2955790, 11.2621940, 49.8677220", \
+					  "2.5863811, 2.5982771, 2.6742739, 3.0450202, 4.4502886, 11.4169600, 50.0423060", \
+					  "2.7430371, 2.7558342, 2.8290434, 3.2017351, 4.6074446, 11.5703400, 50.1975460", \
+					  "2.8787370, 2.8910023, 2.9710685, 3.3386567, 4.7433981, 11.7138420, 50.3431820", \
+					  "3.0018645, 3.0148632, 3.0904814, 3.4609027, 4.8660924, 11.8327550, 50.4710090", \
+					  "3.1151348, 3.1272123, 3.2035197, 3.5731642, 4.9804165, 11.9441380, 50.5834060", \
+					  "3.2217919, 3.2342877, 3.3091949, 3.6812358, 5.0861662, 12.0504790, 50.6607340");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6939864, 0.7010954, 0.7396250, 0.9835205, 2.3372093, 11.2774570, 46.4446720", \
+					  "0.6887948, 0.7013987, 0.7415317, 0.9857219, 2.3375994, 11.2836750, 46.4360320", \
+					  "0.6887940, 0.7015724, 0.7416286, 0.9855059, 2.3377800, 11.2835200, 46.4960980", \
+					  "0.6893764, 0.6959837, 0.7429680, 0.9844424, 2.3378892, 11.2721920, 46.5160210", \
+					  "0.6887955, 0.7015810, 0.7417302, 0.9853484, 2.3378601, 11.2836120, 46.5128450", \
+					  "0.6939411, 0.7013323, 0.7401904, 0.9833235, 2.3386606, 11.2738710, 46.4871520", \
+					  "0.6887698, 0.6959573, 0.7414749, 0.9845902, 2.3379181, 11.2837530, 46.4140440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4507832, 8.6611225, 9.9220312, 17.6411830, 65.4935730, 359.2935200, 2174.3353000", \
+					  "8.6019876, 8.8046148, 10.0567250, 17.8172520, 65.4570090, 359.7381000, 2174.4681000", \
+					  "8.7604866, 8.9641388, 10.2145880, 17.9524500, 65.8260500, 360.0290500, 2172.8504000", \
+					  "8.8924956, 9.1035011, 10.3681970, 18.0843940, 65.8901200, 359.9282900, 2175.5870000", \
+					  "9.0277945, 9.2243315, 10.4914440, 18.2469840, 66.0514700, 359.8523500, 2172.9500000", \
+					  "9.1268655, 9.3295967, 10.5996040, 18.3232610, 66.0628460, 360.2446000, 2173.0218000", \
+					  "9.2368344, 9.4509858, 10.6874110, 18.4280890, 66.1033610, 360.5025500, 2173.3304000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3625850, 13.7314400, 16.2252700, 31.6092790, 127.1209600, 715.0998700, 4337.9709000", \
+					  "13.3613100, 13.7607690, 16.2450680, 31.5670870, 127.1437200, 715.2588100, 4340.1260000", \
+					  "13.3607010, 13.7653340, 16.2479610, 31.5916110, 127.0107600, 715.5233600, 4341.7481000", \
+					  "13.3609120, 13.7669480, 16.2563620, 31.6165230, 127.1758100, 715.3113300, 4338.6640000", \
+					  "13.3266940, 13.7665260, 16.2564010, 31.5820190, 127.2154200, 715.0677600, 4341.5807000", \
+					  "13.3578220, 13.7624920, 16.2194970, 31.6096910, 127.1151700, 714.7591000, 4341.5253000", \
+					  "13.3605530, 13.7332630, 16.2502900, 31.6111050, 126.7981300, 715.5092000, 4341.7167000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6741300, 2.6875133, 2.7634024, 3.1283465, 4.4465902, 8.1434175, 19.8470090", \
+					  "2.8275975, 2.8405649, 2.9184539, 3.2814895, 4.6010782, 8.2980520, 19.9983350", \
+					  "2.9839555, 2.9974625, 3.0724361, 3.4360907, 4.7566511, 8.4564435, 20.1525290", \
+					  "3.1219047, 3.1346723, 3.2070013, 3.5776266, 4.8918127, 8.5869063, 20.2861300", \
+					  "3.2430281, 3.2557197, 3.3227401, 3.6975267, 5.0161444, 8.7114707, 20.4087310", \
+					  "3.3518355, 3.3652179, 3.4434426, 3.8082395, 5.1293183, 8.8265333, 20.5252740", \
+					  "3.4620908, 3.4768590, 3.5520469, 3.9169499, 5.2314730, 8.9336467, 20.6337830");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6917619, 0.6989193, 0.7353990, 0.9769603, 2.0285567, 4.7578981, 17.2438220", \
+					  "0.6919210, 0.6978332, 0.7353831, 0.9773099, 2.0245337, 4.7641739, 17.2323330", \
+					  "0.6919308, 0.6974089, 0.7355645, 0.9772050, 2.0243056, 4.7630322, 17.2409180", \
+					  "0.6914965, 0.6974579, 0.7354975, 0.9768858, 2.0238313, 4.7574549, 17.2280950", \
+					  "0.6918167, 0.6976870, 0.7355080, 0.9768902, 2.0242876, 4.7573616, 17.2584630", \
+					  "0.6919962, 0.6976947, 0.7356252, 0.9771597, 2.0242843, 4.7612589, 17.2358000", \
+					  "0.6916968, 0.6946698, 0.7356099, 0.9842366, 2.0237809, 4.7574549, 17.2271260");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4287075, 8.6304750, 9.9033350, 17.6723170, 65.2656520, 359.5792700, 2172.2965000", \
+					  "8.5721972, 8.7771896, 10.0438640, 17.7609040, 65.4458390, 359.6045100, 2172.4754000", \
+					  "8.6754497, 8.8972651, 10.1486770, 17.9103240, 65.5510850, 359.8618200, 2172.4595000", \
+					  "8.7683851, 8.9667420, 10.2353110, 17.9515370, 65.7689300, 359.9762100, 2172.6607000", \
+					  "8.8314369, 9.0338101, 10.2977860, 18.0214970, 65.8854940, 359.8319700, 2172.5828000", \
+					  "8.9022869, 9.1113819, 10.3651200, 18.0880860, 65.7747560, 360.0168000, 2172.6626000", \
+					  "8.9518215, 9.1642898, 10.4138660, 18.1372070, 65.8624510, 360.4010600, 2173.9852000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3596800, 13.7564870, 16.2527180, 31.6269110, 126.8904600, 714.7266400, 4341.1583000", \
+					  "13.3447840, 13.7616450, 16.2423890, 31.5866760, 126.7493600, 715.3238900, 4341.1433000", \
+					  "13.3604000, 13.7620900, 16.2365160, 31.5919770, 127.0811700, 715.2970600, 4341.0705000", \
+					  "13.3486700, 13.7629190, 16.2105440, 31.6211020, 127.1622700, 714.9232000, 4341.1305000", \
+					  "13.3621770, 13.7643350, 16.2355980, 31.6126640, 127.1836500, 715.2436900, 4341.0841000", \
+					  "13.3254970, 13.7523660, 16.2310560, 31.6041770, 126.6999900, 715.1437000, 4341.9013000", \
+					  "13.3554580, 13.7549070, 16.2389600, 31.6118400, 127.2174200, 715.6898500, 4341.3156000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.5453511, 8.7410559, 10.0076770, 17.7480090, 65.5541040, 360.9963000, 2179.8899000", \
+					  "8.6994385, 8.8950980, 10.1613400, 17.9044960, 65.7182470, 361.0653900, 2180.8087000", \
+					  "8.8560990, 9.0542214, 10.3179050, 18.0650290, 65.8600070, 360.9104900, 2178.1477000", \
+					  "8.9968717, 9.1921635, 10.4591130, 18.1987300, 66.0034160, 361.4726400, 2180.1043000", \
+					  "9.1085965, 9.3189279, 10.5764810, 18.3212150, 66.0680120, 361.4121600, 2179.7080000", \
+					  "9.2289696, 9.4238590, 10.6851230, 18.4310950, 66.2335040, 361.6996200, 2179.4344000", \
+					  "9.3359755, 9.5328661, 10.7987150, 18.5428560, 66.3568120, 361.4554800, 2180.1495000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1662410, 13.5709660, 16.0714380, 31.4622210, 126.9358200, 717.1079200, 4349.3810000", \
+					  "13.1678530, 13.5708340, 16.0731110, 31.4545900, 127.0211200, 717.1511200, 4351.7953000", \
+					  "13.1583140, 13.5512140, 16.0593850, 31.4695290, 127.3483700, 715.8246800, 4349.3051000", \
+					  "13.1652100, 13.5719930, 16.0702650, 31.4655860, 126.9230600, 717.0885400, 4350.1941000", \
+					  "13.1572690, 13.5766280, 16.0790740, 31.5173420, 127.3610500, 717.1812500, 4351.3523000", \
+					  "13.1618220, 13.5748430, 16.0602660, 31.4676920, 126.9102100, 716.9486500, 4348.8590000", \
+					  "13.1681880, 13.5683080, 16.0737770, 31.4457540, 127.0488600, 717.1025200, 4356.5115000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0323028, 2.0323030, 2.0334086, 2.0334088, 2.0334090, 2.0334093, 2.1405528", \
+					  "2.1613373, 2.1655393, 2.1655394, 2.1655397, 2.1655399, 2.1655401, 2.2661990", \
+					  "2.2736408, 2.2736410, 2.2736413, 2.2736415, 2.2741140, 2.2741141, 2.3720246", \
+					  "2.3513573, 2.3513574, 2.3589251, 2.3589253, 2.3589255, 2.3589258, 2.4435678", \
+					  "2.4188698, 2.4233942, 2.4269029, 2.4269032, 2.4269034, 2.4269037, 2.5249165", \
+					  "2.4817755, 2.4842646, 2.4854343, 2.4854345, 2.4854347, 2.4875593, 2.5807676", \
+					  "2.5336764, 2.5336766, 2.5336768, 2.5380589, 2.5380592, 2.5380594, 2.6366997");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3112439, 3.3112442, 3.3412142, 3.3412143, 3.3412146, 3.3412148, 3.3412151", \
+					  "3.4674612, 3.4674613, 3.4674615, 3.4674618, 3.4674620, 3.4674622, 3.4674625", \
+					  "3.5588015, 3.5600802, 3.5600805, 3.5600807, 3.5600809, 3.5600812, 3.5600814", \
+					  "3.6441650, 3.6477208, 3.6477210, 3.6477212, 3.6477215, 3.6477217, 3.6916232", \
+					  "3.7384984, 3.7475716, 3.7475719, 3.7475721, 3.7475724, 3.7475726, 3.7475728", \
+					  "3.7953032, 3.7965240, 3.7965242, 3.7965245, 3.7965247, 3.7965249, 3.7965252", \
+					  "3.8875601, 3.8904511, 3.8904514, 3.8904516, 3.8904518, 3.8904521, 3.8904523");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5377462, 2.5650724, 2.7331655, 3.7371914, 9.8744293, 24.0002670, 52.1254790", \
+					  "2.6927733, 2.7185922, 2.8864915, 3.8910573, 10.0235170, 24.1538650, 52.2685890", \
+					  "2.8481684, 2.8772797, 3.0424940, 4.0490002, 10.1816210, 24.2872410, 52.4255950", \
+					  "2.9876197, 3.0092175, 3.1830325, 4.1810533, 10.3269770, 24.4167640, 52.5650670", \
+					  "3.1071117, 3.1332690, 3.3019256, 4.3007922, 10.4411410, 24.5463490, 52.7065610", \
+					  "3.2179894, 3.2480085, 3.4080410, 4.4157304, 10.5514700, 24.6775840, 52.7927320", \
+					  "3.3254675, 3.3414147, 3.5183882, 4.5207389, 10.6656640, 24.7859970, 52.9081880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7058864, 1.7550190, 2.0708149, 4.0287578, 12.0316370, 17.1028330, 40.1921700", \
+					  "1.7046732, 1.7539423, 2.0705946, 4.0197503, 12.0302430, 17.1024350, 40.2228720", \
+					  "1.7050799, 1.7543130, 2.0699111, 4.0284949, 12.0280280, 17.1206980, 40.2153370", \
+					  "1.7045191, 1.7556103, 2.0695614, 4.0284842, 12.0306200, 17.1323490, 40.2237070", \
+					  "1.7044473, 1.7548623, 2.0695394, 4.0283532, 12.0316980, 17.0912330, 40.2154750", \
+					  "1.7036951, 1.7529788, 2.0695905, 4.0197179, 12.0318260, 17.0796910, 40.2025830", \
+					  "1.7044404, 1.7552838, 2.0675939, 4.0192041, 12.0295420, 17.1030710, 40.2319320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.3081503, 2.3081505, 2.3081507, 2.3081509, 2.3081512, 2.3115734, 2.3879370", \
+					  "2.4405504, 2.4414093, 2.4436049, 2.4447500, 2.4447502, 2.4470947, 2.5292313", \
+					  "2.5565301, 2.5565302, 2.5573645, 2.5573646, 2.5573649, 2.5580718, 2.6373477", \
+					  "2.6357256, 2.6357257, 2.6384381, 2.6384382, 2.6384384, 2.6384386, 2.7213596", \
+					  "2.7036287, 2.7036289, 2.7036292, 2.7036294, 2.7036297, 2.7048614, 2.7892155", \
+					  "2.7596596, 2.7597138, 2.7615712, 2.7658285, 2.7658288, 2.7676539, 2.8436662", \
+					  "2.8175116, 2.8175117, 2.8238640, 2.8238642, 2.8238644, 2.8238646, 2.9027192");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4287155, 8.6307095, 9.9033164, 17.6723080, 65.2666830, 359.5784500, 2172.2958000", \
+					  "8.5722442, 8.7771568, 10.0438520, 17.7617710, 65.4451920, 359.6021000, 2172.4748000", \
+					  "8.6752925, 8.8857162, 10.1486920, 17.9204650, 65.7177350, 359.7744500, 2172.5225000", \
+					  "8.7645304, 8.9757632, 10.2363440, 18.0026500, 65.6392490, 359.6601900, 2172.5693000", \
+					  "8.8371355, 9.0333963, 10.2980660, 18.0155250, 65.6913530, 359.9632000, 2172.5696000", \
+					  "8.9019077, 9.1073957, 10.3650550, 18.0884320, 65.7611140, 359.9109300, 2172.7471000", \
+					  "8.9500043, 9.1616039, 10.4137920, 18.1247570, 65.7745010, 359.8395600, 2175.4502000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3597150, 13.7559010, 16.2525670, 31.6269140, 126.8860800, 714.7505700, 4341.1510000", \
+					  "13.3446540, 13.7617020, 16.2421670, 31.5868180, 126.7474200, 715.3257100, 4341.1433000", \
+					  "13.3602210, 13.7661010, 16.2364470, 31.6215360, 126.9742100, 715.1564300, 4341.1769000", \
+					  "13.3629780, 13.7614600, 16.2469360, 31.5701240, 126.7597400, 715.2760900, 4341.1519000", \
+					  "13.3610120, 13.7644440, 16.2345460, 31.6265520, 126.9003200, 714.6604700, 4341.1819000", \
+					  "13.3255900, 13.7556570, 16.2315280, 31.6042130, 127.1660000, 715.1887800, 4342.4693000", \
+					  "13.3383270, 13.7491410, 16.2393350, 31.6039600, 127.0365700, 715.4072800, 4338.5248000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.5453307, 8.7411385, 10.0077210, 17.7485120, 65.5515110, 361.0214800, 2180.0572000", \
+					  "8.6993497, 8.8957650, 10.1616240, 17.9061030, 65.7026220, 361.0792300, 2177.7098000", \
+					  "8.8560785, 9.0542649, 10.3181190, 18.0651760, 65.8601710, 360.8668900, 2178.3710000", \
+					  "8.9968637, 9.1922807, 10.4592090, 18.1992930, 66.0008510, 361.4938500, 2180.0058000", \
+					  "9.1089527, 9.3189643, 10.5694380, 18.3203160, 66.0595420, 361.1224900, 2178.1686000", \
+					  "9.2289056, 9.4238324, 10.6913490, 18.4319120, 66.2311380, 361.5942000, 2180.7778000", \
+					  "9.3360031, 9.5330162, 10.7986090, 18.5432200, 66.3559450, 361.5487900, 2179.5531000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1661060, 13.5708390, 16.0716860, 31.4602460, 126.9204500, 716.8724200, 4351.4610000", \
+					  "13.1671240, 13.5696030, 16.0714590, 31.4475240, 126.9074800, 717.1535700, 4352.0372000", \
+					  "13.1580730, 13.5510590, 16.0601430, 31.4701120, 127.3504600, 715.6936000, 4353.4951000", \
+					  "13.1651660, 13.5718230, 16.0705800, 31.4635140, 126.9085700, 717.0519900, 4350.2055000", \
+					  "13.1582530, 13.5766410, 16.0567560, 31.5171570, 127.3549900, 715.8934100, 4352.9312000", \
+					  "13.1615100, 13.5748290, 16.0675050, 31.4649850, 126.8974900, 716.6671000, 4349.2641000", \
+					  "13.1681980, 13.5679120, 16.0742180, 31.4425000, 127.0421400, 717.1444700, 4351.2991000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5056859, 2.5332767, 2.7010452, 3.7052896, 9.8374606, 23.9679970, 52.1049510", \
+					  "2.6433176, 2.6709952, 2.8387421, 3.8439101, 9.9783604, 24.1053430, 52.2482890", \
+					  "2.7538780, 2.7815590, 2.9494018, 3.9545167, 10.0934000, 24.1877380, 52.3476910", \
+					  "2.8379650, 2.8656926, 3.0311793, 4.0364712, 10.1799910, 24.2902750, 52.4278360", \
+					  "2.9054901, 2.9351754, 3.1025273, 4.1018318, 10.2379750, 24.3534470, 52.5042660", \
+					  "2.9691372, 2.9962539, 3.1642415, 4.1701886, 10.3042700, 24.4309500, 52.5534000", \
+					  "3.0246521, 3.0457321, 3.2183409, 4.2242116, 10.3579230, 24.4893080, 52.6342880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7102105, 1.7617692, 2.0700865, 4.0431019, 12.0315010, 17.1111370, 40.2338640", \
+					  "1.7077121, 1.7616402, 2.0702065, 4.0466226, 12.0294190, 17.1075350, 40.2494610", \
+					  "1.7092947, 1.7583552, 2.0706119, 4.0338004, 12.0289540, 17.1562570, 40.2397900", \
+					  "1.7104544, 1.7589644, 2.0698658, 4.0437627, 12.0305550, 17.1455990, 40.2299240", \
+					  "1.7093374, 1.7584549, 2.0711286, 4.0325379, 12.0303210, 17.0907200, 40.2161010", \
+					  "1.7084337, 1.7620421, 2.0713036, 4.0321630, 12.0278420, 17.1129480, 40.1996200", \
+					  "1.7112114, 1.7620236, 2.0699997, 4.0463446, 12.0306310, 17.0976810, 40.2444510");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0351376, 2.0351378, 2.0351381, 2.0351383, 2.0351385, 2.0387716, 2.1294768", \
+					  "2.1709761, 2.1709763, 2.1709766, 2.1709768, 2.1709770, 2.1735254, 2.2536117", \
+					  "2.2735318, 2.2735321, 2.2753905, 2.2753906, 2.2753908, 2.2753910, 2.3630153", \
+					  "2.3574507, 2.3649147, 2.3649148, 2.3649151, 2.3649153, 2.3667741, 2.4441866", \
+					  "2.4253550, 2.4267550, 2.4267551, 2.4267554, 2.4316549, 2.4316551, 2.5131119", \
+					  "2.4844480, 2.4910312, 2.4910313, 2.4910316, 2.4910318, 2.4910321, 2.5727407", \
+					  "2.5483005, 2.5504736, 2.5504739, 2.5504741, 2.5504743, 2.5504746, 2.6251121");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5419038, 2.5639779, 2.6791223, 3.0868659, 4.4185104, 11.0570100, 36.0729690", \
+					  "2.6782685, 2.7002174, 2.8155951, 3.2231897, 4.5539634, 11.1944210, 36.1981660", \
+					  "2.7902363, 2.8120675, 2.9272975, 3.3351435, 4.6662376, 11.2975650, 36.2477590", \
+					  "2.8745926, 2.8965748, 3.0117906, 3.4196443, 4.7511337, 11.3887980, 36.3905990", \
+					  "2.9426961, 2.9647571, 3.0799989, 3.4876035, 4.8192477, 11.4586480, 36.4267510", \
+					  "3.0047376, 3.0267000, 3.1418808, 3.5496388, 4.8808513, 11.5200990, 36.5127980", \
+					  "3.0546436, 3.0766749, 3.1919360, 3.5996939, 4.9311191, 11.5695140, 36.5830600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0562413, 1.0617192, 1.0947502, 1.1676390, 2.5849707, 10.5716500, 38.2052220", \
+					  "1.0564288, 1.0630460, 1.0945721, 1.1676640, 2.5884126, 10.5788260, 38.2336760", \
+					  "1.0554382, 1.0617032, 1.0933644, 1.1675074, 2.5824445, 10.5718690, 38.2278600", \
+					  "1.0568397, 1.0616735, 1.0947480, 1.1676348, 2.5848621, 10.5711180, 38.2474390", \
+					  "1.0564188, 1.0623258, 1.0946121, 1.1676431, 2.5870726, 10.5797700, 38.2394420", \
+					  "1.0559430, 1.0631166, 1.0939096, 1.1677426, 2.5828000, 10.5737170, 38.2533720", \
+					  "1.0567736, 1.0634762, 1.0938976, 1.1678792, 2.5838387, 10.5807590, 38.2307020");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.4752946, 2.4884899, 2.5644922, 2.9314997, 4.2488053, 7.9322557, 19.6272260", \
+					  "2.6295712, 2.6434324, 2.7183795, 3.0859881, 4.4025095, 8.0869791, 19.7845330", \
+					  "2.7870370, 2.8003881, 2.8764144, 3.2434067, 4.5607851, 8.2449176, 19.9424030", \
+					  "2.9269323, 2.9401646, 3.0161624, 3.3831680, 4.7004880, 8.3841094, 20.0789980", \
+					  "3.0460683, 3.0588465, 3.1338947, 3.5018268, 4.8180180, 8.5026901, 20.1999590", \
+					  "3.1580782, 3.1731513, 3.2491618, 3.6161942, 4.9333599, 8.6182315, 20.3148200", \
+					  "3.2661072, 3.2791099, 3.3551455, 3.7221608, 5.0395315, 8.7246992, 20.4207320");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6593739, 0.6650700, 0.7119728, 0.9573399, 2.0052433, 4.7468141, 17.2218950", \
+					  "0.6572370, 0.6640893, 0.7118995, 0.9544184, 2.0051985, 4.7496580, 17.2269420", \
+					  "0.6594941, 0.6653993, 0.7119539, 0.9581486, 2.0064370, 4.7562665, 17.2227070", \
+					  "0.6593734, 0.6650630, 0.7119814, 0.9573382, 2.0053708, 4.7472239, 17.2229450", \
+					  "0.6595900, 0.6639360, 0.7105245, 0.9538536, 2.0051745, 4.7508180, 17.2268490", \
+					  "0.6594276, 0.6652632, 0.7120177, 0.9581368, 2.0054820, 4.7549031, 17.2358220", \
+					  "0.6594634, 0.6653317, 0.7120027, 0.9579770, 2.0061930, 4.7520894, 17.2307180");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0669586, 2.0669588, 2.0669591, 2.0669593, 2.0669596, 2.0669598, 2.1690134", \
+					  "2.1982791, 2.2024900, 2.2024903, 2.2024905, 2.2024907, 2.2024910, 2.2949318", \
+					  "2.3122004, 2.3122005, 2.3122007, 2.3122010, 2.3122012, 2.3122014, 2.4031941", \
+					  "2.3916227, 2.3947269, 2.3947272, 2.3960716, 2.3960718, 2.3960721, 2.4857842", \
+					  "2.4623548, 2.4624207, 2.4624209, 2.4643529, 2.4643530, 2.4643533, 2.5534270", \
+					  "2.5182099, 2.5231057, 2.5231058, 2.5232003, 2.5232004, 2.5232007, 2.6137972", \
+					  "2.5733820, 2.5733821, 2.5733823, 2.5733825, 2.5787965, 2.5787966, 2.6645108");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0295119, 2.0312012, 2.0312013, 2.0312015, 2.0312018, 2.0312020, 2.1392526", \
+					  "2.1661282, 2.1661283, 2.1661286, 2.1661288, 2.1661290, 2.1661293, 2.2554234", \
+					  "2.2732174, 2.2732176, 2.2732178, 2.2732181, 2.2732183, 2.2737721, 2.3761493", \
+					  "2.3484195, 2.3484196, 2.3504634, 2.3504636, 2.3504638, 2.3504640, 2.4503193", \
+					  "2.4183138, 2.4183139, 2.4200919, 2.4244935, 2.4244937, 2.4250089, 2.5227997", \
+					  "2.4846643, 2.4862903, 2.4862904, 2.4862906, 2.4862909, 2.4862911, 2.5675042", \
+					  "2.5303442, 2.5303444, 2.5344513, 2.5344514, 2.5344517, 2.5356728, 2.6353302");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3136707, 3.3136708, 3.3412970, 3.3412971, 3.3412973, 3.3412976, 3.3412978", \
+					  "3.4678604, 3.4678606, 3.4678609, 3.4678611, 3.4678613, 3.4678616, 3.4678618", \
+					  "3.5595160, 3.5606553, 3.5606555, 3.5606558, 3.5606560, 3.5606562, 3.5606565", \
+					  "3.6436072, 3.6466057, 3.6466059, 3.6466061, 3.6466064, 3.6466066, 3.6911141", \
+					  "3.7481183, 3.7481186, 3.7481188, 3.7481190, 3.7481193, 3.7481195, 3.7481198", \
+					  "3.7961660, 3.7972181, 3.7972183, 3.7972185, 3.7972187, 3.7972190, 3.7972192", \
+					  "3.8879606, 3.8904591, 3.8904592, 3.8904595, 3.8904597, 3.8904599, 3.8904602");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5481752, 2.5708597, 2.6896592, 3.1027396, 4.4256936, 11.0627600, 36.0457120", \
+					  "2.7011804, 2.7262030, 2.8430069, 3.2560599, 4.5790207, 11.2123300, 36.1936930", \
+					  "2.8570168, 2.8802463, 2.9992056, 3.4149554, 4.7345776, 11.3655980, 36.3572530", \
+					  "2.9938665, 3.0211302, 3.1378016, 3.5467440, 4.8695288, 11.5017860, 36.4860110", \
+					  "3.1162259, 3.1402034, 3.2585934, 3.6713665, 4.9934763, 11.6161650, 36.6106650", \
+					  "3.2306184, 3.2424401, 3.3692100, 3.7805519, 5.1006777, 11.7267340, 36.7253960", \
+					  "3.3245615, 3.3559013, 3.4713346, 3.8893977, 5.2138898, 11.8427210, 36.8296470");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0754518, 1.0825394, 1.1181499, 1.2023755, 2.5890707, 10.5840320, 38.2482810", \
+					  "1.0756658, 1.0830309, 1.1178417, 1.2029187, 2.5913058, 10.5792740, 38.2532440", \
+					  "1.0758483, 1.0833123, 1.1182459, 1.2029395, 2.5877991, 10.5862680, 38.2551270", \
+					  "1.0759656, 1.0826188, 1.1179213, 1.2029960, 2.5880447, 10.5869700, 38.2540190", \
+					  "1.0756153, 1.0830917, 1.1182347, 1.2023963, 2.5891447, 10.5855230, 38.2566330", \
+					  "1.0758469, 1.0827019, 1.1178516, 1.2023869, 2.5893976, 10.5841030, 38.2432930", \
+					  "1.0760555, 1.0832363, 1.1184387, 1.2011917, 2.5882678, 10.5827850, 38.2489670");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7428207, 8.9485637, 10.2067660, 17.9604780, 65.7393850, 360.6851600, 2179.7468000", \
+					  "8.8995860, 9.1057908, 10.3631180, 18.1182130, 65.9358010, 361.0346400, 2178.3794000", \
+					  "9.0505514, 9.2581436, 10.5210650, 18.2681830, 66.0688710, 361.3866100, 2181.0999000", \
+					  "9.1909449, 9.3995594, 10.6530400, 18.4135410, 66.2037390, 360.8880700, 2179.1077000", \
+					  "9.3071515, 9.5153352, 10.7776820, 18.5305760, 66.3354460, 361.4558500, 2179.2287000", \
+					  "9.4210320, 9.6338173, 10.8877650, 18.6246410, 66.4193960, 361.7332400, 2178.2969000", \
+					  "9.5349009, 9.7331299, 10.9992500, 18.7504680, 66.5430950, 361.7476800, 2179.9221000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1610580, 13.5428850, 16.0421600, 31.4809160, 126.8836500, 716.0804200, 4352.5042000", \
+					  "13.1684100, 13.5735390, 16.0756650, 31.4828800, 127.1942000, 715.7869400, 4357.0731000", \
+					  "13.1602020, 13.5463420, 16.0714460, 31.5201870, 127.3146600, 716.2690300, 4350.2027000", \
+					  "13.1682510, 13.5513190, 16.0755390, 31.4929700, 127.3419600, 716.4795200, 4353.6456000", \
+					  "13.1375850, 13.5676710, 16.0718670, 31.4822120, 127.3653500, 716.0433600, 4354.5679000", \
+					  "13.1464880, 13.5776360, 16.0372620, 31.5020260, 127.3057500, 716.1800700, 4351.3433000", \
+					  "13.1682000, 13.5642300, 16.0757430, 31.4871610, 127.3317300, 717.0967300, 4355.0044000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6669650, 3.6669652, 3.6669654, 3.6669657, 3.6669659, 3.6669661, 3.6669664", \
+					  "3.7812748, 3.7812750, 3.7812752, 3.7966545, 3.7966546, 3.7966549, 3.7966551", \
+					  "3.9017678, 3.9017679, 3.9017681, 3.9017684, 3.9017686, 3.9017689, 3.9017691", \
+					  "3.9858308, 3.9858310, 3.9858312, 3.9858314, 3.9858317, 3.9858319, 3.9858321", \
+					  "4.0553498, 4.0553501, 4.0553506, 4.0553511, 4.0553515, 4.0553520, 4.0553525", \
+					  "4.1263548, 4.1263550, 4.1263555, 4.1263559, 4.1263564, 4.1263569, 4.1263574", \
+					  "4.1936961, 4.1936963, 4.1936968, 4.1936973, 4.1936977, 4.1936982, 4.1936987");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9509356, 1.9511572, 1.9516624, 1.9517834, 1.9517835, 1.9517836, 2.1325015", \
+					  "2.0822877, 2.0822880, 2.0823634, 2.0823635, 2.0823638, 2.0823640, 2.2248459", \
+					  "2.1882798, 2.1882800, 2.1882803, 2.1882805, 2.1882808, 2.1882810, 2.3111408", \
+					  "2.2715347, 2.2722471, 2.2722472, 2.2722475, 2.2722477, 2.2722480, 2.4008786", \
+					  "2.3341482, 2.3387263, 2.3387265, 2.3387267, 2.3387269, 2.3387272, 2.4765607", \
+					  "2.3970661, 2.3970663, 2.3970665, 2.3980025, 2.3980026, 2.3980028, 2.5254374", \
+					  "2.4671034, 2.4671037, 2.4671039, 2.4671041, 2.4671044, 2.4671046, 2.5788920");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.8927412, 2.9222293, 3.0979193, 4.1642791, 9.9516226, 35.0921820, 98.2648270", \
+					  "3.0457370, 3.0773308, 3.2496488, 4.3206314, 10.1044710, 35.2436230, 98.4259070", \
+					  "3.2005469, 3.2284524, 3.4071459, 4.4759778, 10.2610840, 35.4019040, 98.5511620", \
+					  "3.3423306, 3.3706207, 3.5417407, 4.6119806, 10.3953870, 35.5439230, 98.7238880", \
+					  "3.4616743, 3.4888412, 3.6560786, 4.7330553, 10.5198470, 35.6647440, 98.8113480", \
+					  "3.5730245, 3.6007177, 3.7779930, 4.8491846, 10.6324700, 35.7667850, 98.8950220", \
+					  "3.6795884, 3.7103025, 3.8802872, 4.9401137, 10.7417070, 35.8803690, 98.9971480");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7717647, 1.8243554, 2.1555082, 4.0703778, 11.4048900, 42.5672230, 59.5027530", \
+					  "1.7687691, 1.8250338, 2.1547608, 4.0724748, 11.4111370, 42.5424530, 59.4901410", \
+					  "1.7706954, 1.8216708, 2.1548296, 4.0702135, 11.4093050, 42.5774580, 59.3845720", \
+					  "1.7713269, 1.8241210, 2.1569206, 4.0720014, 11.4077320, 42.5737740, 59.3981330", \
+					  "1.7693822, 1.8218128, 2.1521280, 4.0704085, 11.4047410, 42.5732160, 59.4338760", \
+					  "1.7703730, 1.8252897, 2.1554223, 4.0724357, 11.4091310, 42.5778350, 59.4351730", \
+					  "1.7716550, 1.8234482, 2.1529438, 4.0713899, 11.4075670, 42.5656560, 59.3989000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0251905, 2.0291739, 2.0291740, 2.0291743, 2.0291745, 2.0291747, 2.1387561", \
+					  "2.1629645, 2.1629648, 2.1629650, 2.1629652, 2.1629655, 2.1629657, 2.2594495", \
+					  "2.2710325, 2.2710327, 2.2710793, 2.2710795, 2.2710797, 2.2715489, 2.3746913", \
+					  "2.3470657, 2.3470659, 2.3491757, 2.3491759, 2.3498883, 2.3498885, 2.4421596", \
+					  "2.4223161, 2.4223162, 2.4223165, 2.4223167, 2.4223170, 2.4231310, 2.5175682", \
+					  "2.4840563, 2.4840564, 2.4840566, 2.4840569, 2.4840571, 2.4840574, 2.5677103", \
+					  "2.5252926, 2.5252928, 2.5333078, 2.5333080, 2.5333082, 2.5333084, 2.6330380");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9514115, 1.9517428, 1.9520829, 1.9520830, 1.9520831, 1.9520832, 2.1324951", \
+					  "2.0798181, 2.0825732, 2.0829005, 2.0829007, 2.0829009, 2.0829012, 2.2262101", \
+					  "2.1878468, 2.1878471, 2.1878473, 2.1878475, 2.1878478, 2.1878480, 2.3126570", \
+					  "2.2721196, 2.2728555, 2.2728557, 2.2728559, 2.2728562, 2.2728564, 2.4015241", \
+					  "2.3343911, 2.3394124, 2.3394126, 2.3394129, 2.3394131, 2.3394133, 2.4774103", \
+					  "2.3979645, 2.3979646, 2.3979649, 2.3986884, 2.3986885, 2.3986887, 2.5248559", \
+					  "2.4677291, 2.4677293, 2.4677295, 2.4677297, 2.4677300, 2.4677302, 2.5795825");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6719956, 3.6719958, 3.6719960, 3.6719963, 3.6719965, 3.6719968, 3.6719970", \
+					  "3.7883385, 3.7883386, 3.7883388, 3.7999808, 3.7999810, 3.7999813, 3.7999815", \
+					  "3.9069108, 3.9069111, 3.9069113, 3.9069115, 3.9069118, 3.9069120, 3.9069123", \
+					  "3.9977466, 3.9977469, 3.9977471, 3.9977474, 3.9977476, 3.9977478, 3.9977481", \
+					  "4.0648933, 4.0648935, 4.0648940, 4.0648945, 4.0648950, 4.0648954, 4.0648959", \
+					  "4.1252020, 4.1252025, 4.1252029, 4.1252034, 4.1252039, 4.1252044, 4.1252049", \
+					  "4.1929034, 4.1929038, 4.1929043, 4.1929048, 4.1929052, 4.1929057, 4.1929062");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3170271, 3.3172773, 3.3410603, 3.3410606, 3.3410608, 3.3410610, 3.3410613", \
+					  "3.4611976, 3.4615075, 3.4615077, 3.4615080, 3.4615082, 3.4615084, 3.4615087", \
+					  "3.5836174, 3.5866503, 3.5866505, 3.5866508, 3.5866510, 3.5866513, 3.5866515", \
+					  "3.6599856, 3.6637355, 3.6637356, 3.6637358, 3.6637360, 3.6637363, 3.6637365", \
+					  "3.7388799, 3.7391485, 3.7391488, 3.7391490, 3.7391493, 3.7391495, 3.7391497", \
+					  "3.7964027, 3.7974091, 3.7974092, 3.7974095, 3.7974097, 3.7974099, 3.7974102", \
+					  "3.8692778, 3.8693488, 3.8693489, 3.8693492, 3.8693494, 3.8693496, 3.8693499");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5162565, 2.5389428, 2.6580627, 3.0708762, 4.3935420, 11.0284550, 36.0462520", \
+					  "2.6537501, 2.6752245, 2.7953375, 3.2086966, 4.5306017, 11.1662180, 36.1918100", \
+					  "2.7642262, 2.7872140, 2.9057017, 3.3183087, 4.6410342, 11.2724170, 36.2808910", \
+					  "2.8439002, 2.8706015, 2.9907936, 3.4042003, 4.7244497, 11.3613450, 36.3849790", \
+					  "2.9169567, 2.9391052, 3.0566447, 3.4715089, 4.7927484, 11.4258750, 36.4203880", \
+					  "2.9769205, 3.0036671, 3.1161485, 3.5311296, 4.8578026, 11.4905620, 36.4988820", \
+					  "3.0359408, 3.0587719, 3.1778276, 3.5912151, 4.9124975, 11.5439420, 36.5447120");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0755152, 1.0834250, 1.1181704, 1.2031722, 2.5873161, 10.5832500, 38.2244960", \
+					  "1.0757945, 1.0821586, 1.1181861, 1.2027642, 2.5874740, 10.5836770, 38.2274120", \
+					  "1.0769521, 1.0832124, 1.1181258, 1.2027561, 2.5856328, 10.5728340, 38.2623600", \
+					  "1.0758936, 1.0827873, 1.1182325, 1.2027525, 2.5877447, 10.5806990, 38.2229960", \
+					  "1.0758614, 1.0826503, 1.1181177, 1.2033291, 2.5864562, 10.5912970, 38.2469700", \
+					  "1.0756231, 1.0830941, 1.1182300, 1.2024582, 2.5875369, 10.5880370, 38.2647370", \
+					  "1.0768134, 1.0840806, 1.1182562, 1.2028907, 2.5842462, 10.5767450, 38.2193090");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.3258713, 2.3258714, 2.3332642, 2.3388385, 2.3388387, 2.3388390, 2.4325031", \
+					  "2.4753517, 2.4758691, 2.4758693, 2.4758696, 2.4758698, 2.4758701, 2.5647058", \
+					  "2.5838161, 2.5838162, 2.5838165, 2.5838167, 2.5838169, 2.5838172, 2.6815437", \
+					  "2.6533633, 2.6647073, 2.6647074, 2.6647076, 2.6647078, 2.6647081, 2.7607916", \
+					  "2.7195723, 2.7268996, 2.7373230, 2.7373232, 2.7373235, 2.7373237, 2.8272351", \
+					  "2.7810072, 2.7810556, 2.7831737, 2.7831740, 2.7831742, 2.7965332, 2.8829357", \
+					  "2.8514868, 2.8516276, 2.8516278, 2.8517362, 2.8517365, 2.8517367, 2.9458775");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5481595, 2.5707954, 2.6896239, 3.1027283, 4.4257003, 11.0567960, 36.0718670", \
+					  "2.7012861, 2.7243345, 2.8431455, 3.2563521, 4.5791948, 11.2122940, 36.2060250", \
+					  "2.8555669, 2.8831129, 2.9990339, 3.4149150, 4.7374922, 11.3663910, 36.3577830", \
+					  "2.9969114, 3.0145302, 3.1365535, 3.5463772, 4.8687121, 11.5044190, 36.5278970", \
+					  "3.1163532, 3.1391365, 3.2569145, 3.6660923, 4.9945768, 11.6162280, 36.6155140", \
+					  "3.2282834, 3.2546505, 3.3735350, 3.7823731, 5.1068740, 11.7395160, 36.7302100", \
+					  "3.3371652, 3.3577874, 3.4709295, 3.8884109, 5.2144515, 11.8456250, 36.8209320");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0756369, 1.0831619, 1.1182190, 1.2024227, 2.5872791, 10.5835770, 38.2653870", \
+					  "1.0768274, 1.0832211, 1.1182736, 1.2011679, 2.5890898, 10.5827090, 38.2594400", \
+					  "1.0759369, 1.0828919, 1.1179113, 1.2029413, 2.5875484, 10.5868430, 38.2431940", \
+					  "1.0759049, 1.0831820, 1.1183279, 1.2029483, 2.5875564, 10.5832450, 38.2196780", \
+					  "1.0759071, 1.0831688, 1.1179035, 1.2026833, 2.5890421, 10.5861260, 38.2434030", \
+					  "1.0758238, 1.0826415, 1.1178856, 1.2024213, 2.5887532, 10.5875820, 38.2429340", \
+					  "1.0761255, 1.0830997, 1.1181309, 1.2012948, 2.5867053, 10.5856510, 38.2410010");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6740574, 2.6868348, 2.7631224, 3.1288501, 4.4471964, 8.1431244, 19.8442900", \
+					  "2.8274121, 2.8406522, 2.9167384, 3.2800989, 4.6007913, 8.2984215, 19.9980070", \
+					  "2.9817293, 2.9952114, 3.0744340, 3.4365750, 4.7549751, 8.4513259, 20.1514430", \
+					  "3.1179792, 3.1362883, 3.2072649, 3.5719465, 4.8959676, 8.5872021, 20.2924590", \
+					  "3.2425465, 3.2553020, 3.3312606, 3.6960170, 5.0160374, 8.7123375, 20.4111930", \
+					  "3.3549790, 3.3636072, 3.4439600, 3.8084500, 5.1220486, 8.8258769, 20.5235920", \
+					  "3.4630272, 3.4730265, 3.5516983, 3.9167496, 5.2343547, 8.9332302, 20.6332890");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6920740, 0.6974122, 0.7354554, 0.9788378, 2.0236453, 4.7573523, 17.2473450", \
+					  "0.6922975, 0.6981367, 0.7356659, 0.9773152, 2.0248885, 4.7647726, 17.2220480", \
+					  "0.6916974, 0.6973980, 0.7355698, 0.9771155, 2.0236228, 4.7610849, 17.2408240", \
+					  "0.6917006, 0.6973622, 0.7355346, 0.9769390, 2.0235942, 4.7568349, 17.2203710", \
+					  "0.6918022, 0.6976604, 0.7355487, 0.9769990, 2.0243551, 4.7581801, 17.2207440", \
+					  "0.6920465, 0.6979469, 0.7356292, 0.9769782, 2.0246655, 4.7599618, 17.2411170", \
+					  "0.6894758, 0.6974309, 0.7422870, 0.9770299, 2.0240865, 4.7577919, 17.2261420");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5375728, 2.5655595, 2.7331406, 3.7374592, 9.8699253, 23.9721010, 52.1255110", \
+					  "2.6929485, 2.7190257, 2.8873492, 3.8904480, 10.0308080, 24.1539550, 52.2697760", \
+					  "2.8458202, 2.8740468, 3.0415572, 4.0459902, 10.1783370, 24.2913760, 52.4282060", \
+					  "2.9818781, 3.0151495, 3.1776544, 4.1818615, 10.3220680, 24.4464860, 52.5599900", \
+					  "3.1050360, 3.1336667, 3.2941228, 4.3008792, 10.4428030, 24.5465230, 52.7122740", \
+					  "3.2172125, 3.2389960, 3.4137464, 4.4177133, 10.5508270, 24.6664470, 52.7997170", \
+					  "3.3150931, 3.3506753, 3.5216048, 4.5268838, 10.6609250, 24.7885800, 52.9299950");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7052932, 1.7562442, 2.0710018, 4.0198933, 12.0302590, 17.1454280, 40.1924960", \
+					  "1.7048010, 1.7559446, 2.0703401, 4.0246890, 12.0292110, 17.1021490, 40.2296190", \
+					  "1.7045734, 1.7540469, 2.0699662, 4.0249115, 12.0286000, 17.1128300, 40.2296580", \
+					  "1.7049674, 1.7553731, 2.0703013, 4.0284212, 12.0308590, 17.0904570, 40.2296470", \
+					  "1.7050463, 1.7563451, 2.0701023, 4.0287991, 12.0282060, 17.0911390, 40.2178560", \
+					  "1.7050868, 1.7547536, 2.0701802, 4.0295554, 12.0309510, 17.0727200, 40.1927130", \
+					  "1.7054729, 1.7550020, 2.0700817, 4.0248778, 12.0302700, 17.1236340, 40.2370970");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.9078124, 2.9367802, 3.1125185, 4.1750043, 10.2438750, 22.1584490, 43.5956870", \
+					  "3.0623595, 3.0898031, 3.2672185, 4.3296073, 10.3974660, 22.3106920, 43.7505320", \
+					  "3.2176128, 3.2446699, 3.4213758, 4.4830593, 10.5471970, 22.4698870, 43.8977710", \
+					  "3.3508647, 3.3821268, 3.5625443, 4.6237556, 10.6899800, 22.6102780, 44.0387850", \
+					  "3.4667362, 3.5059833, 3.6818346, 4.7394879, 10.8100860, 22.7298340, 44.1646830", \
+					  "3.5869794, 3.6183865, 3.7955297, 4.8585456, 10.9227850, 22.8252260, 44.2774310", \
+					  "3.6948400, 3.7258054, 3.9000700, 4.9513789, 11.0342650, 22.9497170, 44.3639790");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7655323, 1.8185193, 2.1424369, 4.2028057, 11.6089520, 13.9525840, 26.8830180", \
+					  "1.7635617, 1.8159295, 2.1470365, 4.2006780, 11.6090460, 13.9532630, 26.9029350", \
+					  "1.7628142, 1.8182078, 2.1477440, 4.2002015, 11.6078500, 13.9654500, 26.9149150", \
+					  "1.7589528, 1.8166727, 2.1425843, 4.1981782, 11.6094530, 13.9543720, 26.8975850", \
+					  "1.7602504, 1.8183873, 2.1427464, 4.1947281, 11.6086470, 13.9629890, 26.8954170", \
+					  "1.7627257, 1.8185876, 2.1493134, 4.1974708, 11.6078300, 13.9568050, 26.9010380", \
+					  "1.7589060, 1.8183912, 2.1442852, 4.2008734, 11.6093950, 13.9658140, 26.9095910");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0298929, 2.0298931, 2.0298933, 2.0298936, 2.0298938, 2.0298940, 2.1337825", \
+					  "2.1607724, 2.1625928, 2.1625931, 2.1625933, 2.1625935, 2.1625938, 2.2585598", \
+					  "2.2712756, 2.2760456, 2.2760457, 2.2760460, 2.2760462, 2.2760464, 2.3500593", \
+					  "2.3465798, 2.3539930, 2.3539931, 2.3539933, 2.3539936, 2.3553481, 2.4444458", \
+					  "2.4160753, 2.4166318, 2.4210083, 2.4210085, 2.4210088, 2.4227327, 2.5232645", \
+					  "2.4815048, 2.4815051, 2.4828954, 2.4828955, 2.4828958, 2.4828960, 2.5779390", \
+					  "2.5334974, 2.5334975, 2.5334977, 2.5345583, 2.5345585, 2.5346212, 2.6331259");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9514019, 1.9514021, 1.9514022, 1.9514023, 1.9514024, 1.9514025, 2.1219866", \
+					  "2.0818711, 2.0818712, 2.0818714, 2.0818717, 2.0818719, 2.0818722, 2.2310804", \
+					  "2.1908266, 2.1908268, 2.1908271, 2.1908273, 2.1908275, 2.1908278, 2.3268190", \
+					  "2.2702076, 2.2702078, 2.2702081, 2.2702083, 2.2702085, 2.2702088, 2.4000337", \
+					  "2.3369942, 2.3382942, 2.3382944, 2.3382947, 2.3382949, 2.3382952, 2.4709537", \
+					  "2.4010878, 2.4010879, 2.4010882, 2.4010884, 2.4010886, 2.4010889, 2.5331368", \
+					  "2.4687807, 2.4687809, 2.4687812, 2.4687814, 2.4687816, 2.4687819, 2.5728346");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7769953, 1.7771475, 1.7771476, 1.7771478, 1.7771479, 1.7771480, 1.9707822", \
+					  "1.9217861, 1.9217862, 1.9217863, 1.9217864, 1.9217865, 1.9217867, 2.0743256", \
+					  "2.0735105, 2.0735106, 2.0735108, 2.0735111, 2.0735113, 2.0735115, 2.2043616", \
+					  "2.2090254, 2.2090483, 2.2090484, 2.2090487, 2.2090489, 2.2090492, 2.3211073", \
+					  "2.3271967, 2.3271968, 2.3271970, 2.3271972, 2.3271975, 2.3271977, 2.4388069", \
+					  "2.4408757, 2.4408759, 2.4408762, 2.4408764, 2.4408767, 2.4408769, 2.5566069", \
+					  "2.5463787, 2.5463788, 2.5463790, 2.5463793, 2.5463795, 2.5463797, 2.6648845");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6558340, 2.6682123, 2.7447447, 3.1140850, 4.5201727, 11.4842150, 50.0341850", \
+					  "2.8078222, 2.8223028, 2.8993643, 3.2684866, 4.6736393, 11.6401190, 50.2689160", \
+					  "2.9647866, 2.9803155, 3.0523077, 3.4255565, 4.8283741, 11.7918240, 50.4281740", \
+					  "3.0995044, 3.1123235, 3.1936889, 3.5608265, 4.9653464, 11.9336110, 50.5632690", \
+					  "3.2232468, 3.2317704, 3.3038365, 3.6836640, 5.0871809, 12.0551620, 50.6894720", \
+					  "3.3367762, 3.3481764, 3.4247591, 3.7980530, 5.2009748, 12.1637620, 50.7945650", \
+					  "3.4445813, 3.4552865, 3.5320700, 3.9021879, 5.2873252, 12.2730910, 50.8500580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6942403, 0.7012199, 0.7402284, 0.9834835, 2.3368731, 11.2790570, 46.4957850", \
+					  "0.6887844, 0.6959762, 0.7454144, 0.9842016, 2.3379172, 11.2838230, 46.4874570", \
+					  "0.6897545, 0.7014431, 0.7441915, 0.9873273, 2.3368874, 11.2832850, 46.4290900", \
+					  "0.6894301, 0.6959996, 0.7440357, 0.9857253, 2.3378663, 11.2867240, 46.5153320", \
+					  "0.6942563, 0.7013502, 0.7459353, 0.9836154, 2.3369051, 11.2822620, 46.4976750", \
+					  "0.6888049, 0.7014508, 0.7408101, 0.9837997, 2.3367561, 11.2856030, 46.4301700", \
+					  "0.6887552, 0.7014981, 0.7405750, 0.9836174, 2.3379088, 11.2727140, 46.4866970");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7771612, 1.7772241, 1.7772242, 1.7772243, 1.7772244, 1.7772245, 1.9781228", \
+					  "1.9218873, 1.9218874, 1.9218875, 1.9218876, 1.9218877, 1.9218879, 2.0763624", \
+					  "2.0741651, 2.0741959, 2.0742017, 2.0742018, 2.0742020, 2.0742022, 2.2015996", \
+					  "2.2098656, 2.2098657, 2.2098660, 2.2098662, 2.2098665, 2.2098667, 2.3324640", \
+					  "2.3281732, 2.3281733, 2.3281736, 2.3281738, 2.3281740, 2.3281743, 2.4460303", \
+					  "2.4418096, 2.4418098, 2.4418101, 2.4418103, 2.4418105, 2.4418108, 2.5497280", \
+					  "2.5467017, 2.5467018, 2.5467021, 2.5467023, 2.5467026, 2.5467028, 2.6651972");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4509750, 8.6558805, 9.9209130, 17.6840890, 65.2797210, 359.5162100, 2172.3095000", \
+					  "8.6062402, 8.8146699, 10.0781430, 17.8352820, 65.4932250, 360.1113600, 2174.2374000", \
+					  "8.7557819, 8.9611509, 10.2340110, 17.9511450, 65.8066030, 359.9864400, 2172.7328000", \
+					  "8.8975929, 9.0960040, 10.3469380, 18.1305440, 65.9361490, 360.4162900, 2172.8745000", \
+					  "9.0162029, 9.2238102, 10.4867340, 18.1997260, 65.8822830, 359.9812400, 2172.9015000", \
+					  "9.1266532, 9.3293036, 10.6042860, 18.3074890, 66.1616110, 360.2086200, 2173.0518000", \
+					  "9.2424415, 9.4491597, 10.7177040, 18.4082940, 66.0700150, 360.3814200, 2173.2942000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3627720, 13.7703720, 16.2550290, 31.6057690, 126.7697100, 715.2369800, 4341.5562000", \
+					  "13.3528100, 13.7362960, 16.2558250, 31.5951780, 126.6755400, 715.4790800, 4341.1119000", \
+					  "13.3599910, 13.7661540, 16.2508320, 31.6078260, 127.1557300, 715.3935300, 4341.4925000", \
+					  "13.3601690, 13.7659710, 16.2458690, 31.5859690, 127.0835000, 715.4610000, 4341.6071000", \
+					  "13.3619820, 13.7659530, 16.2516280, 31.6109090, 126.8057700, 715.0478000, 4341.5730000", \
+					  "13.3621660, 13.7524780, 16.2366720, 31.6126330, 127.1773900, 714.7407900, 4341.5207000", \
+					  "13.3578880, 13.7361860, 16.2513770, 31.6019210, 127.1249900, 715.3817600, 4342.0696000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7475636, 8.9413819, 10.2080520, 17.9513330, 65.7112120, 361.1085000, 2178.1073000", \
+					  "8.8992200, 9.1074268, 10.3616010, 18.1061350, 65.9070380, 361.1086500, 2182.1331000", \
+					  "9.0559134, 9.2520693, 10.5202580, 18.2766210, 66.0914450, 361.3478700, 2179.4108000", \
+					  "9.1882262, 9.3953156, 10.6513650, 18.4095640, 66.2235490, 361.4507000, 2179.6868000", \
+					  "9.3163148, 9.5113775, 10.7684790, 18.5295640, 66.3516740, 361.6078700, 2178.5609000", \
+					  "9.4292254, 9.6226405, 10.8845570, 18.6409070, 66.4450540, 361.7435000, 2178.9081000", \
+					  "9.5281729, 9.7343902, 10.9909840, 18.7511590, 66.5352640, 361.2542500, 2181.5190000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1721210, 13.5762750, 16.0515230, 31.4839250, 127.1897400, 717.2605500, 4351.4178000", \
+					  "13.1565920, 13.5773030, 16.0623660, 31.4860350, 126.8768600, 717.1721000, 4350.4519000", \
+					  "13.1598080, 13.5786160, 16.0768590, 31.4896910, 127.1262600, 716.1720000, 4349.2328000", \
+					  "13.1673930, 13.5753080, 16.0740700, 31.4996460, 127.2655900, 717.2583300, 4354.5474000", \
+					  "13.1722240, 13.5770590, 16.0771220, 31.4637600, 127.1013200, 717.2932900, 4356.0572000", \
+					  "13.1661050, 13.5740730, 16.0702540, 31.4951480, 126.9235200, 716.2395300, 4356.2386000", \
+					  "13.1671850, 13.5652380, 16.0731930, 31.4895840, 126.8313800, 716.7267300, 4357.1458000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5174500, 2.5398141, 2.6565929, 3.0676808, 4.3938697, 11.0435400, 36.0672100", \
+					  "2.6546165, 2.6760671, 2.7928477, 3.2039409, 4.5308726, 11.1769150, 36.2059500", \
+					  "2.7654758, 2.7882108, 2.9049205, 3.3159948, 4.6416861, 11.2892290, 36.3343990", \
+					  "2.8505569, 2.8723495, 2.9892335, 3.4003416, 4.7267785, 11.3735000, 36.3881260", \
+					  "2.9186533, 2.9406544, 3.0574578, 3.4685204, 4.7951336, 11.4420090, 36.4722050", \
+					  "2.9805254, 3.0027496, 3.1194212, 3.5308680, 4.8568838, 11.5056020, 36.5439130", \
+					  "3.0354363, 3.0527822, 3.1696066, 3.5858334, 4.9116990, 11.5593170, 36.5974770");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0694000, 1.0763892, 1.1118162, 1.1962396, 2.5934004, 10.6037820, 38.2793320", \
+					  "1.0692512, 1.0763478, 1.1118323, 1.1962076, 2.5958711, 10.5912890, 38.2736010", \
+					  "1.0697115, 1.0766523, 1.1119502, 1.1970871, 2.5932656, 10.5930320, 38.2755480", \
+					  "1.0693907, 1.0771655, 1.1120886, 1.1965148, 2.5957423, 10.6014590, 38.2585450", \
+					  "1.0696688, 1.0764342, 1.1114708, 1.1962281, 2.5951417, 10.5987460, 38.2682120", \
+					  "1.0697166, 1.0766062, 1.1117995, 1.1963316, 2.5975293, 10.6058700, 38.2602750", \
+					  "1.0705238, 1.0761846, 1.1118934, 1.1975168, 2.5957989, 10.6157070, 38.2820420");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.8754968, 9.0797103, 10.3788760, 18.3162780, 66.4701720, 361.7364800, 2180.3892000", \
+					  "9.0187973, 9.2381045, 10.5323180, 18.4816380, 66.6651370, 362.3034400, 2180.1804000", \
+					  "9.1851211, 9.3961043, 10.6921650, 18.6383640, 66.8113740, 361.8473300, 2179.7312000", \
+					  "9.3271622, 9.5321031, 10.8295940, 18.7665600, 66.9297580, 362.1361500, 2179.5190000", \
+					  "9.4341068, 9.6532036, 10.9476530, 18.8972890, 67.0809900, 362.7222600, 2180.0420000", \
+					  "9.5481711, 9.7670609, 11.0579470, 19.0105900, 67.1570890, 362.7190800, 2180.1150000", \
+					  "9.6636219, 9.8747543, 11.1656710, 19.1209790, 67.2542410, 362.9235400, 2183.6534000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1694650, 13.5638230, 16.0441260, 31.5087370, 127.2567300, 715.7739200, 4353.6784000", \
+					  "13.1606850, 13.5768330, 16.0633210, 31.4835520, 127.2303600, 716.9949200, 4350.8012000", \
+					  "13.1714080, 13.5712360, 16.0765710, 31.4870330, 126.8933700, 716.7832900, 4352.1641000", \
+					  "13.1690110, 13.5657300, 16.0399270, 31.5071880, 127.2761500, 715.9316600, 4349.8844000", \
+					  "13.1605980, 13.5767840, 16.0632340, 31.4852610, 127.2292700, 716.9765600, 4356.3132000", \
+					  "13.1566810, 13.5760080, 16.0521360, 31.4857580, 126.9079200, 716.4577500, 4351.8353000", \
+					  "13.1714260, 13.5712560, 16.0702620, 31.5094900, 127.3412100, 716.9193700, 4352.2086000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5375886, 2.5652467, 2.7330227, 3.7376311, 9.8702131, 23.9992200, 52.1271840", \
+					  "2.6910660, 2.7185103, 2.8865925, 3.8910786, 10.0239960, 24.1535680, 52.2695170", \
+					  "2.8493006, 2.8722309, 3.0451122, 4.0469650, 10.1896150, 24.2824040, 52.4336810", \
+					  "2.9819207, 3.0142637, 3.1766436, 4.1818238, 10.3212470, 24.4223650, 52.5934920", \
+					  "3.1063687, 3.1339291, 3.2966621, 4.3060937, 10.4337270, 24.5659460, 52.7152300", \
+					  "3.2117737, 3.2443885, 3.4131848, 4.4184998, 10.5539330, 24.6810840, 52.7980180", \
+					  "3.3240508, 3.3533050, 3.5213630, 4.5136090, 10.6566440, 24.7758520, 52.9244240");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7053199, 1.7561226, 2.0706477, 4.0288581, 12.0306150, 17.1216320, 40.2346390", \
+					  "1.7047252, 1.7551623, 2.0706346, 4.0201451, 12.0301940, 17.1014190, 40.2294940", \
+					  "1.7043303, 1.7537775, 2.0702435, 4.0255084, 12.0305270, 17.1343170, 40.1918570", \
+					  "1.7033442, 1.7553445, 2.0696769, 4.0284038, 12.0305990, 17.1330130, 40.2155780", \
+					  "1.7051928, 1.7537255, 2.0707518, 4.0192663, 12.0315660, 17.0783210, 40.2247230", \
+					  "1.7057811, 1.7563353, 2.0701847, 4.0290735, 12.0304850, 17.0897570, 40.1906560", \
+					  "1.7045111, 1.7533991, 2.0702731, 4.0284784, 12.0282220, 17.1032360, 40.2373140");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7442489, 8.9502254, 10.2097390, 17.9596750, 65.7418160, 360.8680300, 2179.8710000", \
+					  "8.9005221, 9.1055066, 10.3636050, 18.1185160, 65.9335980, 361.0721300, 2181.6172000", \
+					  "9.0542631, 9.2568593, 10.5159390, 18.2723010, 66.0271840, 361.0101100, 2180.8830000", \
+					  "9.1924228, 9.3998098, 10.6550950, 18.4074680, 66.2073130, 361.4674400, 2179.0540000", \
+					  "9.3116288, 9.5169943, 10.7775360, 18.5069010, 66.3225490, 361.8366400, 2181.3785000", \
+					  "9.4254151, 9.6278647, 10.8871710, 18.6230460, 66.4394260, 361.5556900, 2180.8441000", \
+					  "9.5258414, 9.7399653, 10.9917770, 18.7479100, 66.5480330, 361.5302000, 2179.9094000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1562330, 13.5795260, 16.0684190, 31.4534820, 127.2711100, 716.2036600, 4351.4724000", \
+					  "13.1687330, 13.5742830, 16.0751930, 31.4843050, 127.2014300, 715.8865300, 4352.2887000", \
+					  "13.1446250, 13.5731490, 16.0428120, 31.4824720, 127.3361800, 716.0701300, 4352.4092000", \
+					  "13.1715680, 13.5521150, 16.0752940, 31.4924190, 127.3317600, 716.5719500, 4351.2459000", \
+					  "13.1405620, 13.5523390, 16.0714910, 31.5052270, 127.3402700, 717.0774000, 4349.0090000", \
+					  "13.1658010, 13.5710140, 16.0415630, 31.5038980, 127.3372600, 715.7786400, 4351.1163000", \
+					  "13.1701780, 13.5672580, 16.0795640, 31.4932540, 127.3374600, 716.1523900, 4351.8621000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4507850, 8.6560199, 9.9248521, 17.6450270, 65.4684340, 359.9354300, 2172.4015000", \
+					  "8.6040215, 8.8037027, 10.0782390, 17.7980760, 65.4951860, 360.0951000, 2172.8008000", \
+					  "8.7593515, 8.9637178, 10.2319130, 17.9465580, 65.6791460, 359.6746600, 2172.6746000", \
+					  "8.8920862, 9.0945953, 10.3544900, 18.1245060, 65.8948660, 359.9295400, 2172.7938000", \
+					  "9.0102397, 9.2218961, 10.4923980, 18.1919420, 65.8605900, 359.8730400, 2173.0118000", \
+					  "9.1301846, 9.3274082, 10.6071340, 18.3400050, 66.0470790, 360.1953700, 2173.0816000", \
+					  "9.2265688, 9.4486508, 10.7087290, 18.4243930, 66.0436830, 360.4865500, 2173.0652000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3624840, 13.7704910, 16.2563440, 31.6061060, 127.2077000, 715.3774400, 4341.5430000", \
+					  "13.3612950, 13.7607450, 16.2553330, 31.6112650, 126.7993900, 715.3846600, 4341.0078000", \
+					  "13.3665220, 13.7699400, 16.2137730, 31.6256390, 127.0720700, 714.9899400, 4341.4302000", \
+					  "13.3602170, 13.7599400, 16.2392140, 31.5944070, 126.7192000, 714.9558300, 4341.4880000", \
+					  "13.3660900, 13.7353330, 16.2498160, 31.6061060, 127.1478300, 715.1630300, 4341.5630000", \
+					  "13.3653200, 13.7537950, 16.2234030, 31.6053600, 127.0078200, 715.2171400, 4341.5616000", \
+					  "13.3271080, 13.7317300, 16.2495740, 31.6347020, 127.0828700, 715.4507700, 4341.7581000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.9084368, 2.9372962, 3.1121441, 4.1839150, 10.2472910, 22.1606800, 43.5934840", \
+					  "3.0622762, 3.0907872, 3.2663887, 4.3297805, 10.3924340, 22.3090280, 43.7444820", \
+					  "3.2156311, 3.2466636, 3.4244989, 4.4852984, 10.5555960, 22.4673420, 43.8962080", \
+					  "3.3571403, 3.3856495, 3.5565668, 4.6241801, 10.6881670, 22.6043630, 44.0404760", \
+					  "3.4766632, 3.5057692, 3.6807881, 4.7424598, 10.8133770, 22.7299640, 44.1629990", \
+					  "3.5873438, 3.6161136, 3.7939261, 4.8581716, 10.9215580, 22.8371030, 44.2648410", \
+					  "3.6922221, 3.7252170, 3.9022514, 4.9663615, 11.0348340, 22.9351310, 44.3815150");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7654165, 1.8181019, 2.1471105, 4.1974602, 11.6111830, 13.9637870, 26.8882390", \
+					  "1.7640548, 1.8166648, 2.1423030, 4.1970356, 11.6071700, 13.9518340, 26.8860450", \
+					  "1.7642732, 1.8183782, 2.1430402, 4.1982746, 11.6081140, 14.0041530, 26.8887010", \
+					  "1.7587639, 1.8165692, 2.1430100, 4.1979726, 11.6082460, 13.9581430, 26.9011460", \
+					  "1.7591909, 1.8180673, 2.1443765, 4.1950345, 11.6088320, 13.9629420, 26.8977750", \
+					  "1.7626801, 1.8175577, 2.1457431, 4.1954764, 11.6071010, 14.0069970, 26.9125240", \
+					  "1.7622043, 1.8183085, 2.1479387, 4.2005994, 11.6083930, 14.0008520, 26.9072270");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5480227, 2.5709148, 2.6895954, 3.1029177, 4.4252513, 11.0583670, 36.0837870", \
+					  "2.7013947, 2.7242228, 2.8431275, 3.2572196, 4.5786606, 11.2115650, 36.1931140", \
+					  "2.8599376, 2.8831126, 3.0017602, 3.4144163, 4.7347792, 11.3664250, 36.3751660", \
+					  "2.9915292, 3.0145779, 3.1336944, 3.5464405, 4.8711684, 11.4995290, 36.4907460", \
+					  "3.1063121, 3.1317848, 3.2582284, 3.6624384, 4.9945155, 11.6176370, 36.6379570", \
+					  "3.2293963, 3.2530778, 3.3548309, 3.7753014, 5.1032569, 11.7391540, 36.7265010", \
+					  "3.3370759, 3.3544219, 3.4775125, 3.8872106, 5.2082232, 11.8421330, 36.8326840");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0756587, 1.0825333, 1.1181571, 1.2015930, 2.5890867, 10.5884000, 38.2197420", \
+					  "1.0760824, 1.0832487, 1.1182596, 1.2011565, 2.5896765, 10.5777000, 38.2529600", \
+					  "1.0758462, 1.0828350, 1.1179605, 1.2024141, 2.5873783, 10.5868190, 38.2632520", \
+					  "1.0756704, 1.0828161, 1.1180996, 1.2030400, 2.5888851, 10.5823360, 38.2564420", \
+					  "1.0758189, 1.0825624, 1.1182564, 1.2013803, 2.5874345, 10.5848540, 38.2615500", \
+					  "1.0757802, 1.0827421, 1.1181558, 1.2023933, 2.5877791, 10.5884620, 38.2426290", \
+					  "1.0769156, 1.0833556, 1.1179824, 1.2017561, 2.5884987, 10.5833260, 38.2377050");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4519733, 8.6465981, 9.9191118, 17.6418040, 65.5070880, 359.5077900, 2174.3424000", \
+					  "8.6042382, 8.8034753, 10.0808450, 17.7937930, 65.4924580, 359.6499000, 2174.5875000", \
+					  "8.7578567, 8.9635863, 10.2348500, 17.9921020, 65.8136590, 359.8343900, 2172.6278000", \
+					  "8.8940135, 9.1013934, 10.3739020, 18.1292710, 65.8091820, 360.0304100, 2172.8156000", \
+					  "9.0120436, 9.2278869, 10.4920860, 18.2023590, 66.0249740, 360.0542300, 2173.0752000", \
+					  "9.1300674, 9.3346477, 10.5889200, 18.3224650, 66.0770500, 360.1767600, 2173.0393000", \
+					  "9.2373877, 9.4563610, 10.7086540, 18.4138980, 66.1145380, 360.5373400, 2175.0693000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3640090, 13.7593680, 16.2487840, 31.6045860, 127.1301100, 715.3310500, 4340.2601000", \
+					  "13.3613460, 13.7607740, 16.2522830, 31.6193760, 126.6673600, 715.3152500, 4340.6526000", \
+					  "13.3640160, 13.7704930, 16.2519210, 31.5922540, 127.1300200, 714.7100400, 4341.5275000", \
+					  "13.3615950, 13.7661590, 16.2543970, 31.6094780, 127.1339200, 715.1659800, 4341.5234000", \
+					  "13.3485520, 13.7485320, 16.2244580, 31.6209420, 126.7193000, 715.3322500, 4341.5466000", \
+					  "13.3632940, 13.7563570, 16.2454650, 31.6297570, 127.1433400, 714.9884000, 4341.4757000", \
+					  "13.3649560, 13.7659190, 16.2512440, 31.6269040, 126.8267900, 714.7606300, 4339.1401000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7426395, 8.9512229, 10.2084350, 17.9678230, 65.7476350, 361.0988100, 2181.1377000", \
+					  "8.8991259, 9.0977172, 10.3613870, 18.1140330, 65.9009630, 361.3492100, 2178.7391000", \
+					  "9.0553947, 9.2549106, 10.5198370, 18.2737030, 66.0906710, 361.4922300, 2179.8198000", \
+					  "9.1896002, 9.3956878, 10.6589770, 18.4147560, 66.2367300, 361.4331100, 2179.9015000", \
+					  "9.3114929, 9.5109742, 10.7793820, 18.5344130, 66.3503240, 361.5532500, 2179.3048000", \
+					  "9.4274091, 9.6228003, 10.8895430, 18.6288960, 66.4358240, 361.7957700, 2181.0533000", \
+					  "9.5357757, 9.7343147, 10.9984160, 18.7420320, 66.5365790, 361.9029800, 2180.9203000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1625100, 13.5774660, 16.0543560, 31.5060580, 127.3955900, 716.6134400, 4353.8826000", \
+					  "13.1560150, 13.5787060, 16.0617400, 31.4627750, 126.8475500, 716.7622600, 4347.9608000", \
+					  "13.1711510, 13.5731680, 16.0655190, 31.4882380, 127.0863000, 716.7036500, 4351.2687000", \
+					  "13.1690370, 13.5769050, 16.0768110, 31.4895200, 127.1956800, 717.2595200, 4356.2891000", \
+					  "13.1720490, 13.5584680, 16.0768020, 31.4892570, 127.0773400, 717.2594100, 4356.4742000", \
+					  "13.1654810, 13.5583790, 16.0698570, 31.4758530, 126.8846400, 716.4218200, 4351.6470000", \
+					  "13.1712390, 13.5714830, 16.0755040, 31.4593120, 126.8435700, 716.7566900, 4350.6866000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5361704, 2.5641620, 2.7343736, 3.7509560, 9.9347002, 23.9866950, 52.0994590", \
+					  "2.6726337, 2.7013307, 2.8716038, 3.8879300, 10.0695230, 24.1487350, 52.2348490", \
+					  "2.7843448, 2.8122482, 2.9825089, 3.9992178, 10.1816000, 24.2545560, 52.3392990", \
+					  "2.8687257, 2.8971390, 3.0674243, 4.0838906, 10.2636050, 24.3335470, 52.4419230", \
+					  "2.9367986, 2.9651244, 3.1354055, 4.1520747, 10.3361540, 24.4128680, 52.5382690", \
+					  "2.9990308, 3.0272458, 3.1975249, 4.2144799, 10.4042840, 24.4751060, 52.5830610", \
+					  "3.0490195, 3.0820506, 3.2523693, 4.2645336, 10.4533640, 24.5311180, 52.6569830");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7084734, 1.7578149, 2.0697382, 4.0442361, 11.9919450, 17.0746990, 40.1494480", \
+					  "1.7107358, 1.7550362, 2.0696875, 4.0368899, 11.9931350, 16.9725300, 40.1561520", \
+					  "1.7047137, 1.7619551, 2.0704098, 4.0386627, 11.9937780, 16.9538680, 40.1297980", \
+					  "1.7078140, 1.7583789, 2.0700341, 4.0364969, 11.9971870, 17.0169830, 40.2062850", \
+					  "1.7087984, 1.7595729, 2.0693331, 4.0456976, 11.9898120, 17.0667710, 40.2106830", \
+					  "1.7098858, 1.7597358, 2.0689588, 4.0460146, 11.9890490, 17.0669910, 40.2324820", \
+					  "1.7098922, 1.7600180, 2.0696296, 4.0372745, 11.9878800, 17.0665900, 40.1904610");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.7355713, 2.7658693, 2.9464942, 4.0445087, 10.1416400, 21.9657730, 43.3792980", \
+					  "2.8899834, 2.9196647, 3.1000349, 4.1891006, 10.2950240, 22.1194090, 43.5303280", \
+					  "3.0490497, 3.0777689, 3.2593293, 4.3500266, 10.4479940, 22.2752910, 43.6908360", \
+					  "3.1876881, 3.2176222, 3.3973184, 4.4868762, 10.5925900, 22.4170030, 43.8309160", \
+					  "3.3061884, 3.3346108, 3.5155907, 4.6064814, 10.7065090, 22.5330990, 43.9440310", \
+					  "3.4217531, 3.4505281, 3.6320040, 4.7227555, 10.8205840, 22.6486310, 44.0634620", \
+					  "3.5279364, 3.5568817, 3.7383212, 4.8286015, 10.9242610, 22.7582520, 44.1721360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7644700, 1.8158276, 2.1487168, 4.2019814, 11.5608620, 13.9213700, 26.9071080", \
+					  "1.7645537, 1.8166160, 2.1479836, 4.2024513, 11.5604860, 13.9188320, 26.8620490", \
+					  "1.7614915, 1.8171605, 2.1480107, 4.2009760, 11.5617630, 13.9433790, 26.9168050", \
+					  "1.7645083, 1.8166781, 2.1474558, 4.2024584, 11.5594380, 13.9188210, 26.9070720", \
+					  "1.7633542, 1.8180606, 2.1448516, 4.1981036, 11.5606540, 13.9608270, 26.8743890", \
+					  "1.7613982, 1.8171921, 2.1479922, 4.2009471, 11.5580460, 13.9071950, 26.8965990", \
+					  "1.7629077, 1.8159615, 2.1481359, 4.2010875, 11.5561710, 13.9143360, 26.8837630");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.6422931, 8.8532150, 10.1276820, 17.9505350, 66.1811870, 360.5701700, 2173.5859000", \
+					  "8.7805683, 8.9874836, 10.2567870, 18.0938020, 66.2200250, 360.6182600, 2173.6710000", \
+					  "8.8901961, 9.0964560, 10.3670340, 18.2017970, 66.2618690, 360.7069300, 2173.7701000", \
+					  "8.9867784, 9.1790415, 10.4656430, 18.3030940, 66.4411740, 360.9770000, 2174.2389000", \
+					  "9.0545118, 9.2649088, 10.5225100, 18.3573800, 66.4374230, 361.0593100, 2174.3863000", \
+					  "9.1036192, 9.3271124, 10.5781260, 18.4215740, 66.4981100, 361.3860100, 2176.2955000", \
+					  "9.1535908, 9.3644852, 10.6569540, 18.5014550, 66.5261550, 360.8623700, 2176.2828000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3495550, 13.7600140, 16.2594280, 31.6487670, 127.1941800, 715.1012900, 4341.0519000", \
+					  "13.3736570, 13.7885690, 16.2738370, 31.5978500, 127.1091900, 714.9388400, 4341.1642000", \
+					  "13.4369040, 13.8426720, 16.3452430, 31.6842020, 126.9490900, 714.9619700, 4341.1719000", \
+					  "13.3492710, 13.8185840, 16.2357450, 31.5905100, 127.0857700, 715.1859900, 4343.1114000", \
+					  "13.3276540, 13.7607480, 16.2288230, 31.6166720, 126.8713600, 714.1916300, 4339.2038000", \
+					  "13.3601310, 13.7634580, 16.2479810, 31.6143520, 126.6660500, 715.3374200, 4340.4316000", \
+					  "13.3601330, 13.7537940, 16.2329120, 31.5742810, 127.0343100, 715.2788200, 4343.8213000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6881202, 2.7163687, 2.8922142, 3.9551993, 10.0161460, 21.9270380, 43.3597760", \
+					  "2.8414173, 2.8707632, 3.0464096, 4.1116568, 10.1719320, 22.0844660, 43.5156550", \
+					  "2.9999769, 3.0280711, 3.2036693, 4.2680930, 10.3380810, 22.2413960, 43.6744830", \
+					  "3.1398051, 3.1680902, 3.3439063, 4.4068895, 10.4676100, 22.3789570, 43.8116640", \
+					  "3.2562870, 3.2847791, 3.4601910, 4.5317545, 10.5923590, 22.5013700, 43.9327860", \
+					  "3.3721006, 3.4013823, 3.5770242, 4.6419713, 10.7014370, 22.6131750, 44.0460930", \
+					  "3.4777290, 3.5071979, 3.6828773, 4.7485540, 10.8091740, 22.7228120, 44.1538610");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7631054, 1.8186628, 2.1496196, 4.2017878, 11.6047620, 14.0042230, 26.8944300", \
+					  "1.7623329, 1.8188403, 2.1462485, 4.1971377, 11.6052710, 13.9583870, 26.9245250", \
+					  "1.7635005, 1.8194048, 2.1475657, 4.2005639, 11.5968210, 13.9523840, 26.8976130", \
+					  "1.7634524, 1.8184292, 2.1496716, 4.2017798, 11.6048000, 14.0028310, 26.8939130", \
+					  "1.7648139, 1.8141406, 2.1497100, 4.1928363, 11.6085340, 13.9664880, 26.8661750", \
+					  "1.7629382, 1.8189179, 2.1466333, 4.1970005, 11.6054300, 14.0081370, 26.9379420", \
+					  "1.7608189, 1.8183255, 2.1452227, 4.1965618, 11.6040080, 13.9606480, 26.9121120");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.1609142, -9.2689437, -9.3769732, -9.3709281, -9.3651249, -9.3590799, -9.3530348");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.4521604, 9.5448103, 9.6374601, 9.6345772, 9.6318097, 9.6289268, 9.6260439");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141071;
+			capacitance : 0.140665;
+			fall_capacitance : 0.140260;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3736729, -0.3674170, -0.3611610, -0.3616211, -0.3620628, -0.3625230, -0.3629831");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3721500, 0.3664072, 0.3606644, 0.3586437, 0.3567038, 0.3546831, 0.3526624");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.134025;
+			capacitance : 0.133783;
+			fall_capacitance : 0.133541;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3748791, -0.3675817, -0.3602843, -0.3606042, -0.3609113, -0.3612311, -0.3615510");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3723233, 0.3665500, 0.3607767, 0.3606545, 0.3605373, 0.3604152, 0.3602930");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p60v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v35_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v35_5v50.lib
new file mode 100644
index 0000000..6d9e447
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v35_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v35_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.350000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.350000);
+	voltage_map("VCCHIB",1.350000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.135; 
+			 voh : 1.215; 
+			 vomax : 1.418; 
+			 vomin : -0.068; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.405; 
+			 vih : 0.945; 
+			 vimax : 1.418; 
+			 vimin : -0.068; 
+		}
+	 operating_conditions ("ss_ff_1p35v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.350000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+		is_macro_cell : true;
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.090120e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.2588000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.4200000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.6390000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.6587000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.8861000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.4120000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.0610000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.0120000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.3078000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "107.9570000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.1198000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.4110000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7630000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.5200000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "107.9160000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.7670000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.6582000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.0099000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005866;
+			capacitance : 0.005749;
+			fall_capacitance : 0.005632;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.2309014, -1.7532069, -2.0941262, -2.5803590, -2.9358624, -3.1660034, -3.4941716", \
+					  "-1.1695230, -1.6949746, -2.0288135, -2.5175968, -2.8722533, -3.0591187, -3.3207610", \
+					  "-1.1768639, -1.7006496, -2.0674444, -2.3984883, -2.6576432, -2.9227587, -3.1479241", \
+					  "-1.2286427, -1.6976784, -2.0518380, -2.5208888, -2.7495492, -3.0283291, -3.3196454", \
+					  "-1.1983160, -1.7590249, -2.1655417, -2.5317992, -2.8110220, -3.0748981, -3.3488096", \
+					  "-1.2200795, -1.7807886, -2.2056645, -2.5673165, -2.9103630, -3.1973450, -3.4593412", \
+					  "-1.2487645, -1.7912708, -2.2464921, -2.6470203, -2.8880826, -3.2666152, -3.5601939");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.7038985, -2.3666175, -3.0618907, -3.7739014, -4.4805614, -5.2062783, -5.9049043", \
+					  "-1.6466520, -2.3106085, -2.9969684, -3.7164291, -4.4214278, -5.1371158, -5.8458067", \
+					  "-1.6472052, -2.3104418, -2.9917886, -3.7068059, -4.4211769, -5.1274755, -5.8460660", \
+					  "-1.6441260, -2.3022674, -3.0005922, -3.7263359, -4.4360477, -5.1449797, -5.8588647", \
+					  "-1.6804887, -2.3149073, -3.0535267, -3.7458634, -4.4616788, -5.1675590, -5.8799181", \
+					  "-1.6992006, -2.3732524, -3.0408837, -3.7558838, -4.4750254, -5.2011193, -5.9088891", \
+					  "-1.7553514, -2.3807524, -3.0917171, -3.8144734, -4.5080350, -5.2210913, -5.9117659");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8223631, 2.3432017, 2.7035967, 3.1840286, 3.5196955, 3.7579627, 4.0910238", \
+					  "1.7672725, 2.2909857, 2.6509351, 3.1259791, 3.4827175, 3.8082908, 4.0291577", \
+					  "1.7552689, 2.2822109, 2.6334506, 3.1077792, 3.4648083, 3.7863657, 4.0345889", \
+					  "1.7519103, 2.2910594, 2.6377216, 3.0262699, 3.3570565, 3.5348080, 4.0759541", \
+					  "1.8019872, 2.3159437, 2.7172496, 3.0204899, 3.4711514, 3.7822570, 4.0474653", \
+					  "1.8206990, 2.3524154, 2.7773384, 3.1095222, 3.3929049, 3.6771695, 3.9246586", \
+					  "1.8402287, 2.3754641, 2.8256791, 3.1729013, 3.5113306, 3.7870105, 4.0631412");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7140005, 2.3722864, 3.0687899, 3.7822139, 4.4861180, 5.2085170, 5.9099142", \
+					  "1.6579305, 2.3161407, 3.0038947, 3.7216699, 4.4269098, 5.1406215, 5.8540970", \
+					  "1.6501196, 2.3189158, 3.0041698, 3.7120680, 4.4277451, 5.1326155, 5.8557748", \
+					  "1.6481253, 2.3079372, 2.9876559, 3.7315975, 4.4446569, 5.1487453, 5.8669272", \
+					  "1.6679485, 2.3174616, 3.0605894, 3.7450219, 4.4672362, 5.1759022, 5.8879806", \
+					  "1.6803096, 2.3728409, 3.0518355, 3.7657857, 4.4809119, 5.2240903, 5.9155936", \
+					  "1.7608744, 2.3826501, 3.0983030, 3.8188348, 4.5108862, 5.2499061, 5.9211101");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065343, 0.0133655, 0.0201966, 0.0201112, 0.0200247, 0.0199393, 0.0198538");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079687, 0.0160390, 0.0241094, 0.0240901, 0.0240705, 0.0240512, 0.0240320");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.003924;
+			capacitance : 0.004002;
+			rise_capacitance : 0.004080;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.0664961, 2.6053906, 2.9877770, 3.4867536, 3.8398793, 4.1423703, 4.4274560", \
+					  "2.0325697, 2.5653609, 2.9324883, 3.4451702, 3.7876425, 4.1084441, 4.3564479", \
+					  "2.0207640, 2.5627967, 2.9315826, 3.4257628, 3.7727850, 4.0935866, 4.4484437", \
+					  "2.0219833, 2.5624037, 2.9448806, 3.4422408, 3.5885400, 3.9703443, 4.2850748", \
+					  "2.0384592, 2.5575174, 2.9994707, 3.4265480, 3.7201949, 3.9087540, 4.3887376", \
+					  "2.0617326, 2.5883183, 2.9974819, 3.3538619, 3.7137216, 3.9846622, 4.4730373", \
+					  "2.1041502, 2.6476379, 3.1005789, 3.4859938, 3.8118431, 4.1046831, 4.3995135");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9764737, 2.6006584, 3.3139494, 4.0289548, 4.7342506, 5.4494634, 6.1380739", \
+					  "1.9020526, 2.5450140, 3.2508128, 3.9713795, 4.6774374, 5.3941787, 6.0938870", \
+					  "1.8982332, 2.5449867, 3.2646906, 3.9710158, 4.6789788, 5.3954035, 6.1045580", \
+					  "1.9228053, 2.5517551, 3.2785917, 3.9768125, 4.6958422, 5.4064764, 6.1277941", \
+					  "1.9259490, 2.5924581, 3.2737056, 4.0177024, 4.7229996, 5.4010981, 6.1320631", \
+					  "1.9732445, 2.5856793, 3.3158727, 4.0419133, 4.7676510, 5.4335428, 6.1470596", \
+					  "1.9595788, 2.6655896, 3.3581152, 4.0620150, 4.7842608, 5.4389678, 6.2065200");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.4399359, -1.9804524, -2.3566557, -2.8493583, -3.2056721, -3.5077936, -3.8711049", \
+					  "-1.3774943, -1.9192156, -2.2909507, -2.7910174, -3.1399403, -3.4559710, -3.8345271", \
+					  "-1.3962784, -1.9188579, -2.2987507, -2.7700564, -3.0008757, -3.3392575, -3.4357325", \
+					  "-1.4054117, -1.9109058, -2.3789088, -2.7925826, -3.0084526, -3.2865705, -3.5595617", \
+					  "-1.4408767, -1.9903072, -2.3959596, -2.7302609, -3.0541733, -3.3759337, -3.6166767", \
+					  "-1.4534851, -1.9934003, -2.4604862, -2.8193643, -3.1798597, -3.4685605, -3.7625203", \
+					  "-1.4592818, -2.0108241, -2.4811579, -2.8850575, -3.1810416, -3.5246563, -3.8448886");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.9541575, -2.6103870, -3.3104163, -4.0198566, -4.7259713, -5.4372872, -6.1271541", \
+					  "-1.8924681, -2.5589634, -3.2561406, -3.9615637, -4.6691852, -5.3765718, -6.0636067", \
+					  "-1.8855341, -2.5512498, -3.2576850, -3.9603886, -4.6695862, -5.3672859, -6.0783793", \
+					  "-1.9004862, -2.5676480, -3.2659004, -3.9707630, -4.6738570, -5.3927709, -6.1070519", \
+					  "-1.9200139, -2.5808211, -3.2655918, -3.9704539, -4.6979626, -5.3955140, -6.0731741", \
+					  "-1.9539891, -2.6221229, -3.2961018, -4.0196834, -4.7426145, -5.4203293, -6.1437657", \
+					  "-1.9491046, -2.6585886, -3.3461526, -4.0590497, -4.7758768, -5.4825839, -6.1693989");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0062557, 0.0153220, 0.0243884, 0.0243388, 0.0242887, 0.0242391, 0.0241896");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082267, 0.0184165, 0.0286062, 0.0285913, 0.0285762, 0.0285613, 0.0285464");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005524;
+			capacitance : 0.005650;
+			rise_capacitance : 0.005776;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.2003840, -1.7226850, -2.0666985, -2.5467898, -2.9038189, -3.1339073, -3.4621281", \
+					  "-1.1343801, -1.6596298, -1.9976762, -2.4930400, -2.8358633, -3.0518392, -3.3208904", \
+					  "-1.1430052, -1.6655399, -2.0580412, -2.3959585, -2.6531121, -2.9093025, -3.1356028", \
+					  "-1.1591460, -1.6569761, -2.0311272, -2.3586574, -2.7258269, -2.9993760, -3.2906024", \
+					  "-1.1906865, -1.7050222, -2.1360768, -2.4756332, -2.7931534, -3.0586495, -3.3150757", \
+					  "-1.1941396, -1.7273827, -2.1720951, -2.5389896, -2.8550517, -3.1672673, -3.4352631", \
+					  "-1.2212996, -1.7237967, -2.2088495, -2.5890369, -2.8621427, -3.2636571, -3.5257099");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.6993020, -2.3666491, -3.0538347, -3.7491345, -4.4422086, -5.1362827, -5.8227749", \
+					  "-1.6420045, -2.3108699, -3.0020608, -3.6964582, -4.3893171, -5.0851214, -5.7643279", \
+					  "-1.6431642, -2.2995507, -2.9868325, -3.6835621, -4.3873622, -5.0804176, -5.7851445", \
+					  "-1.6380004, -2.2809334, -2.9788001, -3.6954623, -4.4022694, -5.0871882, -5.7965687", \
+					  "-1.6456639, -2.3215560, -3.0385112, -3.7134642, -4.4095898, -5.1006121, -5.8008373", \
+					  "-1.6915867, -2.3701913, -3.0429796, -3.7565282, -4.4883281, -5.1673823, -5.8361899", \
+					  "-1.7462116, -2.3874842, -3.0850475, -3.7868008, -4.5362582, -5.2246729, -5.9800766");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8147336, 2.3355729, 2.6929186, 3.1794510, 3.5063484, 3.7503310, 4.0818671", \
+					  "1.7594451, 2.2848617, 2.6315266, 3.1164418, 3.4750880, 3.6965684, 4.0217392", \
+					  "1.7506912, 2.2776337, 2.6273502, 3.0986238, 3.4587047, 3.6272776, 4.0140813", \
+					  "1.7534361, 2.2834304, 2.6316210, 2.9950900, 3.3658752, 3.5279928, 3.7712125", \
+					  "1.7974096, 2.3004774, 2.7117511, 3.0204948, 3.4711527, 3.7822570, 3.8692128", \
+					  "1.8130696, 2.3295273, 2.7758862, 3.1065582, 3.3899213, 3.6711863, 3.9201791", \
+					  "1.8264958, 2.3659171, 2.8212180, 3.1655001, 3.5038124, 3.7809660, 4.0601591");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7094229, 2.3692351, 3.0657402, 3.7791652, 4.4830684, 5.2100460, 5.9019401", \
+					  "1.6593637, 2.3190930, 3.0054271, 3.7249086, 4.4268680, 5.1251557, 5.8398378", \
+					  "1.6561075, 2.3158567, 3.0041654, 3.7135973, 4.4238673, 5.1325222, 5.8572763", \
+					  "1.6527029, 2.3079374, 2.9998648, 3.7316011, 4.4400810, 5.1472225, 5.8638794", \
+					  "1.6665536, 2.3164242, 3.0541699, 3.7496027, 4.4672384, 5.1450398, 5.8693257", \
+					  "1.6757319, 2.3757647, 3.0533632, 3.7820385, 4.4837590, 5.1927429, 5.9139771", \
+					  "1.7608743, 2.3918927, 3.0997716, 3.8009971, 4.5090725, 5.2500034, 5.9304555");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065504, 0.0133788, 0.0202072, 0.0201219, 0.0200357, 0.0199504, 0.0198651");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079395, 0.0156231, 0.0233067, 0.0234526, 0.0236002, 0.0237461, 0.0238920");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028555;
+			capacitance : 0.028482;
+			fall_capacitance : 0.028410;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0547258, 0.4084980, 0.8717219, 1.3795761, 1.8935490, 2.4014033, 2.9092575");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3196915, 0.7990832, 1.2784749, 1.7873263, 2.3023084, 2.8111598, 3.3200111");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.031328;
+			capacitance : 0.031679;
+			rise_capacitance : 0.032030;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0228136, 0.0570075, 0.0912014, 0.0937642, 0.0963580, 0.0989209, 0.1014838");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0179422, 0.0457382, 0.0735341, 0.0741028, 0.0746783, 0.0752469, 0.0758155");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.050337;
+			capacitance : 0.050715;
+			rise_capacitance : 0.051092;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0076622, -0.0077931, -0.0079239, -0.0079362, -0.0079487, -0.0079610, -0.0079734");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079760, 0.0079842, 0.0079923, 0.0079520, 0.0079111, 0.0078708, 0.0078304");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016422;
+			capacitance : 0.016254;
+			fall_capacitance : 0.016086;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0120845, 0.0118774, 0.0116703, 0.0116565, 0.0116425, 0.0116287, 0.0116149");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0138499, 0.0138096, 0.0137692, 0.0137487, 0.0137279, 0.0137073, 0.0136868");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.035442;
+			capacitance : 0.034846;
+			fall_capacitance : 0.034249;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.3697492, 0.8854287, 1.2674245, 1.6295123, 1.9414315, 2.2975577, 2.5505685", \
+					  "0.3129359, 0.8310047, 1.2094710, 1.5962609, 1.8233370, 2.1192444, 2.5334582", \
+					  "0.3011302, 0.8420096, 1.2260085, 1.5577103, 1.8967672, 2.1959428, 2.4168559", \
+					  "0.3176080, 0.8453876, 1.2772599, 1.6090290, 1.9059461, 2.1503295, 2.4858560", \
+					  "0.3401875, 0.8576211, 1.2738995, 1.6468974, 1.9724964, 2.2756377, 2.4976783", \
+					  "0.3711064, 0.8903941, 1.2570095, 1.6560170, 2.0195868, 2.3040819, 2.5712808", \
+					  "0.4043689, 0.9080776, 1.3178431, 1.6664083, 2.0482716, 2.3556550, 2.6187877");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.4887688, 1.1625340, 1.8768940, 2.5882923, 3.3172487, 4.0348887, 4.7283562", \
+					  "0.4284393, 1.1073138, 1.8140370, 2.5305272, 3.2621013, 3.9750224, 4.6667668", \
+					  "0.4293864, 1.1024506, 1.8270045, 2.5358742, 3.2498307, 3.9788304, 4.6673654", \
+					  "0.4396954, 1.1217625, 1.8418867, 2.5416314, 3.2930104, 3.9676629, 4.6417521", \
+					  "0.4592230, 1.1383496, 1.8884452, 2.5753169, 3.2724002, 3.9952884, 4.6733868", \
+					  "0.4901419, 1.1772818, 1.9029629, 2.6291032, 3.3325014, 4.0305286, 4.7184987", \
+					  "0.5218786, 1.1970194, 1.9329296, 2.6662399, 3.3605938, 4.0960500, 4.7582332");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0834640, -0.5901775, -1.0026918, -1.2901232, -1.6698994, -1.9805166, -2.2353212", \
+					  "-0.0174922, -0.5454418, -0.9520974, -1.2349067, -1.4744671, -1.8203179, -2.0879373", \
+					  "-0.0163676, -0.5437051, -0.9699218, -1.2893505, -1.6124904, -1.9294150, -2.1898152", \
+					  "-0.0343714, -0.5627273, -0.9771630, -1.3532892, -1.6656187, -1.9298007, -2.1524020", \
+					  "-0.0676320, -0.5542603, -1.0094936, -1.3499287, -1.7012635, -1.9890380, -2.2624018", \
+					  "-0.1122838, -0.5886018, -1.0155237, -1.3987738, -1.7088851, -2.0071131, -2.2974346", \
+					  "-0.1119770, -0.6224508, -1.0385823, -1.3984630, -1.7183307, -2.0434274, -2.3111379");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.4847813, -1.1561412, -1.8711621, -2.5838986, -3.3119362, -4.0274017, -4.7431736", \
+					  "-0.4224351, -1.1008495, -1.8082410, -2.5248636, -3.2565366, -3.9702519, -4.6830945", \
+					  "-0.4207320, -1.1004702, -1.8256847, -2.5307196, -3.2491820, -3.9603043, -4.6953918", \
+					  "-0.4341581, -1.1176095, -1.8411520, -2.5369255, -3.2330538, -3.9780807, -4.6849246", \
+					  "-0.4536859, -1.1324102, -1.8844867, -2.5760846, -3.2801952, -3.9970220, -4.6828392", \
+					  "-0.4846047, -1.1668832, -1.8989629, -2.6237434, -3.3334928, -4.0356675, -4.7453554", \
+					  "-0.5132896, -1.1906241, -1.9272352, -2.6607531, -3.3553926, -4.0812586, -4.7970176");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0275132, -0.0013858, -0.0302849, -0.0568678, -0.0837709, -0.1103537, -0.1369366");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0434808, 0.2060541, 0.3686273, 0.3686334, 0.3686395, 0.3686455, 0.3686515");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.013004;
+			capacitance : 0.013363;
+			rise_capacitance : 0.013722;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("9.0437345, 19.8060540, 30.5683740, 31.9649810, 33.3784150, 34.7750210, 36.1716280");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("7.3678581, 16.1909490, 25.0140410, 26.3447570, 27.6915050, 29.0222200, 30.3529350");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005929;
+			capacitance : 0.006049;
+			rise_capacitance : 0.006169;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1088313, -1.6311330, -1.9735892, -2.4552371, -2.8122662, -3.1386724, -3.3870242", \
+					  "-1.0425801, -1.5710403, -1.9122132, -2.3935835, -2.5613786, -3.0739659, -3.2720748", \
+					  "-1.0445150, -1.5568002, -2.0036432, -2.3195350, -2.6204112, -3.0075988, -3.2779354", \
+					  "-1.0567178, -1.5873775, -1.9600208, -2.2930408, -2.5594428, -2.9201279, -3.2157525", \
+					  "-1.0686160, -1.6209819, -2.0571557, -2.3930322, -2.7383446, -3.0299791, -3.3323255", \
+					  "-1.1056384, -1.6395701, -2.0821495, -2.4459110, -2.7731297, -3.0977784, -3.4208811", \
+					  "-1.1327975, -1.6583880, -2.0985462, -2.4924271, -2.7858488, -3.1678351, -3.4995015");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.7023736, -2.3756416, -3.0773674, -3.7834369, -4.4882291, -5.2203545, -5.9116727", \
+					  "-1.6543541, -2.3225104, -3.0222679, -3.7289942, -4.4290027, -5.1524937, -5.8543039", \
+					  "-1.6618262, -2.3146484, -2.9966653, -3.7163407, -4.4330106, -5.1277682, -5.8544251", \
+					  "-1.6487038, -2.3128166, -3.0099647, -3.7328187, -4.4498180, -5.1514261, -5.8793652", \
+					  "-1.6804920, -2.3292716, -3.0600100, -3.7553979, -4.4678196, -5.1572206, -5.8927891", \
+					  "-1.7022558, -2.3835784, -3.0490028, -3.7807670, -4.4865375, -5.2087487, -5.9267601", \
+					  "-1.7645099, -2.4010862, -3.1009315, -3.8261986, -4.5127184, -5.2215056, -5.9146028");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8559325, 2.3798217, 2.7371572, 3.2206498, 3.5532648, 3.7945907, 4.1245969", \
+					  "1.8052216, 2.3275848, 2.6788168, 3.1736643, 3.5296158, 3.8393678, 4.0814865", \
+					  "1.7955327, 2.3228233, 2.6609075, 3.1461021, 3.5075330, 3.8469595, 4.0718631", \
+					  "1.8022642, 2.3200500, 2.6789114, 3.0533982, 3.3618389, 3.7780701, 4.1169679", \
+					  "1.8096164, 2.3293752, 2.7790013, 3.1315282, 3.4448456, 3.7822574, 4.0840036", \
+					  "1.8496906, 2.3729308, 2.8035659, 3.1392005, 3.4063381, 3.6927457, 3.9470727", \
+					  "1.8717821, 2.4085143, 2.8585392, 3.2099883, 3.5436257, 3.8168973, 4.1083259");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7246817, 2.3860194, 3.0794658, 3.7928836, 4.4967903, 5.2207104, 5.9221022", \
+					  "1.6688225, 2.3312892, 3.0161349, 3.7355441, 4.4405056, 5.1601011, 5.8617327", \
+					  "1.6660954, 2.3203586, 3.0169492, 3.7242634, 4.4417299, 5.1342844, 5.8678838", \
+					  "1.6511771, 2.3231961, 3.0151164, 3.7407413, 4.4553293, 5.1563614, 5.8882706", \
+					  "1.7119518, 2.3357305, 3.0697394, 3.7617947, 4.4763831, 5.1758889, 5.8971168", \
+					  "1.6925167, 2.3889777, 3.0670888, 3.7792825, 4.4944149, 5.2350265, 5.9234584", \
+					  "1.7608745, 2.4089557, 3.1085746, 3.8277686, 4.5199873, 5.2499425, 5.9243741");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065506, 0.0134005, 0.0202504, 0.0201714, 0.0200915, 0.0200125, 0.0199336");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079669, 0.0159253, 0.0238837, 0.0239003, 0.0239171, 0.0239337, 0.0239503");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017322;
+			capacitance : 0.017187;
+			fall_capacitance : 0.017052;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0103926, -0.0277785, -0.0659496, -0.0923307, -0.1190296, -0.1454107, -0.1717918");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0218080, 0.1704611, 0.3191141, 0.3191874, 0.3192615, 0.3193348, 0.3194080");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004604;
+			capacitance : 0.004680;
+			rise_capacitance : 0.004756;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9245777, 2.4607234, 2.8418321, 3.1438173, 3.6799855, 4.0468054, 4.2346089", \
+					  "1.8677631, 2.4100125, 2.8001946, 3.0901739, 3.6124173, 3.9196449, 4.2210118", \
+					  "1.8703308, 2.4012586, 2.7961001, 3.0828267, 3.6100492, 3.9139428, 4.0999611", \
+					  "1.8648059, 2.4055294, 2.8125780, 3.1161063, 3.5182853, 3.8477144, 4.1528113", \
+					  "1.8987761, 2.4227634, 2.8615335, 3.2342345, 3.5543267, 3.6538344, 4.0622637", \
+					  "1.9473616, 2.4496368, 2.8726730, 3.2107017, 3.5244115, 3.7853132, 4.0656983", \
+					  "1.9409514, 2.4757359, 2.9435979, 3.3071211, 3.6405464, 3.9207258, 4.2101205");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9551368, 2.6375598, 3.3292423, 4.0414972, 4.7431493, 5.4616767, 6.1629420", \
+					  "1.9273139, 2.5883749, 3.2663557, 3.9756849, 4.6878606, 5.3969032, 6.1076533", \
+					  "1.9063529, 2.5796210, 3.2786858, 3.9729724, 4.6932874, 5.3899274, 6.0943226", \
+					  "1.9106239, 2.5823657, 3.2740482, 3.9740960, 4.7032141, 5.4202152, 6.1331294", \
+					  "1.9484621, 2.5866347, 3.2691619, 3.9905721, 4.7410523, 5.4412690, 6.1633386", \
+					  "1.9980431, 2.6022414, 3.3274510, 4.0413315, 4.7612899, 5.4538763, 6.1652655", \
+					  "1.9790758, 2.6688989, 3.3509940, 4.0697999, 4.7861304, 5.4556216, 6.2047947");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.3117531, -1.8508415, -2.2367883, -2.6987456, -3.0503033, -3.4095664, -3.6197378", \
+					  "-1.2440846, -1.7786921, -2.1705270, -2.6258582, -2.9856066, -3.0776066, -3.5489129", \
+					  "-1.2626229, -1.7873986, -2.1819004, -2.5650910, -2.8924414, -3.2509186, -3.5665008", \
+					  "-1.2749371, -1.8086900, -2.2245267, -2.5156874, -2.8710534, -3.1922844, -3.5087686", \
+					  "-1.2914130, -1.8414224, -2.2614390, -2.5979289, -2.9181219, -3.1926241, -3.4468954", \
+					  "-1.3070732, -1.8848376, -2.3307866, -2.6917623, -2.9974784, -3.3517628, -3.6164638", \
+					  "-1.3494910, -1.8845505, -2.3547848, -2.7477282, -3.0696623, -3.3966782, -3.6722684");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.9633508, -2.6212712, -3.3211979, -4.0252869, -4.7246635, -5.4526483, -6.1587772", \
+					  "-1.9141635, -2.5777202, -3.2717923, -3.9669034, -4.6678467, -5.3895712, -6.1095899", \
+					  "-1.9054096, -2.5697732, -3.2685574, -3.9704912, -4.6742829, -5.3894855, -6.0945575", \
+					  "-1.9218875, -2.5859114, -3.2675278, -3.9624612, -4.6923556, -5.3882967, -6.0974774", \
+					  "-1.9110186, -2.5825508, -3.2641671, -3.9819891, -4.7301937, -5.3986692, -6.0941169", \
+					  "-1.9891106, -2.6222615, -3.3088003, -4.0348158, -4.7519572, -5.4402693, -6.1692861", \
+					  "-2.0292092, -2.6584350, -3.3429106, -4.0497616, -4.7753154, -5.4826869, -6.1888159");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0061647, 0.0151540, 0.0241433, 0.0240456, 0.0239467, 0.0238489, 0.0237512");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082146, 0.0178451, 0.0274756, 0.0274615, 0.0274471, 0.0274329, 0.0274187");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005780;
+			capacitance : 0.005548;
+			fall_capacitance : 0.005315;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1018227, -0.1011809, -0.1005392, -0.1002850, -0.1000278, -0.0997736, -0.0995194");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1072333, 0.1070905, 0.1069476, 0.1070891, 0.1072322, 0.1073736, 0.1075151");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.2309014, -1.1695230, -1.1768639, -1.2286427, -1.1983160, -1.2200795, -1.2487645", \
+					  "-1.7532069, -1.6949746, -1.7006496, -1.6976784, -1.7590249, -1.7807886, -1.7912708", \
+					  "-2.0941262, -2.0288135, -2.0674444, -2.0518380, -2.1655417, -2.2056645, -2.2464921", \
+					  "-2.5803590, -2.5175968, -2.3984883, -2.5208888, -2.5317992, -2.5673165, -2.6470203", \
+					  "-2.9358624, -2.8722533, -2.6576432, -2.7495492, -2.8110220, -2.9103630, -2.8880826", \
+					  "-3.1660034, -3.0591187, -2.9227587, -3.0283291, -3.0748981, -3.1973450, -3.2666152", \
+					  "-3.4941716, -3.3207610, -3.1479241, -3.3196454, -3.3488096, -3.4593412, -3.5601939");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8223631, 1.7672725, 1.7552689, 1.7519103, 1.8019872, 1.8206990, 1.8402287", \
+					  "2.3432017, 2.2909857, 2.2822109, 2.2910594, 2.3159437, 2.3524154, 2.3754641", \
+					  "2.7035967, 2.6509351, 2.6334506, 2.6377216, 2.7172496, 2.7773384, 2.8256791", \
+					  "3.1840286, 3.1259791, 3.1077792, 3.0262699, 3.0204899, 3.1095222, 3.1729013", \
+					  "3.5196955, 3.4827175, 3.4648083, 3.3570565, 3.4711514, 3.3929049, 3.5113306", \
+					  "3.7579627, 3.8082908, 3.7863657, 3.5348080, 3.7822570, 3.6771695, 3.7870105", \
+					  "4.0910238, 4.0291577, 4.0345889, 4.0759541, 4.0474653, 3.9246586, 4.0631412");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3697492, 0.3129359, 0.3011302, 0.3176080, 0.3401875, 0.3711064, 0.4043689", \
+					  "0.8854287, 0.8310047, 0.8420096, 0.8453876, 0.8576211, 0.8903941, 0.9080776", \
+					  "1.2674245, 1.2094710, 1.2260085, 1.2772599, 1.2738995, 1.2570095, 1.3178431", \
+					  "1.6295123, 1.5962609, 1.5577103, 1.6090290, 1.6468974, 1.6560170, 1.6664083", \
+					  "1.9414315, 1.8233370, 1.8967672, 1.9059461, 1.9724964, 2.0195868, 2.0482716", \
+					  "2.2975577, 2.1192444, 2.1959428, 2.1503295, 2.2756377, 2.3040819, 2.3556550", \
+					  "2.5505685, 2.5334582, 2.4168559, 2.4858560, 2.4976783, 2.5712808, 2.6187877");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0834640, -0.0174922, -0.0163676, -0.0343714, -0.0676320, -0.1122838, -0.1119770", \
+					  "-0.5901775, -0.5454418, -0.5437051, -0.5627273, -0.5542603, -0.5886018, -0.6224508", \
+					  "-1.0026918, -0.9520974, -0.9699218, -0.9771630, -1.0094936, -1.0155237, -1.0385823", \
+					  "-1.2901232, -1.2349067, -1.2893505, -1.3532892, -1.3499287, -1.3987738, -1.3984630", \
+					  "-1.6698994, -1.4744671, -1.6124904, -1.6656187, -1.7012635, -1.7088851, -1.7183307", \
+					  "-1.9805166, -1.8203179, -1.9294150, -1.9298007, -1.9890380, -2.0071131, -2.0434274", \
+					  "-2.2353212, -2.0879373, -2.1898152, -2.1524020, -2.2624018, -2.2974346, -2.3111379");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.1088313, -1.0425801, -1.0445150, -1.0567178, -1.0686160, -1.1056384, -1.1327975", \
+					  "-1.6311330, -1.5710403, -1.5568002, -1.5873775, -1.6209819, -1.6395701, -1.6583880", \
+					  "-1.9735892, -1.9122132, -2.0036432, -1.9600208, -2.0571557, -2.0821495, -2.0985462", \
+					  "-2.4552371, -2.3935835, -2.3195350, -2.2930408, -2.3930322, -2.4459110, -2.4924271", \
+					  "-2.8122662, -2.5613786, -2.6204112, -2.5594428, -2.7383446, -2.7731297, -2.7858488", \
+					  "-3.1386724, -3.0739659, -3.0075988, -2.9201279, -3.0299791, -3.0977784, -3.1678351", \
+					  "-3.3870242, -3.2720748, -3.2779354, -3.2157525, -3.3323255, -3.4208811, -3.4995015");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8559325, 1.8052216, 1.7955327, 1.8022642, 1.8096164, 1.8496906, 1.8717821", \
+					  "2.3798217, 2.3275848, 2.3228233, 2.3200500, 2.3293752, 2.3729308, 2.4085143", \
+					  "2.7371572, 2.6788168, 2.6609075, 2.6789114, 2.7790013, 2.8035659, 2.8585392", \
+					  "3.2206498, 3.1736643, 3.1461021, 3.0533982, 3.1315282, 3.1392005, 3.2099883", \
+					  "3.5532648, 3.5296158, 3.5075330, 3.3618389, 3.4448456, 3.4063381, 3.5436257", \
+					  "3.7945907, 3.8393678, 3.8469595, 3.7780701, 3.7822574, 3.6927457, 3.8168973", \
+					  "4.1245969, 4.0814865, 4.0718631, 4.1169679, 4.0840036, 3.9470727, 4.1083259");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.9245777, 1.8677631, 1.8703308, 1.8648059, 1.8987761, 1.9473616, 1.9409514", \
+					  "2.4607234, 2.4100125, 2.4012586, 2.4055294, 2.4227634, 2.4496368, 2.4757359", \
+					  "2.8418321, 2.8001946, 2.7961001, 2.8125780, 2.8615335, 2.8726730, 2.9435979", \
+					  "3.1438173, 3.0901739, 3.0828267, 3.1161063, 3.2342345, 3.2107017, 3.3071211", \
+					  "3.6799855, 3.6124173, 3.6100492, 3.5182853, 3.5543267, 3.5244115, 3.6405464", \
+					  "4.0468054, 3.9196449, 3.9139428, 3.8477144, 3.6538344, 3.7853132, 3.9207258", \
+					  "4.2346089, 4.2210118, 4.0999611, 4.1528113, 4.0622637, 4.0656983, 4.2101205");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.3117531, -1.2440846, -1.2626229, -1.2749371, -1.2914130, -1.3070732, -1.3494910", \
+					  "-1.8508415, -1.7786921, -1.7873986, -1.8086900, -1.8414224, -1.8848376, -1.8845505", \
+					  "-2.2367883, -2.1705270, -2.1819004, -2.2245267, -2.2614390, -2.3307866, -2.3547848", \
+					  "-2.6987456, -2.6258582, -2.5650910, -2.5156874, -2.5979289, -2.6917623, -2.7477282", \
+					  "-3.0503033, -2.9856066, -2.8924414, -2.8710534, -2.9181219, -2.9974784, -3.0696623", \
+					  "-3.4095664, -3.0776066, -3.2509186, -3.1922844, -3.1926241, -3.3517628, -3.3966782", \
+					  "-3.6197378, -3.5489129, -3.5665008, -3.5087686, -3.4468954, -3.6164638, -3.6722684");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.0664961, 2.0325697, 2.0207640, 2.0219833, 2.0384592, 2.0617326, 2.1041502", \
+					  "2.6053906, 2.5653609, 2.5627967, 2.5624037, 2.5575174, 2.5883183, 2.6476379", \
+					  "2.9877770, 2.9324883, 2.9315826, 2.9448806, 2.9994707, 2.9974819, 3.1005789", \
+					  "3.4867536, 3.4451702, 3.4257628, 3.4422408, 3.4265480, 3.3538619, 3.4859938", \
+					  "3.8398793, 3.7876425, 3.7727850, 3.5885400, 3.7201949, 3.7137216, 3.8118431", \
+					  "4.1423703, 4.1084441, 4.0935866, 3.9703443, 3.9087540, 3.9846622, 4.1046831", \
+					  "4.4274560, 4.3564479, 4.4484437, 4.2850748, 4.3887376, 4.4730373, 4.3995135");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.4399359, -1.3774943, -1.3962784, -1.4054117, -1.4408767, -1.4534851, -1.4592818", \
+					  "-1.9804524, -1.9192156, -1.9188579, -1.9109058, -1.9903072, -1.9934003, -2.0108241", \
+					  "-2.3566557, -2.2909507, -2.2987507, -2.3789088, -2.3959596, -2.4604862, -2.4811579", \
+					  "-2.8493583, -2.7910174, -2.7700564, -2.7925826, -2.7302609, -2.8193643, -2.8850575", \
+					  "-3.2056721, -3.1399403, -3.0008757, -3.0084526, -3.0541733, -3.1798597, -3.1810416", \
+					  "-3.5077936, -3.4559710, -3.3392575, -3.2865705, -3.3759337, -3.4685605, -3.5246563", \
+					  "-3.8711049, -3.8345271, -3.4357325, -3.5595617, -3.6166767, -3.7625203, -3.8448886");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3773342, 0.3210351, 0.3163609, 0.3267353, 0.3523666, 0.3817596, 0.4134963", \
+					  "0.8898358, 0.8413671, 0.8638267, 0.8480293, 0.8590282, 0.8992336, 0.9144349", \
+					  "1.2723701, 1.2156282, 1.2458717, 1.2970636, 1.2843137, 1.2692783, 1.3199174", \
+					  "1.6289938, 1.5910157, 1.5660174, 1.6144206, 1.6346904, 1.6884975, 1.6683319", \
+					  "1.9416169, 1.8081315, 1.9012299, 1.9172424, 1.9777220, 2.0394231, 2.0543752", \
+					  "2.2652900, 2.1100413, 2.2019411, 2.1891851, 2.2798124, 2.3516853, 2.3541292", \
+					  "2.5799903, 2.5365099, 2.4654410, 2.4831635, 2.5058609, 2.5928742, 2.6065808");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0712091, 0.0008450, -0.0026081, -0.0160342, -0.0553984, -0.0969984, -0.0921140", \
+					  "-0.5756193, -0.5353071, -0.5340385, -0.5504568, -0.5457782, -0.5797464, -0.6131598", \
+					  "-1.0006995, -0.9388566, -0.9575219, -0.9650840, -1.0027960, -0.9812191, -1.0327903", \
+					  "-1.2930435, -1.2397903, -1.2833880, -1.3410822, -1.3392476, -1.3554580, -1.3965699", \
+					  "-1.5689277, -1.4958863, -1.5942451, -1.6453314, -1.6459228, -1.7012558, -1.7042364", \
+					  "-1.8163430, -1.7885178, -1.9122947, -1.9164365, -1.9884522, -2.0101649, -2.0281687", \
+					  "-2.2550177, -2.0720820, -2.1869173, -2.1475852, -2.2543098, -2.2898913, -2.2958790");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.8519275, -1.8126155, -1.7909359, -1.7921549, -1.8103042, -1.8441844, -1.8621881", \
+					  "-2.3699060, -2.3191941, -2.3144497, -2.3116593, -2.3228412, -2.3822908, -2.3976616", \
+					  "-2.7166344, -2.6617881, -2.6543964, -2.6675430, -2.7491005, -2.7986950, -2.8518230", \
+					  "-3.2090212, -3.1582703, -3.1498490, -3.0454649, -3.1263762, -3.1367439, -3.2065286", \
+					  "-3.5492657, -3.5270872, -3.5005622, -3.3576494, -3.4932683, -3.4540887, -3.5447618", \
+					  "-3.7877290, -3.7324394, -3.8421574, -3.7252572, -3.7950418, -3.6957947, -3.8076104", \
+					  "-4.1214780, -4.0692580, -4.0040697, -3.8125527, -4.1100547, -3.9412089, -4.0857874");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8605044, 1.8235264, 1.7995136, 1.8007327, 1.8188679, 1.8497117, 1.8707671", \
+					  "2.3800413, 2.3293303, 2.3246516, 2.3172178, 2.3295712, 2.3877329, 2.4093306", \
+					  "2.7357208, 2.6807430, 2.6609970, 2.6774750, 2.7579846, 2.8050791, 2.8602630", \
+					  "3.2221757, 3.1730563, 3.1554693, 3.0527386, 3.1340526, 3.1403816, 3.2133783", \
+					  "3.5578425, 3.5385197, 3.5060071, 3.3626224, 3.4612198, 3.4590680, 3.5499837", \
+					  "3.7936204, 3.8428361, 3.8515371, 3.6992576, 3.8005677, 3.7007216, 3.8157644", \
+					  "4.2324764, 4.0851010, 4.0755810, 4.1265891, 4.1040143, 3.9446329, 4.0923330");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.2003840, -1.1343801, -1.1430052, -1.1591460, -1.1906865, -1.1941396, -1.2212996", \
+					  "-1.7226850, -1.6596298, -1.6655399, -1.6569761, -1.7050222, -1.7273827, -1.7237967", \
+					  "-2.0666985, -1.9976762, -2.0580412, -2.0311272, -2.1360768, -2.1720951, -2.2088495", \
+					  "-2.5467898, -2.4930400, -2.3959585, -2.3586574, -2.4756332, -2.5389896, -2.5890369", \
+					  "-2.9038189, -2.8358633, -2.6531121, -2.7258269, -2.7931534, -2.8550517, -2.8621427", \
+					  "-3.1339073, -3.0518392, -2.9093025, -2.9993760, -3.0586495, -3.1672673, -3.2636571", \
+					  "-3.4621281, -3.3208904, -3.1356028, -3.2906024, -3.3150757, -3.4352631, -3.5257099");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8147336, 1.7594451, 1.7506912, 1.7534361, 1.7974096, 1.8130696, 1.8264958", \
+					  "2.3355729, 2.2848617, 2.2776337, 2.2834304, 2.3004774, 2.3295273, 2.3659171", \
+					  "2.6929186, 2.6315266, 2.6273502, 2.6316210, 2.7117511, 2.7758862, 2.8212180", \
+					  "3.1794510, 3.1164418, 3.0986238, 2.9950900, 3.0204948, 3.1065582, 3.1655001", \
+					  "3.5063484, 3.4750880, 3.4587047, 3.3658752, 3.4711527, 3.3899213, 3.5038124", \
+					  "3.7503310, 3.6965684, 3.6272776, 3.5279928, 3.7822570, 3.6711863, 3.7809660", \
+					  "4.0818671, 4.0217392, 4.0140813, 3.7712125, 3.8692128, 3.9201791, 4.0601591");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.1225573, -1.0472130, -1.0706532, -1.0719972, -1.0976285, -1.1270223, -1.1602849", \
+					  "-1.6374873, -1.5710030, -1.5663987, -1.6098127, -1.6493119, -1.6707105, -1.6892328", \
+					  "-1.9689079, -1.9076316, -2.0005146, -1.9646022, -2.0592914, -2.0883752, -2.0985462", \
+					  "-2.4582886, -2.3874984, -2.3210482, -2.3282222, -2.3921049, -2.4504887, -2.4893445", \
+					  "-2.8168438, -2.5562554, -2.6192350, -2.5794053, -2.7603339, -2.7750224, -2.8056852", \
+					  "-3.0492886, -3.0243545, -2.8713091, -2.9048983, -2.9953952, -3.0901485, -3.1794487", \
+					  "-3.4117739, -3.1484521, -3.1060032, -3.2053355, -3.2798348, -3.3813107, -3.5312510");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.0954898, 2.0421860, 2.0390765, 2.0494509, 2.0735563, 2.0892752, 2.1287654", \
+					  "2.6134990, 2.5608009, 2.5634375, 2.5705118, 2.5900396, 2.6186020, 2.6829919", \
+					  "2.9706922, 2.9139993, 2.9128345, 2.9198164, 2.9961531, 2.9776146, 3.1102685", \
+					  "3.4571610, 3.4049242, 3.3927623, 3.3970369, 3.4291779, 3.3495087, 3.4527368", \
+					  "3.7943535, 3.7591923, 3.7409922, 3.5659924, 3.7046315, 3.6558848, 3.7670634", \
+					  "4.0347159, 4.0465660, 4.0567996, 3.9805726, 3.8967610, 4.0997906, 4.0552155", \
+					  "4.4705153, 4.3155855, 4.3675257, 4.3217019, 4.2975239, 4.3900632, 4.3003350");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8162546, 1.7640178, 1.7498592, 1.7534312, 1.7823139, 1.8252902, 1.8234579", \
+					  "2.3387581, 2.2865210, 2.2777671, 2.2835638, 2.3020621, 2.3295273, 2.3754772", \
+					  "2.6954694, 2.6294998, 2.6268494, 2.6311203, 2.7148224, 2.7782570, 2.8166576", \
+					  "3.1825028, 3.1197430, 3.1016756, 3.0198440, 3.0182388, 3.1072707, 3.1686716", \
+					  "3.5151178, 3.4811916, 3.4632824, 3.3638259, 3.4790844, 3.3955317, 3.5074422", \
+					  "3.7511894, 3.8030168, 3.7851063, 3.5293036, 3.7868347, 3.6773158, 3.7758799", \
+					  "4.0861024, 4.0255193, 4.0319000, 4.0703745, 4.0445294, 3.9299002, 4.0630799");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.8540038, -0.7865073, -0.7884836, -0.7897026, -0.8290668, -0.8554089, -0.8688350", \
+					  "-1.3764642, -1.3080950, -1.3077515, -1.3488265, -1.3729776, -1.3905181, -1.4050346", \
+					  "-1.7105690, -1.6502412, -1.6845955, -1.7505117, -1.8009053, -1.8058843, -1.8163057", \
+					  "-2.2019410, -2.0451844, -2.0619002, -2.0744563, -2.1597421, -2.1956669, -2.1877308", \
+					  "-2.5574444, -2.3834594, -2.3927517, -2.3827280, -2.4962894, -2.5526962, -2.5584929", \
+					  "-2.9060265, -2.5209412, -2.6759776, -2.6256614, -2.7979485, -2.8905331, -2.8460398", \
+					  "-3.0655693, -2.7804549, -2.9398301, -2.9747511, -3.0602911, -3.1581328, -3.2220877");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.022541;
+			capacitance : 0.023294;
+			rise_capacitance : 0.023577;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.3773342, 0.8898358, 1.2723701, 1.6289938, 1.9416169, 2.2652900, 2.5799903", \
+					  "0.3210351, 0.8413671, 1.2156282, 1.5910157, 1.8081315, 2.1100413, 2.5365099", \
+					  "0.3163609, 0.8638267, 1.2458717, 1.5660174, 1.9012299, 2.2019411, 2.4654410", \
+					  "0.3267353, 0.8480293, 1.2970636, 1.6144206, 1.9172424, 2.1891851, 2.4831635", \
+					  "0.3523666, 0.8590282, 1.2843137, 1.6346904, 1.9777220, 2.2798124, 2.5058609", \
+					  "0.3817596, 0.8992336, 1.2692783, 1.6884975, 2.0394231, 2.3516853, 2.5928742", \
+					  "0.4134963, 0.9144349, 1.3199174, 1.6683319, 2.0543752, 2.3541292, 2.6065808");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.4887427, 1.1712741, 1.8721503, 2.5854219, 3.3139070, 4.0256117, 4.7178544", \
+					  "0.4304549, 1.1172652, 1.8107579, 2.5255554, 3.2325361, 3.9779520, 4.6747728", \
+					  "0.4280102, 1.1018450, 1.8375149, 2.5268084, 3.2597516, 3.9837545, 4.6645104", \
+					  "0.4458082, 1.1220232, 1.8444537, 2.5607163, 3.2536645, 3.9914306, 4.6539317", \
+					  "0.4683865, 1.1366911, 1.8870710, 2.5982548, 3.2980799, 4.0187039, 4.7440317", \
+					  "0.4947289, 1.1712345, 1.9075404, 2.6247387, 3.3626431, 4.0415487, 4.7487998", \
+					  "0.5142586, 1.1981675, 1.9265721, 2.6586498, 3.3808110, 4.1283597, 4.7628095");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0712091, -0.5756193, -1.0006995, -1.2930435, -1.5689277, -1.8163430, -2.2550177", \
+					  "0.0008450, -0.5353071, -0.9388566, -1.2397903, -1.4958863, -1.7885178, -2.0720820", \
+					  "-0.0026081, -0.5340385, -0.9575219, -1.2833880, -1.5942451, -1.9122947, -2.1869173", \
+					  "-0.0160342, -0.5504568, -0.9650840, -1.3410822, -1.6453314, -1.9164365, -2.1475852", \
+					  "-0.0553984, -0.5457782, -1.0027960, -1.3392476, -1.6459228, -1.9884522, -2.2543098", \
+					  "-0.0969984, -0.5797464, -0.9812191, -1.3554580, -1.7012558, -2.0101649, -2.2898913", \
+					  "-0.0921140, -0.6131598, -1.0327903, -1.3965699, -1.7042364, -2.0281687, -2.2958790");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.4832175, -1.1656442, -1.8682395, -2.5815816, -3.3083518, -4.0217604, -4.7126664", \
+					  "-0.4188236, -1.1115828, -1.8022690, -2.5201887, -3.2606919, -3.9725744, -4.6680581", \
+					  "-0.4146473, -1.0964897, -1.8303764, -2.5217021, -3.2545426, -3.9784071, -4.6560668", \
+					  "-0.4387557, -1.1163632, -1.8393302, -2.5567259, -3.2463300, -3.9866485, -4.6500099", \
+					  "-0.4582834, -1.1309925, -1.8830781, -2.5948463, -3.2906772, -3.9809562, -4.6529173", \
+					  "-0.4830976, -1.1661180, -1.9020147, -2.6162165, -3.3589517, -4.0365726, -4.7321198", \
+					  "-0.5102567, -1.1926450, -1.9254577, -2.6583333, -3.3829070, -4.1247731, -4.7578996");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005827;
+			capacitance : 0.005949;
+			rise_capacitance : 0.006071;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.8519275, -2.3699060, -2.7166344, -3.2090212, -3.5492657, -3.7877290, -4.1214780", \
+					  "-1.8126155, -2.3191941, -2.6617881, -3.1582703, -3.5270872, -3.7324394, -4.0692580", \
+					  "-1.7909359, -2.3144497, -2.6543964, -3.1498490, -3.5005622, -3.8421574, -4.0040697", \
+					  "-1.7921549, -2.3116593, -2.6675430, -3.0454649, -3.3576494, -3.7252572, -3.8125527", \
+					  "-1.8103042, -2.3228412, -2.7491005, -3.1263762, -3.4932683, -3.7950418, -4.1100547", \
+					  "-1.8441844, -2.3822908, -2.7986950, -3.1367439, -3.4540887, -3.6957947, -3.9412089", \
+					  "-1.8621881, -2.3976616, -2.8518230, -3.2065286, -3.5447618, -3.8076104, -4.0857874");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1011731, -1.7668357, -2.4652528, -3.1755207, -3.8704868, -4.5734729, -5.3173641", \
+					  "-1.0289400, -1.7069233, -2.3950037, -3.1065117, -3.8154770, -4.5212338, -5.2433859", \
+					  "-1.0538184, -1.7022251, -2.4027389, -3.1274034, -3.8308563, -4.5441990, -5.2205881", \
+					  "-1.0444937, -1.7090051, -2.4254895, -3.1119924, -3.8442824, -4.5625274, -5.2774267", \
+					  "-1.0792802, -1.7437029, -2.4491360, -3.1476077, -3.8482610, -4.5835808, -5.2939024", \
+					  "-1.0918885, -1.7762109, -2.4797057, -3.1808833, -3.8928196, -4.6530532, -5.3477096", \
+					  "-1.1144699, -1.7563757, -2.5264745, -3.2192311, -3.9519434, -4.6852159, -5.3695574");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8605044, 2.3800413, 2.7357208, 3.2221757, 3.5578425, 3.7936204, 4.2324764", \
+					  "1.8235264, 2.3293303, 2.6807430, 3.1730563, 3.5385197, 3.8428361, 4.0851010", \
+					  "1.7995136, 2.3246516, 2.6609970, 3.1554693, 3.5060071, 3.8515371, 4.0755810", \
+					  "1.8007327, 2.3172178, 2.6774750, 3.0527386, 3.3626224, 3.6992576, 4.1265891", \
+					  "1.8188679, 2.3295712, 2.7579846, 3.1340526, 3.4612198, 3.8005677, 4.1040143", \
+					  "1.8497117, 2.3877329, 2.8050791, 3.1403816, 3.4590680, 3.7007216, 3.9446329", \
+					  "1.8707671, 2.4093306, 2.8602630, 3.2133783, 3.5499837, 3.8157644, 4.0923330");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7460377, 2.3997877, 3.0956858, 3.8086608, 4.5119720, 5.2489211, 5.9334487", \
+					  "1.6768452, 2.3452538, 3.0338610, 3.7436465, 4.4542932, 5.1505540, 5.8776271", \
+					  "1.6799181, 2.3360494, 3.0221166, 3.7385142, 4.4462299, 5.1479996, 5.8769725", \
+					  "1.6938953, 2.3416902, 3.0206553, 3.7504149, 4.4674591, 5.1308596, 5.8401073", \
+					  "1.6945833, 2.3840286, 3.0829075, 3.7729937, 4.4854607, 5.1671021, 5.8947303", \
+					  "1.7172113, 2.4008447, 3.0839187, 3.8174431, 4.5076314, 5.2376937, 5.9378563", \
+					  "1.7704559, 2.4315481, 3.1255277, 3.8423378, 4.5411539, 5.2587493, 5.9680672");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065694, 0.0133829, 0.0201964, 0.0200938, 0.0199900, 0.0198875, 0.0197849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079741, 0.0160686, 0.0241631, 0.0241410, 0.0241187, 0.0240966, 0.0240746");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.019212;
+			capacitance : 0.019967;
+			rise_capacitance : 0.020721;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.6754477, 1.5177186, 2.3599896, 3.3429253, 4.3377037, 5.3206395, 6.3035753");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("14.1568830, 30.6247920, 47.0927010, 48.3539990, 49.6304930, 50.8917900, 52.1530870");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			fall_capacitance : 0.033298;
+			capacitance : 0.033434;
+			rise_capacitance : 0.033570;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0584686, 0.7152385, 1.4889457, 2.4023119, 3.3266826, 4.2400488, 5.1534150");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1481253, 1.9655171, 2.7829089, 3.7016172, 4.6313944, 5.5501028, 6.4688112");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006308;
+			capacitance : 0.006189;
+			fall_capacitance : 0.006070;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1225573, -1.6374873, -1.9689079, -2.4582886, -2.8168438, -3.0492886, -3.4117739", \
+					  "-1.0472130, -1.5710030, -1.9076316, -2.3874984, -2.5562554, -3.0243545, -3.1484521", \
+					  "-1.0706532, -1.5663987, -2.0005146, -2.3210482, -2.6192350, -2.8713091, -3.1060032", \
+					  "-1.0719972, -1.6098127, -1.9646022, -2.3282222, -2.5794053, -2.9048983, -3.2053355", \
+					  "-1.0976285, -1.6493119, -2.0592914, -2.3921049, -2.7603339, -2.9953952, -3.2798348", \
+					  "-1.1270223, -1.6707105, -2.0883752, -2.4504887, -2.7750224, -3.0901485, -3.3813107", \
+					  "-1.1602849, -1.6892328, -2.0985462, -2.4893445, -2.8056852, -3.1794487, -3.5312510");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.9678692, -2.6398134, -3.3360074, -4.0491230, -4.7551991, -5.4847622, -6.1811957", \
+					  "-1.9473771, -2.5926789, -3.2804405, -3.9927436, -4.7044872, -5.4368822, -6.1245495", \
+					  "-1.9192849, -2.5851667, -3.2781544, -3.9789760, -4.6852644, -5.4241671, -6.1232559", \
+					  "-1.9325108, -2.5891961, -3.2777607, -3.9985057, -4.7091595, -5.4127828, -6.1290530", \
+					  "-1.9459349, -2.6010944, -3.2927107, -4.0088783, -4.7439459, -5.4536728, -6.1638394", \
+					  "-1.9952046, -2.6280562, -3.3315612, -4.0531904, -4.7702870, -5.4739104, -6.1856029", \
+					  "-2.0116823, -2.6897260, -3.3746290, -4.0794343, -4.7684232, -5.4927722, -6.2397325");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.0954898, 2.6134990, 2.9706922, 3.4571610, 3.7943535, 4.0347159, 4.4705153", \
+					  "2.0421860, 2.5608009, 2.9139993, 3.4049242, 3.7591923, 4.0465660, 4.3155855", \
+					  "2.0390765, 2.5634375, 2.9128345, 3.3927623, 3.7409922, 4.0567996, 4.3675257", \
+					  "2.0494509, 2.5705118, 2.9198164, 3.3970369, 3.5659924, 3.9805726, 4.3217019", \
+					  "2.0735563, 2.5900396, 2.9961531, 3.4291779, 3.7046315, 3.8967610, 4.2975239", \
+					  "2.0892752, 2.6186020, 2.9776146, 3.3495087, 3.6558848, 4.0997906, 4.3900632", \
+					  "2.1287654, 2.6829919, 3.1102685, 3.4527368, 3.7670634, 4.0552155, 4.3003350");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9962819, 2.6500322, 3.3459218, 4.0604118, 4.7652542, 5.4854106, 6.1922683", \
+					  "1.9441651, 2.5996676, 3.2887087, 3.9968125, 4.7145432, 5.4187044, 6.1343093", \
+					  "1.9265008, 2.5905674, 3.2834051, 3.9856880, 4.6951996, 5.4219614, 6.1328034", \
+					  "1.9365101, 2.5948379, 3.2830985, 4.0097954, 4.7176896, 5.4210612, 6.1401260", \
+					  "1.9575637, 2.6052105, 3.3102554, 4.0186426, 4.7524760, 5.4619512, 6.1205363", \
+					  "2.0007285, 2.6318104, 3.3363097, 4.0619608, 4.7788172, 5.4821887, 6.1804471", \
+					  "2.0233098, 2.7076386, 3.3883587, 4.0935675, 4.7807339, 5.4988003, 6.2532493");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065765, 0.0133853, 0.0201941, 0.0200782, 0.0199610, 0.0198452, 0.0197293");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079279, 0.0160721, 0.0242162, 0.0241236, 0.0240299, 0.0239373, 0.0238447");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013485;
+			capacitance : 0.013451;
+			fall_capacitance : 0.013418;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8162546, 2.3387581, 2.6954694, 3.1825028, 3.5151178, 3.7511894, 4.0861024", \
+					  "1.7640178, 2.2865210, 2.6294998, 3.1197430, 3.4811916, 3.8030168, 4.0255193", \
+					  "1.7498592, 2.2777671, 2.6268494, 3.1016756, 3.4632824, 3.7851063, 4.0319000", \
+					  "1.7534312, 2.2835638, 2.6311203, 3.0198440, 3.3638259, 3.5293036, 4.0703745", \
+					  "1.7823139, 2.3020621, 2.7148224, 3.0182388, 3.4790844, 3.7868347, 4.0445294", \
+					  "1.8252902, 2.3295273, 2.7782570, 3.1072707, 3.3955317, 3.6773158, 3.9299002", \
+					  "1.8234579, 2.3754772, 2.8166576, 3.1686716, 3.5074422, 3.7758799, 4.0630799");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7124701, 2.3738303, 3.0678773, 3.7819954, 4.4870470, 5.2313401, 5.9134833", \
+					  "1.6539439, 2.3147662, 3.0029817, 3.7230137, 4.4278162, 5.1512886, 5.8543426", \
+					  "1.6623185, 2.3173497, 3.0047099, 3.7133752, 4.4233708, 5.1340197, 5.8474930", \
+					  "1.6435430, 2.3079552, 2.9882690, 3.7329049, 4.4455859, 5.1486800, 5.8149253", \
+					  "1.6518530, 2.3187299, 3.0596179, 3.7463288, 4.4666393, 5.1480320, 5.8694594", \
+					  "1.7031864, 2.3728564, 3.0465804, 3.7733139, 4.4833726, 5.2236622, 5.9003782", \
+					  "1.7639148, 2.3938436, 3.0987677, 3.8191200, 4.5064626, 5.2550189, 5.9185366");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.8540038, -1.3764642, -1.7105690, -2.2019410, -2.5574444, -2.9060265, -3.0655693", \
+					  "-0.7865073, -1.3080950, -1.6502412, -2.0451844, -2.3834594, -2.5209412, -2.7804549", \
+					  "-0.7884836, -1.3077515, -1.6845955, -2.0619002, -2.3927517, -2.6759776, -2.9398301", \
+					  "-0.7897026, -1.3488265, -1.7505117, -2.0744563, -2.3827280, -2.6256614, -2.9747511", \
+					  "-0.8290668, -1.3729776, -1.8009053, -2.1597421, -2.4962894, -2.7979485, -3.0602911", \
+					  "-0.8554089, -1.3905181, -1.8058843, -2.1956669, -2.5526962, -2.8905331, -3.1581328", \
+					  "-0.8688350, -1.4050346, -1.8163057, -2.1877308, -2.5584929, -2.8460398, -3.2220877");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.7115274, -2.3755579, -3.0708333, -3.7748046, -4.4774319, -5.1831812, -5.9076861", \
+					  "-1.6512629, -2.3181565, -3.0157925, -3.7159391, -4.4166956, -5.1181012, -5.8505882", \
+					  "-1.6446546, -2.3038830, -2.9913809, -3.7000782, -4.4242354, -5.1257658, -5.8456877", \
+					  "-1.6365672, -2.3127315, -3.0034292, -3.7211338, -4.4390196, -5.1310362, -5.8662219", \
+					  "-1.6549685, -2.3162127, -3.0564792, -3.7437131, -4.4570216, -5.1551414, -5.8964306", \
+					  "-1.6961447, -2.3660049, -3.0513873, -3.7649756, -4.4718186, -5.2097639, -5.9065976", \
+					  "-1.7431401, -2.3811741, -3.0947358, -3.8147580, -4.5053949, -5.2238455, -5.9074782");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079404, 0.0160258, 0.0241112, 0.0240864, 0.0240613, 0.0240365, 0.0240117");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065695, 0.0134010, 0.0202326, 0.0201490, 0.0200644, 0.0199808, 0.0198971");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.031500;
+			max_transition : 5.081715;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02165334, 0.04688671, 0.1015254, 0.2198363, 0.476019, 1.03074");
+					values("9.2025296, 9.4162450, 9.4754537, 9.4916803, 9.4962886, 9.4846026, 9.3435505", \
+					  "9.0757579, 9.2928334, 9.4169329, 9.3066912, 9.3698516, 9.4364850, 9.4445428", \
+					  "7.3960300, 7.6113213, 7.6739717, 7.6851312, 7.6674726, 7.7272902, 7.7209628", \
+					  "7.4757926, 7.6875728, 7.7429617, 7.7184223, 7.7177448, 7.7168575, 7.7080425", \
+					  "7.8433648, 7.8436988, 7.8441923, 7.8400992, 7.7808862, 7.7506760, 7.7506205", \
+					  "7.8668478, 7.8669545, 7.8671314, 7.8742438, 7.8691879, 7.7862473, 7.7510142", \
+					  "7.9406065, 7.9406854, 7.9413993, 7.8751831, 7.9163039, 7.8429121, 7.7661913");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02165334, 0.04688671, 0.1015254, 0.2198363, 0.476019, 1.03074");
+					values("6.8980765, 6.9186616, 6.9168315, 6.9128061, 6.9224390, 6.9309122, 6.9341423", \
+					  "6.8298774, 6.8231068, 6.8281358, 6.8371581, 6.8393265, 6.8498771, 6.8503090", \
+					  "8.8303210, 8.8309629, 8.8341374, 8.8389339, 8.8475321, 8.8541171, 8.8565093", \
+					  "8.8343855, 8.8403078, 8.8490951, 8.8575900, 8.8671188, 8.8726552, 8.8771846", \
+					  "8.7547513, 8.7530989, 8.7623294, 8.7782481, 8.8081296, 8.8545412, 8.8684624", \
+					  "8.7406983, 8.7444531, 8.7471046, 8.7438980, 8.7606155, 8.7546637, 8.8111272", \
+					  "8.7660903, 8.7679239, 8.7666419, 8.7679820, 8.7703169, 8.7694123, 8.7711635");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("11.7126389, 11.7167374, 11.7112019, 11.7135401, 11.7100542, 11.7093614, 11.7083472", \
+					  "56.2744483, 56.2720543, 56.2654143, 56.2677973, 55.6949053, 55.6013553, 55.5814403", \
+					  "85.8850700, 85.9473330, 85.9030900, 85.9491920, 85.9660680, 85.9628970, 85.3211880", \
+					  "123.2803600, 123.5923900, 123.4684700, 123.5800900, 123.5736000, 123.6077100, 123.4174600", \
+					  "160.6434400, 160.6564600, 160.6149700, 160.6326300, 160.6269300, 160.6215900, 160.6711600", \
+					  "197.6086500, 197.4499500, 197.5677800, 197.5139900, 197.5003200, 197.6651500, 197.4595300", \
+					  "234.0754500, 234.1740400, 234.0230800, 234.1269400, 234.0918800, 233.7961800, 234.0494200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("10.2626852, 10.2627992, 10.2697342, 10.2748922, 10.2790522, 10.2853162, 10.2888652", \
+					  "27.2934529, 27.3349189, 27.4188209, 27.5801779, 27.7327019, 27.7468989, 27.7588509", \
+					  "55.7599280, 55.7586440, 55.7609380, 55.7666070, 55.9185300, 55.7737430, 55.8246640", \
+					  "75.1660960, 75.1656170, 75.1646800, 75.1666510, 75.2672020, 75.1512320, 75.1452650", \
+					  "94.7139870, 94.7077420, 94.7100450, 94.7438100, 94.8467970, 94.7352750, 94.6466380", \
+					  "114.3932200, 114.3984900, 114.3943800, 114.4016100, 114.5091500, 114.3774000, 114.3834400", \
+					  "134.0759400, 134.0495100, 134.0447100, 134.0311200, 134.1682300, 134.0339200, 134.0145200");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("9.8602812, 9.8602029, 9.8624008, 9.8574758, 9.8545538, 9.8547645, 9.8512522", \
+					  "22.7570103, 22.7489703, 22.7371103, 22.7522753, 22.7465753, 22.0721243, 22.0580523", \
+					  "25.5606630, 25.5062050, 25.5110030, 25.5165700, 25.5795990, 25.5519220, 24.9281740", \
+					  "36.9091810, 36.9764910, 36.8585930, 36.8924680, 36.8639460, 36.8251710, 36.9319540", \
+					  "48.1069700, 48.0964610, 47.9885960, 47.9850670, 47.9943130, 48.0981850, 48.0736040", \
+					  "58.9613360, 59.0381840, 58.8999960, 59.0147720, 58.9766430, 58.9118820, 59.0452620", \
+					  "69.9375070, 69.8517980, 69.8367610, 69.8635800, 69.8643300, 69.8225230, 69.8070840");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("10.4749182, 10.4777822, 10.4806282, 10.4893892, 10.4935872, 10.5037492, 10.5101122", \
+					  "20.5028459, 20.5199579, 20.5281699, 20.5402919, 20.5590619, 20.5750069, 20.5862349", \
+					  "40.5831380, 40.5837760, 40.5842930, 40.5870750, 40.5834120, 40.5894030, 41.0913590", \
+					  "51.7735230, 51.7544650, 51.7771240, 51.7774050, 51.7774780, 51.7789250, 51.7838030", \
+					  "63.0434320, 63.0427860, 63.0394690, 63.0393520, 63.0465190, 63.0411000, 63.0440560", \
+					  "74.3844150, 74.4011450, 74.3835900, 74.3827990, 74.3824930, 74.3944910, 74.3872460", \
+					  "85.7343920, 85.7280360, 85.7897710, 85.7266690, 85.7669840, 85.7287370, 85.6755920");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("26.2886639, 26.2819699, 26.3160229, 26.2919429, 26.2947689, 26.2840189, 26.2912889", \
+					  "26.2794734, 26.2861144, 26.2804864, 26.2718914, 26.2822904, 26.2387404, 26.2834734", \
+					  "26.2710579, 26.2665459, 26.2707479, 26.2741029, 26.2762639, 26.2662649, 26.2749669", \
+					  "26.2679610, 26.2613570, 26.2668280, 26.2753440, 26.2772610, 26.2683640, 26.2756170", \
+					  "26.2464993, 26.2626633, 26.3139293, 26.2609163, 26.2927133, 26.2638183, 26.2737083", \
+					  "26.2471784, 26.2717304, 26.2736884, 26.2785094, 26.2808474, 26.2635904, 26.3101904", \
+					  "26.2836904, 26.2834284, 26.2889844, 26.2916164, 26.2906364, 26.2876574, 26.2972474");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("22.4450756, 22.4459806, 22.4479706, 22.4473716, 22.4583196, 22.4700866, 22.4806766", \
+					  "22.4494421, 22.4370861, 22.4455531, 22.4299091, 22.4612451, 22.4688241, 22.4690981", \
+					  "22.4240206, 22.4368396, 22.4286676, 22.4491646, 22.4415406, 22.4266436, 22.4622236", \
+					  "22.4293772, 22.4282952, 22.4360052, 22.4335802, 22.4142422, 22.4592822, 22.4644082", \
+					  "22.3852750, 22.4849040, 22.4348190, 22.4513250, 22.4447050, 22.4602530, 22.4501640", \
+					  "22.4083365, 22.4261805, 22.4389065, 22.4334265, 22.4455225, 22.4517845, 22.4578645", \
+					  "22.4268431, 22.4296151, 22.4323451, 22.4363631, 22.4439441, 22.4541941, 22.4577311");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("3.8298675, 3.8698462, 3.9613570, 4.1601980, 4.5774033, 5.4789844, 7.4284592", \
+					  "6.8620003, 6.9037167, 6.9925559, 7.1875665, 7.6092981, 8.5108240, 10.4555170", \
+					  "9.5934389, 9.6350830, 9.7237898, 9.9186686, 10.3403890, 11.2417290, 13.1940670", \
+					  "10.2210370, 10.2627690, 10.3517000, 10.5466440, 10.9684850, 11.8693830, 13.8183220", \
+					  "13.9362100, 13.9779610, 14.0717280, 14.2619150, 14.6836070, 15.5841630, 17.5298000", \
+					  "17.0596690, 17.1016450, 17.1780870, 17.3848440, 17.8061830, 18.7063240, 20.6307430", \
+					  "19.3833550, 19.4251640, 19.5168660, 19.7090880, 20.1307730, 21.0313790, 22.9292340", \
+					  "22.6933670, 22.7349750, 22.8736690, 23.0189730, 23.4406610, 24.3408440, 26.3535770", \
+					  "68.0966320, 68.1276690, 68.3482640, 68.3482705, 68.7998000, 69.8302570, 71.5696520", \
+					  "97.0648060, 97.0906340, 97.0906411, 97.2719430, 97.5932220, 98.5684490, 100.4549700", \
+					  "218.9938300, 219.6403000, 219.6403122, 219.6403275, 219.6403428, 220.6866000, 222.2435900", \
+					  "653.1872100, 654.1133600, 654.1133729, 654.1134339, 654.9555600, 655.2275000, 657.3876600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("0.2981814, 0.3499809, 0.4658383, 0.7163878, 1.2561939, 2.4204339, 4.9608424", \
+					  "0.2978782, 0.3498223, 0.4657133, 0.7162135, 1.2601054, 2.4553544, 5.0340545", \
+					  "0.2976600, 0.3495701, 0.4657576, 0.7161485, 1.2602207, 2.4227134, 5.1361742", \
+					  "0.2978364, 0.3497005, 0.4658294, 0.7162002, 1.2566929, 2.4208799, 4.9734541", \
+					  "0.2978914, 0.3496129, 0.4658243, 0.7164487, 1.2695245, 2.4198208, 4.9502877", \
+					  "0.2976305, 0.3496411, 0.4657554, 0.7163975, 1.2679067, 2.4479767, 4.9295203", \
+					  "0.2978860, 0.3496121, 0.4658687, 0.7164481, 1.2694196, 2.4372802, 4.9919557", \
+					  "0.2978980, 0.3496338, 0.4657377, 0.7164742, 1.2693776, 2.4357951, 5.0368771", \
+					  "0.2976861, 0.3495513, 0.4658646, 0.7164845, 1.2723070, 2.4383884, 5.0509734", \
+					  "0.2978696, 0.3496312, 0.4657771, 0.7162980, 1.2659096, 2.4299687, 4.9753912", \
+					  "0.2978392, 0.3495980, 0.4657707, 0.7161861, 1.2711699, 2.4312004, 4.9525553", \
+					  "0.2976515, 0.3496426, 0.4657776, 0.7164891, 1.2685671, 2.4304880, 4.9521498");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("4.0882551, 4.1173891, 4.1684949, 4.2617208, 4.4314068, 4.7379207, 5.2495541", \
+					  "7.1844810, 7.2111517, 7.2644197, 7.3591275, 7.5265438, 7.8278992, 8.3385983", \
+					  "10.2235880, 10.2503680, 10.3037090, 10.3979520, 10.5655920, 10.8673010, 11.3774060", \
+					  "10.9427480, 10.9680510, 11.0213350, 11.1162550, 11.2834120, 11.5845400, 12.0973700", \
+					  "15.0341450, 15.0563400, 15.1073540, 15.2046220, 15.3766740, 15.6850600, 16.1887140", \
+					  "18.3387370, 18.3680640, 18.4210570, 18.5160240, 18.6858000, 18.9872700, 19.4954990", \
+					  "20.8396540, 20.8656930, 20.9119570, 20.9956940, 21.1813290, 21.4804780, 21.9860950", \
+					  "24.2914080, 24.3138930, 24.3648250, 24.4251750, 24.6642720, 24.9419630, 25.4430250", \
+					  "69.4112570, 69.5195650, 69.5195656, 69.5882110, 69.7757730, 70.0743210, 70.5704300", \
+					  "96.6808430, 96.7011570, 96.7331600, 96.8668630, 96.9579050, 97.2878810, 97.7582890", \
+					  "205.9865800, 206.2407000, 206.2918600, 206.2986400, 206.5266900, 206.8052700, 207.3331300", \
+					  "559.4665700, 559.4665833, 559.4666443, 559.4752100, 559.7007700, 560.1568800, 560.4806600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("0.2167962, 0.2333020, 0.2672359, 0.3422726, 0.4932706, 0.7595544, 1.2300889", \
+					  "0.2213151, 0.2388781, 0.2787605, 0.3592069, 0.5043634, 0.7731137, 1.2632120", \
+					  "0.2213995, 0.2381577, 0.2785947, 0.3563359, 0.5044163, 0.7733111, 1.2429513", \
+					  "0.2209821, 0.2379284, 0.2784208, 0.3584347, 0.5046618, 0.7718811, 1.2647540", \
+					  "0.2235702, 0.2520775, 0.2847070, 0.3554803, 0.5082776, 0.7676846, 1.2363875", \
+					  "0.2269208, 0.2399468, 0.2839446, 0.3604892, 0.5039564, 0.7746023, 1.2557669", \
+					  "0.2241842, 0.2407626, 0.2865221, 0.3619032, 0.5070870, 0.7634653, 1.2558603", \
+					  "0.2233933, 0.2514245, 0.2840848, 0.3697790, 0.5071494, 0.7666760, 1.2557203", \
+					  "0.2235309, 0.2409512, 0.2865022, 0.3626279, 0.5050461, 0.7734426, 1.2562765", \
+					  "0.2234160, 0.2512986, 0.2849606, 0.3615494, 0.5042644, 0.7658321, 1.2559050", \
+					  "0.2208303, 0.2409333, 0.2856788, 0.3676742, 0.5072358, 0.7664247, 1.2558148", \
+					  "0.2284735, 0.2434340, 0.2941531, 0.3644770, 0.5025568, 0.7659809, 1.2560241");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("1.4057689, 1.4481135, 1.5403362, 1.7346780, 2.1569109, 3.0616611, 5.0228874", \
+					  "1.8203706, 1.8633859, 1.9534787, 2.1494118, 2.5697744, 3.4792373, 5.4325078", \
+					  "1.9895687, 2.0338764, 2.1247957, 2.3202802, 2.7399343, 3.6514813, 5.6060485", \
+					  "2.0231303, 2.0648709, 2.1557189, 2.3512512, 2.7712594, 3.6801870, 5.6350178", \
+					  "2.1975892, 2.2442455, 2.3346358, 2.5303937, 2.9513272, 3.8619548, 5.8121370", \
+					  "2.3267130, 2.3657332, 2.4567470, 2.6520888, 3.0724459, 3.9816688, 5.9422627", \
+					  "2.4109095, 2.4516258, 2.5423552, 2.7397522, 3.1600844, 4.0724326, 6.0328142", \
+					  "2.5210762, 2.5684082, 2.6584122, 2.8544360, 3.2735950, 4.1833181, 6.1385554", \
+					  "3.7540624, 3.8297130, 3.9062309, 4.0971231, 4.5148862, 5.4141807, 7.3826070", \
+					  "4.4743227, 4.5209081, 4.5814659, 4.8129358, 5.2006270, 6.1381402, 8.0937408", \
+					  "7.2223232, 7.2732829, 7.3643021, 7.5565376, 7.9772784, 8.8872953, 10.8467790", \
+					  "16.6132740, 16.6749330, 16.7592710, 16.9637570, 17.3879740, 18.2728450, 20.2464960");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.2998621, 0.3527665, 0.4665507, 0.7166968, 1.2603714, 2.4310189, 4.9662572", \
+					  "0.3069859, 0.3566244, 0.4743608, 0.7173995, 1.2771725, 2.4265714, 5.0963584", \
+					  "0.3094531, 0.3607446, 0.4785990, 0.7343667, 1.2638395, 2.4311892, 5.0296838", \
+					  "0.3094389, 0.3621139, 0.4785982, 0.7355523, 1.2717144, 2.5040796, 5.0359694", \
+					  "0.3093590, 0.3616071, 0.4773495, 0.7358680, 1.2640213, 2.4956537, 5.0266880", \
+					  "0.3095444, 0.3615359, 0.4780658, 0.7377648, 1.2683370, 2.4295599, 5.0453286", \
+					  "0.3096170, 0.3624861, 0.4780741, 0.7377699, 1.2678506, 2.5121889, 5.0825046", \
+					  "0.3021714, 0.3614674, 0.4781554, 0.7339906, 1.2648619, 2.4304103, 4.9945155", \
+					  "0.3074248, 0.3616487, 0.4779145, 0.7371647, 1.2778475, 2.4662035, 5.1277280", \
+					  "0.3091433, 0.3606560, 0.4698805, 0.7356164, 1.2721371, 2.4432805, 5.0107620", \
+					  "0.3079347, 0.3616147, 0.4779970, 0.7376255, 1.2640167, 2.5138127, 4.9978177", \
+					  "0.3100648, 0.3556380, 0.4779707, 0.7199061, 1.2585670, 2.4507398, 5.0015205");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("2.4483875, 2.4710896, 2.5245774, 2.6145117, 2.7794969, 3.0696214, 3.5568537", \
+					  "3.8319645, 3.8580334, 3.9087866, 3.9984047, 4.1530765, 4.4394737, 4.9336828", \
+					  "5.0814011, 5.1077071, 5.1800413, 5.2343223, 5.3976872, 5.6880620, 6.1849850", \
+					  "5.3714402, 5.3962697, 5.4492553, 5.5242109, 5.6886185, 5.9803233, 6.4757657", \
+					  "7.1672432, 7.1927557, 7.2422690, 7.3258972, 7.4936626, 7.7816846, 8.2685654", \
+					  "8.6790868, 8.7054604, 8.7630836, 8.8494385, 9.0115311, 9.3047063, 9.7897486", \
+					  "9.8669855, 9.8925064, 9.9388879, 10.0242330, 10.1868080, 10.4852800, 10.9681920", \
+					  "11.5308600, 11.5514600, 11.6650960, 11.6989830, 11.8566610, 12.1657980, 12.6625940", \
+					  "36.1934700, 36.2301830, 36.2832960, 36.3605040, 36.5293180, 36.8159550, 37.2108280", \
+					  "52.6648130, 52.6793900, 52.7324000, 52.8213670, 52.9804010, 53.2736060, 53.7754620", \
+					  "126.3964000, 126.4212000, 126.4807100, 126.5368800, 126.7244300, 127.0090700, 127.5035500", \
+					  "412.0198300, 412.0198517, 412.0943200, 412.1554300, 412.3471600, 412.5921300, 413.1314600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.2130459, 0.2279905, 0.2609581, 0.3281681, 0.4683483, 0.7234238, 1.2066548", \
+					  "0.2318574, 0.2447859, 0.2734780, 0.3536702, 0.5039166, 0.7314739, 1.2220009", \
+					  "0.2184909, 0.2325120, 0.2748501, 0.3624358, 0.4851922, 0.7448715, 1.2290585", \
+					  "0.2189142, 0.2334562, 0.2657975, 0.3507121, 0.4843677, 0.7439022, 1.2358666", \
+					  "0.2200624, 0.2350334, 0.2686328, 0.3772978, 0.5113184, 0.7349260, 1.2218555", \
+					  "0.2242959, 0.2396552, 0.2669217, 0.3407913, 0.4779651, 0.7335553, 1.2236456", \
+					  "0.2196676, 0.2359030, 0.2684124, 0.3685640, 0.4833155, 0.7341526, 1.2245670", \
+					  "0.2198116, 0.2399415, 0.2675129, 0.3773290, 0.5144159, 0.7344892, 1.2194221", \
+					  "0.2264891, 0.2361421, 0.2667458, 0.3447563, 0.5125311, 0.7345318, 1.2187018", \
+					  "0.2197960, 0.2335544, 0.2771196, 0.3774638, 0.5091366, 0.7337729, 1.2187786", \
+					  "0.2200025, 0.2359173, 0.2675114, 0.3492744, 0.5098517, 0.7348158, 1.2245497", \
+					  "0.2198972, 0.2356837, 0.2660231, 0.3628401, 0.5092623, 0.7344265, 1.2198360");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("1.3951391, 1.4381893, 1.5293841, 1.7247133, 2.1448952, 3.0489651, 5.0091744", \
+					  "0.8289637, 0.8709978, 0.9621827, 1.1575667, 1.5798090, 2.4832436, 4.4423052", \
+					  "-0.0312953, 0.0117319, 0.1022585, 0.2979766, 0.7189252, 1.6284779, 3.5845413", \
+					  "-0.2468772, -0.2051600, -0.1132071, 0.0818866, 0.5040751, 1.4110242, 3.3713152", \
+					  "-1.6426783, -1.5996600, -1.5091340, -1.3134063, -0.8924651, 0.0154265, 1.9708866", \
+					  "-2.8950198, -2.8536740, -2.7625240, -2.5669127, -2.1466640, -1.2360264, 0.7198780", \
+					  "-3.8866999, -3.8441059, -3.7592738, -3.5635659, -3.1445522, -2.2267201, -0.2792527", \
+					  "-5.3352092, -5.2931344, -5.2005338, -5.0048259, -4.5830819, -3.6733105, -1.7190465", \
+					  "-27.6525040, -27.6361300, -27.5156000, -27.3200680, -26.9000840, -25.9884790, -24.0316160", \
+					  "-42.9856680, -42.9334830, -42.8399500, -42.6450200, -42.2242010, -41.3144580, -39.3577760", \
+					  "-112.5635800, -112.5200600, -112.4243700, -112.2261800, -111.8209000, -110.9018000, -108.9581400", \
+					  "-385.3594100, -385.2782100, -385.2781829, -384.9853000, -384.5669600, -383.6552500, -381.7001800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.3007177, 0.3526437, 0.4665504, 0.7160178, 1.2581970, 2.4217874, 4.9630424", \
+					  "0.3080433, 0.3622598, 0.4789277, 0.7358342, 1.2639803, 2.4570723, 4.9676867", \
+					  "0.3090060, 0.3626293, 0.4779228, 0.7376824, 1.2683827, 2.4786071, 4.9822462", \
+					  "0.3080372, 0.3614727, 0.4701513, 0.7198340, 1.2970930, 2.4474012, 4.9678945", \
+					  "0.3090000, 0.3626339, 0.4779337, 0.7376996, 1.2741722, 2.5101925, 4.9680717", \
+					  "0.3094054, 0.3572876, 0.4783431, 0.7363405, 1.2653864, 2.5072149, 5.0981752", \
+					  "0.3092728, 0.3574749, 0.4785693, 0.7347267, 1.2647506, 2.4969440, 5.0448459", \
+					  "0.3095819, 0.3617881, 0.4770080, 0.7343379, 1.2640233, 2.5082638, 5.0801066", \
+					  "0.3093959, 0.3606905, 0.4785951, 0.7355205, 1.2646079, 2.5131781, 5.0014779", \
+					  "0.3085834, 0.3610133, 0.4778369, 0.7371601, 1.2667273, 2.5049405, 4.9992561", \
+					  "0.3019995, 0.3630569, 0.4800025, 0.7379597, 1.2647767, 2.4294680, 5.0013620", \
+					  "0.3091255, 0.3625986, 0.4804693, 0.7339606, 1.2687686, 2.4992703, 4.9925886");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("2.5622406, 2.5899309, 2.6368536, 2.7275788, 2.8834584, 3.1809873, 3.6692649", \
+					  "4.4339332, 4.4598769, 4.5094231, 4.5898640, 4.7553299, 5.0452114, 5.5399387", \
+					  "6.2061614, 6.2323364, 6.2836416, 6.3732339, 6.5267272, 6.8171211, 7.3102360", \
+					  "6.6087660, 6.6362684, 6.6863710, 6.7778956, 6.9406516, 7.2265030, 7.7218107", \
+					  "9.1549266, 9.1810630, 9.2235970, 9.3168353, 9.4783603, 9.7682173, 10.2622020", \
+					  "11.3308890, 11.3541650, 11.4052860, 11.4988140, 11.6516220, 11.9477600, 12.4370600", \
+					  "13.0106330, 13.0235050, 13.0840370, 13.1696260, 13.3352610, 13.6265040, 14.1166440", \
+					  "15.4049840, 15.4416460, 15.4906830, 15.5753610, 15.7386800, 16.0365180, 16.5310570", \
+					  "50.6592030, 50.6838620, 50.7352280, 50.8266030, 50.9883230, 51.2790270, 51.7687690", \
+					  "74.2109520, 74.2361550, 74.2857220, 74.3741210, 74.5391020, 74.8266440, 75.3193990", \
+					  "179.6581300, 179.6822300, 179.7333800, 179.8283600, 179.9883500, 180.2780200, 180.7676600", \
+					  "587.9278400, 587.9538200, 588.0040700, 588.0976900, 588.2594100, 588.5445400, 589.0416300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.2131599, 0.2281692, 0.2596061, 0.3280817, 0.4678738, 0.7243535, 1.2181045", \
+					  "0.2184181, 0.2346262, 0.2688925, 0.3617413, 0.4832623, 0.7403637, 1.2175205", \
+					  "0.2248186, 0.2381527, 0.2705201, 0.3546481, 0.5023603, 0.7336389, 1.2253493", \
+					  "0.2366631, 0.2519808, 0.2820599, 0.3548270, 0.4867999, 0.7367467, 1.2318824", \
+					  "0.2170656, 0.2319497, 0.2721511, 0.3438678, 0.4778422, 0.7374421, 1.2271955", \
+					  "0.2296394, 0.2393260, 0.2699906, 0.3566469, 0.4927647, 0.7256602, 1.2265204", \
+					  "0.2205493, 0.2570270, 0.2695787, 0.3747440, 0.4886841, 0.7249859, 1.2082541", \
+					  "0.2389744, 0.2553755, 0.2857924, 0.3659602, 0.4846487, 0.7380079, 1.2263158", \
+					  "0.2168896, 0.2336437, 0.2690761, 0.3591870, 0.4863557, 0.7380552, 1.2260551", \
+					  "0.2264848, 0.2411128, 0.2720444, 0.3444582, 0.4751856, 0.7336881, 1.2162960", \
+					  "0.2264803, 0.2409152, 0.2719485, 0.3422188, 0.4842971, 0.7320066, 1.2297520", \
+					  "0.2256082, 0.2389715, 0.2706331, 0.3560964, 0.4752526, 0.7362414, 1.2262478");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("6.8195458, 6.8620833, 6.9529551, 7.1466455, 7.5671638, 8.4659417, 10.4224750", \
+					  "7.4937052, 7.5365856, 7.6251165, 7.8211286, 8.2411455, 9.1332835, 11.0871740", \
+					  "8.1967864, 8.2393417, 8.3291249, 8.5238900, 8.9444141, 9.8273922, 11.7996390", \
+					  "8.9175014, 8.9600514, 9.0499785, 9.2446202, 9.6651149, 10.5365730, 12.5203420", \
+					  "9.6415320, 9.6798471, 9.7739719, 9.9688364, 10.3888760, 11.2491950, 13.2055810", \
+					  "10.3547800, 10.3956660, 10.4856830, 10.6805380, 11.1005790, 11.9534460, 13.9136240", \
+					  "11.0639990, 11.0662000, 11.1571180, 11.3869260, 11.7720560, 12.6744520, 14.6254540");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("0.3020207, 0.3628403, 0.4780778, 0.7376240, 1.2910808, 2.4286000, 5.0554108", \
+					  "0.3017538, 0.3634306, 0.4780111, 0.7363738, 1.2865470, 2.4178732, 5.0613393", \
+					  "0.3020193, 0.3628756, 0.4780020, 0.7376185, 1.2909160, 2.4285931, 5.0540092", \
+					  "0.3020070, 0.3628809, 0.4780003, 0.7375450, 1.2904826, 2.4183713, 5.0538209", \
+					  "0.3016303, 0.3627520, 0.4780774, 0.7363879, 1.2813947, 2.4282933, 5.0548183", \
+					  "0.3012084, 0.3625444, 0.4780737, 0.7379069, 1.2909904, 2.4345652, 5.0478008", \
+					  "0.3016310, 0.3631015, 0.4780957, 0.7338582, 1.2823402, 2.4192566, 5.0353535");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("7.2798239, 7.3152178, 7.3804829, 7.5051734, 7.7117699, 8.0787013, 8.6941538", \
+					  "7.8153608, 7.8507947, 7.9168867, 8.0408684, 8.2434486, 8.6152081, 9.2307113", \
+					  "8.2492386, 8.2861273, 8.3509830, 8.4746965, 8.6837932, 9.0491445, 9.6641601", \
+					  "8.6114680, 8.6468566, 8.7120018, 8.8368974, 9.0431449, 9.4108046, 10.0257200", \
+					  "8.9463486, 8.9815231, 9.0481000, 9.1718997, 9.3899759, 9.7578150, 10.3640930", \
+					  "9.2545474, 9.3104839, 9.3743759, 9.4744941, 9.7063122, 10.0751250, 10.6686880", \
+					  "9.5801207, 9.6158237, 9.6846735, 9.8051522, 10.0118380, 10.3808340, 10.9940260");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("0.2969592, 0.3140761, 0.3551177, 0.4497953, 0.6282143, 0.9349642, 1.4661464", \
+					  "0.2976950, 0.3145637, 0.3553594, 0.4509813, 0.6282828, 0.9360318, 1.4829674", \
+					  "0.2975467, 0.3139849, 0.3553515, 0.4507626, 0.6288722, 0.9359653, 1.4697492", \
+					  "0.2972744, 0.3143700, 0.3550949, 0.4500759, 0.6283140, 0.9349348, 1.4651255", \
+					  "0.2987561, 0.3154680, 0.3553076, 0.4526499, 0.6282915, 0.9361861, 1.4972917", \
+					  "0.3007666, 0.3138966, 0.3552676, 0.4428622, 0.6282134, 0.9340704, 1.4661792", \
+					  "0.2966551, 0.3138960, 0.3800449, 0.4488996, 0.6283318, 0.9355286, 1.4689124");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.295700;
+			max_transition : 3.760311;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176876, 0.1009254, 0.3206275, 1.018594, 3.235946, 10.2802");
+					values("9.2325195, 9.1333454, 8.8661980, 8.4524139, 7.9443694, 7.2613641, 5.8729417", \
+					  "9.2096421, 9.2485763, 8.8072713, 8.3508681, 7.9127798, 7.2153628, 5.8397735", \
+					  "7.4253667, 7.3206901, 7.0684023, 6.6792990, 6.1328876, 5.6481915, 3.7932344", \
+					  "7.5170200, 7.4123771, 7.1593885, 6.7448742, 6.1935184, 5.4734338, 4.3834862", \
+					  "7.7872951, 7.6608728, 7.3671251, 6.9192070, 6.2772045, 5.5504581, 3.8403226", \
+					  "7.8065662, 7.6832325, 7.3443491, 6.9627576, 6.4770771, 5.5735130, 4.5361033", \
+					  "7.8489854, 7.7260958, 7.4287477, 6.9798091, 6.4966517, 5.8290408, 4.5813804");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176876, 0.1009254, 0.3206275, 1.018594, 3.235946, 10.2802");
+					values("6.4171262, 6.3513715, 6.2662824, 6.1503266, 5.9704288, 5.9011353, 5.8582399", \
+					  "6.3378830, 6.2710866, 6.1826989, 6.0562072, 5.8917867, 5.8368888, 5.7839641", \
+					  "8.3378755, 8.2669913, 8.1908369, 8.0689049, 7.8985507, 7.8339869, 7.7907143", \
+					  "8.2619371, 8.1964964, 8.1118064, 8.0014164, 7.8723738, 7.8503792, 7.8056190", \
+					  "8.6835530, 8.5812893, 8.3470644, 8.0504006, 7.8330250, 7.8172564, 7.8067049", \
+					  "8.6848194, 8.5863001, 8.3505069, 8.0750883, 7.8359021, 7.7449904, 7.7755883", \
+					  "8.7153895, 8.6120989, 8.3728779, 8.0770919, 7.8709105, 7.7471727, 7.8144826");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("9.5503353, 9.4303704, 9.1756368, 8.7647264, 8.3345355, 7.5030225, 5.8533758", \
+					  "22.6692383, 22.5619563, 22.2399903, 21.7797513, 21.3301263, 20.4790573, 18.6221497", \
+					  "25.4965870, 25.3147710, 24.9013500, 24.5330280, 24.0939960, 23.6067730, 21.1156060", \
+					  "36.8197800, 36.7283670, 36.2945680, 35.9435160, 35.5264120, 35.0064210, 33.9876180", \
+					  "47.9499770, 47.7979980, 47.4710270, 47.1127960, 46.6058720, 46.0595970, 45.5926960", \
+					  "58.9609540, 58.7536960, 58.4158620, 58.0874130, 57.6538780, 56.9852500, 56.6344640", \
+					  "69.8862220, 69.6267420, 69.2935850, 68.8548660, 68.4168760, 67.9726340, 67.4035060");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("9.4875032, 9.4325522, 9.4323832, 9.5065522, 9.4388892, 9.4094502, 9.3716892", \
+					  "19.9069649, 19.8015529, 19.5577659, 19.2404639, 19.0311639, 19.4744419, 19.4425939", \
+					  "40.5412820, 40.4299790, 40.1875170, 39.8692990, 39.7428690, 39.5000320, 39.9781180", \
+					  "51.7181370, 51.6415870, 51.3562140, 51.0404390, 50.9069940, 50.6727440, 51.1276400", \
+					  "63.0958900, 62.9666250, 62.6404140, 62.3533310, 62.2241630, 61.9579530, 62.1050020", \
+					  "74.4623880, 74.3461890, 74.0090970, 73.6916010, 73.5457880, 73.3266570, 73.2011400", \
+					  "85.8362890, 85.7281470, 85.3734790, 85.0420080, 84.9435310, 84.6661070, 84.5881560");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("9.5491772, 9.4762652, 9.4118822, 9.3647172, 9.2412862, 9.1932022, 9.1471392", \
+					  "27.1544459, 27.0543829, 26.8055889, 26.4865109, 26.2428659, 26.6437429, 26.6288769", \
+					  "55.7043270, 55.6000730, 55.3556610, 55.0420990, 54.8043210, 54.6740080, 55.1279540", \
+					  "75.1087660, 75.0391280, 74.7634110, 74.4436390, 74.2711590, 74.0828930, 74.4235090", \
+					  "94.8032560, 94.5045780, 94.3355810, 93.9926400, 93.7947710, 93.6407270, 93.4791900", \
+					  "114.4039700, 114.1303100, 113.9888300, 113.6680500, 113.3969100, 113.3115200, 113.1306800", \
+					  "134.0314500, 133.9908500, 133.6708000, 133.3584500, 133.1317400, 132.9541600, 132.8008300");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("11.3928195, 11.2769321, 10.9846156, 10.6000174, 10.1698587, 9.5824526, 7.7174308", \
+					  "56.2074713, 56.0202453, 55.7687283, 55.3023613, 54.8680383, 53.2455453, 51.9454083", \
+					  "85.8900660, 85.5912840, 85.2925990, 84.9812650, 84.5006080, 84.0303660, 81.9775780", \
+					  "123.5387500, 123.1704700, 122.9265200, 122.6657700, 122.2094600, 121.7047700, 119.5298200", \
+					  "160.5450900, 160.4181200, 160.1448600, 159.6510600, 159.1770500, 158.6434700, 158.0723100", \
+					  "197.5585700, 197.2274800, 196.9351300, 196.5977000, 196.1240600, 195.6029400, 194.8892400", \
+					  "234.0657700, 233.9026200, 233.5207900, 233.0460300, 232.7030700, 232.0940400, 231.4855800");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("25.4402369, 25.3272029, 25.0752219, 24.7527069, 24.3410919, 24.2527949, 22.1536909", \
+					  "25.4317714, 25.3183104, 25.0674814, 24.7438724, 24.3163214, 24.2410174, 22.1442694", \
+					  "25.4234949, 25.3089089, 25.0590359, 24.7348719, 24.3223999, 24.2342879, 22.1376299", \
+					  "25.4170930, 25.3095130, 25.0600350, 24.7358290, 24.3284260, 24.2346360, 22.1369770", \
+					  "25.4185453, 25.3097313, 25.0613323, 24.7330623, 24.3253673, 24.2193293, 22.1385643", \
+					  "25.4615344, 25.3117654, 25.0643974, 24.7395234, 24.3380184, 24.2409724, 22.1153964", \
+					  "25.4329764, 25.3257704, 25.0750824, 24.7537784, 24.3401634, 24.2532664, 22.0817064");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("21.5060536, 21.3464546, 20.9051696, 20.2413436, 19.3249336, 18.4961156, 18.0675616", \
+					  "21.4859281, 21.3268941, 20.8847631, 20.2583841, 19.2725201, 18.4577101, 18.1491671", \
+					  "21.4850336, 21.3101216, 20.8818686, 20.2119456, 19.3110086, 18.4762876, 18.0413666", \
+					  "21.4745442, 21.3290702, 20.8968632, 20.2174162, 19.3140672, 18.4788722, 18.0662842", \
+					  "21.4839750, 21.3082720, 20.8886950, 20.2353960, 19.2557540, 18.4812590, 18.0948360", \
+					  "21.4857765, 21.3237825, 20.8842385, 20.2107385, 19.3120275, 18.4775975, 18.0686485", \
+					  "21.4878801, 21.3199681, 20.8875641, 20.2145971, 19.3187891, 18.4813341, 18.0624541");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("4.2302206, 4.2392578, 4.2626311, 4.3235322, 4.4942827, 5.0227631, 6.7060428", \
+					  "7.2586182, 7.2673454, 7.2912716, 7.3519235, 7.5226438, 8.0499518, 9.7393906", \
+					  "9.9895781, 9.9984190, 10.0220410, 10.0829360, 10.2535260, 10.7824280, 12.4635010", \
+					  "10.6203060, 10.6291220, 10.6527700, 10.7136610, 10.8842420, 11.4129740, 13.0978690", \
+					  "14.3330380, 14.3416940, 14.3653560, 14.4262420, 14.5966510, 15.1246700, 16.8069430", \
+					  "17.4509150, 17.4594260, 17.4828720, 17.5438140, 17.7148680, 18.2421950, 19.9290110", \
+					  "19.7803820, 19.7889810, 19.8126490, 19.8735360, 20.0440540, 20.5719810, 22.2628720", \
+					  "23.0894040, 23.0981140, 23.1218070, 23.1826860, 23.3861640, 23.8811160, 25.5719250", \
+					  "68.2265690, 68.3813820, 68.5117030, 68.5744230, 68.7446900, 69.2722680, 70.9587410", \
+					  "96.8844990, 97.2091530, 97.4797060, 97.5481740, 97.5481759, 98.0465060, 99.6916610", \
+					  "219.8405000, 219.8405076, 219.8405228, 219.8405381, 220.2334300, 220.3762600, 221.9398400", \
+					  "653.9386300, 653.9386902, 654.2447900, 654.2448426, 654.2449036, 654.5333200, 656.5833200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("0.0736862, 0.0820194, 0.1030587, 0.1719037, 0.4078833, 1.1969779, 3.7556398", \
+					  "0.0745095, 0.0821095, 0.1030167, 0.1716747, 0.4079596, 1.1983468, 3.7490349", \
+					  "0.0749148, 0.0818954, 0.1035493, 0.1717099, 0.4072364, 1.1993480, 3.7388528", \
+					  "0.0749357, 0.0818717, 0.1035427, 0.1716838, 0.4072139, 1.1986029, 3.7411927", \
+					  "0.0747142, 0.0821265, 0.1032172, 0.1716507, 0.4076158, 1.1973698, 3.7399590", \
+					  "0.0739765, 0.0812765, 0.1031424, 0.1717680, 0.4078402, 1.2006129, 3.7424863", \
+					  "0.0747255, 0.0821097, 0.1032298, 0.1716699, 0.4075786, 1.1972889, 3.7505701", \
+					  "0.0747263, 0.0821085, 0.1032307, 0.1716712, 0.4076520, 1.1972795, 3.7505683", \
+					  "0.0736452, 0.0822470, 0.1031477, 0.1719016, 0.4072437, 1.2032003, 3.7419716", \
+					  "0.0737732, 0.0821524, 0.1035695, 0.1718620, 0.4072428, 1.1978997, 3.7436685", \
+					  "0.0745019, 0.0821584, 0.1032246, 0.1716720, 0.4073817, 1.1991614, 3.7410643", \
+					  "0.0736434, 0.0821510, 0.1032636, 0.1717307, 0.4075425, 1.1974222, 3.7496961");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("2.7922347, 2.8007108, 2.8209313, 2.8855758, 3.0537395, 3.5639907, 5.1891065", \
+					  "5.8839862, 5.8924230, 5.9158816, 5.9783107, 6.1480585, 6.6600996, 8.2833331", \
+					  "8.9212842, 8.9295238, 8.9532115, 9.0153245, 9.1851957, 9.6974277, 11.3202860", \
+					  "9.6445110, 9.6544648, 9.6781720, 9.7401536, 9.9102371, 10.4202040, 12.0455280", \
+					  "13.7310500, 13.7395810, 13.7632420, 13.8252880, 13.9951800, 14.5065540, 16.1303020", \
+					  "17.0396590, 17.0512900, 17.0717020, 17.1341180, 17.3067480, 17.8153260, 19.4419610", \
+					  "19.5400340, 19.5483030, 19.5722090, 19.6347150, 19.8037500, 20.3158130, 21.9391100", \
+					  "22.9956020, 23.0038690, 23.0278390, 23.0906420, 23.2590730, 23.7717220, 25.3944370", \
+					  "68.1391440, 68.1462710, 68.1462746, 68.2961390, 68.3906980, 68.8775900, 70.5308380", \
+					  "95.3860000, 95.3860055, 95.4178670, 95.4801180, 95.6508260, 96.1621540, 97.7868520", \
+					  "204.8581400, 204.8782800, 204.8782883, 204.8783036, 204.9650500, 205.6565500, 206.9985600", \
+					  "558.1982200, 558.1982728, 558.2578500, 558.2579041, 558.4575400, 558.9748800, 560.3723700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("0.0648187, 0.0714053, 0.0912790, 0.1508175, 0.3469600, 1.0040210, 3.1325085", \
+					  "0.0645691, 0.0715224, 0.0910674, 0.1508586, 0.3474238, 1.0050534, 3.1378284", \
+					  "0.0648968, 0.0714074, 0.0903529, 0.1500869, 0.3470765, 1.0050725, 3.1360847", \
+					  "0.0646711, 0.0715898, 0.0913894, 0.1504740, 0.3478361, 1.0084871, 3.1365672", \
+					  "0.0646816, 0.0714052, 0.0906283, 0.1502961, 0.3474992, 1.0073807, 3.1327119", \
+					  "0.0650685, 0.0713090, 0.0912196, 0.1504267, 0.3472353, 1.0072093, 3.1403928", \
+					  "0.0646697, 0.0713768, 0.0905855, 0.1507712, 0.3475103, 1.0066097, 3.1357268", \
+					  "0.0646696, 0.0715896, 0.0913897, 0.1504742, 0.3473879, 1.0067323, 3.1398191", \
+					  "0.0646901, 0.0714004, 0.0906310, 0.1507501, 0.3474966, 1.0069288, 3.1396203", \
+					  "0.0647364, 0.0713236, 0.0913825, 0.1503969, 0.3474909, 1.0066736, 3.1403948", \
+					  "0.0647133, 0.0714022, 0.0906308, 0.1507214, 0.3475074, 1.0066288, 3.1395455", \
+					  "0.0648568, 0.0714570, 0.0906310, 0.1504984, 0.3473199, 1.0049724, 3.1395608");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.6680151, 0.6769814, 0.7015515, 0.7632420, 0.9336195, 1.4611051, 3.1473937", \
+					  "0.1014892, 0.1105521, 0.1352034, 0.1968204, 0.3673910, 0.8953979, 2.5808082", \
+					  "-0.7585056, -0.7495719, -0.7251603, -0.6635158, -0.4927471, 0.0356810, 1.7202501", \
+					  "-0.9744758, -0.9654945, -0.9408113, -0.8791338, -0.7085668, -0.1805528, 1.5056334", \
+					  "-2.3698068, -2.3618165, -2.3396656, -2.2748072, -2.1039164, -1.5760822, 0.1143964", \
+					  "-3.6246972, -3.6143148, -3.5895460, -3.5286463, -3.3579284, -2.8297698, -1.1440331", \
+					  "-4.6145110, -4.6061275, -4.5823807, -4.5204676, -4.3485007, -3.8267207, -2.1342963", \
+					  "-6.0637868, -6.0522152, -6.0290697, -5.9666818, -5.7984249, -5.2670459, -3.5812735", \
+					  "-28.3946220, -28.3855270, -28.3520210, -28.2818410, -28.1115290, -27.5965470, -25.8984920", \
+					  "-43.7058140, -43.6970030, -43.6692420, -43.6061180, -43.4629220, -42.9111890, -41.2493290", \
+					  "-113.2874000, -113.2669100, -113.2491900, -113.2027500, -113.0227700, -112.5026800, -110.8065400", \
+					  "-386.1468400, -386.0959300, -386.0198200, -386.0197906, -385.8122300, -385.3981500, -383.5633900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0775159, 0.0850352, 0.1064809, 0.1743503, 0.4089286, 1.1990976, 3.7496615", \
+					  "0.0782340, 0.0856210, 0.1065035, 0.1744885, 0.4092670, 1.1997261, 3.7428602", \
+					  "0.0772744, 0.0861549, 0.1069913, 0.1746344, 0.4092001, 1.1997320, 3.7438666", \
+					  "0.0778439, 0.0856400, 0.1069188, 0.1746689, 0.4092420, 1.1997966, 3.7498071", \
+					  "0.0770029, 0.0853323, 0.1071461, 0.1745360, 0.4093534, 1.2008513, 3.7501078", \
+					  "0.0782974, 0.0855650, 0.1072502, 0.1749062, 0.4092116, 1.1996816, 3.7487640", \
+					  "0.0771896, 0.0847735, 0.1073241, 0.1744037, 0.4088561, 1.1997050, 3.7507899", \
+					  "0.0773508, 0.0846665, 0.1070263, 0.1744860, 0.4088961, 1.1996155, 3.7497045", \
+					  "0.0783864, 0.0859172, 0.1068844, 0.1744521, 0.4092537, 1.1993818, 3.7425281", \
+					  "0.0769654, 0.0856641, 0.1073004, 0.1745818, 0.4090503, 1.1997451, 3.7396912", \
+					  "0.0771663, 0.0846482, 0.1071286, 0.1745403, 0.4092126, 1.2001462, 3.7433763", \
+					  "0.0768326, 0.0850488, 0.1070280, 0.1743870, 0.4091795, 1.1994535, 3.7456469");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.6764891, 0.6853386, 0.7097660, 0.7734667, 0.9452934, 1.4581489, 3.0838283", \
+					  "2.5459705, 2.5546716, 2.5791145, 2.6427887, 2.8147533, 3.3277379, 4.9532192", \
+					  "4.3133070, 4.3227573, 4.3471924, 4.4106936, 4.5828594, 5.0956719, 6.7212840", \
+					  "4.7277329, 4.7357234, 4.7601776, 4.8239626, 4.9957607, 5.5086726, 7.1342745", \
+					  "7.2681638, 7.2771038, 7.3007822, 7.3650219, 7.5371700, 8.0508462, 9.6756700", \
+					  "9.4384003, 9.4461043, 9.4696393, 9.5352695, 9.7094865, 10.2181920, 11.8477420", \
+					  "11.1188860, 11.1300200, 11.1519320, 11.2184320, 11.3905130, 11.9004660, 13.5259760", \
+					  "13.5352240, 13.5406190, 13.5680220, 13.6309120, 13.8014860, 14.3169800, 15.9425430", \
+					  "48.7721260, 48.7836830, 48.8056810, 48.8716370, 49.0413430, 49.5553710, 51.1795940", \
+					  "72.3244470, 72.3306070, 72.3561940, 72.4196380, 72.5920660, 73.1060440, 74.7303940", \
+					  "177.7729200, 177.7803200, 177.8048900, 177.8685300, 178.0371300, 178.5538800, 180.1788600", \
+					  "586.0424000, 586.0504800, 586.0742900, 586.1378400, 586.3108200, 586.8258800, 588.4496700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0674321, 0.0741697, 0.0937283, 0.1544310, 0.3495071, 1.0085794, 3.1379934", \
+					  "0.0679400, 0.0741764, 0.0937026, 0.1538298, 0.3498272, 1.0090757, 3.1344622", \
+					  "0.0675907, 0.0741246, 0.0940005, 0.1543930, 0.3500281, 1.0084172, 3.1358509", \
+					  "0.0675038, 0.0747036, 0.0939057, 0.1544662, 0.3500479, 1.0098773, 3.1378784", \
+					  "0.0677246, 0.0742837, 0.0937063, 0.1545218, 0.3497151, 1.0092177, 3.1348479", \
+					  "0.0676238, 0.0742166, 0.0937267, 0.1544931, 0.3497814, 1.0089055, 3.1378673", \
+					  "0.0676794, 0.0747086, 0.0937311, 0.1544120, 0.3500611, 1.0085840, 3.1328988", \
+					  "0.0673898, 0.0743210, 0.0939572, 0.1545096, 0.3499921, 1.0087414, 3.1352640", \
+					  "0.0677674, 0.0742801, 0.0937503, 0.1545166, 0.3499483, 1.0082971, 3.1365259", \
+					  "0.0677898, 0.0742969, 0.0944148, 0.1539219, 0.3499640, 1.0086963, 3.1374463", \
+					  "0.0677681, 0.0743572, 0.0938035, 0.1539426, 0.3499559, 1.0067613, 3.1363343", \
+					  "0.0679015, 0.0743646, 0.0940497, 0.1539795, 0.3499521, 1.0076564, 3.1374006");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.6786614, 0.6877783, 0.7120080, 0.7736564, 0.9444852, 1.4719386, 3.1575238", \
+					  "1.0916037, 1.1006008, 1.1252835, 1.1862180, 1.3575028, 1.8856186, 3.5712135", \
+					  "1.2629950, 1.2720716, 1.2967309, 1.3572447, 1.5286498, 2.0568318, 3.7385606", \
+					  "1.2941961, 1.3032332, 1.3278744, 1.3879287, 1.5599281, 2.0878346, 3.7726746", \
+					  "1.4728239, 1.4779823, 1.5060604, 1.5647870, 1.7385190, 2.2667612, 3.9535539", \
+					  "1.5936465, 1.6029101, 1.6272876, 1.6890040, 1.8597841, 2.3882731, 4.0803023", \
+					  "1.6826025, 1.6901698, 1.7149774, 1.7802801, 1.9473216, 2.4757831, 4.1607908", \
+					  "1.7914905, 1.8063383, 1.8303139, 1.8872128, 2.0609199, 2.5909617, 4.2781556", \
+					  "3.0550265, 3.0550267, 3.0914349, 3.1349190, 3.3107030, 3.8373602, 5.5267240", \
+					  "3.7507966, 3.7594430, 3.7834837, 3.8511878, 4.0091019, 4.5442263, 6.2322854", \
+					  "6.4988632, 6.5093313, 6.5320411, 6.5966579, 6.7606081, 7.2946547, 8.9778762", \
+					  "15.8976620, 15.8976627, 15.9341160, 15.9784350, 16.1303590, 16.6899810, 18.3559690");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0775465, 0.0846166, 0.1070508, 0.1745010, 0.4087714, 1.2012659, 3.7481636", \
+					  "0.0779576, 0.0855742, 0.1070739, 0.1749005, 0.4092370, 1.2022866, 3.7509880", \
+					  "0.0779445, 0.0853781, 0.1065730, 0.1744848, 0.4092131, 1.1997907, 3.7602343", \
+					  "0.0778290, 0.0850475, 0.1066514, 0.1745191, 0.4090247, 1.1998157, 3.7587831", \
+					  "0.0769366, 0.0853145, 0.1070008, 0.1743607, 0.4090054, 1.1999233, 3.7434509", \
+					  "0.0773571, 0.0849582, 0.1070004, 0.1744880, 0.4090981, 1.1996819, 3.7411163", \
+					  "0.0771853, 0.0856009, 0.1069534, 0.1743834, 0.4090889, 1.1996515, 3.7466727", \
+					  "0.0779809, 0.0847088, 0.1070016, 0.1745143, 0.4091439, 1.2002552, 3.7459129", \
+					  "0.0768405, 0.0853247, 0.1069958, 0.1744944, 0.4092892, 1.1998073, 3.7452459", \
+					  "0.0781819, 0.0846130, 0.1069446, 0.1746021, 0.4086562, 1.1999421, 3.7404408", \
+					  "0.0783617, 0.0860867, 0.1066167, 0.1744524, 0.4092450, 1.1997295, 3.7441197", \
+					  "0.0768654, 0.0860883, 0.1065907, 0.1744908, 0.4092963, 1.1996192, 3.7368952");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.5631455, 0.5720835, 0.5964687, 0.6600847, 0.8320700, 1.3450127, 2.9705647", \
+					  "1.9387365, 1.9473594, 1.9718138, 2.0354218, 2.2075208, 2.7214160, 4.3460036", \
+					  "3.1949223, 3.2035994, 3.2280543, 3.2916638, 3.4637508, 3.9765271, 5.6021707", \
+					  "3.5042453, 3.5042455, 3.5155010, 3.5790202, 3.7512007, 4.2641295, 5.8896634", \
+					  "5.2804130, 5.2857034, 5.3097868, 5.3733968, 5.5435878, 6.0508327, 7.7025497", \
+					  "6.7998922, 6.8063732, 6.8318853, 6.8954478, 7.0669492, 7.5760962, 9.1895380", \
+					  "7.9602716, 7.9716811, 8.0132612, 8.0770057, 8.2334486, 8.7470191, 10.3820760", \
+					  "9.6600479, 9.6737311, 9.6753388, 9.7389501, 9.9324211, 10.4470960, 12.0706410", \
+					  "34.2730610, 34.3216970, 34.3459550, 34.4121640, 34.5724620, 35.0539260, 37.0010800", \
+					  "50.7164300, 50.7702720, 50.8100300, 50.8582400, 51.0310090, 51.5447100, 53.1846670", \
+					  "124.5045200, 124.5275600, 124.5567800, 124.6154800, 124.7728100, 125.3020400, 126.9239100", \
+					  "409.9896100, 410.1150900, 410.1505100, 410.2379300, 410.4062200, 410.9170400, 412.5184000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0678087, 0.0745488, 0.0936619, 0.1543556, 0.3494217, 1.0084793, 3.1376626", \
+					  "0.0677075, 0.0743798, 0.0936849, 0.1546049, 0.3497080, 1.0128007, 3.1349121", \
+					  "0.0675361, 0.0743764, 0.0937554, 0.1545490, 0.3498964, 1.0089561, 3.1378500", \
+					  "0.0676343, 0.0741520, 0.0940250, 0.1544459, 0.3500974, 1.0119916, 3.1379483", \
+					  "0.0674094, 0.0742887, 0.0937443, 0.1545350, 0.3499016, 1.0121712, 3.1344374", \
+					  "0.0675449, 0.0747570, 0.0939177, 0.1541367, 0.3500683, 1.0130830, 3.1343816", \
+					  "0.0676720, 0.0741511, 0.0938879, 0.1544743, 0.3498969, 1.0116625, 3.1370866", \
+					  "0.0676103, 0.0741477, 0.0937441, 0.1544970, 0.3500811, 1.0124038, 3.1368519", \
+					  "0.0676374, 0.0743886, 0.0937618, 0.1545509, 0.3498112, 1.0121090, 3.1377696", \
+					  "0.0674971, 0.0744897, 0.0938716, 0.1544449, 0.3499175, 1.0120996, 3.1374927", \
+					  "0.0677510, 0.0747690, 0.0940275, 0.1545116, 0.3499192, 1.0090587, 3.1372138", \
+					  "0.0677703, 0.0747292, 0.0938913, 0.1545124, 0.3500609, 1.0115792, 3.1367531");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("4.2855985, 4.2945452, 4.3188750, 4.3805146, 4.5513864, 5.0801590, 6.7619754", \
+					  "4.9591331, 4.9677182, 4.9924029, 5.0540834, 5.2249312, 5.7537424, 7.4355113", \
+					  "5.6628324, 5.6716889, 5.6961116, 5.7577494, 5.9286229, 6.4573946, 8.1392120", \
+					  "6.3529244, 6.3925651, 6.4168497, 6.4784897, 6.6493615, 7.1781336, 8.8599501", \
+					  "7.0687376, 7.0775896, 7.1399162, 7.2015713, 7.3725079, 7.9012876, 9.5830126", \
+					  "7.7780449, 7.7867579, 7.8523881, 7.8730098, 8.0849025, 8.5726466, 10.2954210", \
+					  "8.4891782, 8.4980964, 8.5622558, 8.5841538, 8.7949354, 9.2838768, 10.9655620");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("0.0769952, 0.0848290, 0.1070121, 0.1745354, 0.4088215, 1.2009379, 3.7421453", \
+					  "0.0769915, 0.0848104, 0.1069930, 0.1745267, 0.4089361, 1.2008907, 3.7421550", \
+					  "0.0769939, 0.0848098, 0.1070105, 0.1745353, 0.4088154, 1.2009395, 3.7421475", \
+					  "0.0769883, 0.0848085, 0.1070105, 0.1745353, 0.4088156, 1.2009436, 3.7421470", \
+					  "0.0769940, 0.0848106, 0.1069900, 0.1745470, 0.4090061, 1.2007698, 3.7422336", \
+					  "0.0770030, 0.0848098, 0.1069984, 0.1745313, 0.4090897, 1.2006379, 3.7424166", \
+					  "0.0768961, 0.0848260, 0.1070135, 0.1745233, 0.4090068, 1.2006406, 3.7423153");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("3.9231391, 3.9341868, 3.9650132, 4.0399182, 4.2246144, 4.7425517, 6.3613514", \
+					  "4.4543689, 4.4705022, 4.5006900, 4.5759609, 4.7605897, 5.2785047, 6.8973005", \
+					  "4.8955489, 4.9051776, 4.9354867, 5.0107194, 5.1964988, 5.7129861, 7.3321393", \
+					  "5.2539022, 5.2651536, 5.2967879, 5.3714908, 5.5554787, 6.0741194, 7.6929192", \
+					  "5.6016325, 5.6129181, 5.6319824, 5.7071867, 5.9032224, 6.4097900, 8.0286293", \
+					  "5.9192469, 5.9305876, 5.9609557, 6.0361662, 6.2207293, 6.7177366, 8.3574729", \
+					  "6.2205245, 6.2316925, 6.2620602, 6.3373240, 6.5219692, 7.0397821, 8.6588008");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("0.1359781, 0.1444236, 0.1670895, 0.2224675, 0.4002106, 1.0296395, 3.1370371", \
+					  "0.1362195, 0.1449718, 0.1671582, 0.2225389, 0.4001071, 1.0295422, 3.1372509", \
+					  "0.1360978, 0.1447769, 0.1671826, 0.2225834, 0.4002893, 1.0289229, 3.1372449", \
+					  "0.1363307, 0.1450771, 0.1670860, 0.2224637, 0.4001404, 1.0296470, 3.1370417", \
+					  "0.1363578, 0.1450106, 0.1672541, 0.2225855, 0.4000716, 1.0284859, 3.1371783", \
+					  "0.1360894, 0.1447466, 0.1671340, 0.2222942, 0.4002041, 1.0296133, 3.1365681", \
+					  "0.1362265, 0.1449415, 0.1671805, 0.2225917, 0.4002185, 1.0273472, 3.1361269");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047797;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("54.0731362, 54.3394722, 56.1693072, 65.8273002, 92.3008042, 150.1652692, 178.8617692", \
+					  "53.9554439, 54.1775509, 56.0060539, 65.6471989, 91.9929649, 150.0168259, 178.6363159", \
+					  "53.7820007, 54.0126017, 55.8365587, 65.5118887, 91.9508857, 149.8617027, 178.4794227", \
+					  "53.7088796, 54.0714956, 55.8182936, 65.5024326, 91.9142426, 149.8131366, 178.4360966", \
+					  "53.8242465, 54.1146675, 55.8468405, 65.5074915, 91.9474385, 149.8525605, 178.5675305", \
+					  "53.8772715, 54.1454425, 55.9193015, 65.4563525, 92.1322505, 149.8918845, 178.5537745", \
+					  "53.9114045, 54.2561845, 56.0008585, 65.5989345, 92.2098215, 149.9860785, 178.6487285");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.7411428, 69.7836018, 69.9042698, 70.9640748, 72.6961958, 60.1691468, -128.2845132", \
+					  "69.8360658, 69.7715338, 69.9229608, 69.9700838, 69.8149778, 61.7148808, -125.6967042", \
+					  "69.8517459, 69.5802759, 70.0336149, 69.7097969, 69.8443839, 61.0968189, -125.5971451", \
+					  "69.7636848, 69.8258428, 69.7733018, 69.8545288, 69.9421108, 59.5315918, -125.1943722", \
+					  "69.7710199, 69.8013429, 69.7928499, 69.8906189, 69.8659859, 59.8853099, -125.6063991", \
+					  "69.7695007, 69.7681197, 69.7854807, 69.8625927, 69.8447387, 59.7930427, -125.6287563", \
+					  "69.7789646, 69.7712006, 69.8270656, 69.9633516, 69.7353396, 59.3915166, -125.5755034");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.3488988, 71.3701388, 71.7172428, 71.9878178, 72.8751848, 70.2361078, -3.2237002", \
+					  "71.3592288, 71.3925498, 71.5695258, 71.9464118, 72.8169448, 68.2230778, -3.3423707", \
+					  "71.3591649, 71.4222189, 71.5800649, 72.0287819, 72.1916519, 68.8847279, -7.9782560", \
+					  "71.3121128, 71.3887108, 71.5967248, 72.0512848, 72.3234628, 66.9666858, -7.0222681", \
+					  "71.3140839, 71.3777069, 71.6128039, 71.9907169, 72.1442949, 69.6087049, -12.3972431", \
+					  "71.3353657, 71.3890587, 71.6290817, 72.0016037, 71.9483197, 65.7829257, -14.1076283", \
+					  "71.3626906, 71.4078286, 71.6258766, 72.1087886, 72.4659126, 71.4672186, -13.4766824");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.8680362, 40.8132852, 41.1319642, 42.5951932, 43.2532392, 42.1470762, 44.1860802", \
+					  "40.6019419, 40.8148969, 40.7127109, 41.4261119, 44.3246099, 47.3175939, 44.0509819", \
+					  "40.4485207, 40.4209947, 40.8010587, 42.0622507, 42.7652967, 44.2279417, 43.9689997", \
+					  "40.5329886, 40.4989016, 40.6784286, 41.6762236, 43.5854816, 44.1220626, 44.5470936", \
+					  "40.4138455, 40.5340205, 40.6222135, 41.6186525, 43.5094455, 43.8925425, 44.4892525", \
+					  "40.5474655, 40.5827255, 40.7784785, 41.6757505, 43.8513885, 44.9850685, 44.6094385", \
+					  "40.6343485, 40.6679465, 40.8612635, 41.8007585, 43.6311175, 45.2565635, 44.5008245");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5309481, 1.5309183, 1.5310704, 1.5313379, 1.5310753, 1.5305121, 1.5310613", \
+					  "15.7495376, 15.7490256, 15.7470166, 15.7415596, 15.7372836, 15.7363376, 15.7361266", \
+					  "15.7625866, 15.7571676, 15.7649286, 15.7193626, 15.7393036, 15.7111746, 15.7472496", \
+					  "15.7455607, 15.7528717, 15.7495467, 15.7331377, 15.7311277, 15.7241747, 15.7312867", \
+					  "15.7503688, 15.7491828, 15.7463598, 15.7446948, 15.7305498, 15.7356328, 15.7283298", \
+					  "15.7535709, 15.7530439, 15.7497059, 15.7409089, 15.7340689, 15.7404789, 15.7317519", \
+					  "15.7585570, 15.7579220, 15.7547990, 15.7460130, 15.7393010, 15.7390010, 15.7370630");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3276812, 27.3184902, 27.3886552, 27.3463922, 26.8929702, 25.3590142, 27.7045432", \
+					  "27.3070765, 27.3037335, 27.3180245, 27.2560995, 27.2491145, 23.9129495, 27.4627595", \
+					  "27.3042889, 27.2816879, 27.2939449, 27.5291359, 27.3587539, 27.3560809, 27.0398519", \
+					  "27.2971647, 27.2775847, 27.2379237, 27.3773257, 27.5064167, 27.7747887, 27.0963317", \
+					  "27.3705291, 27.5642961, 27.3099301, 27.2782091, 27.2286671, 28.4544521, 26.1133731", \
+					  "27.3928509, 27.3621229, 27.3552109, 26.9705259, 28.2874689, 27.5066999, 27.2643949", \
+					  "27.4634267, 27.4247477, 27.4616637, 27.4456147, 27.4594587, 27.4765707, 27.3300177");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("89.2589872, 90.1380272, 96.9773482, 126.4455092, 153.7335692, 161.7147492, 167.5754192", \
+					  "89.0334299, 89.9739479, 96.7905099, 126.0459659, 153.6047759, 161.5705059, 167.4905059", \
+					  "88.9470877, 89.7715947, 96.6568577, 125.8931127, 153.4800127, 161.3995027, 167.4425227", \
+					  "88.9480456, 89.9144666, 96.6463876, 125.8525366, 153.4297866, 161.4000066, 167.4630466", \
+					  "89.3075155, 90.4026125, 96.0346415, 125.8353005, 153.4682305, 161.4113905, 167.2405805", \
+					  "89.5985275, 90.0625445, 96.0621555, 126.1007145, 153.5391845, 161.4566845, 167.2627645", \
+					  "89.2179855, 90.1616335, 96.1020615, 126.1872085, 153.6593285, 161.5662185, 167.4570785");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.4995500, 1.5003280, 1.4997123, 1.4982762, 1.5013524, 1.4998287, 1.4995088", \
+					  "21.4723968, 21.4684968, 21.4458258, 21.4070458, 21.3612078, 21.3180448, 21.3126408", \
+					  "21.5115009, 21.5252349, 21.5169979, 21.4621409, 21.4054299, 21.3695679, 21.3571419", \
+					  "21.5064548, 21.5115388, 21.5466948, 21.4366058, 21.3840318, 21.3547098, 21.3436938", \
+					  "21.5205609, 21.5156719, 21.4953499, 21.4510419, 21.4003899, 21.3625569, 21.3429039", \
+					  "21.5184597, 21.5090077, 21.4905987, 21.4473847, 21.4250957, 21.3586557, 21.3540897", \
+					  "21.5299406, 21.5416656, 21.5155766, 21.4643716, 21.3490486, 21.3741896, 21.3671056");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5308246, 1.5307199, 1.5291998, 1.5308666, 1.5309657, 1.5303174, 1.5296101", \
+					  "15.7493496, 15.7489496, 15.7468296, 15.7413056, 15.7369966, 15.7358466, 15.7356886", \
+					  "15.7374266, 15.7480706, 15.7521036, 15.7491596, 15.7228496, 15.7363336, 15.7305496", \
+					  "15.7529657, 15.7522877, 15.7495107, 15.7402257, 15.7311847, 15.7311847, 15.7313337", \
+					  "15.7502628, 15.7491148, 15.7460928, 15.7371088, 15.7302338, 15.7283098, 15.7279458", \
+					  "15.7532619, 15.7526799, 15.7494549, 15.7406039, 15.7337759, 15.7318399, 15.7314729", \
+					  "15.7583350, 15.7576960, 15.7545080, 15.7457230, 15.7390340, 15.7369450, 15.7367080");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3450512, 27.3113802, 27.3134112, 27.3542172, 27.3438292, 27.3616282, 26.9726872", \
+					  "27.2236395, 27.2888465, 27.3252235, 27.1879965, 27.4840855, 27.3991865, 27.9763835", \
+					  "27.2370309, 27.2738219, 27.3099499, 27.3312229, 27.4493609, 27.0533649, 27.2108879", \
+					  "27.2312117, 27.2001867, 27.3019957, 27.2463647, 26.8657147, 26.9886907, 26.3158187", \
+					  "27.4634691, 27.2644231, 27.0368591, 26.9470571, 25.7699241, 27.0955461, 26.7050321", \
+					  "27.3824329, 27.3668129, 27.3576189, 26.8494339, 27.4832879, 27.3993059, 27.2797229", \
+					  "27.4293247, 27.4677307, 27.4573527, 27.4463687, 27.4969737, 27.4870427, 27.2779667");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.3612342, 60.3083882, 60.4024232, 59.9134332, 58.0220532, 45.2168622, -141.9624408", \
+					  "60.3404315, 60.3230365, 60.2550485, 59.8383335, 57.9844515, 45.8779975, -146.5031745", \
+					  "60.0079689, 60.2968419, 60.2405959, 59.8695109, 58.0344299, 45.2586289, -136.4869881", \
+					  "60.2415827, 59.8694497, 60.2340047, 59.8398757, 57.9492977, 45.4010677, -142.7565113", \
+					  "60.2261521, 60.1256281, 60.1376671, 59.4272151, 57.9831751, 15.0215761, -139.1720339", \
+					  "60.4025469, 60.3914239, 60.3227499, 59.9476679, 58.2191819, 45.4571479, -139.3159471", \
+					  "60.4833587, 60.4691827, 60.4108407, 60.0311717, 58.2645497, 45.6142457, -139.1118103");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5307339, 1.5297002, 1.5296854, 1.5307395, 1.5295339, 1.5304652, 1.5304965", \
+					  "15.2328496, 15.2339476, 15.2378896, 15.2496596, 15.2588966, 15.2609476, 15.2613496", \
+					  "15.2286196, 15.2300456, 15.2385456, 15.2504406, 15.2637796, 15.2651466, 15.2650036", \
+					  "15.2319397, 15.2340507, 15.2360117, 15.2503367, 15.2673577, 15.2660357, 15.2666637", \
+					  "15.2300348, 15.2329808, 15.2361748, 15.2530408, 15.2650398, 15.2667698, 15.2674008", \
+					  "15.2343949, 15.2329199, 15.2403519, 15.2526069, 15.2679299, 15.2706759, 15.2715729", \
+					  "15.2381260, 15.2391580, 15.2443950, 15.2553130, 15.2706900, 15.2744110, 15.2749020");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("50.1407612, 50.3471312, 51.9423062, 60.4935602, 104.5300992, 161.7216992, 174.0751592", \
+					  "49.9840999, 50.1946119, 51.7784079, 60.3200369, 104.3801359, 161.5431559, 173.8342259", \
+					  "49.8191017, 50.0270607, 51.6205427, 60.1480617, 104.1944627, 161.3963927, 173.6255527", \
+					  "49.8179956, 50.0162816, 51.6134486, 60.1530586, 104.2205266, 161.3823266, 173.7021566", \
+					  "49.8356105, 50.0588145, 51.5951585, 60.1264485, 103.7773705, 161.3676505, 173.7821905", \
+					  "49.9009205, 50.1778585, 51.6951455, 60.2263215, 104.1181945, 161.4709345, 173.7682845", \
+					  "49.9454865, 50.2033815, 51.8032025, 60.2210835, 104.3664585, 161.5772385, 173.8791485");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5003272, 1.4997144, 1.4999500, 1.4997555, 1.4994415, 1.4999970, 1.4997403", \
+					  "22.0973498, 22.0865438, 22.0523058, 22.0508418, 21.9899128, 21.8627178, 21.9459538", \
+					  "22.1599079, 22.1430909, 22.1171969, 22.0165809, 22.0184639, 21.9951469, 21.9859339", \
+					  "22.1301898, 22.1478058, 22.1159478, 22.0714768, 22.0020058, 21.9836598, 21.9715998", \
+					  "22.1199299, 22.1171239, 22.1061529, 22.0560389, 22.0043869, 21.9684109, 21.9553139", \
+					  "22.1352897, 22.1222737, 22.1030267, 22.0667387, 22.0108667, 21.9427747, 21.9640707", \
+					  "22.1427296, 22.1432536, 22.1209626, 22.0616866, 22.0249956, 21.9934636, 21.9808676");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.1339438, 67.1420418, 67.0687318, 66.6791408, 64.9236978, 52.0662258, -126.2110232", \
+					  "67.5696148, 67.5117028, 67.3772128, 65.6919918, 64.8198488, 66.3059728, -131.8243342", \
+					  "66.6658499, 67.1255869, 67.0508479, 65.6212269, 64.8076279, 51.7973029, -136.0270451", \
+					  "67.1304718, 67.1113058, 67.0634348, 66.6917318, 64.9202878, 52.3586518, -134.6123222", \
+					  "67.0956949, 67.1634389, 67.0682999, 66.6618959, 64.6322629, 52.5484359, -133.9197491", \
+					  "67.1326717, 67.1343647, 67.0569197, 66.6862447, 64.8080727, 52.2885457, -134.4067063", \
+					  "67.1783786, 67.1222216, 67.0512026, 66.7086226, 64.9839676, 52.1813296, -134.6612634");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.5323902, 33.8448872, 33.2018802, 34.0309052, 35.9398952, 31.5670562, 32.7561782", \
+					  "32.9370419, 33.2881289, 33.4630619, 33.2584829, 40.5511769, 34.1798189, 35.2653179", \
+					  "33.2470867, 33.4437387, 33.0691977, 33.5474297, 34.1951997, 33.0533257, 32.9729037", \
+					  "33.2853696, 33.1987796, 32.9623886, 33.2626626, 32.4884726, 33.2620936, 32.1571196", \
+					  "33.2185625, 33.2351155, 33.2177585, 32.9926495, 33.6981445, 33.2103715, 32.9956405", \
+					  "33.2615645, 33.2779535, 33.2758305, 33.1487445, 33.4075445, 33.2954055, 33.0887335", \
+					  "33.4244175, 33.3841585, 33.3679315, 33.3711615, 33.3894905, 33.3673245, 33.1866495");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.5214692, 64.6401452, 64.7819762, 65.2312762, 66.8245152, 61.8118452, -12.0679558", \
+					  "64.5474555, 64.6136945, 64.7982485, 65.2931785, 65.4627235, 62.0376295, -11.3839805", \
+					  "64.4972599, 64.4881149, 64.8165809, 65.3017899, 65.9514099, 62.4460049, -10.0248011", \
+					  "64.6448937, 64.6338607, 64.7072047, 65.2337837, 66.9183107, 62.0127627, -6.7430851", \
+					  "64.5097191, 64.6230031, 64.8142041, 65.1016481, 66.5305261, 60.2823921, -21.3964129", \
+					  "64.6174459, 64.6820959, 64.8974999, 65.2751769, 66.8446159, 63.3215039, -12.6947851", \
+					  "64.7149177, 64.7580297, 65.0116327, 65.3696307, 66.3182257, 63.5232257, -7.4923125");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5297014, 1.5302460, 1.5309204, 1.5304303, 1.5302567, 1.5302294, 1.5302848", \
+					  "22.1112836, 22.1486906, 22.3668326, 23.3899026, 25.4163046, 26.4098796, 26.6185736", \
+					  "22.1041896, 22.1388096, 22.3613416, 23.3815736, 25.4047366, 26.4036526, 26.6121856", \
+					  "22.1092047, 22.1465317, 22.3637577, 23.3853657, 25.4132197, 26.4082547, 26.6178267", \
+					  "22.1122258, 22.1494518, 22.3685458, 23.3886218, 25.4153448, 26.4095558, 26.6194538", \
+					  "22.1132669, 22.1503989, 22.3685209, 23.3925019, 25.4170309, 26.4111799, 26.6209369", \
+					  "22.1206740, 22.1597690, 22.3754880, 23.3959590, 25.4256500, 26.4185520, 26.6283040");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.1486608, 67.1505698, 67.0599408, 66.6738258, 64.0085198, 52.9823788, -137.4572632", \
+					  "67.3716548, 67.4917668, 67.9880088, 64.4552378, 64.9049618, 67.5618988, -135.6791942", \
+					  "67.0470039, 66.8693959, 67.3628619, 66.6804219, 67.9459299, 52.5745139, -132.0356251", \
+					  "67.1363558, 67.1293748, 67.0735378, 66.6769938, 64.9291908, 52.2345018, -133.8822722", \
+					  "67.1524619, 67.1030289, 67.0433199, 66.7205989, 64.8792799, 52.5270599, -134.0752291", \
+					  "67.1292577, 67.1348157, 67.0423627, 66.6860637, 64.8048187, 52.3548857, -133.2476363", \
+					  "67.1921456, 67.1199116, 67.0939326, 66.7150126, 64.9457196, 52.3717576, -133.9682234");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.5231652, 33.7758732, 33.1983282, 34.0208192, 35.1035222, 38.0081712, 32.5285932", \
+					  "33.4341929, 33.1260749, 32.9953629, 33.2585829, 25.3087479, 32.7650269, 35.0007799", \
+					  "33.3917627, 33.3653087, 32.9394717, 33.3553827, 34.5414097, 33.0738197, 32.7480657", \
+					  "33.3953786, 33.3058706, 33.0310906, 33.1163136, 31.0199116, 29.5623476, 32.7553496", \
+					  "33.2177195, 33.2327185, 33.2096465, 32.8610955, 33.7448085, 33.1971465, 33.1193335", \
+					  "33.2748275, 33.2439085, 33.2409345, 33.1917455, 33.2861695, 33.9883165, 33.1039475", \
+					  "33.3712515, 33.3730805, 33.3711165, 33.3807755, 33.3660995, 33.3877905, 33.1744435");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9030958, 70.9211018, 71.1706388, 71.6043398, 73.1324858, 69.8623518, -6.5991072", \
+					  "70.8607808, 70.8470558, 71.2274598, 71.5825708, 71.7972188, 70.0415538, -8.1722695", \
+					  "70.8228789, 71.0307059, 71.1044389, 71.6122199, 72.9700709, 66.7860799, -9.3924581", \
+					  "70.8872998, 70.9527268, 71.1924028, 71.5454748, 72.8061678, 70.2082458, -6.5641957", \
+					  "70.8777669, 70.9497229, 71.1704149, 71.6344949, 73.1455149, 69.4795029, -4.4190404", \
+					  "70.9114067, 70.9490007, 71.1780747, 71.5690957, 73.2249307, 64.9044407, -5.7585671", \
+					  "70.8926986, 70.9574386, 71.1814886, 71.6676276, 72.5550426, 69.7175766, -10.7805994");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.0237967, 1.0238422, 1.0239703, 1.0241279, 1.0260117, 1.0260842, 1.0260209", \
+					  "26.9853059, 27.0225059, 27.2382739, 28.2666509, 30.2888479, 31.2760679, 31.4882769", \
+					  "26.9390897, 26.9746967, 27.1916397, 28.2078977, 30.2349267, 31.2318587, 31.4440497", \
+					  "26.9404626, 26.9764496, 27.2088086, 28.2128026, 30.2410656, 31.2214336, 31.4318486", \
+					  "26.9786515, 27.0180265, 27.2300705, 28.2553355, 30.2709065, 31.2601505, 31.4695455", \
+					  "27.0211045, 27.0642295, 27.2833285, 28.2987165, 30.3312975, 31.3245615, 31.5358825", \
+					  "27.1139155, 27.1460425, 27.3646365, 28.3857935, 30.4195575, 31.4043665, 31.6200485");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.9056648, 95.9382288, 96.1893898, 96.3821518, 99.1961088, 94.0222688, 19.7489898", \
+					  "95.8908768, 95.9492538, 96.1333008, 96.3927738, 99.1995498, 95.8106768, 27.9690138", \
+					  "95.8996529, 95.9978449, 96.1684939, 96.3849039, 99.0862079, 94.9289019, 12.9434069", \
+					  "95.8938518, 95.9833428, 96.0520658, 96.3605688, 99.1715838, 95.5817548, 24.1895078", \
+					  "95.9730049, 96.0263299, 96.1832049, 96.3454089, 99.1275439, 93.8223499, 14.6852659", \
+					  "95.9084867, 95.9146697, 96.1541447, 96.3685427, 99.1764077, 94.6034267, 19.9261047", \
+					  "95.9214486, 96.0083306, 96.2354336, 96.3901436, 98.9521216, 93.8063556, 26.1874036");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("61.6641092, 61.9886622, 63.9042972, 74.5236082, 102.8870792, 161.4084992, 190.2088992", \
+					  "61.4971439, 61.8395229, 63.7780419, 74.3568229, 102.7707459, 161.2836959, 189.9124259", \
+					  "61.3380127, 61.6623987, 63.5702197, 74.2252247, 102.5456227, 161.0914927, 189.9498827", \
+					  "61.3270986, 61.6436996, 63.6027016, 74.2081766, 102.5446166, 161.0881266, 189.9199166", \
+					  "61.4027955, 61.7045195, 63.6266835, 74.2494985, 102.5039005, 161.1045005, 189.8861205", \
+					  "61.4463105, 61.7361685, 63.6900715, 74.2214175, 102.6843145, 161.1442645, 189.9537645", \
+					  "61.5223265, 61.8367555, 63.7794515, 74.2834665, 102.7517085, 161.2687085, 190.1302985");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5300088, 1.5298641, 1.5298496, 1.5299816, 1.5297664, 1.5298477, 1.5300785", \
+					  "17.3874616, 17.3816416, 17.3460046, 17.3397046, 17.2908496, 17.2456586, 17.2578166", \
+					  "17.5325496, 17.5143266, 17.5020236, 17.4016646, 17.2819736, 17.3662556, 17.3566796", \
+					  "17.5127457, 17.5037567, 17.4698427, 17.4452197, 17.3858267, 17.3577827, 17.3456627", \
+					  "17.5068948, 17.4147178, 17.4973358, 17.4438648, 17.3975758, 17.3607158, 17.3612258", \
+					  "17.5087699, 17.5058659, 17.4909969, 17.4446319, 17.3922259, 17.3580239, 17.3454279", \
+					  "17.5172610, 17.5111390, 17.4952940, 17.4497020, 17.4006320, 17.3619860, 17.3530590");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("45.4129072, 45.6110442, 47.2113642, 55.7252202, 99.8230152, 156.9744992, 169.2760792", \
+					  "45.4023745, 45.5937075, 47.2097825, 55.7073115, 99.7869475, 156.9998155, 169.2926355", \
+					  "45.4067219, 45.6517799, 47.1541119, 55.7207669, 99.8005409, 156.9706019, 169.2563019", \
+					  "45.3933207, 45.6104147, 47.2035707, 55.6589707, 99.7923407, 156.9895187, 169.2364587", \
+					  "45.4037031, 45.6646541, 47.2577791, 55.6470751, 99.8354121, 156.9737861, 169.4082361", \
+					  "45.4629069, 45.7583999, 47.2202479, 55.8206979, 99.5638879, 157.0849829, 169.4547729", \
+					  "45.5833817, 45.8499047, 47.3711217, 55.8661947, 99.8374687, 157.1206697, 169.4654997");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5303603, 1.5302365, 1.5305413, 1.5301579, 1.5287646, 1.5298893, 1.5302486", \
+					  "22.1609056, 22.1977546, 22.4153736, 23.4358696, 25.4589736, 26.4528126, 26.6625476", \
+					  "22.1538946, 22.2004856, 22.4116886, 23.4327446, 25.4555956, 26.4484246, 26.6609466", \
+					  "22.1550497, 22.1967207, 22.4099427, 23.4307517, 25.4601767, 26.4562517, 26.6657087", \
+					  "22.1602968, 22.1967938, 22.4135838, 23.4334328, 25.4622648, 26.4565548, 26.6658338", \
+					  "22.1617419, 22.1987069, 22.4162939, 23.4362349, 25.4630349, 26.4579839, 26.6684649", \
+					  "22.1676680, 22.2038920, 22.4217600, 23.4421460, 25.4700030, 26.4644410, 26.6746930");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.4464242, 86.4694612, 86.5678062, 86.3767302, 87.2681282, 79.9136152, -0.5876072", \
+					  "86.4371055, 86.4115495, 86.5713935, 86.4044645, 87.2891875, 79.8180325, -1.2620497", \
+					  "86.4218399, 86.3959649, 86.5606599, 86.3821499, 87.3922029, 79.7925109, 1.2583604", \
+					  "86.4127867, 86.3908387, 86.5569977, 86.3784737, 87.2232287, 79.8043707, 8.0213307", \
+					  "86.4230511, 86.4733511, 86.5958011, 86.3907161, 87.4177411, 81.5913671, 11.0580611", \
+					  "86.5115159, 86.5393969, 86.6398159, 86.4193189, 87.3888559, 81.6970969, 2.4981418", \
+					  "86.5800877, 86.5881157, 86.7485847, 86.5217317, 87.4549707, 81.2013417, 7.3064097");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5299517, 1.5299554, 1.5296134, 1.5297275, 1.5295756, 1.5298098, 1.5302656", \
+					  "17.4135606, 17.3675126, 17.3873596, 17.3350366, 17.2841866, 17.1669276, 17.2332476", \
+					  "17.3966876, 17.3899356, 17.3638576, 17.3247816, 17.2730786, 17.2304946, 17.2189216", \
+					  "17.3942937, 17.3937207, 17.3780097, 17.3323587, 17.2704327, 17.1738257, 17.2149217", \
+					  "17.3929268, 17.3896878, 17.3731938, 17.3266618, 17.2501368, 17.2330228, 17.2272488", \
+					  "17.3960939, 17.3932229, 17.3759749, 17.3300829, 17.2755079, 17.2354989, 17.2218589", \
+					  "17.4051890, 17.3990220, 17.3818330, 17.3408050, 17.2841960, 17.2496170, 17.2296320");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("30.4461222, 30.5530092, 31.0250762, 32.6986812, 36.7253042, 49.7124682, 72.3408392", \
+					  "30.4158205, 30.5345245, 31.0214335, 32.6588905, 36.7045995, 49.6459795, 72.3840415", \
+					  "30.4438299, 30.5301739, 30.9704369, 32.6830219, 36.6305649, 49.6632719, 72.3570149", \
+					  "30.4395947, 30.5473357, 30.9598037, 32.6814577, 36.7146307, 49.5864247, 72.3494737", \
+					  "30.5323661, 30.5758141, 31.0338851, 32.7008921, 36.7067951, 49.6214511, 72.3672391", \
+					  "30.5186529, 30.5998099, 31.0931309, 32.7340579, 36.8370989, 49.5957849, 72.4206489", \
+					  "30.5863017, 30.6806637, 31.1811627, 32.8239837, 36.9012087, 49.7609217, 72.5130627");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("84.4666602, 85.4919862, 92.2377632, 121.7188392, 149.0855792, 157.0325692, 162.8240892", \
+					  "84.4369345, 85.4910705, 92.1991005, 121.4314155, 149.0527355, 156.8549455, 162.8181055", \
+					  "84.4273589, 85.4080889, 92.2317489, 121.3870919, 149.1219619, 156.9500119, 162.7325519", \
+					  "84.4218787, 85.4888037, 92.2228537, 121.6934987, 149.1123887, 157.0328187, 162.9215087", \
+					  "84.5710531, 85.4049001, 92.2174641, 121.7127561, 149.0405961, 156.8507261, 162.8028861", \
+					  "85.2505319, 85.2878419, 92.1801969, 121.5038529, 149.0998229, 157.0174029, 162.9453729", \
+					  "84.8971907, 85.7676817, 92.2545207, 121.6392397, 149.2445497, 157.1682097, 163.0374497");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5299541, 1.5302404, 1.5298594, 1.5302478, 1.5296800, 1.5293405, 1.5299584", \
+					  "16.7610706, 16.7585336, 16.7450916, 16.7070506, 16.6552776, 16.6300486, 16.6195896", \
+					  "16.9153626, 16.9112196, 16.8901486, 16.8551326, 16.7981156, 16.7608296, 16.7545276", \
+					  "16.8958417, 16.9073807, 16.8882747, 16.8427067, 16.7781997, 16.7500707, 16.7352457", \
+					  "16.9115008, 16.9219508, 16.9056168, 16.8433268, 16.8016858, 16.7642298, 16.7478058", \
+					  "16.9096639, 16.9058369, 16.8950629, 16.8453189, 16.7983079, 16.7575129, 16.7456059", \
+					  "16.9153150, 16.9129610, 16.8783990, 16.8477500, 16.7997830, 16.7091210, 16.8124080");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5306760, 1.5306481, 1.5296344, 1.5307100, 1.5313664, 1.5309619, 1.5309265", \
+					  "15.7493446, 15.7488386, 15.7467656, 15.7411986, 15.7370096, 15.7358136, 15.7356556", \
+					  "15.7608386, 15.7408016, 15.7464226, 15.7359416, 15.7390256, 15.7200996, 15.7184556", \
+					  "15.7439817, 15.7526357, 15.7490367, 15.7402447, 15.7342327, 15.7312537, 15.7310127", \
+					  "15.7497118, 15.7492158, 15.7459978, 15.7369028, 15.7422018, 15.7282978, 15.7279738", \
+					  "15.7533019, 15.7526849, 15.7494599, 15.7406159, 15.7337849, 15.7318229, 15.7314599", \
+					  "15.7583240, 15.7576200, 15.7544610, 15.7457730, 15.7391100, 15.7369630, 15.7367440");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3061742, 27.2712992, 27.3150302, 26.9971612, 27.6855632, 25.6295962, 27.3556782", \
+					  "27.3202585, 27.3434905, 27.3208935, 27.0673135, 27.0010645, 27.4331435, 25.9937885", \
+					  "27.2435199, 27.3777269, 27.2919689, 27.3143139, 26.9440719, 30.7462369, 27.1091359", \
+					  "27.2045547, 27.4204567, 27.3056937, 27.2570837, 26.9811197, 27.3705607, 26.7603457", \
+					  "27.1077951, 27.3822701, 27.2285541, 27.3377081, 25.6613151, 27.5181111, 25.8579411", \
+					  "27.3789229, 27.3607449, 27.4010099, 27.3731759, 27.6725029, 27.4139539, 27.2006669", \
+					  "27.4392847, 27.4550977, 27.4664817, 27.4610587, 27.4939987, 27.4777137, 27.3389617");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.7609788, 92.7661418, 92.9005968, 92.6934008, 94.2285898, 86.1352788, 5.0407555", \
+					  "92.7545938, 92.7335408, 92.9049108, 92.6930388, 93.4657258, 86.1264788, 4.9767362", \
+					  "92.7518449, 92.7398189, 92.9042989, 92.6899429, 93.6514509, 86.1429369, 4.8507735", \
+					  "92.7814948, 92.7678108, 92.9008848, 92.7185098, 93.7237828, 86.1640588, 16.1143478", \
+					  "92.7738419, 92.8448269, 92.9548919, 92.7040019, 93.5683239, 87.5188369, 11.9442639", \
+					  "92.7367537, 92.7853187, 92.9098717, 92.6728047, 93.8784377, 87.1247637, 18.8036837", \
+					  "92.7915356, 92.8272786, 92.9524276, 92.6785776, 93.7785036, 87.0998806, 7.4737414");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.0252644, 1.0254725, 1.0253852, 1.0243614, 1.0266831, 1.0252460, 1.0253692", \
+					  "27.0379549, 27.0800899, 27.2985769, 28.3148069, 30.3265259, 31.3276179, 31.5361279", \
+					  "26.9978477, 27.0327157, 27.2513187, 28.2693037, 30.2928707, 31.2816317, 31.4917757", \
+					  "26.9875866, 27.0293686, 27.2434786, 28.2758476, 30.3025896, 31.2875266, 31.4967896", \
+					  "27.0138305, 27.0523655, 27.2744065, 28.3029845, 30.3319795, 31.3103085, 31.5185795", \
+					  "27.0744685, 27.1110605, 27.3288045, 28.3508155, 30.3789225, 31.3719095, 31.5810055", \
+					  "27.1728525, 27.2081835, 27.4290205, 28.4489875, 30.4701665, 31.4639965, 31.6731585");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.4518082, 86.4515722, 86.5885742, 86.3829402, 87.4570882, 79.8874552, -1.3825722", \
+					  "86.4295625, 86.4475695, 86.5782145, 86.3661825, 86.9721565, 79.7602865, 0.6150188", \
+					  "86.4265869, 86.3986369, 86.5556989, 86.3873259, 86.9206289, 79.7697589, -0.9443587", \
+					  "86.4220847, 86.3958767, 86.5584127, 86.2715937, 87.2490407, 79.8397667, -1.3265082", \
+					  "86.4375601, 86.4559621, 86.6102971, 86.3994101, 87.4818431, 79.8995261, 11.1443141", \
+					  "86.4745389, 86.5116339, 86.6139759, 86.4758499, 87.0594249, 79.8611689, 11.6887699", \
+					  "86.5919397, 86.6476027, 86.7678957, 86.4846017, 87.4257027, 81.3647037, 3.3089953");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5304553, 1.5303231, 1.5302318, 1.5301455, 1.5305952, 1.5298825, 1.5302726", \
+					  "22.1607506, 22.1979556, 22.4154496, 23.4356776, 25.4590086, 26.4527656, 26.6628616", \
+					  "22.1584376, 22.2023866, 22.4121476, 23.4325606, 25.4541776, 26.4517156, 26.6465626", \
+					  "22.1547237, 22.1924187, 22.4100867, 23.4312807, 25.4604647, 26.4542147, 26.6657207", \
+					  "22.1596658, 22.1954268, 22.4151838, 23.4336358, 25.4603878, 26.4565168, 26.6665018", \
+					  "22.1615929, 22.1985649, 22.4161979, 23.4364969, 25.4639219, 26.4579389, 26.6684239", \
+					  "22.1675030, 22.2047560, 22.4210220, 23.4420020, 25.4694540, 26.4644090, 26.6746020");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.4978072, 64.6855672, 64.8022302, 65.2918872, 66.7038692, 61.9453492, -11.3392728", \
+					  "64.6483475, 64.6238875, 64.7941745, 65.2309295, 65.4450985, 64.2416235, -12.4922755", \
+					  "64.5217199, 64.6250949, 64.7298029, 65.2321239, 66.4440499, 61.8169319, -15.4869171", \
+					  "64.6339717, 64.6066047, 64.7295337, 65.1405177, 66.7047387, 62.0410107, -20.3148663", \
+					  "64.5368841, 64.6115881, 64.7733481, 65.2382121, 65.1616281, 58.6315731, -20.1176979", \
+					  "64.6358479, 64.6627499, 64.9054729, 65.3047369, 66.8004779, 64.3927159, -15.5683211", \
+					  "64.7157717, 64.7552467, 65.0072467, 65.4245107, 66.9135897, 61.1243667, -17.8971503");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5306714, 1.5309805, 1.5300216, 1.5298403, 1.5302698, 1.5303176, 1.5302750", \
+					  "22.1111086, 22.1483356, 22.3666416, 23.3897436, 25.4169366, 26.4098066, 26.6182946", \
+					  "22.1055166, 22.1384896, 22.3609176, 23.3796936, 25.4074946, 26.4037776, 26.6147686", \
+					  "22.1104947, 22.1477407, 22.3654317, 23.3862347, 25.4135137, 26.4081437, 26.6178357", \
+					  "22.1115128, 22.1467648, 22.3677528, 23.3894598, 25.4158478, 26.4094818, 26.6193688", \
+					  "22.1130519, 22.1500099, 22.3683389, 23.3893899, 25.4166559, 26.4111429, 26.6209059", \
+					  "22.1195030, 22.1566800, 22.3753940, 23.3986670, 25.4241510, 26.4184980, 26.6281380");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5302482, 1.5306457, 1.5309412, 1.5305324, 1.5300576, 1.5310882, 1.5311181", \
+					  "15.7494226, 15.7491696, 15.7470446, 15.7414256, 15.7373976, 15.7363426, 15.7361416", \
+					  "15.7397026, 15.7287316, 15.7410986, 15.7294376, 15.7609456, 15.7343556, 15.7125396", \
+					  "15.7545897, 15.7448267, 15.7418967, 15.7415737, 15.7264607, 15.7320847, 15.7240497", \
+					  "15.7502498, 15.7557608, 15.7538918, 15.7371768, 15.7376048, 15.7286968, 15.7350308", \
+					  "15.7535749, 15.7530279, 15.7581539, 15.7409039, 15.7340749, 15.7320969, 15.7401879", \
+					  "15.7585500, 15.7579340, 15.7568510, 15.7460110, 15.7392960, 15.7374260, 15.7370490");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2934102, 27.3222202, 27.2945092, 27.3636682, 27.4634462, 27.3873972, 27.5815192", \
+					  "27.2416165, 27.2084015, 27.3263895, 27.2546965, 28.2104645, 27.0208415, 27.1127765", \
+					  "27.3339509, 27.2831849, 27.2139919, 27.1246069, 27.3726459, 30.3971619, 27.1738069", \
+					  "27.2889087, 27.3164367, 27.3436707, 27.2132257, 27.8140977, 24.6667297, 28.9190057", \
+					  "27.5086241, 27.6318901, 27.2287421, 26.9552711, 27.7473531, 27.1729631, 27.7173141", \
+					  "27.4486709, 27.1432609, 27.3760769, 27.1092499, 27.7514599, 27.3926939, 27.1019089", \
+					  "27.4221577, 27.4356207, 27.4269377, 27.5094707, 27.4986217, 27.4766327, 27.2642297");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("93.0916868, 93.1518748, 93.2391658, 93.0199318, 94.0202568, 87.3695768, 14.2988528", \
+					  "93.0948218, 93.1468978, 93.2260288, 93.0370548, 93.9309308, 86.4140408, 15.0567178", \
+					  "93.1373559, 93.1394439, 93.2434439, 93.0320089, 94.0812879, 86.3871369, 17.2973549", \
+					  "93.1351978, 93.1498178, 93.2530198, 93.0470878, 93.7982088, 87.4925518, 13.0446778", \
+					  "93.1505539, 93.1913069, 93.2853009, 93.0450829, 93.5982799, 87.2875979, 4.8518453", \
+					  "93.0301087, 93.1517967, 93.2215317, 92.9951857, 94.0727397, 87.4590787, 15.8143547", \
+					  "93.1395976, 93.1171576, 93.2918786, 93.0209076, 93.8530966, 86.8357856, 8.5149293");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.8599002, 40.9050662, 41.0821812, 42.1078122, 45.3015702, 44.7585842, 44.8346652", \
+					  "40.6359039, 40.7256279, 40.9207289, 41.9159839, 43.7515159, 45.2175069, 43.5956999", \
+					  "40.5553797, 40.6071367, 40.7636507, 41.9809547, 43.8795017, 43.6968457, 44.2378507", \
+					  "40.5598316, 40.5464326, 40.7439386, 41.4590676, 43.5958406, 45.0134886, 44.2976616", \
+					  "40.5903645, 40.6914045, 40.6589865, 41.5665135, 43.7148055, 44.4700975, 44.3001255", \
+					  "40.5824405, 40.5935155, 40.8461395, 41.6862175, 43.6129195, 44.5083905, 44.5288955", \
+					  "40.6896445, 40.7188015, 40.9092985, 41.8400155, 43.6784615, 44.6070835, 44.5885535");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.0539392, 44.2152682, 44.7594302, 47.3818432, 53.2915672, 67.1078452, 89.6633792", \
+					  "43.8186989, 43.9520159, 44.6323879, 47.2596959, 53.1641259, 66.8555379, 89.6817859", \
+					  "43.6715577, 43.8422677, 44.4706717, 47.0650337, 52.9423087, 66.8680607, 89.6230947", \
+					  "43.6819106, 43.8107076, 44.4589806, 47.0848176, 52.9326046, 66.6865826, 89.5152866", \
+					  "43.6943705, 43.8270455, 44.4751105, 47.0825385, 52.9532285, 66.7214305, 89.6520045", \
+					  "43.7279735, 43.8754735, 44.5400115, 47.1503465, 52.9977735, 66.7043055, 89.7003415", \
+					  "43.8317175, 43.9507235, 44.6361405, 47.2316025, 53.1015425, 66.9145415, 89.7851635");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("74.0145438, 74.0396418, 74.3205838, 75.1303668, 77.4223948, 73.8971028, 2.5555070", \
+					  "73.9486158, 74.0213778, 74.3953008, 75.3253618, 77.0616398, 73.5953708, -0.3968366", \
+					  "74.0072899, 73.9245439, 74.2427609, 75.1441999, 77.6371299, 74.9704499, -8.1077297", \
+					  "73.9128658, 73.9850928, 74.3151338, 75.1279628, 78.3074268, 72.0931478, 4.5800214", \
+					  "73.9167589, 74.0040509, 74.3167659, 75.1399479, 77.2567889, 77.0578989, -0.3928767", \
+					  "73.9421207, 73.9848017, 74.3617577, 75.1459907, 77.6537007, 77.3081917, 0.9053298", \
+					  "73.8761176, 74.0415896, 74.3343276, 75.2313466, 77.5309236, 78.5791686, 6.5757553");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.3197578, 68.9604828, 69.7507048, 70.4182538, 69.2255688, 69.8231328, -125.7281032", \
+					  "69.6696498, 70.2677688, 69.7001468, 69.7816018, 69.5667668, 57.9221788, -123.2207542", \
+					  "69.5376919, 69.8095919, 69.7043989, 71.8320089, 74.1231279, 59.4764209, -126.9404551", \
+					  "69.6919638, 69.7197128, 69.7314538, 69.7767508, 69.7569048, 59.3425688, -125.2873622", \
+					  "69.6921869, 69.7031439, 69.6981959, 69.7870489, 69.7281449, 59.6029239, -125.8141991", \
+					  "69.6811197, 69.6902167, 69.6826597, 69.7520007, 69.8006487, 59.6893967, -126.0114263", \
+					  "69.6988416, 69.7075776, 69.7310446, 69.7923986, 69.7634076, 59.3622556, -125.4847034");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("36.7061852, 36.7947292, 37.2249472, 38.9028652, 42.9302622, 55.9292972, 78.5873502", \
+					  "36.5054929, 36.6160679, 37.0690529, 38.7139419, 42.8050549, 55.5348539, 78.4109819", \
+					  "36.3578067, 36.4287397, 36.9574347, 38.6180617, 42.6304557, 55.4878347, 78.2814867", \
+					  "36.3563686, 36.4220516, 36.9254826, 38.5647646, 42.6025246, 55.5713726, 78.2469256", \
+					  "36.3595675, 36.4453495, 36.9289635, 38.5709625, 42.6611585, 55.5333965, 78.2253185", \
+					  "36.4240795, 36.4965595, 36.9922745, 38.6357655, 42.7020365, 55.5030785, 78.3675525", \
+					  "36.4961935, 36.6081595, 37.0678715, 38.7319775, 42.7919655, 55.7905365, 78.3668665");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9281998, 70.7804704, 71.0650679, 70.9393168, 70.9542051, 62.4624806, -58.8785069", \
+					  "86.1276417, 86.1001036, 86.2244884, 86.0515003, 85.7351563, 77.2821287, -43.1688990", \
+					  "86.0849295, 86.1093082, 86.2151285, 86.0970077, 86.1705216, 81.3033472, -44.1925720", \
+					  "86.1550072, 86.0963873, 86.2243220, 86.0743774, 85.8758703, 77.3142782, -44.2092173", \
+					  "86.1064429, 86.0017457, 86.2346246, 86.1162491, 85.7770160, 73.9227193, -42.0505961", \
+					  "86.2111854, 86.2373676, 86.2901655, 86.1685654, 86.1285502, 77.8889604, -40.9150391", \
+					  "86.3088704, 86.3178315, 86.3842634, 86.2699263, 86.0340358, 77.8045419, -42.1298544");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.1028081, 41.3178016, 42.6020021, 48.6418103, 61.9105184, 82.2159258, 94.6975102", \
+					  "58.9185284, 59.1651869, 60.5917775, 67.1919454, 81.7963857, 102.6475918, 115.1541079", \
+					  "58.9307480, 59.1628000, 60.5560905, 67.2352517, 81.7098998, 103.6215124, 115.1250005", \
+					  "58.9188254, 59.1709384, 60.5753672, 67.2422761, 81.7180257, 102.7642325, 115.1958175", \
+					  "58.9771505, 59.2263130, 60.5454964, 67.1962607, 81.4491717, 103.0901709, 114.9212877", \
+					  "59.1030628, 59.1957529, 60.6421798, 67.1918310, 81.9531604, 103.0727339, 115.2385884", \
+					  "59.1388656, 59.3555184, 60.7354117, 67.3907029, 81.9126798, 103.1670936, 115.3279460");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("81.1216119, 81.5352861, 83.6068909, 94.7296509, 118.5540505, 152.7632629, 172.5548139", \
+					  "89.4044418, 89.8018403, 92.1138616, 103.1307136, 127.2115380, 163.1480346, 183.1505710", \
+					  "89.2492091, 89.5982091, 91.8547704, 103.1474337, 127.2754922, 162.1117349, 182.3776012", \
+					  "89.2569860, 89.5724175, 91.8222380, 102.8947840, 126.4796105, 161.7350840, 182.3282152", \
+					  "89.3095133, 89.7194433, 91.7867467, 102.8282452, 127.0969028, 162.2219243, 182.5410370", \
+					  "89.4561590, 89.7279067, 91.9420793, 103.0238180, 127.1736660, 162.6074673, 182.6757308", \
+					  "89.5552573, 89.9191752, 92.1154755, 103.2469608, 127.3059530, 162.7650745, 182.8351603");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("129.0613752, 129.0373111, 129.3868212, 129.7585559, 130.7882995, 119.9272328, -80.4767260", \
+					  "135.9876083, 136.1147481, 136.3238313, 135.7223358, 136.7882809, 129.4828154, -72.8452335", \
+					  "135.7919813, 135.8846255, 136.1715700, 136.3690390, 138.2937938, 124.4016675, -77.9406838", \
+					  "135.8803239, 135.9455138, 136.1024338, 136.2264961, 137.1775274, 124.2186881, -71.8864907", \
+					  "135.8929775, 135.9662825, 136.1212585, 136.2378161, 136.8918661, 125.4449315, -76.9741906", \
+					  "135.8717569, 135.9222407, 136.1025851, 136.2090414, 137.1081296, 124.1016881, -73.3988479", \
+					  "135.9175149, 135.9609090, 136.1602029, 136.3004725, 136.9896799, 125.6967497, -75.3078621");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1297546, 2.1297547, 2.1297550, 2.1297552, 2.1297554, 2.1297557, 2.3057640", \
+					  "2.6523412, 2.6523413, 2.6540328, 2.6540329, 2.6540331, 2.6540334, 2.8067624", \
+					  "3.0517469, 3.0637852, 3.0637855, 3.0664715, 3.0664717, 3.0664720, 3.1984344", \
+					  "3.4077448, 3.4120100, 3.4120101, 3.4120104, 3.4159968, 3.4159970, 3.5702414", \
+					  "3.7385894, 3.7431405, 3.7431406, 3.7431409, 3.7431411, 3.7431413, 3.8894425", \
+					  "4.0369281, 4.0395611, 4.0395616, 4.0395620, 4.0395625, 4.0395630, 4.1825881", \
+					  "4.3235646, 4.3295385, 4.3295386, 4.3295391, 4.3295396, 4.3295401, 4.4795261");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0555003, 8.2220302, 9.3563557, 16.3385220, 59.1967650, 321.9081700, 1939.7369000", \
+					  "8.5724272, 8.7688150, 9.8880832, 16.8583760, 59.7426040, 322.7624500, 1940.0129000", \
+					  "8.9767385, 9.1682741, 10.2825500, 17.2606480, 60.0950540, 322.9471600, 1941.1721000", \
+					  "9.3317212, 9.5076489, 10.6376560, 17.6163850, 60.4016530, 323.9221900, 1943.5822000", \
+					  "9.6321626, 9.8089536, 10.9477080, 17.9488760, 60.7914070, 323.5760200, 1943.7982000", \
+					  "9.9683080, 10.1574960, 11.2990770, 18.3005040, 61.0940920, 324.6237000, 1941.4376000", \
+					  "10.2071030, 10.4028530, 11.5420380, 18.5557400, 61.4852380, 324.3694400, 1942.6068000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8807280, 12.2655450, 14.4765300, 28.2378760, 113.4797600, 637.8464800, 3872.8385000", \
+					  "11.8986090, 12.2282350, 14.5311270, 28.1850450, 113.4279100, 638.3209000, 3878.1195000", \
+					  "11.9008950, 12.2421350, 14.4720130, 28.1881430, 113.3355200, 637.2895900, 3872.6594000", \
+					  "11.8817240, 12.2364890, 14.4593330, 28.1711010, 113.2874700, 637.9992200, 3871.4102000", \
+					  "11.8688130, 12.2424180, 14.4463130, 28.1720780, 113.2900500, 637.2370600, 3873.5016000", \
+					  "11.8794970, 12.2281370, 14.4557410, 28.1349020, 113.4942700, 638.0369700, 3872.3911000", \
+					  "11.8692450, 12.2155160, 14.4607520, 28.1870580, 113.4678600, 638.0748200, 3873.1173000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6370246, 2.6458966, 2.6967080, 2.9485034, 3.9239847, 6.6095205, 15.6640830", \
+					  "3.3297169, 3.3385512, 3.3897927, 3.6409020, 4.6168811, 7.3018280, 16.3575380", \
+					  "4.0491930, 4.0581192, 4.0980312, 4.3603099, 5.3363576, 8.0131342, 17.0659610", \
+					  "4.7735220, 4.7824460, 4.8133009, 5.0848805, 6.0609131, 8.7274135, 17.7812570", \
+					  "5.5083884, 5.5170757, 5.5681380, 5.8193832, 6.7956489, 9.4524069, 18.5081690", \
+					  "6.2302403, 6.2388614, 6.2902239, 6.5409633, 7.5164763, 10.1654900, 19.2215560", \
+					  "6.8924195, 6.9437118, 6.9519128, 7.2461970, 8.2211464, 10.8657850, 19.9199890");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4438486, 0.4473178, 0.4766567, 0.6548309, 1.4587780, 3.3916319, 13.2171150", \
+					  "0.4439277, 0.4472138, 0.4773628, 0.6546178, 1.4603506, 3.3863004, 13.2033470", \
+					  "0.4443830, 0.4475715, 0.4775951, 0.6541735, 1.4624874, 3.3913083, 13.2310130", \
+					  "0.4434443, 0.4473691, 0.4779038, 0.6549147, 1.4616727, 3.3910414, 13.2307110", \
+					  "0.4460248, 0.4485921, 0.4777672, 0.6541529, 1.4620566, 3.3897813, 13.2314590", \
+					  "0.4452581, 0.4495558, 0.4767827, 0.6567784, 1.4616253, 3.3841663, 13.2261400", \
+					  "0.4425367, 0.4465127, 0.4745249, 0.6550613, 1.4616317, 3.3883532, 13.2190590");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7202468, 3.7234751, 3.7234754, 3.7234756, 3.7234759, 3.7234761, 3.7234763", \
+					  "4.2245931, 4.2547716, 4.2547720, 4.2547725, 4.2547730, 4.2547734, 4.2547739", \
+					  "4.6364708, 4.6373785, 4.6373790, 4.6520450, 4.6520451, 4.6520455, 4.6520460", \
+					  "4.9986419, 5.0015050, 5.0015052, 5.0015057, 5.0015061, 5.0015066, 5.0015071", \
+					  "5.3400933, 5.3573901, 5.3573902, 5.3573907, 5.3573912, 5.3573916, 5.3573921", \
+					  "5.6056875, 5.6073444, 5.6073449, 5.6073454, 5.6073459, 5.6073463, 5.6073468", \
+					  "5.8800684, 5.8834058, 5.8834060, 5.8834065, 5.8834069, 5.8834074, 5.8834079");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4481884, 3.4546586, 3.4546587, 3.4546589, 3.4546592, 3.4546594, 3.4546596", \
+					  "3.9926200, 3.9926202, 3.9926662, 3.9926664, 3.9926667, 3.9926669, 3.9926671", \
+					  "4.4173531, 4.4187125, 4.4187129, 4.4187134, 4.4187139, 4.4187143, 4.4187148", \
+					  "4.7621480, 4.7621482, 4.7621487, 4.7621491, 4.7621496, 4.7621501, 4.7621506", \
+					  "5.0751288, 5.0755458, 5.0755461, 5.0755466, 5.0755470, 5.0755475, 5.0755480", \
+					  "5.4035887, 5.4035891, 5.4035895, 5.4035900, 5.4035905, 5.4035910, 5.4035914", \
+					  "5.6704756, 5.7032761, 5.7032764, 5.7032769, 5.7032774, 5.7032779, 5.7032784");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6185611, 2.6428826, 2.7909626, 3.6821894, 8.7829113, 16.9933170, 36.4494890", \
+					  "3.1520659, 3.1766003, 3.3246363, 4.2149781, 9.3153121, 17.5293610, 36.9926490", \
+					  "3.5569969, 3.5715659, 3.7196026, 4.6102842, 9.7066204, 17.9253860, 37.3814510", \
+					  "3.8959405, 3.9161292, 4.0641419, 4.9556879, 10.0566430, 18.2658990, 37.7392480", \
+					  "4.2004884, 4.2248316, 4.3729056, 5.2642894, 10.3599810, 18.5798130, 38.0347660", \
+					  "4.5428461, 4.5671666, 4.7152557, 5.6062963, 10.7042380, 18.9136870, 38.3778950", \
+					  "4.7841788, 4.8085260, 4.9566045, 5.8478515, 10.9474110, 19.1566370, 38.6201760");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5055884, 1.5517643, 1.8308604, 3.5752317, 7.9217166, 10.2926410, 28.2127350", \
+					  "1.5061682, 1.5509177, 1.8306623, 3.5764061, 7.9255358, 10.2754330, 28.2242350", \
+					  "1.5064096, 1.5512969, 1.8302374, 3.5771945, 7.9142195, 10.2797210, 28.1884440", \
+					  "1.5063351, 1.5511907, 1.8315659, 3.5737229, 7.9282643, 10.2742560, 28.1833220", \
+					  "1.5077883, 1.5498944, 1.8316083, 3.5770351, 7.9143554, 10.2818390, 28.2046870", \
+					  "1.5036276, 1.5510644, 1.8304768, 3.5764844, 7.9167748, 10.3092690, 28.1995900", \
+					  "1.5172390, 1.5533719, 1.8298800, 3.5868586, 7.9145099, 10.2875890, 28.2163380");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.4234042, 8.6204812, 9.7750608, 16.8503560, 59.9329940, 323.2119900, 1946.1099000", \
+					  "9.1447694, 9.3100674, 10.4671530, 17.5669700, 60.6109150, 323.9257500, 1946.8207000", \
+					  "9.8417630, 10.0235580, 11.1802860, 18.2475010, 61.3344610, 324.6227900, 1947.5194000", \
+					  "10.5653970, 10.7357280, 11.9130590, 18.9722420, 61.9822200, 325.3619000, 1948.2347000", \
+					  "11.2987010, 11.5136390, 12.6158010, 19.7256130, 62.6687110, 325.9479200, 1949.2595000", \
+					  "11.9807060, 12.1656260, 13.3275440, 20.4384070, 63.4218720, 326.9350700, 1949.9475000", \
+					  "12.6845700, 12.8679460, 14.0224480, 21.1005060, 64.2082720, 327.5474400, 1951.6788000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7437840, 12.1062210, 14.3261290, 28.1382950, 113.5786300, 639.8531100, 3886.4764000", \
+					  "11.7221350, 12.0873060, 14.3243790, 28.1485450, 113.5132900, 639.8057600, 3886.0617000", \
+					  "11.7322910, 12.1104570, 14.3441860, 28.1388490, 113.5908500, 639.8511200, 3886.2991000", \
+					  "11.7243860, 12.1020670, 14.3242220, 28.1217110, 113.5702500, 639.7668800, 3888.5951000", \
+					  "11.7197080, 12.0738880, 14.3091060, 28.0998670, 113.3256500, 639.4871500, 3885.5865000", \
+					  "11.6993060, 12.0616970, 14.2963630, 28.1030220, 113.4868600, 639.7976900, 3887.1417000", \
+					  "11.7190330, 12.0570580, 14.3021120, 28.0559060, 113.7191200, 639.9084200, 3885.9694000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7206529, 3.7220922, 3.7220923, 3.7220926, 3.7220928, 3.7220930, 3.7220933", \
+					  "4.2586410, 4.2586411, 4.2586415, 4.2586420, 4.2586425, 4.2586430, 4.2586434", \
+					  "4.6395674, 4.6487392, 4.6487396, 4.6487401, 4.6487406, 4.6487411, 4.6487415", \
+					  "4.9945621, 4.9945624, 4.9945629, 4.9945634, 4.9945639, 4.9945643, 4.9945648", \
+					  "5.3429212, 5.3527915, 5.3527916, 5.3527921, 5.3527926, 5.3527930, 5.3527935", \
+					  "5.6098379, 5.6114113, 5.6114114, 5.6156995, 5.6156996, 5.6157001, 5.6157005", \
+					  "5.8856973, 5.8901830, 5.8901833, 5.8979501, 5.8979505, 5.8979509, 5.8979514");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1293549, 2.1293551, 2.1293554, 2.1293556, 2.1293558, 2.1293561, 2.2920972", \
+					  "2.6524469, 2.6524472, 2.6542624, 2.6542625, 2.6542627, 2.6599796, 2.7998412", \
+					  "3.0550937, 3.0590199, 3.0590200, 3.0590202, 3.0616913, 3.0616915, 3.2160952", \
+					  "3.4094108, 3.4108270, 3.4108271, 3.4131620, 3.4131622, 3.4131624, 3.5639531", \
+					  "3.7403804, 3.7415324, 3.7415325, 3.7415327, 3.7415330, 3.7415332, 3.8881138", \
+					  "4.0402304, 4.0431174, 4.0431178, 4.0431183, 4.0431188, 4.0431192, 4.1843418", \
+					  "4.3190846, 4.3259827, 4.3259829, 4.3259833, 4.3294701, 4.3294704, 4.4792103");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8981837, 2.9222722, 3.0733971, 3.9936565, 8.4774046, 28.0968070, 68.4379490", \
+					  "3.5903580, 3.6119059, 3.7653178, 4.6834385, 9.1680938, 28.7865130, 69.1303300", \
+					  "4.3124273, 4.3357731, 4.4868331, 5.4070255, 9.8870023, 29.4942060, 69.8704880", \
+					  "5.0012674, 5.0264162, 5.1923092, 6.1078018, 10.6061730, 30.2116310, 70.5747420", \
+					  "5.7441549, 5.7686598, 5.9349894, 6.8583921, 11.3055930, 30.9381430, 71.3101190", \
+					  "6.4916592, 6.4916595, 6.6213963, 7.5354469, 12.0288250, 31.6768020, 72.0118220", \
+					  "7.1865669, 7.1865671, 7.3270541, 8.2444265, 12.7084850, 32.3284650, 72.7000470");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5267073, 1.5724849, 1.8572422, 3.2751306, 8.5702618, 31.0664840, 38.0271210", \
+					  "1.5265360, 1.5724951, 1.8571594, 3.2747651, 8.5690646, 31.0824680, 38.0292950", \
+					  "1.5267358, 1.5723811, 1.8572540, 3.2747336, 8.5641236, 31.0591660, 37.9758940", \
+					  "1.5267183, 1.5728702, 1.8572386, 3.2749092, 8.5666230, 31.0755620, 38.0170420", \
+					  "1.5261785, 1.5706001, 1.8567060, 3.2745449, 8.5763805, 31.0750640, 38.1303560", \
+					  "1.5270750, 1.5717330, 1.8559456, 3.2724816, 8.5672784, 31.0661190, 38.0202000", \
+					  "1.5268141, 1.5718957, 1.8560339, 3.2769625, 8.5702450, 31.0511150, 38.0934220");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1235700, 2.1235701, 2.1235704, 2.1312287, 2.1312289, 2.1312291, 2.2942832", \
+					  "2.6496410, 2.6496412, 2.6549876, 2.6549877, 2.6549880, 2.6549882, 2.8056546", \
+					  "3.0498477, 3.0588423, 3.0588424, 3.0588426, 3.0588428, 3.0588431, 3.2131986", \
+					  "3.4119156, 3.4119157, 3.4119159, 3.4119162, 3.4119164, 3.4133971, 3.5673249", \
+					  "3.7398435, 3.7398438, 3.7412375, 3.7412376, 3.7412378, 3.7412380, 3.8882120", \
+					  "4.0400656, 4.0400661, 4.0430788, 4.0454480, 4.0468775, 4.0504111, 4.1951935", \
+					  "4.3224824, 4.3276573, 4.3276575, 4.3276580, 4.3276585, 4.3276589, 4.4799973");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6223008, 2.6311426, 2.6827642, 2.9375657, 3.9970862, 9.5321484, 37.0250110", \
+					  "3.3106253, 3.3237850, 3.3729477, 3.6280488, 4.6897548, 10.2249990, 37.7204860", \
+					  "4.0150474, 4.0218358, 4.0954595, 4.3509480, 5.3877232, 10.9411980, 38.4226690", \
+					  "4.7255084, 4.7659969, 4.7857780, 5.0444533, 6.1106467, 11.6510910, 39.1239960", \
+					  "5.4495715, 5.4560521, 5.5288005, 5.7833880, 6.8234334, 12.3969450, 39.8496700", \
+					  "6.1784973, 6.1855059, 6.2721988, 6.4884231, 7.5516340, 13.0719520, 40.6135290", \
+					  "6.8788570, 6.8861903, 6.9734867, 7.1649460, 8.2531741, 13.7868230, 41.2749190");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4500204, 0.4534468, 0.4825557, 0.6608673, 1.7584060, 8.7112451, 30.0676990", \
+					  "0.4499523, 0.4535750, 0.4825568, 0.6608654, 1.7593741, 8.7128278, 30.0385030", \
+					  "0.4499433, 0.4535030, 0.4825524, 0.6608726, 1.7583719, 8.7097538, 30.0027560", \
+					  "0.4499592, 0.4534376, 0.4825603, 0.6608198, 1.7586269, 8.7100638, 30.0278490", \
+					  "0.4501579, 0.4552668, 0.4832947, 0.6604649, 1.7590092, 8.7029006, 30.0034770", \
+					  "0.4479787, 0.4519151, 0.4803473, 0.6617263, 1.7572570, 8.7115621, 30.0641610", \
+					  "0.4473969, 0.4517081, 0.4791112, 0.6598069, 1.7590254, 8.7039904, 30.0789150");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0560296, 8.2383345, 9.3508516, 16.2736280, 58.8336700, 321.5824300, 1938.9713000", \
+					  "8.7394501, 8.9241512, 10.0401200, 16.9191420, 59.4525460, 322.2981800, 1939.5009000", \
+					  "9.4414689, 9.6298765, 10.7548020, 17.6347350, 60.1545690, 322.6662700, 1940.2673000", \
+					  "10.1426990, 10.3392490, 11.4529750, 18.3380810, 60.8513560, 323.3137400, 1941.0638000", \
+					  "10.9125310, 11.0659960, 12.1823020, 19.0463520, 61.6672300, 324.2722100, 1941.8126000", \
+					  "11.5812820, 11.7769540, 12.8848950, 19.7871850, 62.3460320, 325.4533000, 1943.6475000", \
+					  "12.2871520, 12.4265000, 13.5397320, 20.4848090, 63.0137720, 326.1527900, 1943.7234000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8830520, 12.2360480, 14.4477420, 28.1333680, 113.4478000, 637.6549800, 3874.8685000", \
+					  "11.8526630, 12.2345300, 14.4654990, 28.1988320, 113.3660700, 637.7645700, 3873.3137000", \
+					  "11.8797780, 12.2381150, 14.4651930, 28.1925670, 113.1820800, 637.5040600, 3872.4302000", \
+					  "11.8814630, 12.2436950, 14.4644440, 28.1938290, 113.2462600, 637.3177300, 3873.2592000", \
+					  "11.8845040, 12.2439300, 14.4407060, 28.1980890, 113.4313100, 637.4359000, 3873.4652000", \
+					  "11.8837970, 12.2416020, 14.4408740, 28.1802230, 113.4398900, 638.0886900, 3872.7385000", \
+					  "11.8840450, 12.2396320, 14.4695640, 28.1780270, 113.0047200, 638.0927900, 3872.4620000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8074982, 2.8172922, 2.8676492, 3.1199072, 4.0957913, 6.7803514, 15.8359260", \
+					  "3.5018684, 3.5029113, 3.5606724, 3.8120653, 4.7802691, 7.4680093, 16.5289010", \
+					  "4.1954382, 4.2036064, 4.2556993, 4.5105120, 5.4879941, 8.1892271, 17.2490040", \
+					  "4.9144715, 4.9230500, 4.9664082, 5.2447895, 6.1848255, 8.8868566, 17.9401080", \
+					  "5.6693268, 5.6725074, 5.6725076, 5.9447172, 6.9229729, 9.6182520, 18.6954330", \
+					  "6.3239818, 6.3446710, 6.3673684, 6.6599246, 7.6646680, 10.3601580, 19.3622490", \
+					  "7.0371144, 7.0531692, 7.0892878, 7.3960411, 8.3452649, 10.9717730, 20.0590120");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4452400, 0.4507915, 0.4783577, 0.6555997, 1.4612721, 3.3912047, 13.1994350", \
+					  "0.4455775, 0.4501064, 0.4765038, 0.6562526, 1.4604054, 3.3899541, 13.2231070", \
+					  "0.4460539, 0.4501956, 0.4781228, 0.6554864, 1.4605840, 3.3841063, 13.2258530", \
+					  "0.4467572, 0.4500967, 0.4786434, 0.6540544, 1.4605284, 3.3911909, 13.2258630", \
+					  "0.4451252, 0.4491203, 0.4755597, 0.6552384, 1.4597903, 3.3918954, 13.2276070", \
+					  "0.4448571, 0.4486417, 0.4766210, 0.6558552, 1.4645217, 3.3857015, 13.2226020", \
+					  "0.4472860, 0.4491576, 0.4790667, 0.6566243, 1.4591506, 3.3905347, 13.2300370");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.8704314, 8.0537967, 9.1685006, 16.0936400, 58.6111780, 321.0712700, 1938.7639000", \
+					  "8.3899350, 8.5828029, 9.7040553, 16.5897550, 59.1554220, 322.0194200, 1939.6989000", \
+					  "8.7973921, 8.9897139, 10.1080990, 16.9855970, 59.5079540, 321.9194000, 1939.6143000", \
+					  "9.1289705, 9.3303223, 10.4497450, 17.3662100, 59.9653940, 322.8599000, 1939.6014000", \
+					  "9.4542640, 9.6701660, 10.7925430, 17.6683540, 60.2163870, 322.8647400, 1940.2128000", \
+					  "9.7865707, 9.9730864, 11.1032340, 18.0231990, 60.4944790, 323.3075700, 1942.5424000", \
+					  "10.0208220, 10.2171250, 11.3947460, 18.2192960, 60.7469700, 323.3280100, 1941.6168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8815920, 12.2413100, 14.4348840, 28.2008350, 113.3130300, 637.6809000, 3873.1378000", \
+					  "11.8781630, 12.2284160, 14.4349770, 28.1843210, 113.3592900, 638.3581300, 3873.9072000", \
+					  "11.8758520, 12.2197270, 14.4302610, 28.1751830, 113.3593100, 637.1613500, 3873.0032000", \
+					  "11.8811830, 12.2371070, 14.4400810, 28.2017200, 113.4641200, 638.2276800, 3873.1368000", \
+					  "11.8809150, 12.2297100, 14.4284520, 28.1338210, 113.3577100, 637.4756400, 3874.7294000", \
+					  "11.8759460, 12.2461310, 14.4393030, 28.1882000, 113.4794400, 637.5841500, 3872.4752000", \
+					  "11.8726790, 12.2280730, 14.4363140, 28.1920510, 113.3720700, 637.0263500, 3872.7021000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.1445313, 8.3484961, 9.4526706, 16.3784200, 59.1450230, 322.1406600, 1945.3332000", \
+					  "8.8371035, 9.0342906, 10.1464690, 17.0575730, 59.9228540, 323.3588800, 1946.5970000", \
+					  "9.5579944, 9.7531903, 10.8549680, 17.7820670, 60.5569210, 323.8702100, 1949.1545000", \
+					  "10.2822350, 10.4467130, 11.5702560, 18.5011400, 61.3317820, 325.0364700, 1947.1704000", \
+					  "11.0149860, 11.1731280, 12.2979830, 19.2341240, 62.1126190, 325.6835700, 1948.4003000", \
+					  "11.7662450, 11.9103610, 13.0102040, 19.9382550, 62.7436310, 326.0414900, 1950.5371000", \
+					  "12.4442910, 12.5844370, 13.7197620, 20.6431530, 63.5088140, 326.9677800, 1949.4248000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7250290, 12.0898870, 14.3215020, 28.0815820, 113.7327700, 639.9511700, 3888.8975000", \
+					  "11.7243600, 12.0865730, 14.3199890, 28.0361580, 113.3206000, 639.7636400, 3885.2113000", \
+					  "11.7254350, 12.0897890, 14.3198760, 28.0576310, 113.7392900, 639.9122300, 3884.3427000", \
+					  "11.7264240, 12.0878120, 14.3198550, 28.0744990, 113.6348300, 639.7603400, 3886.8548000", \
+					  "11.7227740, 12.0847540, 14.3195860, 28.0929890, 113.2934500, 638.9584000, 3884.9985000", \
+					  "11.7205470, 12.0882270, 14.3202910, 28.0583980, 113.7170000, 639.9101800, 3884.1631000", \
+					  "11.7194480, 12.0884530, 14.3140190, 28.0991550, 113.5683000, 640.1497200, 3884.3746000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1731157, 2.1731159, 2.1731161, 2.1884103, 2.1884105, 2.1884107, 2.3262852", \
+					  "2.6994060, 2.6994061, 2.6994063, 2.6994066, 2.7036604, 2.7036607, 2.7859146", \
+					  "3.1075544, 3.1104457, 3.1126364, 3.1126365, 3.1126367, 3.1126370, 3.2538232", \
+					  "3.4536538, 3.4574992, 3.4723333, 3.4723334, 3.4723336, 3.4750205, 3.5848911", \
+					  "3.7829953, 3.7953720, 3.7953722, 3.7953724, 3.7953727, 3.7953729, 3.8972656", \
+					  "4.0837032, 4.0837033, 4.0856101, 4.0856102, 4.0856107, 4.0937631, 4.2075388", \
+					  "4.3779509, 4.3779510, 4.3779514, 4.3779519, 4.3779524, 4.3804085, 4.4691117");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4422851, 3.4451910, 3.4451911, 3.4451913, 3.4451916, 3.4451918, 3.4451920", \
+					  "3.9955479, 3.9955482, 3.9958366, 3.9958367, 3.9958369, 3.9958371, 3.9958374", \
+					  "4.4158748, 4.4176729, 4.4176734, 4.4176739, 4.4176744, 4.4176748, 4.4176753", \
+					  "4.7643876, 4.7643879, 4.7643884, 4.7643888, 4.7643893, 4.7643898, 4.7643903", \
+					  "5.0751199, 5.0755893, 5.0755895, 5.0755899, 5.0755904, 5.0755909, 5.0755914", \
+					  "5.4013327, 5.4013331, 5.4013336, 5.4013341, 5.4013346, 5.4013351, 5.4013355", \
+					  "5.6697935, 5.6923626, 5.6923631, 5.6923636, 5.6923640, 5.6923645, 5.6923650");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8105722, 2.8348945, 2.9835885, 3.8745398, 8.9958041, 17.2351950, 36.6910630", \
+					  "3.4983334, 3.5271566, 3.6745456, 4.5687969, 9.6916324, 17.9276790, 37.3697870", \
+					  "4.1993129, 4.2424433, 4.3874868, 5.2628200, 10.3865470, 18.6410160, 38.0764610", \
+					  "4.9062141, 4.9403912, 5.0842632, 5.9783360, 11.0995330, 19.3361310, 38.7898390", \
+					  "5.6158993, 5.6904828, 5.7944400, 6.7145156, 11.8251590, 20.0489300, 39.5268780", \
+					  "6.3448473, 6.3536003, 6.4873964, 7.4473623, 12.5349730, 20.7361420, 40.2232060", \
+					  "7.0404007, 7.0540405, 7.2031265, 8.0821865, 13.1862880, 21.4511590, 40.9367080");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5038994, 1.5499204, 1.8290529, 3.5731211, 7.9735809, 10.2856110, 28.2062360", \
+					  "1.5056116, 1.5493182, 1.8290287, 3.5767371, 7.9617433, 10.2874390, 28.2151880", \
+					  "1.5038214, 1.5507938, 1.8284145, 3.5767183, 7.9666966, 10.2914350, 28.2141120", \
+					  "1.5040565, 1.5493017, 1.8290410, 3.5766443, 7.9693923, 10.2873530, 28.2216810", \
+					  "1.5023308, 1.5491490, 1.8276769, 3.5764258, 7.9706872, 10.2878110, 28.1990880", \
+					  "1.5049152, 1.5505910, 1.8291274, 3.5767258, 7.9736555, 10.3074950, 28.2177840", \
+					  "1.5049884, 1.5497776, 1.8297972, 3.5757832, 7.9699101, 10.3039700, 28.2115860");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.3928093, 2.3928096, 2.3928098, 2.3928100, 2.3928103, 2.4023903, 2.4906597", \
+					  "2.9055263, 2.9165059, 2.9165060, 2.9204850, 2.9204852, 2.9303602, 3.0141543", \
+					  "3.3279133, 3.3279135, 3.3347700, 3.3347701, 3.3347704, 3.3347706, 3.4158083", \
+					  "3.6719829, 3.6746379, 3.6746380, 3.6746382, 3.6767607, 3.6797365, 3.7765484", \
+					  "3.9858947, 4.0152046, 4.0152047, 4.0152052, 4.0152057, 4.0152061, 4.1081578", \
+					  "4.2950465, 4.2950466, 4.3029336, 4.3029340, 4.3029345, 4.3082093, 4.3877111", \
+					  "4.5869752, 4.5959379, 4.5959380, 4.5959385, 4.6020125, 4.6020129, 4.6643218");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.8700371, 8.0538678, 9.1668255, 16.0942730, 58.6927410, 321.1207500, 1938.7642000", \
+					  "8.3907725, 8.5830729, 9.7033794, 16.5915870, 59.1190630, 322.0260100, 1939.3458000", \
+					  "8.7973735, 8.9818695, 10.0927800, 17.0299580, 59.6025100, 322.7023900, 1941.6611000", \
+					  "9.1381152, 9.3259676, 10.4402400, 17.3421700, 59.8717240, 322.9056600, 1940.1950000", \
+					  "9.4541486, 9.6361044, 10.7411390, 17.6815080, 60.2422500, 322.8883000, 1940.5904000", \
+					  "9.7860759, 9.9732214, 11.0871500, 18.0231650, 60.4954590, 323.3287200, 1942.0581000", \
+					  "10.0203400, 10.2140640, 11.3371370, 18.2191770, 60.7473750, 323.4297300, 1943.0859000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8756730, 12.2411550, 14.4420830, 28.1980220, 113.4814100, 637.7032900, 3873.1341000", \
+					  "11.8802280, 12.2284060, 14.4305760, 28.1684990, 113.3817100, 638.2983300, 3873.8258000", \
+					  "11.8756650, 12.2424160, 14.4511380, 28.1560780, 113.4818400, 638.0495800, 3872.2010000", \
+					  "11.8701870, 12.2379540, 14.4451080, 28.1793500, 113.3817300, 638.1981700, 3877.3478000", \
+					  "11.8814320, 12.2357280, 14.4622300, 28.1789830, 113.4462100, 637.4334000, 3873.9017000", \
+					  "11.8768620, 12.2462650, 14.4594430, 28.1857990, 113.4794700, 637.6137700, 3872.0623000", \
+					  "11.8734840, 12.2138190, 14.4318440, 28.1922730, 113.3698300, 637.8808700, 3871.4161000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.1444638, 8.3489606, 9.4527302, 16.3792940, 59.1432720, 322.1347000, 1945.0558000", \
+					  "8.8387742, 9.0446086, 10.1464020, 17.0749490, 59.8367440, 323.6037600, 1948.4582000", \
+					  "9.5473869, 9.7528750, 10.8656340, 17.7831010, 60.5558060, 323.8813000, 1947.2718000", \
+					  "10.2838080, 10.4862000, 11.5891200, 18.5165520, 61.2887730, 324.3187100, 1947.5124000", \
+					  "11.0147060, 11.1730610, 12.3241080, 19.2346210, 62.1127330, 325.7798400, 1948.4994000", \
+					  "11.7650790, 11.9093710, 13.0453660, 19.9381880, 62.7455210, 326.5210200, 1949.4394000", \
+					  "12.4432260, 12.5814810, 13.7620870, 20.6350260, 63.2823780, 327.2549200, 1950.4951000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7245260, 12.0899370, 14.3214890, 28.0846880, 113.7353500, 639.9384300, 3887.1544000", \
+					  "11.7265180, 12.0893310, 14.3202600, 28.0646550, 113.7323000, 639.2070900, 3890.1776000", \
+					  "11.7254440, 12.0899140, 14.3197910, 28.0604960, 113.7400900, 639.9141600, 3884.6956000", \
+					  "11.7262910, 12.0898050, 14.3212840, 28.0855570, 113.7136300, 640.0756000, 3885.3104000", \
+					  "11.7222030, 12.0841710, 14.3209320, 28.0448520, 113.3165700, 640.0009100, 3884.1813000", \
+					  "11.7238580, 12.0891640, 14.3171660, 28.0623600, 113.7112400, 640.0790700, 3885.6265000", \
+					  "11.7192850, 12.0849390, 14.3139910, 28.1010310, 113.3420000, 639.9035900, 3886.3600000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6162146, 2.6405615, 2.7885168, 3.6789896, 8.7987502, 17.0391860, 36.4997810", \
+					  "3.1459257, 3.1721945, 3.3184171, 4.2051149, 9.3340766, 17.5749020, 37.0238240", \
+					  "3.5613934, 3.5632322, 3.7166281, 4.6262434, 9.7401438, 17.9841950, 37.4426460", \
+					  "3.9023047, 3.9396171, 4.0876400, 4.9542090, 10.0709390, 18.3139850, 37.7883360", \
+					  "4.1976782, 4.2223482, 4.3708379, 5.2997300, 10.4254590, 18.6359800, 38.1332010", \
+					  "4.4975601, 4.5612838, 4.7098738, 5.5952305, 10.7136830, 18.9560790, 38.4138840", \
+					  "4.8369793, 4.8450830, 4.9895639, 5.8854144, 10.9923920, 19.2336920, 38.6880640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5053937, 1.5507569, 1.8293403, 3.5767198, 7.9687013, 10.3046520, 28.2022280", \
+					  "1.5055671, 1.5505273, 1.8294731, 3.5733139, 7.9625941, 10.2984370, 28.1997450", \
+					  "1.5034000, 1.5494390, 1.8290743, 3.5765513, 7.9676337, 10.2792460, 28.2162350", \
+					  "1.5044734, 1.5485192, 1.8307106, 3.5766341, 7.9696525, 10.3034270, 28.1853540", \
+					  "1.5064219, 1.5612986, 1.8306886, 3.5769172, 7.9779641, 10.2967890, 28.2187320", \
+					  "1.5056285, 1.5503501, 1.8290407, 3.5756973, 7.9716624, 10.3288420, 28.2281080", \
+					  "1.5079232, 1.5533848, 1.8294045, 3.5863641, 7.9700255, 10.3014150, 28.2320850");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1768225, 2.1768226, 2.1768228, 2.1820006, 2.1820008, 2.1844191, 2.2993099", \
+					  "2.6916891, 2.7045470, 2.7064906, 2.7074802, 2.7074804, 2.7104969, 2.8069014", \
+					  "3.1152552, 3.1152553, 3.1202601, 3.1202602, 3.1202604, 3.1202606, 3.2036787", \
+					  "3.4508265, 3.4575494, 3.4575495, 3.4624159, 3.4624161, 3.4654468, 3.5568655", \
+					  "3.7896899, 3.7896902, 3.7896904, 3.7906229, 3.8010493, 3.8010494, 3.8963339", \
+					  "4.0965169, 4.0965174, 4.0968038, 4.0978976, 4.0978978, 4.0978983, 4.1865529", \
+					  "4.3719823, 4.3823847, 4.3823851, 4.3823855, 4.3823860, 4.3828630, 4.4619313");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6035971, 2.6169182, 2.6888258, 2.9538381, 3.8775720, 8.4553058, 26.4107650", \
+					  "3.1373446, 3.1505867, 3.2223257, 3.4874161, 4.4112998, 8.9884242, 26.9484510", \
+					  "3.5323799, 3.5455494, 3.6173085, 3.8919721, 4.8149005, 9.3907406, 27.3236140", \
+					  "3.8768828, 3.8905004, 3.9617993, 4.2310280, 5.1533786, 9.7327844, 27.6789580", \
+					  "4.1855306, 4.1988626, 4.2706221, 4.5769277, 5.5009708, 10.0806470, 27.9982600", \
+					  "4.5279913, 4.5411697, 4.6130624, 4.8896585, 5.8121294, 10.3809650, 28.3347300", \
+					  "4.7692170, 4.7825583, 4.8542068, 5.1745537, 6.0977867, 10.6224740, 28.5700620");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7596975, 0.7618445, 0.7723361, 0.7599894, 1.7363733, 7.0809638, 27.3392300", \
+					  "0.7604836, 0.7619965, 0.7728204, 0.7586445, 1.7360469, 7.0701174, 27.3299850", \
+					  "0.7596969, 0.7623428, 0.7714763, 0.7597918, 1.7319375, 7.0721766, 27.3038570", \
+					  "0.7599900, 0.7618922, 0.7718044, 0.7589781, 1.7329227, 7.0819923, 27.3539480", \
+					  "0.7605751, 0.7623061, 0.7728104, 0.7585955, 1.7372378, 7.0840334, 27.3243440", \
+					  "0.7598796, 0.7623989, 0.7721056, 0.7598281, 1.7332277, 7.0830466, 27.3509840", \
+					  "0.7601195, 0.7623149, 0.7723440, 0.7594516, 1.7341409, 7.0828383, 27.3313620");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6528657, 2.6613811, 2.7125737, 2.9662803, 3.9420969, 6.6183010, 15.6708800", \
+					  "3.3459970, 3.3544580, 3.4055052, 3.6592405, 4.6347566, 7.3126531, 16.3647320", \
+					  "4.0543102, 4.0628292, 4.1140034, 4.3677963, 5.3436602, 8.0199571, 17.0832270", \
+					  "4.7696522, 4.7781588, 4.8292228, 5.0830837, 6.0784902, 8.7547694, 17.8074160", \
+					  "5.4968559, 5.5053380, 5.5563438, 5.8377392, 6.7861090, 9.4912673, 18.5439150", \
+					  "6.2092571, 6.2179284, 6.2696723, 6.5585968, 7.4982989, 10.2127000, 19.2281480", \
+					  "6.9081243, 6.9166282, 6.9678134, 7.2637985, 8.1967935, 10.9179820, 19.9268250");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4246962, 0.4293179, 0.4572185, 0.6418591, 1.4487969, 3.3840477, 13.2095660", \
+					  "0.4246053, 0.4293253, 0.4577040, 0.6417913, 1.4493993, 3.3828644, 13.2106440", \
+					  "0.4247200, 0.4293313, 0.4574654, 0.6421373, 1.4491461, 3.3853027, 13.2137860", \
+					  "0.4246742, 0.4293544, 0.4577709, 0.6420984, 1.4489842, 3.3852765, 13.2144230", \
+					  "0.4238815, 0.4290827, 0.4579356, 0.6420672, 1.4494520, 3.3819605, 13.2045090", \
+					  "0.4248201, 0.4290557, 0.4575443, 0.6408894, 1.4509534, 3.3816949, 13.2237750", \
+					  "0.4225233, 0.4267184, 0.4566281, 0.6419846, 1.4507806, 3.3825172, 13.2124140");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2019164, 2.2238082, 2.2238085, 2.2238087, 2.2238089, 2.2238092, 2.2928970", \
+					  "2.7300247, 2.7536622, 2.7536623, 2.7536625, 2.7536628, 2.7536630, 2.8618943", \
+					  "3.1464193, 3.1567651, 3.1567652, 3.1567654, 3.1567656, 3.1567659, 3.2365999", \
+					  "3.5017174, 3.5017175, 3.5041445, 3.5041446, 3.5041448, 3.5041451, 3.6006624", \
+					  "3.8355341, 3.8355343, 3.8355345, 3.8355347, 3.8355350, 3.8355352, 3.9454235", \
+					  "4.1245882, 4.1265156, 4.1364721, 4.1364725, 4.1364730, 4.1364735, 4.2205155", \
+					  "4.4107806, 4.4193831, 4.4193833, 4.4193838, 4.4193843, 4.4193848, 4.5154964");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1860509, 2.1860511, 2.1860513, 2.1860515, 2.1860518, 2.1860520, 2.3196214", \
+					  "2.6957223, 2.7068006, 2.7172782, 2.7172784, 2.7172786, 2.7172789, 2.8338540", \
+					  "3.1274252, 3.1274254, 3.1274256, 3.1274258, 3.1274261, 3.1274263, 3.2392302", \
+					  "3.4694254, 3.4772798, 3.4772801, 3.4772803, 3.4772805, 3.4772808, 3.5828933", \
+					  "3.7844421, 3.7933341, 3.7933342, 3.7933344, 3.7933347, 3.7964574, 3.9117589", \
+					  "4.0792574, 4.0820978, 4.0820983, 4.0907433, 4.0907434, 4.0963331, 4.2220978", \
+					  "4.3736916, 4.3810471, 4.3810475, 4.3810480, 4.3810485, 4.3810490, 4.4834692");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4421166, 3.4421167, 3.4421169, 3.4421171, 3.4421174, 3.4421176, 3.4421179", \
+					  "3.9952956, 3.9979451, 3.9979452, 3.9979455, 3.9979457, 3.9979460, 3.9979462", \
+					  "4.4158708, 4.4182347, 4.4182351, 4.4182356, 4.4182361, 4.4182365, 4.4182370", \
+					  "4.7644484, 4.7679820, 4.7679823, 4.7679828, 4.7679832, 4.7679837, 4.7679842", \
+					  "5.0750972, 5.0759317, 5.0759318, 5.0759323, 5.0759328, 5.0759333, 5.0759337", \
+					  "5.4011098, 5.4011100, 5.4011105, 5.4011109, 5.4011114, 5.4011119, 5.4011124", \
+					  "5.6698917, 5.6827449, 5.6827453, 5.6827457, 5.6827462, 5.6827467, 5.6827472");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7836498, 2.7974847, 2.8710208, 3.1385046, 4.0571457, 8.6321510, 26.5948930", \
+					  "3.4748904, 3.4884209, 3.5613412, 3.8321537, 4.7427502, 9.3254906, 27.2836150", \
+					  "4.1700172, 4.2103259, 4.2625006, 4.5434287, 5.4413682, 10.0453310, 27.9832810", \
+					  "4.8860045, 4.9028701, 4.9605444, 5.2341300, 6.1695085, 10.7368700, 28.6893030", \
+					  "5.6131468, 5.6131471, 5.7002278, 5.9594578, 6.9043278, 11.4496580, 29.3997360", \
+					  "6.3142696, 6.3142698, 6.4133476, 6.7150916, 7.5863165, 12.1374910, 30.1242410", \
+					  "6.9728086, 7.0215513, 7.0646391, 7.3433335, 8.2951841, 12.8576240, 30.8265090");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787465, 0.7820685, 0.7936732, 0.7858931, 1.7370597, 7.0860908, 27.3339770", \
+					  "0.7787556, 0.7820616, 0.7935701, 0.7858887, 1.7370357, 7.0861694, 27.3108360", \
+					  "0.7794773, 0.7820632, 0.7936974, 0.7841145, 1.7368819, 7.0860899, 27.3335680", \
+					  "0.7787621, 0.7820601, 0.7936577, 0.7858863, 1.7370352, 7.0880986, 27.3314420", \
+					  "0.7793549, 0.7817372, 0.7945972, 0.7859846, 1.7368023, 7.0871047, 27.3544030", \
+					  "0.7788059, 0.7816531, 0.7933057, 0.7837797, 1.7374061, 7.0883037, 27.3466510", \
+					  "0.7791197, 0.7822912, 0.7936253, 0.7849671, 1.7356668, 7.0875541, 27.3467400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.3242080, 8.4810612, 9.6033119, 16.5562640, 59.1879430, 323.0818200, 1945.6979000", \
+					  "8.9855394, 9.1683932, 10.2955330, 17.2179980, 60.0043250, 323.7950900, 1945.9994000", \
+					  "9.7037427, 9.8711093, 10.9965810, 17.9151820, 60.5951980, 323.9710800, 1947.3359000", \
+					  "10.4003340, 10.5673580, 11.6944560, 18.6255470, 61.4534410, 324.7939400, 1947.6454000", \
+					  "11.1200070, 11.3175060, 12.4290070, 19.3346440, 62.0529830, 325.5034100, 1948.2761000", \
+					  "11.8052230, 12.0458990, 13.1730880, 20.0604620, 62.9329050, 326.5697900, 1948.5765000", \
+					  "12.5237990, 12.7574220, 13.8814470, 20.7619930, 63.5828310, 327.3628700, 1949.9241000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7247150, 12.0902830, 14.3206190, 28.1043970, 113.3508700, 639.8688600, 3885.3914000", \
+					  "11.7193770, 12.0783540, 14.3204510, 28.0903820, 113.7176500, 640.0247200, 3886.4164000", \
+					  "11.7216100, 12.0827750, 14.3204290, 28.0806470, 113.4566700, 640.2557300, 3884.5815000", \
+					  "11.7215990, 12.0827130, 14.3222750, 28.0783520, 113.6328300, 640.4765700, 3886.4609000", \
+					  "11.7237030, 12.0827620, 14.3009860, 28.1026620, 113.5590800, 640.3569700, 3886.1867000", \
+					  "11.7236620, 12.0842380, 14.3217340, 28.0774350, 113.2824700, 639.5238800, 3885.7843000", \
+					  "11.7252700, 12.0854460, 14.3217860, 28.1035430, 113.3206600, 639.7492600, 3885.5123000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7210066, 3.7210068, 3.7210070, 3.7210073, 3.7210075, 3.7210078, 3.7210080", \
+					  "4.2380917, 4.2512571, 4.2512572, 4.2512577, 4.2512582, 4.2512587, 4.2512591", \
+					  "4.6365414, 4.6541335, 4.6541336, 4.6541341, 4.6541346, 4.6541350, 4.6541355", \
+					  "4.9867395, 4.9929154, 4.9929159, 5.0026392, 5.0026396, 5.0026401, 5.0026405", \
+					  "5.3449822, 5.3493996, 5.3493999, 5.3494003, 5.3494008, 5.3494013, 5.3494018", \
+					  "5.6098339, 5.6098340, 5.6098345, 5.6098350, 5.6098354, 5.6098359, 5.6098364", \
+					  "5.8856329, 5.9026459, 5.9026464, 5.9026468, 5.9026473, 5.9026478, 5.9026483");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1252895, 2.1252896, 2.1252898, 2.1252901, 2.1252903, 2.1252906, 2.2753006", \
+					  "2.6585749, 2.6585750, 2.6585752, 2.6585755, 2.6585757, 2.6585759, 2.7984755", \
+					  "3.0500380, 3.0589127, 3.0589129, 3.0589132, 3.0589134, 3.0629745, 3.2130640", \
+					  "3.4122143, 3.4143124, 3.4143125, 3.4143128, 3.4143130, 3.4143132, 3.5651628", \
+					  "3.7437218, 3.7437219, 3.7437221, 3.7440340, 3.7440342, 3.7440345, 3.8810808", \
+					  "4.0449466, 4.0449470, 4.0449474, 4.0449479, 4.0449484, 4.0449489, 4.1962975", \
+					  "4.3199293, 4.3341366, 4.3341368, 4.3341373, 4.3341377, 4.3341382, 4.4629633");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.0700025, 3.0942884, 3.2453755, 4.1653365, 8.6490051, 28.2686030, 68.6191440", \
+					  "3.7638235, 3.7865235, 3.9377706, 4.8529931, 9.3314316, 28.9626260, 69.3024590", \
+					  "4.4790904, 4.4979562, 4.6555000, 5.5645528, 10.0362470, 29.6620700, 70.0177620", \
+					  "5.1745288, 5.1980615, 5.3508531, 6.2690062, 10.7561900, 30.3595480, 70.7155650", \
+					  "5.9068319, 5.9578080, 6.0564531, 7.0131381, 11.4905080, 31.0985940, 71.4373840", \
+					  "6.6004429, 6.6541457, 6.7488988, 7.7210425, 12.1797360, 31.8085650, 72.1693990", \
+					  "7.3000561, 7.3083246, 7.4670767, 8.3833864, 12.8813920, 32.4613470, 72.8074380");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5264959, 1.5727522, 1.8571669, 3.2750918, 8.5708756, 31.0606440, 38.0555000", \
+					  "1.5265310, 1.5727767, 1.8571980, 3.2745064, 8.5710177, 31.0786810, 38.0178730", \
+					  "1.5265516, 1.5725338, 1.8572460, 3.2748775, 8.5722052, 31.0668220, 38.0422520", \
+					  "1.5265497, 1.5727710, 1.8571578, 3.2751928, 8.5721750, 31.0463940, 38.0066470", \
+					  "1.5269640, 1.5725372, 1.8570127, 3.2751428, 8.5740801, 31.0496980, 38.0275650", \
+					  "1.5262609, 1.5717306, 1.8556653, 3.2732046, 8.5683451, 31.0742190, 38.0357580", \
+					  "1.5255788, 1.5729738, 1.8559171, 3.2736580, 8.5705647, 31.0665660, 38.1080590");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1693101, 2.1711322, 2.1917217, 2.1917218, 2.1917221, 2.1917223, 2.2660929", \
+					  "2.6981164, 2.7016618, 2.7016620, 2.7083364, 2.7083365, 2.7083368, 2.8412219", \
+					  "3.1094061, 3.1094062, 3.1094064, 3.1094066, 3.1145140, 3.1145143, 3.2408924", \
+					  "3.4523497, 3.4759322, 3.4759323, 3.4759325, 3.4759328, 3.4759330, 3.5813208", \
+					  "3.7815400, 3.7989203, 3.7989206, 3.7989208, 3.7989210, 3.7989213, 3.9038954", \
+					  "4.0774220, 4.0990114, 4.0990117, 4.0990122, 4.0990127, 4.0990131, 4.2017261", \
+					  "4.3739430, 4.3856057, 4.3856061, 4.3856066, 4.3856071, 4.3856075, 4.4593795");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1283444, 2.1283445, 2.1283447, 2.1289261, 2.1300877, 2.1300878, 2.3004625", \
+					  "2.6595470, 2.6595473, 2.6595475, 2.6595477, 2.6595480, 2.6595482, 2.7967679", \
+					  "3.0519669, 3.0637990, 3.0637991, 3.0637993, 3.0637996, 3.0637998, 3.2079779", \
+					  "3.4095773, 3.4191725, 3.4191727, 3.4191729, 3.4191732, 3.4191734, 3.5591503", \
+					  "3.7427976, 3.7427978, 3.7434091, 3.7447889, 3.7447891, 3.7447893, 3.8817500", \
+					  "4.0387316, 4.0404475, 4.0404480, 4.0404485, 4.0477302, 4.0477303, 4.1966053", \
+					  "4.3215440, 4.3291273, 4.3291276, 4.3291281, 4.3291286, 4.3291290, 4.4539870");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7200636, 3.7226233, 3.7226235, 3.7226238, 3.7226240, 3.7226242, 3.7226245", \
+					  "4.2247068, 4.2548192, 4.2548197, 4.2548202, 4.2548206, 4.2548211, 4.2548216", \
+					  "4.6362834, 4.6362837, 4.6362842, 4.6523958, 4.6523960, 4.6523965, 4.6523970", \
+					  "4.9989790, 5.0126703, 5.0126708, 5.0126713, 5.0126718, 5.0126722, 5.0126727", \
+					  "5.3420974, 5.3536948, 5.3536952, 5.3536957, 5.3536962, 5.3536966, 5.3536971", \
+					  "5.6056897, 5.6114269, 5.6114271, 5.6114276, 5.6114281, 5.6114285, 5.6114290", \
+					  "5.8800667, 5.8800672, 5.8800676, 5.8800681, 5.8800686, 5.8800691, 5.8800695");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4483838, 3.4548424, 3.4548425, 3.4548427, 3.4548430, 3.4548432, 3.4548435", \
+					  "3.9925721, 3.9925722, 3.9925725, 3.9925727, 3.9925730, 3.9925732, 3.9925734", \
+					  "4.4171276, 4.4186881, 4.4186886, 4.4186891, 4.4186895, 4.4186900, 4.4186905", \
+					  "4.7621000, 4.7621005, 4.7621010, 4.7621015, 4.7621019, 4.7621024, 4.7621029", \
+					  "5.0751403, 5.0755666, 5.0755671, 5.0755675, 5.0755680, 5.0755685, 5.0755690", \
+					  "5.4040332, 5.4040335, 5.4040339, 5.4040344, 5.4040349, 5.4040354, 5.4040359", \
+					  "5.6704286, 5.7033289, 5.7033294, 5.7033299, 5.7033303, 5.7033308, 5.7033313");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.5886442, 2.6025981, 2.6759901, 2.9441372, 3.8621364, 8.4369516, 26.4010160", \
+					  "3.1222391, 3.1340821, 3.2057106, 3.4769532, 4.3914130, 8.9687520, 26.9330530", \
+					  "3.5311960, 3.5403749, 3.6228378, 3.8870853, 4.8053432, 9.3786143, 27.3414290", \
+					  "3.8851873, 3.8973664, 3.9598183, 4.2189252, 5.1330189, 9.7119797, 27.6856560", \
+					  "4.2215373, 4.2215374, 4.2586521, 4.5520880, 5.4950222, 10.0503900, 27.9777210", \
+					  "4.5025388, 4.5025390, 4.6113802, 4.8572506, 5.7783542, 10.3516330, 28.2590200", \
+					  "4.7853335, 4.8095585, 4.8956652, 5.1488236, 6.0602727, 10.6363020, 28.6160770");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787416, 0.7820025, 0.7936552, 0.7858776, 1.7380548, 7.0861801, 27.3119860", \
+					  "0.7787404, 0.7820448, 0.7936440, 0.7859001, 1.7374018, 7.0862174, 27.3146360", \
+					  "0.7787446, 0.7820364, 0.7937234, 0.7858761, 1.7366059, 7.0860842, 27.3135100", \
+					  "0.7793315, 0.7815256, 0.7945467, 0.7847633, 1.7376660, 7.0853394, 27.3567910", \
+					  "0.7787757, 0.7816464, 0.7936408, 0.7847149, 1.7387249, 7.0893269, 27.3656850", \
+					  "0.7787928, 0.7816174, 0.7936150, 0.7856450, 1.7374165, 7.0870683, 27.3214860", \
+					  "0.7787499, 0.7820421, 0.7936458, 0.7853471, 1.7386848, 7.0886457, 27.3326200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4146301, 2.4146303, 2.4146306, 2.4146308, 2.4175906, 2.4224074, 2.5329445", \
+					  "2.9459892, 2.9459893, 2.9459895, 2.9459898, 2.9459900, 2.9484881, 3.0574943", \
+					  "3.3539900, 3.3552845, 3.3552846, 3.3552849, 3.3552851, 3.3644882, 3.4535206", \
+					  "3.7015173, 3.7020440, 3.7020442, 3.7020444, 3.7080337, 3.7080340, 3.8212233", \
+					  "4.0468331, 4.0468333, 4.0468338, 4.0468343, 4.0468348, 4.0468352, 4.1555435", \
+					  "4.3270023, 4.3449901, 4.3449905, 4.3449910, 4.3449915, 4.3449920, 4.4218083", \
+					  "4.6153534, 4.6153539, 4.6153543, 4.6153548, 4.6153553, 4.6290665, 4.7170636");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7836635, 2.7968698, 2.8709930, 3.1384257, 4.0563464, 8.6320204, 26.5937800", \
+					  "3.4774579, 3.4864831, 3.5618455, 3.8231285, 4.7492343, 9.3139505, 27.2880740", \
+					  "4.1737054, 4.2044674, 4.2620609, 4.5295057, 5.4479501, 10.0222910, 27.9846240", \
+					  "4.9092073, 4.9092076, 5.0039568, 5.2538867, 6.1814820, 10.7630860, 28.6851310", \
+					  "5.6090323, 5.6251692, 5.6932858, 5.9660237, 6.8826345, 11.4538830, 29.4103530", \
+					  "6.3217342, 6.3774293, 6.4126984, 6.6778871, 7.5943589, 12.1683770, 30.1329880", \
+					  "6.9733956, 6.9900006, 7.1343083, 7.3458000, 8.2897458, 12.8159820, 30.8743570");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787885, 0.7817243, 0.7936932, 0.7858986, 1.7369235, 7.0861055, 27.3380710", \
+					  "0.7788226, 0.7815819, 0.7946153, 0.7859092, 1.7378862, 7.0878743, 27.3304170", \
+					  "0.7795866, 0.7821024, 0.7937306, 0.7860213, 1.7380865, 7.0861623, 27.3392540", \
+					  "0.7788671, 0.7821168, 0.7937089, 0.7841517, 1.7368206, 7.0861201, 27.3173660", \
+					  "0.7791826, 0.7817635, 0.7949109, 0.7860488, 1.7369400, 7.0872850, 27.3437660", \
+					  "0.7796326, 0.7817121, 0.7948379, 0.7858928, 1.7383704, 7.0852892, 27.3312790", \
+					  "0.7790684, 0.7816939, 0.7940496, 0.7855403, 1.7353025, 7.0871593, 27.3488250");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8073264, 2.8162008, 2.8669360, 3.1191654, 4.0957744, 6.7804575, 15.8362910", \
+					  "3.4950807, 3.5022920, 3.5568868, 3.8097703, 4.7846238, 7.4671327, 16.5261350", \
+					  "4.2028030, 4.2047765, 4.2519663, 4.5036073, 5.4871516, 8.1705247, 17.2279040", \
+					  "4.9128857, 4.9128860, 4.9778315, 5.2351248, 6.2124106, 8.8719556, 17.9265050", \
+					  "5.6702212, 5.6702216, 5.6877378, 5.9460290, 6.9224826, 9.5880646, 18.6644850", \
+					  "6.3273418, 6.3508860, 6.3684351, 6.6634520, 7.6370013, 10.3156770, 19.3766230", \
+					  "7.0385697, 7.0945747, 7.0945752, 7.3898110, 8.3743981, 11.0110790, 20.0561670");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4450747, 0.4488114, 0.4779149, 0.6556516, 1.4609118, 3.3909178, 13.2032610", \
+					  "0.4450308, 0.4504416, 0.4782491, 0.6556547, 1.4599387, 3.3844145, 13.2081710", \
+					  "0.4465755, 0.4507817, 0.4782902, 0.6556513, 1.4599575, 3.3890537, 13.2072950", \
+					  "0.4453694, 0.4488007, 0.4784335, 0.6561364, 1.4600186, 3.3914012, 13.2077760", \
+					  "0.4451751, 0.4499267, 0.4782210, 0.6553950, 1.4609381, 3.3881278, 13.2079000", \
+					  "0.4462290, 0.4498588, 0.4781974, 0.6554580, 1.4624050, 3.3930176, 13.2189020", \
+					  "0.4461284, 0.4495537, 0.4780339, 0.6572494, 1.4621027, 3.3864895, 13.2260320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8105167, 2.8355338, 2.9828322, 3.8734109, 8.9957863, 17.2354240, 36.6936450", \
+					  "3.5053764, 3.5273637, 3.6770360, 4.5609809, 9.6862101, 17.9260430, 37.3799590", \
+					  "4.2136077, 4.2191952, 4.3963926, 5.2638915, 10.3795160, 18.6403990, 38.0837340", \
+					  "4.9126609, 4.9347295, 5.0883155, 5.9987810, 11.1062480, 19.3403690, 38.7821080", \
+					  "5.6729501, 5.6729506, 5.7897425, 6.6929688, 11.8156850, 20.0847730, 39.4986550", \
+					  "6.3336252, 6.3982686, 6.4855059, 7.4090005, 12.5280870, 20.7530220, 40.2257390", \
+					  "7.0437332, 7.1148807, 7.2062112, 8.1532123, 13.2243840, 21.4543440, 40.9356100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5054500, 1.5508842, 1.8294566, 3.5767644, 7.9759763, 10.2867050, 28.2089850", \
+					  "1.5044047, 1.5502641, 1.8288909, 3.5774670, 7.9621589, 10.2997830, 28.2296600", \
+					  "1.5038476, 1.5505198, 1.8291682, 3.5760823, 7.9706490, 10.2858860, 28.1912540", \
+					  "1.5041173, 1.5501829, 1.8288363, 3.5759160, 7.9705949, 10.2877600, 28.2074670", \
+					  "1.5057008, 1.5499531, 1.8285546, 3.5733425, 7.9616926, 10.3238350, 28.2198420", \
+					  "1.5055285, 1.5500308, 1.8275393, 3.5765262, 7.9770492, 10.2997580, 28.2128290", \
+					  "1.5040963, 1.5504771, 1.8293235, 3.5750776, 7.9676097, 10.2787690, 28.1888730");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.0810066, 3.1043559, 3.2553518, 4.1805786, 9.3351682, 17.3070540, 32.8052070", \
+					  "3.7712642, 3.7904706, 3.9480779, 4.8724464, 10.0242850, 17.9931110, 33.4984770", \
+					  "4.4715289, 4.4881081, 4.6601754, 5.5705112, 10.7417820, 18.6971310, 34.2049770", \
+					  "5.1904725, 5.2105458, 5.3603793, 6.2810934, 11.4346700, 19.4361700, 34.8970030", \
+					  "5.9068017, 5.9199214, 6.0632281, 6.9982597, 12.1646070, 20.1296010, 35.6323180", \
+					  "6.6073720, 6.6088046, 6.7566086, 7.7202165, 12.8593530, 20.8466560, 36.3046770", \
+					  "7.3112445, 7.3302462, 7.4774293, 8.4280378, 13.5216060, 21.5781540, 37.0050410");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5217254, 1.5665442, 1.8560599, 3.6390950, 8.7181080, 9.4437036, 19.4415650", \
+					  "1.5211732, 1.5667679, 1.8553534, 3.6390020, 8.7163556, 9.4376222, 19.4305270", \
+					  "1.5209598, 1.5666746, 1.8557459, 3.6459880, 8.7159000, 9.4378123, 19.4220040", \
+					  "1.5218196, 1.5670342, 1.8555855, 3.6389241, 8.7187999, 9.4389749, 19.4032110", \
+					  "1.5206102, 1.5673073, 1.8539380, 3.6471006, 8.7207015, 9.4377697, 19.4270930", \
+					  "1.5211438, 1.5659956, 1.8524342, 3.6411199, 8.7198071, 9.4387103, 19.4275120", \
+					  "1.5220307, 1.5651299, 1.8562125, 3.6477072, 8.7197272, 9.4379100, 19.4024900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1708653, 2.1708655, 2.1913928, 2.1913931, 2.1913933, 2.1913935, 2.2558313", \
+					  "2.6981406, 2.7173628, 2.7173630, 2.7173633, 2.7173635, 2.7173638, 2.8565528", \
+					  "3.1059477, 3.1105005, 3.1105007, 3.1135032, 3.1135034, 3.1168350, 3.2345062", \
+					  "3.4534910, 3.4719467, 3.4719469, 3.4719471, 3.4719474, 3.4719476, 3.5834771", \
+					  "3.7827759, 3.7884460, 3.7884461, 3.7884464, 3.7884466, 3.7935015, 3.9057135", \
+					  "4.0835304, 4.0904329, 4.0904334, 4.0952792, 4.0952795, 4.0952800, 4.1990988", \
+					  "4.3813553, 4.3834159, 4.3863104, 4.3863109, 4.3863113, 4.3863118, 4.4704618");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1263935, 2.1263937, 2.1266597, 2.1320661, 2.1320662, 2.1320664, 2.2975024", \
+					  "2.6531553, 2.6531555, 2.6531557, 2.6573630, 2.6573631, 2.6580322, 2.8087996", \
+					  "3.0556746, 3.0587073, 3.0623128, 3.0623130, 3.0623132, 3.0623135, 3.2190228", \
+					  "3.4164795, 3.4195096, 3.4195098, 3.4196663, 3.4196665, 3.4196667, 3.5571259", \
+					  "3.7433678, 3.7433681, 3.7433683, 3.7450443, 3.7450444, 3.7450446, 3.8818651", \
+					  "4.0397681, 4.0444399, 4.0444401, 4.0444406, 4.0444410, 4.0444415, 4.1986294", \
+					  "4.3212500, 4.3262443, 4.3345101, 4.3345106, 4.3345111, 4.3345116, 4.4581876");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1415101, 2.1415102, 2.1415104, 2.1415107, 2.1415109, 2.1415111, 2.2898043", \
+					  "2.8303957, 2.8303959, 2.8303961, 2.8319280, 2.8319282, 2.8319284, 2.9687723", \
+					  "3.5489660, 3.5489661, 3.5489663, 3.5500247, 3.5500249, 3.5500252, 3.6884926", \
+					  "4.2725716, 4.2726191, 4.2726195, 4.2726200, 4.2726205, 4.2726210, 4.4117643", \
+					  "5.0059130, 5.0059131, 5.0059135, 5.0059140, 5.0059145, 5.0059150, 5.1423679", \
+					  "5.7226432, 5.7226437, 5.7226441, 5.7231322, 5.7231324, 5.7231329, 5.8627725", \
+					  "6.4190977, 6.4208479, 6.4208482, 6.4208487, 6.4222587, 6.4222591, 6.5574870");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7936555, 2.8028087, 2.8546401, 3.1086631, 4.1685393, 9.7037329, 37.1987280", \
+					  "3.4862480, 3.4938217, 3.5470555, 3.7998977, 4.8559228, 10.3913080, 37.8771290", \
+					  "4.1771675, 4.1893018, 4.2411625, 4.5045376, 5.5584692, 11.0939880, 38.5762750", \
+					  "4.9027191, 4.9027193, 4.9571343, 5.2092761, 6.2700241, 11.8340060, 39.2725990", \
+					  "5.6137268, 5.6644196, 5.6773155, 5.9396310, 6.9850139, 12.5277330, 40.0168930", \
+					  "6.3262222, 6.3290697, 6.3661942, 6.6524048, 7.7090014, 13.2405300, 40.7292650", \
+					  "7.0220683, 7.0220688, 7.0749886, 7.3452870, 8.4138776, 13.8870640, 41.4608050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4502972, 0.4532669, 0.4824386, 0.6606432, 1.7591616, 8.7066416, 30.0156340", \
+					  "0.4500515, 0.4532573, 0.4823593, 0.6604155, 1.7588023, 8.7045731, 30.0714050", \
+					  "0.4498519, 0.4538694, 0.4830141, 0.6607033, 1.7597439, 8.7124086, 30.0137800", \
+					  "0.4498704, 0.4534224, 0.4824557, 0.6602333, 1.7594516, 8.7049647, 29.9810810", \
+					  "0.4505484, 0.4541374, 0.4817201, 0.6596163, 1.7593627, 8.6987608, 29.9827900", \
+					  "0.4477961, 0.4540945, 0.4797622, 0.6620894, 1.7591687, 8.7044416, 30.0317820", \
+					  "0.4497863, 0.4531125, 0.4826556, 0.6619887, 1.7629889, 8.7041911, 30.0491120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1421631, 2.1437951, 2.1437952, 2.1437954, 2.1437957, 2.1437959, 2.2848237", \
+					  "2.8307272, 2.8307273, 2.8307275, 2.8307277, 2.8307280, 2.8307282, 2.9703537", \
+					  "3.5485010, 3.5496615, 3.5496616, 3.5527388, 3.5527391, 3.5527393, 3.6890107", \
+					  "4.2725898, 4.2725900, 4.2729575, 4.2729576, 4.2729581, 4.2729586, 4.4084252", \
+					  "5.0063416, 5.0063417, 5.0063422, 5.0063427, 5.0063432, 5.0063437, 5.1414450", \
+					  "5.7214078, 5.7214082, 5.7214087, 5.7214091, 5.7214096, 5.7214101, 5.8489427", \
+					  "6.4195946, 6.4205352, 6.4215055, 6.4215057, 6.4215062, 6.4215067, 6.5541266");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0560030, 8.2365217, 9.3449266, 16.2278490, 58.7698850, 321.7302500, 1938.8101000", \
+					  "8.7442897, 8.9219858, 10.0529150, 16.9734800, 59.5367060, 322.3198900, 1941.1430000", \
+					  "9.4358743, 9.6266639, 10.7352620, 17.6236840, 60.2093020, 322.9869500, 1940.2830000", \
+					  "10.1797010, 10.3607110, 11.4471440, 18.3820000, 60.9189360, 323.4957000, 1940.9042000", \
+					  "10.8650540, 11.0483760, 12.1674230, 19.0687770, 61.5757050, 324.2386700, 1941.7512000", \
+					  "11.5859660, 11.7659660, 12.8844850, 19.7700610, 62.3379680, 324.5644700, 1942.2828000", \
+					  "12.3304830, 12.5186150, 13.5927860, 20.4463770, 63.0707790, 326.1433200, 1943.1352000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8835310, 12.2395920, 14.4648440, 28.1932200, 113.4539100, 638.2300600, 3873.3538000", \
+					  "11.8851200, 12.2418150, 14.4671220, 28.1929860, 113.4580600, 637.7858300, 3873.0163000", \
+					  "11.8728010, 12.2140480, 14.4635990, 28.1847350, 113.4441700, 638.1860100, 3873.2933000", \
+					  "11.8830300, 12.2407760, 14.4698170, 28.1931920, 113.4386200, 638.1433200, 3873.3642000", \
+					  "11.8807860, 12.2429520, 14.4669930, 28.1976560, 113.3090700, 637.3465500, 3873.4238000", \
+					  "11.8769940, 12.2425810, 14.4590620, 28.1674350, 113.3652900, 637.2884500, 3873.4679000", \
+					  "11.8829010, 12.2431050, 14.4710260, 28.1653540, 113.4521000, 638.0768100, 3874.1086000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.2969640, 8.5064391, 9.6025046, 16.5324000, 59.3239950, 322.2767200, 1945.8496000", \
+					  "8.9875725, 9.1961656, 10.2884420, 17.2123100, 59.9151310, 322.9677900, 1946.7341000", \
+					  "9.6921839, 9.8884234, 10.9946460, 17.9163030, 60.7291280, 324.0901100, 1947.3232000", \
+					  "10.3855480, 10.5832620, 11.7162490, 18.6266200, 61.3278530, 324.4224700, 1948.0312000", \
+					  "11.1248600, 11.3308000, 12.4204680, 19.4138150, 62.2161950, 325.5820800, 1948.3455000", \
+					  "11.8637170, 11.9841270, 13.1375830, 20.1002110, 62.8748110, 326.3435000, 1949.2072000", \
+					  "12.6008010, 12.7041550, 13.8469140, 20.7400550, 63.4413410, 327.2649800, 1952.1651000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7266540, 12.0859630, 14.3061300, 28.0880560, 113.7096100, 640.0401300, 3886.2318000", \
+					  "11.7258920, 12.0894460, 14.3059320, 28.0920100, 113.6030200, 640.0525800, 3884.5319000", \
+					  "11.7266040, 12.0848580, 14.3019330, 28.0512500, 113.7053500, 640.4799800, 3887.1922000", \
+					  "11.7264120, 12.0848570, 14.3199180, 28.0967050, 113.6052000, 639.9465600, 3884.8989000", \
+					  "11.7280660, 12.0833320, 14.3143150, 28.1114790, 113.5874300, 639.8833500, 3886.3600000", \
+					  "11.7265110, 12.0785280, 14.3197660, 28.0645200, 113.7300100, 639.5795300, 3884.5119000", \
+					  "11.7242030, 12.0841950, 14.3188290, 28.1027700, 113.4864400, 639.1175000, 3885.2313000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.5871232, 2.6005864, 2.6733071, 2.9407821, 3.8606509, 8.4479570, 26.4159840", \
+					  "3.1208731, 3.1343099, 3.2070255, 3.4744698, 4.3945843, 8.9813517, 26.9490710", \
+					  "3.5157497, 3.5293288, 3.6020631, 3.8694541, 4.7894098, 9.3756167, 27.3415480", \
+					  "3.8602952, 3.8739270, 3.9465258, 4.2141060, 5.1335722, 9.7183959, 27.6856350", \
+					  "4.1690180, 4.1826183, 4.2552815, 4.5226862, 5.4430127, 10.0322740, 28.0164320", \
+					  "4.5114641, 4.5249449, 4.5975903, 4.8650266, 5.7862932, 10.3707220, 28.3386980", \
+					  "4.7528914, 4.7662110, 4.8390638, 5.1064215, 6.0268324, 10.6154560, 28.5862690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7732594, 0.7765205, 0.7881589, 0.7816313, 1.7405106, 7.1021367, 27.3638300", \
+					  "0.7732967, 0.7764870, 0.7881315, 0.7818871, 1.7435186, 7.1015633, 27.3708400", \
+					  "0.7738095, 0.7760988, 0.7888579, 0.7818031, 1.7412013, 7.1009811, 27.3617950", \
+					  "0.7739651, 0.7759440, 0.7888490, 0.7806320, 1.7426853, 7.0996582, 27.3655160", \
+					  "0.7736307, 0.7759300, 0.7880646, 0.7811464, 1.7435208, 7.1042328, 27.3463740", \
+					  "0.7731653, 0.7761604, 0.7881255, 0.7818640, 1.7450913, 7.1004691, 27.3556700", \
+					  "0.7732749, 0.7762474, 0.7881835, 0.7812217, 1.7432255, 7.1034632, 27.3605900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.4301002, 8.6090290, 9.7751638, 16.8692880, 59.9327590, 323.2232500, 1946.1195000", \
+					  "9.1192884, 9.3197112, 10.4775980, 17.5739100, 60.5404010, 323.9774500, 1948.8332000", \
+					  "9.8399200, 10.0236950, 11.1663490, 18.2629290, 61.3038510, 324.7185100, 1947.5237000", \
+					  "10.5567170, 10.7619370, 11.9023050, 18.9873860, 62.0362000, 325.4130000, 1948.2800000", \
+					  "11.2994430, 11.4540930, 12.6296460, 19.6946620, 62.8081410, 326.7387300, 1949.3527000", \
+					  "12.0154640, 12.1663520, 13.3410010, 20.3953480, 63.5122460, 327.4137200, 1950.5958000", \
+					  "12.7221190, 12.8968110, 14.0215430, 21.1143260, 64.0403360, 327.8823000, 1952.2338000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7355880, 12.1011200, 14.3304170, 28.1244130, 113.6662800, 639.8174200, 3885.5142000", \
+					  "11.7071920, 12.0988800, 14.3122230, 28.1196700, 113.7500800, 639.8774400, 3884.3700000", \
+					  "11.7179730, 12.0837650, 14.3170530, 28.1186560, 113.5232200, 639.8463500, 3885.5101000", \
+					  "11.7278000, 12.0923220, 14.3254870, 28.1120000, 113.6114000, 639.8647100, 3885.5396000", \
+					  "11.7216980, 12.0719100, 14.3202820, 28.0410730, 113.6948700, 639.6663800, 3886.0885000", \
+					  "11.7004490, 12.0616770, 14.3197210, 28.0600220, 113.7140200, 639.8199700, 3887.0262000", \
+					  "11.7023800, 12.0745580, 14.3081860, 28.0788830, 113.2668900, 640.1718300, 3884.8134000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8105367, 2.8348008, 2.9835092, 3.8734802, 8.9942986, 17.2318980, 36.6935350", \
+					  "3.4973757, 3.5259746, 3.6770800, 4.5676871, 9.6834887, 17.9221400, 37.3782750", \
+					  "4.2001891, 4.2251767, 4.3927795, 5.2788067, 10.3799120, 18.6424190, 38.0792110", \
+					  "4.9117230, 4.9613407, 5.0804299, 5.9795555, 11.1032270, 19.3405750, 38.8068530", \
+					  "5.6266387, 5.6598104, 5.8109038, 6.6798806, 11.8567010, 20.0311730, 39.5208030", \
+					  "6.3476673, 6.3763470, 6.5184071, 7.3741515, 12.5193230, 20.7373460, 40.2363300", \
+					  "7.0596613, 7.1114408, 7.1740920, 8.0949301, 13.2305270, 21.4574400, 40.9451390");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5052863, 1.5504605, 1.8293137, 3.5767198, 7.9701001, 10.2987580, 28.2045520", \
+					  "1.5039973, 1.5499093, 1.8296655, 3.5758749, 7.9777065, 10.3028810, 28.2235300", \
+					  "1.5034938, 1.5501453, 1.8291492, 3.5724670, 7.9687119, 10.2880180, 28.1772210", \
+					  "1.5039433, 1.5501936, 1.8306615, 3.5766372, 7.9655873, 10.2893210, 28.2012390", \
+					  "1.5041755, 1.5509812, 1.8310613, 3.5707119, 7.9616234, 10.3097250, 28.2266070", \
+					  "1.5036875, 1.5501964, 1.8309232, 3.5741974, 7.9687910, 10.2826410, 28.1975830", \
+					  "1.5045187, 1.5504221, 1.8289236, 3.5760357, 7.9622859, 10.2792560, 28.1977690");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.2951193, 8.4808738, 9.6258121, 16.5294620, 59.3039040, 322.7481500, 1945.5842000", \
+					  "9.0159835, 9.1647712, 10.2961480, 17.2153830, 60.0427870, 323.7844100, 1946.1513000", \
+					  "9.7156621, 9.8709494, 11.0114220, 17.9215490, 60.7805290, 324.1171100, 1947.2732000", \
+					  "10.4234990, 10.6008910, 11.7057930, 18.6313350, 61.4581380, 325.1912500, 1948.1745000", \
+					  "11.1147380, 11.2782280, 12.4079160, 19.3491390, 62.2005130, 325.5956400, 1948.4346000", \
+					  "11.8373280, 12.0160430, 13.1059990, 20.0658320, 62.9278030, 326.5843700, 1948.3796000", \
+					  "12.5377180, 12.7216820, 13.8287520, 20.7141450, 63.5801310, 327.2416800, 1949.6508000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7235230, 12.0853960, 14.3244570, 28.0629580, 113.7272400, 639.9242800, 3886.3168000", \
+					  "11.7201380, 12.0900380, 14.3198140, 28.1018230, 113.6201700, 639.6892300, 3886.1222000", \
+					  "11.7212600, 12.0899180, 14.3229690, 28.1137440, 113.2354200, 640.4689000, 3885.7061000", \
+					  "11.7266610, 12.0800920, 14.3198990, 28.0741560, 113.6212500, 640.0478600, 3885.5223000", \
+					  "11.7238940, 12.0761190, 14.3187400, 28.0606010, 113.3348100, 639.8605600, 3885.6942000", \
+					  "11.7244360, 12.0844180, 14.3126380, 28.0916870, 113.2304200, 639.5585500, 3884.5278000", \
+					  "11.7235390, 12.0845480, 14.3215170, 28.0782240, 113.3246800, 639.5398500, 3884.6661000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0558742, 8.2368343, 9.3407628, 16.2726830, 58.7674050, 321.5882900, 1941.0802000", \
+					  "8.7474552, 8.9241956, 10.0289670, 16.9613510, 59.4959390, 322.2637900, 1939.4770000", \
+					  "9.4454089, 9.6218260, 10.7280080, 17.6341380, 60.2192220, 322.7085900, 1940.4597000", \
+					  "10.1615050, 10.3360720, 11.4399670, 18.4020180, 60.8698300, 323.5315100, 1940.9372000", \
+					  "10.9129360, 11.0835680, 12.1640000, 19.0563490, 61.5642720, 324.3579300, 1941.8019000", \
+					  "11.5743200, 11.7640410, 12.8739510, 19.7924610, 62.3646410, 324.9145900, 1942.3055000", \
+					  "12.2703130, 12.4723420, 13.5832600, 20.5112670, 63.1025690, 326.1454300, 1943.8380000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8830840, 12.2414360, 14.4662360, 28.1981960, 113.3772400, 637.6536700, 3872.1000000", \
+					  "11.8830460, 12.2327290, 14.4665490, 28.1331050, 113.4342000, 637.6471300, 3873.3574000", \
+					  "11.8653140, 12.2407940, 14.4664410, 28.1871420, 113.3758100, 638.1488900, 3874.0245000", \
+					  "11.8818120, 12.2413970, 14.4663980, 28.1476620, 113.3597000, 637.8276700, 3873.3069000", \
+					  "11.8832710, 12.2437690, 14.4476530, 28.1993520, 113.2728800, 638.3686500, 3873.4079000", \
+					  "11.8653980, 12.2292760, 14.4682350, 28.1761410, 113.3901900, 637.4044100, 3872.6257000", \
+					  "11.8822250, 12.2430200, 14.4707240, 28.1569880, 113.4691300, 638.0844900, 3871.6212000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.0808340, 3.1061920, 3.2577614, 4.1764894, 9.3358397, 17.3056160, 32.8044290", \
+					  "3.7676706, 3.7919730, 3.9500101, 4.8685562, 10.0273060, 18.0015450, 33.4941280", \
+					  "4.4694088, 4.4951798, 4.6618860, 5.5905107, 10.7464410, 18.7226020, 34.1963080", \
+					  "5.1752735, 5.2048330, 5.3608615, 6.3100609, 11.4250850, 19.4034000, 34.8985840", \
+					  "5.8946483, 5.9195697, 6.1035359, 7.0340631, 12.1480820, 20.1344700, 35.6179760", \
+					  "6.6159260, 6.6452790, 6.8288331, 7.7017885, 12.8401580, 20.8852310, 36.3399730", \
+					  "7.3335245, 7.3467517, 7.4662241, 8.3918534, 13.5456310, 21.5723670, 37.0383620");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5196177, 1.5680200, 1.8527689, 3.6439989, 8.7154577, 9.4380992, 19.4106540", \
+					  "1.5215458, 1.5663673, 1.8556400, 3.6469263, 8.7148599, 9.4533243, 19.3921840", \
+					  "1.5212346, 1.5680479, 1.8558579, 3.6402741, 8.7154852, 9.4523482, 19.4172660", \
+					  "1.5211028, 1.5682301, 1.8558636, 3.6404637, 8.7161487, 9.4392254, 19.4158640", \
+					  "1.5218454, 1.5680298, 1.8549096, 3.6440337, 8.7164205, 9.4361798, 19.4475480", \
+					  "1.5216469, 1.5680895, 1.8533065, 3.6396701, 8.7197227, 9.4388550, 19.4690970", \
+					  "1.5208158, 1.5671651, 1.8560694, 3.6429615, 8.7184366, 9.4377288, 19.4233300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7835976, 2.7969436, 2.8703235, 3.1385181, 4.0571058, 8.6305461, 26.5928990", \
+					  "3.4763330, 3.4899588, 3.5628411, 3.8326204, 4.7460267, 9.3166745, 27.2858500", \
+					  "4.1971577, 4.2060577, 4.2762456, 4.5422017, 5.4481029, 10.0179970, 27.9976950", \
+					  "4.8710711, 4.9027191, 4.9757785, 5.2439315, 6.1485688, 10.7610040, 28.6818850", \
+					  "5.6132987, 5.6467040, 5.6740426, 5.9959744, 6.8856330, 11.4367940, 29.4114010", \
+					  "6.3356889, 6.3356894, 6.3712760, 6.7024635, 7.5965785, 12.1655060, 30.1027430", \
+					  "7.0643438, 7.0643442, 7.0926558, 7.3519333, 8.2617353, 12.8635620, 30.7965880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787479, 0.7815547, 0.7943393, 0.7858421, 1.7369073, 7.0752972, 27.3394070", \
+					  "0.7795518, 0.7820521, 0.7936624, 0.7859669, 1.7371158, 7.0861028, 27.3179540", \
+					  "0.7787549, 0.7820540, 0.7936713, 0.7858871, 1.7366888, 7.0861534, 27.3310000", \
+					  "0.7787360, 0.7820420, 0.7936639, 0.7858854, 1.7369214, 7.0860886, 27.3563940", \
+					  "0.7790755, 0.7816589, 0.7944992, 0.7859884, 1.7366895, 7.0763519, 27.3443510", \
+					  "0.7792156, 0.7816556, 0.7935893, 0.7848714, 1.7369641, 7.0805894, 27.3361650", \
+					  "0.7794670, 0.7822060, 0.7943105, 0.7859312, 1.7357623, 7.0879227, 27.3479530");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0547622, 8.2367020, 9.3466426, 16.2799070, 58.8350490, 321.7255700, 1938.8199000", \
+					  "8.7455270, 8.9305239, 10.0271950, 16.9287410, 59.4416750, 321.9545300, 1939.6448000", \
+					  "9.4616421, 9.6347454, 10.7525630, 17.6939690, 60.1944730, 323.0577200, 1940.3478000", \
+					  "10.1572540, 10.3376460, 11.4743260, 18.3837690, 60.9174080, 323.9669000, 1940.9299000", \
+					  "10.8696700, 11.0678010, 12.1682410, 19.0587350, 61.5803510, 324.1096900, 1945.2266000", \
+					  "11.5563740, 11.7605240, 12.8969680, 19.7571990, 62.3024620, 325.0104300, 1943.5845000", \
+					  "12.2806990, 12.4202200, 13.6370780, 20.5005810, 63.0304480, 325.7194700, 1943.9303000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8824300, 12.2403550, 14.4626170, 28.1946870, 113.4484900, 638.2297800, 3873.3488000", \
+					  "11.8845400, 12.2439100, 14.4640890, 28.1959150, 113.4033100, 637.7868000, 3874.7148000", \
+					  "11.8778530, 12.2417040, 14.4664340, 28.1949040, 113.0791700, 638.2152300, 3873.3415000", \
+					  "11.8848680, 12.2417620, 14.4622850, 28.1914070, 113.4550700, 638.1235900, 3873.2642000", \
+					  "11.8814350, 12.2419400, 14.4644120, 28.1840130, 113.3644400, 637.7526900, 3871.9845000", \
+					  "11.8664110, 12.2291270, 14.4404680, 28.1831250, 113.1846400, 637.4215200, 3873.3956000", \
+					  "11.8830000, 12.2387580, 14.4669880, 28.2047030, 113.5047800, 637.5112200, 3872.5689000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.2967162, 8.5061069, 9.6046131, 16.5266800, 59.3197560, 322.6998300, 1945.8421000", \
+					  "9.0091188, 9.1862713, 10.2877980, 17.2227010, 60.0515550, 322.9799000, 1946.3350000", \
+					  "9.6864357, 9.8634416, 10.9955200, 17.9232810, 60.7614580, 324.1164300, 1947.2257000", \
+					  "10.4219320, 10.5787160, 11.7172410, 18.6576370, 61.3291960, 324.4449000, 1947.8482000", \
+					  "11.1186140, 11.3360890, 12.4300070, 19.3561100, 62.1587330, 325.8935200, 1948.1315000", \
+					  "11.8325060, 12.0136270, 13.1422210, 20.0685600, 62.8653400, 326.1667100, 1948.5349000", \
+					  "12.5721100, 12.6867780, 13.8388900, 20.7783460, 63.5985340, 327.2311900, 1950.1458000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7263470, 12.0865150, 14.3225520, 28.0674930, 113.7168000, 640.4769100, 3888.0457000", \
+					  "11.7268950, 12.0875710, 14.3226070, 28.0927210, 113.6034100, 640.0023300, 3883.5260000", \
+					  "11.7264320, 12.0846430, 14.3228960, 28.0956080, 113.6288900, 640.3636800, 3885.1235000", \
+					  "11.7051610, 12.0841610, 14.2970780, 28.0770860, 113.5960900, 640.1591600, 3886.4550000", \
+					  "11.7264460, 12.0865850, 14.3188230, 28.0653230, 113.7475300, 639.3048600, 3884.8766000", \
+					  "11.7250900, 12.0897060, 14.3159720, 28.0642300, 113.6706200, 639.9705500, 3891.6069000", \
+					  "11.7224070, 12.0851110, 14.3219560, 28.0666690, 113.3368100, 639.0323500, 3883.0904000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6415796, 2.6661537, 2.8158817, 3.7154937, 8.8589625, 17.0685300, 36.5097850", \
+					  "3.1752547, 3.1997334, 3.3496430, 4.2491251, 9.3925916, 17.6065400, 37.0484020", \
+					  "3.5702568, 3.6044712, 3.7542138, 4.6444297, 9.7967243, 17.9974910, 37.4221290", \
+					  "3.9148809, 3.9434402, 4.0931702, 4.9905249, 10.1329070, 18.3329190, 37.7895830", \
+					  "4.2236770, 4.2481414, 4.4391246, 5.2978768, 10.4795310, 18.6547040, 38.1047050", \
+					  "4.5659019, 4.5904254, 4.7515165, 5.6408918, 10.7807100, 18.9962940, 38.4461560", \
+					  "4.8071231, 4.8316853, 4.9814943, 5.8822089, 11.0227030, 19.2290500, 38.6892650");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5086474, 1.5497617, 1.8378095, 3.5777803, 7.9171265, 10.2467890, 28.2013060", \
+					  "1.5084202, 1.5505273, 1.8323574, 3.5910803, 7.9165074, 10.2136310, 28.1858700", \
+					  "1.5085379, 1.5490287, 1.8329946, 3.5773156, 7.9149967, 10.2223930, 28.1241950", \
+					  "1.5054418, 1.5473413, 1.8292065, 3.5719820, 7.9248235, 10.3042720, 28.2215830", \
+					  "1.5047592, 1.5494924, 1.8281298, 3.5753316, 7.9176221, 10.2706630, 28.1974480", \
+					  "1.5042833, 1.5508971, 1.8301809, 3.5762411, 7.9157534, 10.2709210, 28.1935240", \
+					  "1.5128929, 1.5597791, 1.8293566, 3.5841834, 7.9270457, 10.2719660, 28.2198670");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9518443, 2.9779184, 3.1334928, 4.0747650, 9.2537080, 17.1563140, 32.6386420", \
+					  "3.6446401, 3.6704126, 3.8261936, 4.7669251, 9.9465316, 17.8502510, 33.3348740", \
+					  "4.3640651, 4.3900870, 4.5455746, 5.4753082, 10.6553270, 18.5619930, 34.0501600", \
+					  "5.0891162, 5.1144848, 5.2686180, 6.1929946, 11.3898190, 19.2735270, 34.7759550", \
+					  "5.8239125, 5.8493344, 6.0048722, 6.9186097, 12.0963430, 20.0271320, 35.5132280", \
+					  "6.5453087, 6.5710721, 6.7266099, 7.6327602, 12.8100060, 20.7509940, 36.2379890", \
+					  "7.2508191, 7.2772113, 7.4320967, 8.3326883, 13.5085240, 21.4152800, 36.9375610");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5226538, 1.5675775, 1.8556576, 3.6499066, 8.6626066, 9.4109698, 19.3521730", \
+					  "1.5184786, 1.5666614, 1.8506088, 3.6515333, 8.6626404, 9.4121848, 19.4239360", \
+					  "1.5228381, 1.5684415, 1.8568730, 3.6523446, 8.6648777, 9.4212664, 19.4010780", \
+					  "1.5223776, 1.5686206, 1.8568250, 3.6458807, 8.6618996, 9.4139416, 19.3612060", \
+					  "1.5207863, 1.5671284, 1.8542783, 3.6462759, 8.6601180, 9.4138075, 19.4083220", \
+					  "1.5188797, 1.5672568, 1.8536527, 3.6417698, 8.6607752, 9.4115782, 19.4488830", \
+					  "1.5213305, 1.5670248, 1.8522947, 3.6452676, 8.6614707, 9.4103472, 19.4159600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0425275, 8.2234823, 9.3544585, 16.3373560, 59.1837410, 322.3058200, 1939.6737000", \
+					  "8.5850056, 8.7703151, 9.8909476, 16.8725960, 59.8082220, 322.5597400, 1940.2039000", \
+					  "8.9687493, 9.1540251, 10.2851030, 17.2619290, 60.1939900, 322.9701200, 1941.1971000", \
+					  "9.3184855, 9.5068664, 10.6379770, 17.5954840, 60.4997080, 323.4868000, 1940.9297000", \
+					  "9.6280557, 9.8132178, 10.9468420, 17.9046570, 60.7858790, 323.8485200, 1940.8028000", \
+					  "9.9635393, 10.1621850, 11.2966120, 18.2816290, 61.1095740, 324.1012800, 1942.5122000", \
+					  "10.2070070, 10.4021000, 11.5426030, 18.4977990, 61.3893430, 324.4398100, 1942.3833000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8913020, 12.2439580, 14.4793300, 28.2236790, 113.3598600, 637.4002100, 3873.0141000", \
+					  "11.8662150, 12.2411850, 14.4917700, 28.1707420, 113.4620600, 637.6631600, 3873.4811000", \
+					  "11.8907630, 12.2549620, 14.4730050, 28.3213100, 113.4814900, 637.1034300, 3872.1946000", \
+					  "11.8811100, 12.2359180, 14.4493680, 28.1969360, 113.4367300, 637.3820200, 3871.9550000", \
+					  "11.8734420, 12.2418720, 14.4508160, 28.1948050, 113.4222000, 637.8109000, 3874.0936000", \
+					  "11.8797320, 12.2375770, 14.4444370, 28.1366880, 112.9868700, 637.4164600, 3872.2487000", \
+					  "11.8651260, 12.2147230, 14.4669610, 28.1891470, 113.4376100, 637.4805800, 3874.1427000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9128253, 2.9378915, 3.0894804, 4.0086836, 9.1664898, 17.1338300, 32.6330710", \
+					  "3.6053946, 3.6306433, 3.7822554, 4.7012279, 9.8580060, 17.8259650, 33.3243760", \
+					  "4.3245034, 4.3380850, 4.4997837, 5.4136020, 10.5779270, 18.5447360, 34.0445110", \
+					  "5.0489652, 5.0537237, 5.2239710, 6.1288343, 11.3026430, 19.2721220, 34.7698370", \
+					  "5.7845977, 5.8088072, 5.9599765, 6.8518955, 12.0358890, 20.0022170, 35.5023600", \
+					  "6.5062107, 6.5301391, 6.6807622, 7.5665962, 12.7589580, 20.7263330, 36.1903570", \
+					  "7.2097990, 7.2097991, 7.3885329, 8.2695886, 13.4634060, 21.4318540, 36.8898010");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5221252, 1.5686833, 1.8549702, 3.6452315, 8.7134699, 9.4363717, 19.4131270", \
+					  "1.5224260, 1.5683943, 1.8530907, 3.6481658, 8.7134522, 9.4459258, 19.4391510", \
+					  "1.5215441, 1.5658731, 1.8563401, 3.6392496, 8.7127034, 9.4363291, 19.4009710", \
+					  "1.5221193, 1.5657078, 1.8563696, 3.6390144, 8.7130934, 9.4385939, 19.4358130", \
+					  "1.5216737, 1.5689104, 1.8546824, 3.6478303, 8.7121590, 9.4362829, 19.4163640", \
+					  "1.5223388, 1.5686822, 1.8558450, 3.6459959, 8.7142560, 9.4372199, 19.4481800", \
+					  "1.5211031, 1.5687995, 1.8533869, 3.6480352, 8.7181320, 9.4387200, 19.4498620");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-9.0350592, -9.0998541, -9.1646491, -9.1612744, -9.1578590, -9.1544843, -9.1511096");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("9.3117528, 9.3616599, 9.4115670, 9.4079990, 9.4043880, 9.4008201, 9.3972521");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141462;
+			capacitance : 0.141311;
+			fall_capacitance : 0.141159;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3664361, -0.3592036, -0.3519712, -0.3541007, -0.3562558, -0.3583853, -0.3605148");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3637246, 0.3594212, 0.3551177, 0.3564663, 0.3578312, 0.3591798, 0.3605284");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.134421;
+			capacitance : 0.134435;
+			fall_capacitance : 0.134449;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3669042, -0.3590369, -0.3511697, -0.3532443, -0.3553438, -0.3574184, -0.3594929");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3632322, 0.3588524, 0.3544727, 0.3562470, 0.3580427, 0.3598171, 0.3615914");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p35v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v60_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v60_5v50.lib
new file mode 100644
index 0000000..0a53144
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v60_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ff_n40C_1v60_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ff_1p60v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.092660e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5296000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.5620000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.8940000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.9296000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.1569000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.6660000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.3150000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2660000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.5786000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.2090000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.3788000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.6660000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.0180000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7750000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.1690000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.0378000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.2807000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.9290000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006148;
+			capacitance : 0.006020;
+			fall_capacitance : 0.005892;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1734632, -0.3161846, -0.4333243, -0.5140211, -0.5997181, -0.6784456, -0.7525099", \
+					  "-0.1090687, -0.2523347, -0.3817668, -0.4752943, -0.5519598, -0.6226413, -0.6982583", \
+					  "-0.1048924, -0.2453599, -0.3737434, -0.4549784, -0.5598234, -0.6526672, -0.7240928", \
+					  "-0.1228961, -0.2587861, -0.3784838, -0.4725754, -0.5573065, -0.6434430, -0.7361357", \
+					  "-0.1470015, -0.2798396, -0.3980117, -0.4993981, -0.5543404, -0.6525758, -0.7845542", \
+					  "-0.1870757, -0.3122844, -0.4289307, -0.4977342, -0.6201483, -0.6888349, -0.7924828", \
+					  "-0.2264417, -0.3424952, -0.4591410, -0.5377668, -0.6562063, -0.7442229, -0.7877760");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3443376, -0.5180538, -0.6994129, -0.8792422, -1.0586708, -1.2420917, -1.4236680", \
+					  "-0.2970148, -0.4621507, -0.6471753, -0.8359410, -0.9938110, -1.1791579, -1.3632132", \
+					  "-0.2803212, -0.4517254, -0.6366993, -0.8406285, -1.0215105, -1.1968299, -1.3816691", \
+					  "-0.2967991, -0.4682537, -0.6487330, -0.8409419, -1.0247276, -1.2228434, -1.4162353", \
+					  "-0.3163268, -0.4862555, -0.6682683, -0.8605930, -1.0337400, -1.2399705, -1.4375887", \
+					  "-0.3502975, -0.5141226, -0.6946096, -0.8585517, -1.0669799, -1.2587151, -1.4588986", \
+					  "-0.3820342, -0.5428075, -0.7263463, -0.9137175, -1.0946672, -1.2654173, -1.4823049");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4368616, 0.5811916, 0.6998937, 0.7792206, 0.8307520, 0.9055692, 0.9766137", \
+					  "0.3787613, 0.5250810, 0.6400274, 0.7259619, 0.8075860, 0.8896766, 0.9544369", \
+					  "0.3698199, 0.5052906, 0.6419468, 0.7469723, 0.8214980, 0.9168833, 0.9711675", \
+					  "0.3801943, 0.5252820, 0.6445458, 0.7444372, 0.8273023, 0.9087852, 0.9699664", \
+					  "0.4027737, 0.5493872, 0.6568417, 0.7419093, 0.8103661, 0.8940482, 1.0278614", \
+					  "0.4336926, 0.5772543, 0.6862348, 0.7968470, 0.8361817, 0.9420626, 1.0479812", \
+					  "0.4654293, 0.6013616, 0.7212793, 0.7820153, 0.9212971, 0.9607884, 1.0516175");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529152, 0.5267091, 0.7096428, 0.8925421, 1.0658593, 1.2493391, 1.4326231", \
+					  "0.2991780, 0.4723186, 0.6574060, 0.8421949, 1.0151628, 1.2045140, 1.3677762", \
+					  "0.2995794, 0.4603579, 0.6471980, 0.8492062, 1.0310766, 1.2050286, 1.3868910", \
+					  "0.3084278, 0.4707323, 0.6594847, 0.8624827, 1.0302535, 1.2313321, 1.4245703", \
+					  "0.3249038, 0.4917859, 0.6784161, 0.8730480, 1.0383879, 1.2501554, 1.4446403", \
+					  "0.3512450, 0.5242307, 0.7032315, 0.8926496, 1.0698755, 1.2673513, 1.4624772", \
+					  "0.3860334, 0.5544415, 0.7364941, 0.9207610, 1.1011082, 1.2752377, 1.4795405");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225574, 0.0257698, 0.0289822, 0.0287817, 0.0285893, 0.0283889, 0.0281885");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263704, 0.0309089, 0.0354473, 0.0353382, 0.0352334, 0.0351242, 0.0350151");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004346;
+			capacitance : 0.004249;
+			fall_capacitance : 0.004151;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5223564, 0.6678841, 0.7961150, 0.8865226, 0.9385965, 1.0138160, 1.1534928", \
+					  "0.4664895, 0.6095479, 0.7325605, 0.8288636, 0.9227428, 0.9882808, 1.0753771", \
+					  "0.4613052, 0.6061161, 0.7431067, 0.8548356, 0.9451110, 1.0176539, 1.1062569", \
+					  "0.4732055, 0.6149832, 0.6656903, 0.8502884, 0.9387007, 1.0430507, 1.0894194", \
+					  "0.4912072, 0.6329853, 0.7464709, 0.8572158, 0.9237323, 1.0480661, 1.0821752", \
+					  "0.5251780, 0.6654301, 0.7804415, 0.8783830, 0.9745466, 1.0650157, 1.1355730", \
+					  "0.5523369, 0.6941150, 0.8106524, 0.9385360, 0.9714585, 1.0494989, 1.1558887");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4292780, 0.6018516, 0.7837179, 0.9696402, 1.1501436, 1.3416112, 1.5291581", \
+					  "0.3825004, 0.5514819, 0.7327144, 0.9154300, 1.0877685, 1.2773540, 1.4735865", \
+					  "0.3728138, 0.5362020, 0.7341730, 0.9270260, 1.1129487, 1.2999388, 1.4735762", \
+					  "0.3801364, 0.5479703, 0.7339369, 0.9348506, 1.1218061, 1.3154352, 1.4998807", \
+					  "0.4042417, 0.5736009, 0.7539619, 0.9500948, 1.1338274, 1.3259986, 1.5200784", \
+					  "0.4305830, 0.5968904, 0.7816350, 0.9689208, 1.1542259, 1.3486138, 1.5422710", \
+					  "0.4638455, 0.6316789, 0.8103206, 0.9984901, 1.1794166, 1.3677057, 1.5513596");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2314907, -0.3784780, -0.5067170, -0.5985468, -0.6634240, -0.7886857, -0.8486199", \
+					  "-0.1674468, -0.3183203, -0.4585117, -0.5572298, -0.6264943, -0.6943622, -0.7734660", \
+					  "-0.1643353, -0.3111132, -0.4458941, -0.5458937, -0.6466164, -0.7326558, -0.8398963", \
+					  "-0.1838649, -0.3210524, -0.4479725, -0.5635109, -0.6457011, -0.7300849, -0.8342073", \
+					  "-0.2064444, -0.3482094, -0.4663428, -0.5449285, -0.6502366, -0.7211624, -0.8276625", \
+					  "-0.2404150, -0.3760766, -0.4977787, -0.6220942, -0.6609552, -0.7598799, -0.8484285", \
+					  "-0.2767293, -0.4093391, -0.5274725, -0.6492612, -0.7529069, -0.8004837, -0.8605857");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4161093, -0.5777933, -0.7717591, -0.9626118, -1.1389660, -1.3284138, -1.5145739", \
+					  "-0.3706720, -0.5379295, -0.7208693, -0.9015899, -1.0814417, -1.2686223, -1.4682970", \
+					  "-0.3596549, -0.5244663, -0.7240695, -0.9169225, -1.1067343, -1.2933939, -1.4672274", \
+					  "-0.3730811, -0.5348998, -0.7224630, -0.9293236, -1.1117027, -1.3081892, -1.4943549", \
+					  "-0.3834535, -0.5559528, -0.7315835, -0.9426564, -1.1252776, -1.3188775, -1.5115952", \
+					  "-0.4128465, -0.5899235, -0.7655542, -0.9608184, -1.1417352, -1.3398590, -1.5412999", \
+					  "-0.4598419, -0.6170831, -0.7881356, -0.9712075, -1.1651278, -1.3609741, -1.5467470");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221415, 0.0284961, 0.0348507, 0.0347635, 0.0346797, 0.0345925, 0.0345052");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0269001, 0.0342360, 0.0415719, 0.0414380, 0.0413095, 0.0411755, 0.0410416");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006045;
+			capacitance : 0.005914;
+			fall_capacitance : 0.005783;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1566784, -0.2948341, -0.4180829, -0.5054789, -0.6036683, -0.6616798, -0.7524973", \
+					  "-0.0877067, -0.2365540, -0.3620349, -0.4618092, -0.5373530, -0.6144629, -0.6614161", \
+					  "-0.0911598, -0.2301073, -0.3601235, -0.4489832, -0.5295816, -0.6395772, -0.7073143", \
+					  "-0.1015342, -0.2435335, -0.3616997, -0.4641905, -0.5250707, -0.6222958, -0.7111398", \
+					  "-0.1317430, -0.2661129, -0.3818079, -0.4841502, -0.5434218, -0.6314720, -0.6990668", \
+					  "-0.1702913, -0.2955059, -0.4075685, -0.4776620, -0.6032067, -0.6479033, -0.7953958", \
+					  "-0.2111833, -0.3272426, -0.4362538, -0.5361697, -0.6364382, -0.7302983, -0.7878295");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3458649, -0.5191951, -0.7033926, -0.8831842, -1.0543931, -1.2387662, -1.4296549", \
+					  "-0.2957872, -0.4636766, -0.6465740, -0.8347030, -1.0069539, -1.1960346, -1.3684496", \
+					  "-0.2849046, -0.4546096, -0.6431980, -0.8435121, -1.0246603, -1.2100529, -1.3926194", \
+					  "-0.2907014, -0.4649839, -0.6464542, -0.8427624, -1.0262535, -1.2273599, -1.4177140", \
+					  "-0.3254878, -0.4875636, -0.6666706, -0.8586458, -1.0351916, -1.2399916, -1.4391144", \
+					  "-0.3503033, -0.5139049, -0.6945378, -0.8831693, -1.0700747, -1.2560812, -1.4606220", \
+					  "-0.3820400, -0.5441154, -0.7232227, -0.9063022, -1.0930660, -1.2670707, -1.4614578");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4322839, 0.5766136, 0.6968419, 0.7731172, 0.8277002, 0.9055694, 0.9734940", \
+					  "0.3740631, 0.5250695, 0.6385015, 0.7213284, 0.8057948, 0.8867327, 0.9513832", \
+					  "0.3667681, 0.5041370, 0.6395630, 0.7439205, 0.8154113, 0.9122855, 0.9653249", \
+					  "0.3756166, 0.5222300, 0.6398278, 0.7444369, 0.8273017, 0.9087853, 0.9683612", \
+					  "0.4027737, 0.5463353, 0.6537902, 0.7302368, 0.8091771, 0.8940474, 0.9583830", \
+					  "0.4306409, 0.5726766, 0.6801314, 0.7922691, 0.8327413, 0.9420617, 1.0480440", \
+					  "0.4623775, 0.5983097, 0.7166206, 0.7820159, 0.9182170, 0.9622517, 1.0516236");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3574928, 0.5312865, 0.7081169, 0.8940680, 1.0673856, 1.2493396, 1.4341493", \
+					  "0.2991780, 0.4738295, 0.6574058, 0.8442437, 1.0151637, 1.2082981, 1.3731089", \
+					  "0.3011052, 0.4634096, 0.6480112, 0.8522579, 1.0310768, 1.2079457, 1.3884172", \
+					  "0.3130054, 0.4722581, 0.6613117, 0.8624822, 1.0287276, 1.2343408, 1.4245703", \
+					  "0.3294814, 0.4963635, 0.6738386, 0.8730473, 1.0383881, 1.2486054, 1.4446403", \
+					  "0.3573485, 0.5181271, 0.7078092, 0.8926497, 1.0698756, 1.2717842, 1.4727868", \
+					  "0.3875593, 0.5559673, 0.7380200, 0.9146582, 1.1011086, 1.2752367, 1.4826664");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225465, 0.0257739, 0.0290013, 0.0287972, 0.0286012, 0.0283970, 0.0281928");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263490, 0.0306841, 0.0350192, 0.0349994, 0.0349804, 0.0349605, 0.0349407");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028484;
+			capacitance : 0.028420;
+			fall_capacitance : 0.028355;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0547962, 0.0615681, 0.1779325, 0.3271302, 0.4703599, 0.6195576, 0.7687553");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3196994, 0.4472162, 0.5747330, 0.7260734, 0.8713601, 1.0227004, 1.1740408");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032513;
+			capacitance : 0.032166;
+			fall_capacitance : 0.031820;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0618035, 0.0821645, 0.1025255, 0.1028997, 0.1032589, 0.1036330, 0.1040072");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0685112, 0.0867413, 0.1049714, 0.1066136, 0.1081901, 0.1098323, 0.1114745");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.051866;
+			capacitance : 0.051435;
+			fall_capacitance : 0.051004;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0119843, -0.0121168, -0.0122492, -0.0122781, -0.0123058, -0.0123347, -0.0123635");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0123794, 0.0123015, 0.0122235, 0.0122430, 0.0122617, 0.0122812, 0.0123007");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016629;
+			capacitance : 0.016476;
+			fall_capacitance : 0.016323;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0176558, 0.0172933, 0.0169308, 0.0168341, 0.0167413, 0.0166446, 0.0165479");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0207407, 0.0203689, 0.0199970, 0.0199577, 0.0199199, 0.0198805, 0.0198411");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.036308;
+			capacitance : 0.035657;
+			fall_capacitance : 0.035006;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1673508, -0.0156701, 0.0976425, 0.1865996, 0.2474410, 0.3005516, 0.3690714", \
+					  "-0.2287219, -0.0803316, 0.0390640, 0.1345867, 0.2153395, 0.2937497, 0.3614806", \
+					  "-0.2223757, -0.0820287, 0.0328666, 0.1241396, 0.2175039, 0.3006090, 0.3654403", \
+					  "-0.2225238, -0.0731796, 0.0436305, 0.1363139, 0.2215376, 0.3038827, 0.3525145", \
+					  "-0.2014702, -0.0429708, 0.0675676, 0.1452786, 0.2425912, 0.3316824, 0.3753576", \
+					  "-0.1720772, -0.0151036, 0.0749067, 0.1772922, 0.2568753, 0.3427649, 0.4074440", \
+					  "-0.1357629, 0.0349436, 0.1081692, 0.2116132, 0.2898721, 0.4095968, 0.4803794");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1658517, 0.0130647, 0.2055653, 0.3851054, 0.5616549, 0.7214375, 0.9057187", \
+					  "-0.2302747, -0.0512828, 0.1509714, 0.3401535, 0.5151617, 0.6828822, 0.8584011", \
+					  "-0.2208514, -0.0612110, 0.1453725, 0.3274786, 0.5205676, 0.6953225, 0.8702072", \
+					  "-0.2210249, -0.0447331, 0.1581238, 0.3426844, 0.5191390, 0.7032102, 0.8726063", \
+					  "-0.1999713, -0.0160500, 0.1758162, 0.3685661, 0.5386898, 0.7249631, 0.9028169", \
+					  "-0.1705783, 0.0148688, 0.2031946, 0.3918259, 0.5801371, 0.7397898, 0.9208059", \
+					  "-0.1357898, 0.0603385, 0.2336633, 0.4283940, 0.6119484, 0.7569650, 0.9454186");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3391897, 0.1952632, 0.0755346, -0.0196621, -0.0968087, -0.1549489, -0.2045077", \
+					  "0.4000365, 0.2479695, 0.1351482, 0.0439562, -0.0386990, -0.1143227, -0.1835304", \
+					  "0.4109407, 0.2411150, 0.1425464, 0.0558694, -0.0374707, -0.1070455, -0.1758182", \
+					  "0.3867339, 0.2462857, 0.1276777, 0.0489558, -0.0311785, -0.1259313, -0.1927802", \
+					  "0.3672062, 0.2221893, 0.1035724, 0.0087097, -0.0542713, -0.1316244, -0.2242882", \
+					  "0.3530720, 0.1607478, 0.0901332, -0.0217212, -0.0701423, -0.1682970, -0.2397732", \
+					  "0.3182835, 0.1640723, 0.0629824, -0.0299348, -0.1140174, -0.1696568, -0.2383871");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1744140, -0.0076589, -0.1979229, -0.3804590, -0.5465227, -0.7181325, -0.8984440", \
+					  "0.2357828, 0.0553837, -0.1391114, -0.3354532, -0.5099054, -0.6782681, -0.8504291", \
+					  "0.2212076, 0.0667889, -0.1396178, -0.3196479, -0.5134154, -0.6992025, -0.8677322", \
+					  "0.2250071, 0.0503111, -0.1514397, -0.3394322, -0.5120558, -0.6970172, -0.8657116", \
+					  "0.2070053, 0.0216279, -0.1690540, -0.3685164, -0.5315920, -0.7071485, -0.8953641", \
+					  "0.1684569, -0.0092910, -0.1948961, -0.3876515, -0.5714077, -0.7275774, -0.9021130", \
+					  "0.1458755, -0.0532347, -0.2266784, -0.4204250, -0.6048440, -0.7517116, -0.9402255");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0792618, 0.0395170, -0.0002278, -0.0241302, -0.0470766, -0.0709790, -0.0948814");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1338057, 0.3046294, 0.4754531, 0.4754059, 0.4753605, 0.4753132, 0.4752659");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.013331;
+			capacitance : 0.012998;
+			fall_capacitance : 0.012664;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.0411879, 16.3018300, 23.5624710, 25.2421710, 26.8546820, 28.5343820, 30.2140810");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.3678017, 15.1656870, 22.9635720, 23.4082430, 23.8351260, 24.2797970, 24.7244670");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006443;
+			capacitance : 0.006306;
+			fall_capacitance : 0.006168;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1002211, -0.2398942, -0.3618053, -0.4398515, -0.5335463, -0.6112865, -0.6900821", \
+					  "-0.0266713, -0.1732980, -0.3067890, -0.4023000, -0.4793413, -0.5665169, -0.6202526", \
+					  "-0.0301244, -0.1766977, -0.2999331, -0.3862309, -0.4659038, -0.5875978, -0.6806822", \
+					  "-0.0450764, -0.1916497, -0.3007411, -0.3959878, -0.4839425, -0.5665718, -0.6434107", \
+					  "-0.0783370, -0.2142291, -0.3201916, -0.4292104, -0.4664538, -0.5960638, -0.6998787", \
+					  "-0.1245147, -0.2420963, -0.3511450, -0.4497667, -0.5435953, -0.5912222, -0.6994043", \
+					  "-0.1257338, -0.2662036, -0.3782695, -0.4797369, -0.5649385, -0.6887986, -0.7235718");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3489154, -0.5225219, -0.7053410, -0.8882010, -1.0660801, -1.2476559, -1.4307844", \
+					  "-0.3001654, -0.4697801, -0.6531024, -0.8375915, -1.0091282, -1.1953267, -1.3792568", \
+					  "-0.2864260, -0.4578183, -0.6431980, -0.8436803, -1.0285776, -1.2014682, -1.3854442", \
+					  "-0.3029039, -0.4727707, -0.6563724, -0.8595088, -1.0308310, -1.2303526, -1.4220339", \
+					  "-0.3254833, -0.4907724, -0.6743238, -0.8638638, -1.0346813, -1.2492145, -1.4421662", \
+					  "-0.3564022, -0.5171137, -0.6976134, -0.8902957, -1.0708523, -1.2650474, -1.4609675", \
+					  "-0.3866131, -0.5473241, -0.7293500, -0.9168068, -1.0988952, -1.2676811, -1.4873536");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4475427, 0.5918738, 0.7136271, 0.7914276, 0.8399079, 0.9193017, 0.9920838", \
+					  "0.3907808, 0.5443195, 0.6507091, 0.7414128, 0.8229221, 0.8961920, 0.9666717", \
+					  "0.3820269, 0.5242700, 0.6573012, 0.7576534, 0.8367736, 0.9395978, 1.0242240", \
+					  "0.3969789, 0.5405417, 0.6583244, 0.7624967, 0.8281531, 0.9236346, 0.9948148", \
+					  "0.4195584, 0.5631211, 0.6705747, 0.7538187, 0.8512959, 0.9203224, 1.0344196", \
+					  "0.4458996, 0.5879365, 0.6969160, 0.8075288, 0.8871651, 0.9685613, 1.0574972", \
+					  "0.4745845, 0.6196731, 0.7347562, 0.8033195, 0.9321013, 0.9700104, 1.0635775");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3559670, 0.5297610, 0.7126952, 0.8955943, 1.0703980, 1.2569676, 1.4417784", \
+					  "0.3083334, 0.4810244, 0.6650359, 0.8484267, 1.0192921, 1.2082710, 1.3864313", \
+					  "0.3056829, 0.4664937, 0.6624567, 0.8553097, 1.0365914, 1.2094012, 1.3929945", \
+					  "0.3145313, 0.4783622, 0.6660963, 0.8605247, 1.0424605, 1.2373611, 1.4306530", \
+					  "0.3340591, 0.4994158, 0.6845203, 0.8728312, 1.0600015, 1.2563578, 1.4492180", \
+					  "0.3619262, 0.5303347, 0.7108615, 0.8946580, 1.0844369, 1.2740388, 1.4727862", \
+					  "0.3890853, 0.5605455, 0.7395464, 0.9268641, 1.1068465, 1.2936904, 1.4991351");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225606, 0.0258062, 0.0290518, 0.0288564, 0.0286688, 0.0284734, 0.0282781");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263597, 0.0307511, 0.0351425, 0.0351007, 0.0350606, 0.0350188, 0.0349771");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017542;
+			capacitance : 0.017385;
+			fall_capacitance : 0.017227;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0278737, -0.0121493, -0.0521724, -0.0755914, -0.0980737, -0.1214928, -0.1449119");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0647622, 0.2339114, 0.4030606, 0.4031990, 0.4033318, 0.4034702, 0.4036085");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005020;
+			capacitance : 0.004922;
+			fall_capacitance : 0.004824;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4765140, 0.6227754, 0.7522570, 0.8497209, 0.8933718, 0.9624655, 1.0411140", \
+					  "0.4203176, 0.5728248, 0.6882550, 0.7822490, 0.8697194, 0.9593720, 1.0243984", \
+					  "0.4109825, 0.5530965, 0.6960261, 0.8091126, 0.8935240, 0.9791359, 1.0356877", \
+					  "0.4228826, 0.5683808, 0.6896021, 0.8088641, 0.8785572, 0.9606533, 1.0390653", \
+					  "0.4439362, 0.5924860, 0.7073816, 0.8346988, 0.8787291, 1.0070810, 1.0561404", \
+					  "0.4718034, 0.6203532, 0.7398266, 0.8127718, 0.8876387, 0.9904279, 1.0610780", \
+					  "0.5035401, 0.6520899, 0.7685116, 0.8658705, 0.9324534, 1.0072286, 1.1212247");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4551893, 0.6146547, 0.8080693, 0.9911903, 1.1646832, 1.3555252, 1.5391457", \
+					  "0.3969581, 0.5736796, 0.7374374, 0.9350876, 1.0829504, 1.2959425, 1.4835505", \
+					  "0.3957598, 0.5488953, 0.7558399, 0.9512900, 1.1251095, 1.3015749, 1.4812068", \
+					  "0.4030824, 0.5708571, 0.7476658, 0.9556453, 1.1431685, 1.3365577, 1.5176318", \
+					  "0.4256619, 0.5949625, 0.7736871, 0.9717564, 1.1498720, 1.3400287, 1.5383160", \
+					  "0.4596325, 0.6243556, 0.8019479, 0.9959601, 1.1763376, 1.3511959, 1.5671120", \
+					  "0.4928951, 0.6530404, 0.8336845, 1.0246444, 1.1987092, 1.3858519, 1.5624933");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1673387, -0.3165628, -0.4536230, -0.5346619, -0.6020746, -0.7054299, -0.8196645", \
+					  "-0.0998809, -0.2571777, -0.3895025, -0.4911964, -0.5680762, -0.6426532, -0.7370047", \
+					  "-0.1094375, -0.2548941, -0.3882381, -0.4791467, -0.5656404, -0.6645916, -0.7791651", \
+					  "-0.1243894, -0.2606908, -0.4015230, -0.5081153, -0.5792607, -0.6568236, -0.7619138", \
+					  "-0.1515466, -0.2924253, -0.4118953, -0.4803266, -0.6032149, -0.6870727, -0.7474231", \
+					  "-0.1916208, -0.3126630, -0.4382365, -0.5352386, -0.6219413, -0.6955745, -0.7924807", \
+					  "-0.2279351, -0.3474517, -0.4745509, -0.5598271, -0.6730609, -0.7519713, -0.8244961");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4405113, -0.6028162, -0.7976793, -0.9836580, -1.1567136, -1.3461242, -1.5253197", \
+					  "-0.3927954, -0.5629629, -0.7336595, -0.9204325, -1.0788983, -1.2897306, -1.4725294", \
+					  "-0.3908937, -0.5380931, -0.7418691, -0.9413365, -1.1171865, -1.2942182, -1.4889742", \
+					  "-0.3960365, -0.5621796, -0.7367381, -0.9500541, -1.1315390, -1.3274419, -1.5064466", \
+					  "-0.4155524, -0.5832331, -0.7594205, -0.9637851, -1.1390587, -1.3313635, -1.5358857", \
+					  "-0.4403678, -0.6095744, -0.7888133, -0.9797596, -1.1645530, -1.3425930, -1.5509050", \
+					  "-0.4721045, -0.6428370, -0.8190241, -1.0099695, -1.1882044, -1.3769218, -1.5541858");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221797, 0.0283411, 0.0345026, 0.0343307, 0.0341658, 0.0339939, 0.0338220");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0267251, 0.0334001, 0.0400750, 0.0399262, 0.0397833, 0.0396344, 0.0394856");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005769;
+			capacitance : 0.005539;
+			fall_capacitance : 0.005310;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1016847, -0.1011193, -0.1005539, -0.1004259, -0.1003031, -0.1001751, -0.1000471");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1071761, 0.1071446, 0.1071131, 0.1070185, 0.1069276, 0.1068329, 0.1067383");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1734632, -0.1090687, -0.1048924, -0.1228961, -0.1470015, -0.1870757, -0.2264417", \
+					  "-0.3161846, -0.2523347, -0.2453599, -0.2587861, -0.2798396, -0.3122844, -0.3424952", \
+					  "-0.4333243, -0.3817668, -0.3737434, -0.3784838, -0.3980117, -0.4289307, -0.4591410", \
+					  "-0.5140211, -0.4752943, -0.4549784, -0.4725754, -0.4993981, -0.4977342, -0.5377668", \
+					  "-0.5997181, -0.5519598, -0.5598234, -0.5573065, -0.5543404, -0.6201483, -0.6562063", \
+					  "-0.6784456, -0.6226413, -0.6526672, -0.6434430, -0.6525758, -0.6888349, -0.7442229", \
+					  "-0.7525099, -0.6982583, -0.7240928, -0.7361357, -0.7845542, -0.7924828, -0.7877760");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4368616, 0.3787613, 0.3698199, 0.3801943, 0.4027737, 0.4336926, 0.4654293", \
+					  "0.5811916, 0.5250810, 0.5052906, 0.5252820, 0.5493872, 0.5772543, 0.6013616", \
+					  "0.6998937, 0.6400274, 0.6419468, 0.6445458, 0.6568417, 0.6862348, 0.7212793", \
+					  "0.7792206, 0.7259619, 0.7469723, 0.7444372, 0.7419093, 0.7968470, 0.7820153", \
+					  "0.8307520, 0.8075860, 0.8214980, 0.8273023, 0.8103661, 0.8361817, 0.9212971", \
+					  "0.9055692, 0.8896766, 0.9168833, 0.9087852, 0.8940482, 0.9420626, 0.9607884", \
+					  "0.9766137, 0.9544369, 0.9711675, 0.9699664, 1.0278614, 1.0479812, 1.0516175");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1673508, -0.2287219, -0.2223757, -0.2225238, -0.2014702, -0.1720772, -0.1357629", \
+					  "-0.0156701, -0.0803316, -0.0820287, -0.0731796, -0.0429708, -0.0151036, 0.0349436", \
+					  "0.0976425, 0.0390640, 0.0328666, 0.0436305, 0.0675676, 0.0749067, 0.1081692", \
+					  "0.1865996, 0.1345867, 0.1241396, 0.1363139, 0.1452786, 0.1772922, 0.2116132", \
+					  "0.2474410, 0.2153395, 0.2175039, 0.2215376, 0.2425912, 0.2568753, 0.2898721", \
+					  "0.3005516, 0.2937497, 0.3006090, 0.3038827, 0.3316824, 0.3427649, 0.4095968", \
+					  "0.3690714, 0.3614806, 0.3654403, 0.3525145, 0.3753576, 0.4074440, 0.4803794");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3391897, 0.4000365, 0.4109407, 0.3867339, 0.3672062, 0.3530720, 0.3182835", \
+					  "0.1952632, 0.2479695, 0.2411150, 0.2462857, 0.2221893, 0.1607478, 0.1640723", \
+					  "0.0755346, 0.1351482, 0.1425464, 0.1276777, 0.1035724, 0.0901332, 0.0629824", \
+					  "-0.0196621, 0.0439562, 0.0558694, 0.0489558, 0.0087097, -0.0217212, -0.0299348", \
+					  "-0.0968087, -0.0386990, -0.0374707, -0.0311785, -0.0542713, -0.0701423, -0.1140174", \
+					  "-0.1549489, -0.1143227, -0.1070455, -0.1259313, -0.1316244, -0.1682970, -0.1696568", \
+					  "-0.2045077, -0.1835304, -0.1758182, -0.1927802, -0.2242882, -0.2397732, -0.2383871");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1002211, -0.0266713, -0.0301244, -0.0450764, -0.0783370, -0.1245147, -0.1257338", \
+					  "-0.2398942, -0.1732980, -0.1766977, -0.1916497, -0.2142291, -0.2420963, -0.2662036", \
+					  "-0.3618053, -0.3067890, -0.2999331, -0.3007411, -0.3201916, -0.3511450, -0.3782695", \
+					  "-0.4398515, -0.4023000, -0.3862309, -0.3959878, -0.4292104, -0.4497667, -0.4797369", \
+					  "-0.5335463, -0.4793413, -0.4659038, -0.4839425, -0.4664538, -0.5435953, -0.5649385", \
+					  "-0.6112865, -0.5665169, -0.5875978, -0.5665718, -0.5960638, -0.5912222, -0.6887986", \
+					  "-0.6900821, -0.6202526, -0.6806822, -0.6434107, -0.6998787, -0.6994043, -0.7235718");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4475427, 0.3907808, 0.3820269, 0.3969789, 0.4195584, 0.4458996, 0.4745845", \
+					  "0.5918738, 0.5443195, 0.5242700, 0.5405417, 0.5631211, 0.5879365, 0.6196731", \
+					  "0.7136271, 0.6507091, 0.6573012, 0.6583244, 0.6705747, 0.6969160, 0.7347562", \
+					  "0.7914276, 0.7414128, 0.7576534, 0.7624967, 0.7538187, 0.8075288, 0.8033195", \
+					  "0.8399079, 0.8229221, 0.8367736, 0.8281531, 0.8512959, 0.8871651, 0.9321013", \
+					  "0.9193017, 0.8961920, 0.9395978, 0.9236346, 0.9203224, 0.9685613, 0.9700104", \
+					  "0.9920838, 0.9666717, 1.0242240, 0.9948148, 1.0344196, 1.0574972, 1.0635775");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4765140, 0.4203176, 0.4109825, 0.4228826, 0.4439362, 0.4718034, 0.5035401", \
+					  "0.6227754, 0.5728248, 0.5530965, 0.5683808, 0.5924860, 0.6203532, 0.6520899", \
+					  "0.7522570, 0.6882550, 0.6960261, 0.6896021, 0.7073816, 0.7398266, 0.7685116", \
+					  "0.8497209, 0.7822490, 0.8091126, 0.8088641, 0.8346988, 0.8127718, 0.8658705", \
+					  "0.8933718, 0.8697194, 0.8935240, 0.8785572, 0.8787291, 0.8876387, 0.9324534", \
+					  "0.9624655, 0.9593720, 0.9791359, 0.9606533, 1.0070810, 0.9904279, 1.0072286", \
+					  "1.0411140, 1.0243984, 1.0356877, 1.0390653, 1.0561404, 1.0610780, 1.1212247");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1673387, -0.0998809, -0.1094375, -0.1243894, -0.1515466, -0.1916208, -0.2279351", \
+					  "-0.3165628, -0.2571777, -0.2548941, -0.2606908, -0.2924253, -0.3126630, -0.3474517", \
+					  "-0.4536230, -0.3895025, -0.3882381, -0.4015230, -0.4118953, -0.4382365, -0.4745509", \
+					  "-0.5346619, -0.4911964, -0.4791467, -0.5081153, -0.4803266, -0.5352386, -0.5598271", \
+					  "-0.6020746, -0.5680762, -0.5656404, -0.5792607, -0.6032149, -0.6219413, -0.6730609", \
+					  "-0.7054299, -0.6426532, -0.6645916, -0.6568236, -0.6870727, -0.6955745, -0.7519713", \
+					  "-0.8196645, -0.7370047, -0.7791651, -0.7619138, -0.7474231, -0.7924807, -0.8244961");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5223564, 0.4664895, 0.4613052, 0.4732055, 0.4912072, 0.5251780, 0.5523369", \
+					  "0.6678841, 0.6095479, 0.6061161, 0.6149832, 0.6329853, 0.6654301, 0.6941150", \
+					  "0.7961150, 0.7325605, 0.7431067, 0.6656903, 0.7464709, 0.7804415, 0.8106524", \
+					  "0.8865226, 0.8288636, 0.8548356, 0.8502884, 0.8572158, 0.8783830, 0.9385360", \
+					  "0.9385965, 0.9227428, 0.9451110, 0.9387007, 0.9237323, 0.9745466, 0.9714585", \
+					  "1.0138160, 0.9882808, 1.0176539, 1.0430507, 1.0480661, 1.0650157, 1.0494989", \
+					  "1.1534928, 1.0753771, 1.1062569, 1.0894194, 1.0821752, 1.1355730, 1.1558887");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2314907, -0.1674468, -0.1643353, -0.1838649, -0.2064444, -0.2404150, -0.2767293", \
+					  "-0.3784780, -0.3183203, -0.3111132, -0.3210524, -0.3482094, -0.3760766, -0.4093391", \
+					  "-0.5067170, -0.4585117, -0.4458941, -0.4479725, -0.4663428, -0.4977787, -0.5274725", \
+					  "-0.5985468, -0.5572298, -0.5458937, -0.5635109, -0.5449285, -0.6220942, -0.6492612", \
+					  "-0.6634240, -0.6264943, -0.6466164, -0.6457011, -0.6502366, -0.6609552, -0.7529069", \
+					  "-0.7886857, -0.6943622, -0.7326558, -0.7300849, -0.7211624, -0.7598799, -0.8004837", \
+					  "-0.8486199, -0.7734660, -0.8398963, -0.8342073, -0.8276625, -0.8484285, -0.8605857");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1613074, -0.2242044, -0.2163324, -0.2180063, -0.1969528, -0.1675597, -0.1312454", \
+					  "-0.0126183, -0.0755863, -0.0806541, -0.0702789, -0.0400700, -0.0106770, 0.0378443", \
+					  "0.0990871, 0.0438914, 0.0368667, 0.0480529, 0.0691173, 0.0778670, 0.1109827", \
+					  "0.1922154, 0.1345949, 0.1250155, 0.1393657, 0.1498090, 0.1803643, 0.2145903", \
+					  "0.2609731, 0.2199172, 0.2216447, 0.2230635, 0.2441171, 0.2598354, 0.2962084", \
+					  "0.3181316, 0.2983273, 0.3056749, 0.3167324, 0.3377860, 0.3549719, 0.4111227", \
+					  "0.3608905, 0.3645323, 0.3642202, 0.3693699, 0.3826888, 0.4104958, 0.4498619");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3529894, 0.4109941, 0.4216903, 0.4005335, 0.3794799, 0.3531387, 0.3336091", \
+					  "0.2059030, 0.2559736, 0.2486628, 0.2534768, 0.2326565, 0.1698854, 0.1731809", \
+					  "0.0877417, 0.1476087, 0.1548784, 0.1368330, 0.1142535, 0.0987181, 0.0716222", \
+					  "-0.0058816, 0.0582870, 0.0690500, 0.0460116, 0.0163100, 0.0026781, -0.0236784", \
+					  "-0.0880695, -0.0265822, -0.0276514, -0.0222126, -0.0378147, -0.0641944, -0.1141145", \
+					  "-0.1534466, -0.1035924, -0.0853362, -0.1133019, -0.1347972, -0.1258305, -0.1583827", \
+					  "-0.2026593, -0.1728492, -0.1665827, -0.1775214, -0.1924714, -0.2233903, -0.2293915");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4450611, -0.3853000, -0.3826025, -0.3945009, -0.4170803, -0.4434235, -0.4751601", \
+					  "-0.5891850, -0.5371282, -0.5221832, -0.5333081, -0.5543617, -0.5807030, -0.6124397", \
+					  "-0.7094669, -0.6434964, -0.6516794, -0.6507557, -0.6681278, -0.6959954, -0.7262062", \
+					  "-0.7843178, -0.7325323, -0.7506017, -0.7577568, -0.7482600, -0.8018677, -0.7964227", \
+					  "-0.8325228, -0.8126170, -0.8312477, -0.8225151, -0.8467254, -0.8867207, -0.9279272", \
+					  "-0.9151996, -0.8894157, -0.9340719, -0.9147178, -0.9166717, -0.9580685, -0.9638657", \
+					  "-1.0070914, -0.9597158, -1.0175529, -0.9877630, -1.0306825, -1.0246302, -1.0618473");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4612672, 0.4053875, 0.3988063, 0.4091807, 0.4317621, 0.4581014, 0.4898381", \
+					  "0.6008763, 0.5501652, 0.5314409, 0.5480233, 0.5706027, 0.5938922, 0.6241030", \
+					  "0.7226990, 0.6613216, 0.6663813, 0.6668415, 0.6751765, 0.7030437, 0.7347803", \
+					  "0.7929825, 0.7475114, 0.7591106, 0.7637688, 0.7581219, 0.8150684, 0.8080653", \
+					  "0.8444207, 0.8270641, 0.8444030, 0.8281423, 0.8538745, 0.8865198, 0.9381519", \
+					  "0.9270618, 0.9012603, 0.9516605, 0.9236953, 0.9407287, 0.9672897, 0.9730390", \
+					  "0.9942835, 0.9702500, 1.0304166, 0.9946502, 1.0344396, 1.0574972, 1.1220846");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1566784, -0.0877067, -0.0911598, -0.1015342, -0.1317430, -0.1702913, -0.2111833", \
+					  "-0.2948341, -0.2365540, -0.2301073, -0.2435335, -0.2661129, -0.2955059, -0.3272426", \
+					  "-0.4180829, -0.3620349, -0.3601235, -0.3616997, -0.3818079, -0.4075685, -0.4362538", \
+					  "-0.5054789, -0.4618092, -0.4489832, -0.4641905, -0.4841502, -0.4776620, -0.5361697", \
+					  "-0.6036683, -0.5373530, -0.5295816, -0.5250707, -0.5434218, -0.6032067, -0.6364382", \
+					  "-0.6616798, -0.6144629, -0.6395772, -0.6222958, -0.6314720, -0.6479033, -0.7302983", \
+					  "-0.7524973, -0.6614161, -0.7073143, -0.7111398, -0.6990668, -0.7953958, -0.7878295");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4322839, 0.3740631, 0.3667681, 0.3756166, 0.4027737, 0.4306409, 0.4623775", \
+					  "0.5766136, 0.5250695, 0.5041370, 0.5222300, 0.5463353, 0.5726766, 0.5983097", \
+					  "0.6968419, 0.6385015, 0.6395630, 0.6398278, 0.6537902, 0.6801314, 0.7166206", \
+					  "0.7731172, 0.7213284, 0.7439205, 0.7444369, 0.7302368, 0.7922691, 0.7820159", \
+					  "0.8277002, 0.8057948, 0.8154113, 0.8273017, 0.8091771, 0.8327413, 0.9182170", \
+					  "0.9055694, 0.8867327, 0.9122855, 0.9087853, 0.8940474, 0.9420617, 0.9622517", \
+					  "0.9734940, 0.9513832, 0.9653249, 0.9683612, 0.9583830, 1.0480440, 1.0516236");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.3046803, -0.2463963, -0.2437458, -0.2586978, -0.2828051, -0.3137240, -0.3500383", \
+					  "-0.4472744, -0.3917630, -0.3840778, -0.4051332, -0.4246610, -0.4540540, -0.4918941", \
+					  "-0.5706058, -0.5193861, -0.5140399, -0.5295827, -0.5353226, -0.5647702, -0.5980324", \
+					  "-0.6469822, -0.5960140, -0.6147779, -0.6199312, -0.6171984, -0.6752172, -0.7084797", \
+					  "-0.7017482, -0.6635091, -0.6862892, -0.7132196, -0.7224551, -0.7349538, -0.8042664", \
+					  "-0.8032620, -0.7474981, -0.7708028, -0.7842290, -0.8105022, -0.8129298, -0.8709898", \
+					  "-0.8900440, -0.8304572, -0.8659996, -0.9113576, -0.8828205, -0.9218318, -0.9103260");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7267700, 0.6653779, 0.6643113, 0.6807872, 0.7033667, 0.7327597, 0.7629705", \
+					  "0.8679056, 0.8054982, 0.8071284, 0.8166637, 0.8391588, 0.8670259, 0.9018143", \
+					  "0.9851654, 0.9284961, 0.9386408, 0.9382664, 0.9562424, 0.9807535, 1.0124907", \
+					  "1.0600109, 1.0051755, 1.0207076, 1.0411601, 1.0396143, 1.0777836, 1.1199380", \
+					  "1.1190792, 1.1167193, 1.1037293, 1.1279097, 1.1236192, 1.1765780, 1.1680786", \
+					  "1.1971409, 1.1445048, 1.1601396, 1.2328362, 1.2221132, 1.1996403, 1.2441775", \
+					  "1.3109342, 1.1852679, 1.2331816, 1.3045683, 1.2911406, 1.3323446, 1.3176054");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4322783, 0.3742708, 0.3774588, 0.3817297, 0.4043107, 0.4337022, 0.4654388", \
+					  "0.5749696, 0.5243655, 0.5041128, 0.5236579, 0.5462374, 0.5741045, 0.6027894", \
+					  "0.6952692, 0.6399805, 0.6407595, 0.6429940, 0.6522739, 0.6801407, 0.7181282", \
+					  "0.7731317, 0.7244697, 0.7454464, 0.7443567, 0.7420360, 0.7952587, 0.7836818", \
+					  "0.8261252, 0.8071089, 0.8199890, 0.8272062, 0.8117984, 0.8339834, 0.9197102", \
+					  "0.9041161, 0.8892626, 0.9122850, 0.9087954, 0.8937264, 0.9483226, 0.9607390", \
+					  "0.9737959, 0.9521739, 0.9697523, 0.9700136, 1.0278614, 1.0569223, 1.0507559");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0254432, 0.0400768, 0.0328577, 0.0230662, -0.0218928, -0.0238191, -0.0540299", \
+					  "-0.1623219, -0.1061235, -0.1003088, -0.1152609, -0.1347886, -0.1626557, -0.1959182", \
+					  "-0.2826801, -0.2461736, -0.2231396, -0.2338179, -0.2608544, -0.2733085, -0.3090140", \
+					  "-0.3881640, -0.3310371, -0.3236040, -0.3459177, -0.3654048, -0.3867675, -0.4185959", \
+					  "-0.4669805, -0.4112297, -0.4043464, -0.4079519, -0.4409916, -0.4644901, -0.4996110", \
+					  "-0.5385442, -0.4869620, -0.4851419, -0.4582345, -0.5229949, -0.5476781, -0.5792797", \
+					  "-0.6384517, -0.5532190, -0.5664754, -0.5692351, -0.6007731, -0.5926727, -0.6182468");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023178;
+			capacitance : 0.023997;
+			rise_capacitance : 0.024282;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1613074, -0.0126183, 0.0990871, 0.1922154, 0.2609731, 0.3181316, 0.3608905", \
+					  "-0.2242044, -0.0755863, 0.0438914, 0.1345949, 0.2199172, 0.2983273, 0.3645323", \
+					  "-0.2163324, -0.0806541, 0.0368667, 0.1250155, 0.2216447, 0.3056749, 0.3642202", \
+					  "-0.2180063, -0.0702789, 0.0480529, 0.1393657, 0.2230635, 0.3167324, 0.3693699", \
+					  "-0.1969528, -0.0400700, 0.0691173, 0.1498090, 0.2441171, 0.3377860, 0.3826888", \
+					  "-0.1675597, -0.0106770, 0.0778670, 0.1803643, 0.2598354, 0.3549719, 0.4104958", \
+					  "-0.1312454, 0.0378443, 0.1109827, 0.2145903, 0.2962084, 0.4111227, 0.4498619");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1688693, 0.0131803, 0.2005902, 0.3823488, 0.5483902, 0.7150324, 0.8881333", \
+					  "-0.2317664, -0.0512715, 0.1439145, 0.3334516, 0.5168494, 0.6806470, 0.8534466", \
+					  "-0.2177669, -0.0630879, 0.1422167, 0.3274786, 0.5176513, 0.6997535, 0.8745772", \
+					  "-0.2179390, -0.0450841, 0.1554172, 0.3420328, 0.5192058, 0.7032101, 0.8726547", \
+					  "-0.1968871, -0.0148752, 0.1733582, 0.3740423, 0.5365485, 0.7255786, 0.9031344", \
+					  "-0.1674940, 0.0145179, 0.2005689, 0.3925112, 0.5737356, 0.7611142, 0.9105626", \
+					  "-0.1327056, 0.0599875, 0.2308738, 0.4244361, 0.6072894, 0.7572242, 0.9404668");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529894, 0.2059030, 0.0877417, -0.0058816, -0.0880695, -0.1534466, -0.2026593", \
+					  "0.4109941, 0.2559736, 0.1476087, 0.0582870, -0.0265822, -0.1035924, -0.1728492", \
+					  "0.4216903, 0.2486628, 0.1548784, 0.0690500, -0.0276514, -0.0853362, -0.1665827", \
+					  "0.4005335, 0.2534768, 0.1368330, 0.0460116, -0.0222126, -0.1133019, -0.1775214", \
+					  "0.3794799, 0.2326565, 0.1142535, 0.0163100, -0.0378147, -0.1347972, -0.1924714", \
+					  "0.3531387, 0.1698854, 0.0987181, 0.0026781, -0.0641944, -0.1258305, -0.2233903", \
+					  "0.3336091, 0.1731809, 0.0716222, -0.0236784, -0.1141145, -0.1583827, -0.2293915");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1743953, -0.0062425, -0.1949721, -0.3766942, -0.5427039, -0.7204852, -0.8716819", \
+					  "0.2403446, 0.0582896, -0.1412211, -0.3294432, -0.5114862, -0.6759015, -0.8491244", \
+					  "0.2339394, 0.0701461, -0.1366692, -0.3213602, -0.5104596, -0.6927825, -0.8691540", \
+					  "0.2341481, 0.0536682, -0.1484431, -0.3378799, -0.5140212, -0.6994124, -0.8670037", \
+					  "0.2100428, 0.0234593, -0.1679446, -0.3669906, -0.5310922, -0.7077710, -0.8961503", \
+					  "0.1837016, -0.0059337, -0.1936235, -0.3866410, -0.5696600, -0.7583587, -0.9037952", \
+					  "0.1504390, -0.0529291, -0.2253712, -0.4174109, -0.6017033, -0.7530908, -0.9357574");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006086;
+			capacitance : 0.006215;
+			rise_capacitance : 0.006344;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4450611, -0.5891850, -0.7094669, -0.7843178, -0.8325228, -0.9151996, -1.0070914", \
+					  "-0.3853000, -0.5371282, -0.6434964, -0.7325323, -0.8126170, -0.8894157, -0.9597158", \
+					  "-0.3826025, -0.5221832, -0.6516794, -0.7506017, -0.8312477, -0.9340719, -1.0175529", \
+					  "-0.3945009, -0.5333081, -0.6507557, -0.7577568, -0.8225151, -0.9147178, -0.9877630", \
+					  "-0.4170803, -0.5543617, -0.6681278, -0.7482600, -0.8467254, -0.9166717, -1.0306825", \
+					  "-0.4434235, -0.5807030, -0.6959954, -0.8018677, -0.8867207, -0.9580685, -1.0246302", \
+					  "-0.4751601, -0.6124397, -0.7262062, -0.7964227, -0.9279272, -0.9638657, -1.0618473");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0467427, -0.2202903, -0.3984631, -0.5767982, -0.7562415, -0.9483152, -1.1209618", \
+					  "0.0160163, -0.1553393, -0.3437243, -0.5335362, -0.7058682, -0.8989719, -1.0897879", \
+					  "0.0034971, -0.1585794, -0.3422165, -0.5265742, -0.7183327, -0.9096578, -1.0927376", \
+					  "0.0022780, -0.1674281, -0.3419344, -0.5416532, -0.7165190, -0.9199468, -1.1064584", \
+					  "-0.0386120, -0.1884817, -0.3722740, -0.5489276, -0.7490301, -0.9325674, -1.1176952", \
+					  "-0.0435910, -0.2224521, -0.4106912, -0.5786033, -0.7467470, -0.9593589, -1.1492461", \
+					  "-0.0692241, -0.2465597, -0.4332726, -0.6133914, -0.7813336, -0.9872545, -1.1838280");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4612672, 0.6008763, 0.7226990, 0.7929825, 0.8444207, 0.9270618, 0.9942835", \
+					  "0.4053875, 0.5501652, 0.6613216, 0.7475114, 0.8270641, 0.9012603, 0.9702500", \
+					  "0.3988063, 0.5314409, 0.6663813, 0.7591106, 0.8444030, 0.9516605, 1.0304166", \
+					  "0.4091807, 0.5480233, 0.6668415, 0.7637688, 0.8281423, 0.9236953, 0.9946502", \
+					  "0.4317621, 0.5706027, 0.6751765, 0.7581219, 0.8538745, 0.9407287, 1.0344396", \
+					  "0.4581014, 0.5938922, 0.7030437, 0.8150684, 0.8865198, 0.9672897, 1.0574972", \
+					  "0.4898381, 0.6241030, 0.7347803, 0.8080653, 0.9381519, 0.9730390, 1.1220846");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3605470, 0.5327999, 0.7157004, 0.9001702, 1.0735423, 1.2587082, 1.4465214", \
+					  "0.3103825, 0.4781796, 0.6649897, 0.8484064, 1.0218347, 1.2077282, 1.3881934", \
+					  "0.2995748, 0.4618050, 0.6539699, 0.8553097, 1.0339146, 1.2126932, 1.4087308", \
+					  "0.3053715, 0.4813449, 0.6663119, 0.8604813, 1.0394087, 1.2403931, 1.4307065", \
+					  "0.3279510, 0.5023985, 0.6798905, 0.8725339, 1.0431147, 1.2609427, 1.4492180", \
+					  "0.3603958, 0.5302657, 0.7077577, 0.8657237, 1.0841444, 1.2640435, 1.4728481", \
+					  "0.3936583, 0.5620023, 0.7394943, 0.9300575, 1.1071955, 1.2938542, 1.4999545");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225573, 0.0257580, 0.0289587, 0.0287524, 0.0285543, 0.0283479, 0.0281415");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263823, 0.0308517, 0.0353212, 0.0352280, 0.0351385, 0.0350453, 0.0349520");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.020208;
+			capacitance : 0.019260;
+			fall_capacitance : 0.018312;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6754074, 0.8966953, 1.1179832, 1.3832477, 1.6379016, 1.9031661, 2.1684306");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.1579040, 29.7325850, 45.3072650, 45.7038750, 46.0846210, 46.4812320, 46.8778420");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033266;
+			capacitance : 0.033204;
+			fall_capacitance : 0.033142;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0588279, 0.1149180, 0.2886639, 0.5452564, 0.7915852, 1.0481777, 1.3047702");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1500361, 1.3481388, 1.5462414, 1.8121359, 2.0673947, 2.3332892, 2.5991837");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006583;
+			capacitance : 0.006450;
+			fall_capacitance : 0.006318;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3046803, -0.4472744, -0.5706058, -0.6469822, -0.7017482, -0.8032620, -0.8900440", \
+					  "-0.2463963, -0.3917630, -0.5193861, -0.5960140, -0.6635091, -0.7474981, -0.8304572", \
+					  "-0.2437458, -0.3840778, -0.5140399, -0.6147779, -0.6862892, -0.7708028, -0.8659996", \
+					  "-0.2586978, -0.4051332, -0.5295827, -0.6199312, -0.7132196, -0.7842290, -0.9113576", \
+					  "-0.2828051, -0.4246610, -0.5353226, -0.6171984, -0.7224551, -0.8105022, -0.8828205", \
+					  "-0.3137240, -0.4540540, -0.5647702, -0.6752172, -0.7349538, -0.8129298, -0.9218318", \
+					  "-0.3500383, -0.4918941, -0.5980324, -0.7084797, -0.8042664, -0.8709898, -0.9103260");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.6281527, -0.8003056, -0.9831504, -1.1676041, -1.3455991, -1.5289276, -1.7121779", \
+					  "-0.5683256, -0.7344779, -0.9156541, -1.1016336, -1.2812980, -1.4629572, -1.6449235", \
+					  "-0.5687070, -0.7431894, -0.9331149, -1.1149262, -1.2983406, -1.4725137, -1.6667159", \
+					  "-0.5806073, -0.7550055, -0.9382450, -1.1389077, -1.3252308, -1.5095540, -1.6926964", \
+					  "-0.6031867, -0.7791112, -0.9573667, -1.1454691, -1.3387498, -1.5316009, -1.7229280", \
+					  "-0.6325798, -0.8085038, -0.9905761, -1.1790951, -1.3576534, -1.5532359, -1.7385881", \
+					  "-0.6643164, -0.8402405, -1.0192610, -1.2082261, -1.3873675, -1.5681627, -1.7616060");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7267700, 0.8679056, 0.9851654, 1.0600109, 1.1190792, 1.1971409, 1.3109342", \
+					  "0.6653779, 0.8054982, 0.9284961, 1.0051755, 1.1167193, 1.1445048, 1.1852679", \
+					  "0.6643113, 0.8071284, 0.9386408, 1.0207076, 1.1037293, 1.1601396, 1.2331816", \
+					  "0.6807872, 0.8166637, 0.9382664, 1.0411601, 1.1279097, 1.2328362, 1.3045683", \
+					  "0.7033667, 0.8391588, 0.9562424, 1.0396143, 1.1236192, 1.2221132, 1.2911406", \
+					  "0.7327597, 0.8670259, 0.9807535, 1.0777836, 1.1765780, 1.1996403, 1.3323446", \
+					  "0.7629705, 0.9018143, 1.0124907, 1.1199380, 1.1680786, 1.2441775, 1.3176054");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6458861, 0.8120096, 0.9933700, 1.1763092, 1.3573423, 1.5393659, 1.7195414", \
+					  "0.5844940, 0.7460399, 0.9274613, 1.1088167, 1.2898484, 1.4703443, 1.6523423", \
+					  "0.5834870, 0.7541924, 0.9462702, 1.1217420, 1.3100845, 1.4829527, 1.6746620", \
+					  "0.5983402, 0.7697517, 0.9538565, 1.1502896, 1.3369551, 1.5204156, 1.6994202", \
+					  "0.6224455, 0.7953661, 0.9722609, 1.1563700, 1.3442757, 1.5417044, 1.7330315", \
+					  "0.6503127, 0.8217073, 1.0007138, 1.1904081, 1.3637552, 1.5634180, 1.7471657", \
+					  "0.6820493, 0.8503922, 1.0324504, 1.2168456, 1.3979729, 1.5753149, 1.7780210");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0226163, 0.0257510, 0.0288857, 0.0286970, 0.0285158, 0.0283271, 0.0281384");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263526, 0.0306921, 0.0350316, 0.0349445, 0.0348610, 0.0347739, 0.0346868");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013761;
+			capacitance : 0.013706;
+			fall_capacitance : 0.013652;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4322783, 0.5749696, 0.6952692, 0.7731317, 0.8261252, 0.9041161, 0.9737959", \
+					  "0.3742708, 0.5243655, 0.6399805, 0.7244697, 0.8071089, 0.8892626, 0.9521739", \
+					  "0.3774588, 0.5041128, 0.6407595, 0.7454464, 0.8199890, 0.9122850, 0.9697523", \
+					  "0.3817297, 0.5236579, 0.6429940, 0.7443567, 0.8272062, 0.9087954, 0.9700136", \
+					  "0.4043107, 0.5462374, 0.6522739, 0.7420360, 0.8117984, 0.8937264, 1.0278614", \
+					  "0.4337022, 0.5741045, 0.6801407, 0.7952587, 0.8339834, 0.9483226, 1.0569223", \
+					  "0.4654388, 0.6027894, 0.7181282, 0.7836818, 0.9197102, 0.9607390, 1.0507559");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529164, 0.5282100, 0.7095847, 0.8940349, 1.0658819, 1.2494541, 1.4326490", \
+					  "0.3083520, 0.4753475, 0.6573478, 0.8441544, 1.0151684, 1.2037798, 1.3841820", \
+					  "0.2965237, 0.4592218, 0.6471980, 0.8492062, 1.0311380, 1.2038401, 1.3884428", \
+					  "0.3038463, 0.4737370, 0.6597151, 0.8624600, 1.0348310, 1.2313330, 1.4245806", \
+					  "0.3203223, 0.4932647, 0.6768434, 0.8726932, 1.0394922, 1.2501597, 1.4446403", \
+					  "0.3573447, 0.5241836, 0.7062365, 0.8654243, 1.0700119, 1.2716435, 1.4648649", \
+					  "0.3890814, 0.5589720, 0.7349214, 0.9208504, 1.1013567, 1.2747724, 1.4812527");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0254432, -0.1623219, -0.2826801, -0.3881640, -0.4669805, -0.5385442, -0.6384517", \
+					  "0.0400768, -0.1061235, -0.2461736, -0.3310371, -0.4112297, -0.4869620, -0.5532190", \
+					  "0.0328577, -0.1003088, -0.2231396, -0.3236040, -0.4043464, -0.4851419, -0.5664754", \
+					  "0.0230662, -0.1152609, -0.2338179, -0.3459177, -0.4079519, -0.4582345, -0.5692351", \
+					  "-0.0218928, -0.1347886, -0.2608544, -0.3654048, -0.4409916, -0.5229949, -0.6007731", \
+					  "-0.0238191, -0.1626557, -0.2733085, -0.3867675, -0.4644901, -0.5476781, -0.5926727", \
+					  "-0.0540299, -0.1959182, -0.3090140, -0.4185959, -0.4996110, -0.5792797, -0.6182468");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3412859, -0.5162413, -0.7020105, -0.8833722, -1.0625848, -1.2454808, -1.4287883", \
+					  "-0.2914263, -0.4652024, -0.6467189, -0.8350500, -1.0071892, -1.1959752, -1.3775918", \
+					  "-0.2834038, -0.4500164, -0.6431980, -0.8402094, -1.0232235, -1.1977594, -1.3872357", \
+					  "-0.2983224, -0.4649684, -0.6478848, -0.8487592, -1.0262535, -1.2273521, -1.4177110", \
+					  "-0.3224277, -0.4875476, -0.6681643, -0.8590488, -1.0343534, -1.2430047, -1.4375887", \
+					  "-0.3487690, -0.5123630, -0.6914539, -0.8833430, -1.0700252, -1.2630450, -1.4597013", \
+					  "-0.3805056, -0.5456258, -0.7247163, -0.9109493, -1.0956264, -1.2670405, -1.4615195");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0226292, 0.0258326, 0.0290361, 0.0288430, 0.0286577, 0.0284646, 0.0282715");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263769, 0.0309325, 0.0354881, 0.0353725, 0.0352616, 0.0351461, 0.0350306");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.662852;
+			max_transition : 1.505197;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02015875, 0.04063751, 0.08192014, 0.1651408, 0.3329031, 0.671091");
+					values("5.1062945, 6.9328985, 8.5113750, 8.7631363, 8.7854010, 8.7854821, 8.7263120", \
+					  "3.0212245, 4.8600421, 6.4109729, 6.6383392, 6.6616500, 6.6626132, 6.6596667", \
+					  "6.8889751, 6.8894751, 6.8992641, 6.8922684, 6.8974908, 6.8420924, 6.7865019", \
+					  "6.9819098, 7.0099772, 6.9851564, 6.9915237, 6.9755673, 6.9797315, 6.9878547", \
+					  "7.0704722, 7.0329883, 7.0086337, 7.0481585, 7.1016478, 7.0274750, 7.1068824", \
+					  "7.1209974, 7.1044065, 7.1047569, 7.1138685, 7.1105717, 7.1168930, 7.1103965", \
+					  "7.1622942, 7.1759495, 7.1763398, 7.1939217, 7.1973495, 7.2023607, 7.1830332");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02015875, 0.04063751, 0.08192014, 0.1651408, 0.3329031, 0.671091");
+					values("6.5156062, 6.5205606, 6.5224219, 6.5375114, 6.5410954, 6.5550746, 6.5527232", \
+					  "8.8027285, 8.8151734, 8.8266269, 8.8351253, 8.8495773, 8.8570436, 8.8658378", \
+					  "8.7314591, 8.7305068, 8.7353857, 8.7325381, 8.7361073, 8.7379114, 8.7333630", \
+					  "8.7138621, 8.7370848, 8.7401362, 8.7192016, 8.7344073, 8.7461201, 8.7234707", \
+					  "8.7228219, 8.7279845, 8.7315381, 8.7298684, 8.7298571, 8.7447523, 8.7350908", \
+					  "8.7285474, 8.7202008, 8.7322953, 8.7225522, 8.7370614, 8.7431113, 8.7296594", \
+					  "8.7108680, 8.7107630, 8.7142810, 8.7387788, 8.7198981, 8.7326171, 8.7506937");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("11.8104025, 11.8099729, 11.8017913, 11.7874651, 11.7916243, 11.7877758, 11.7798475", \
+					  "47.2182920, 47.2322700, 47.2314760, 47.2271460, 47.2276730, 47.2405210, 46.5391650", \
+					  "85.9793140, 86.0623590, 85.9141300, 86.0325490, 85.9157880, 85.9969390, 85.9429890", \
+					  "123.4466300, 123.6171600, 123.7283900, 123.6191100, 123.5891300, 123.5584700, 123.3993700", \
+					  "160.8329600, 160.5101500, 160.8887600, 160.6133000, 160.5533900, 160.5402100, 160.8169600", \
+					  "197.7346100, 197.5894400, 197.8170000, 197.6420000, 197.5650500, 197.5156100, 197.6765000", \
+					  "234.2985800, 234.1907200, 234.2965000, 234.1931900, 234.3266700, 234.1102600, 234.1570300");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("10.3122138, 10.3169008, 10.3251878, 10.3352218, 10.3458158, 10.3598098, 10.3687638", \
+					  "36.6872470, 36.6853460, 36.6861330, 36.6879340, 36.6884960, 36.6905810, 36.8789720", \
+					  "55.8805920, 55.8819170, 55.8941470, 55.8830520, 55.8818200, 55.8841690, 55.9125140", \
+					  "75.2944650, 75.3032150, 75.2666330, 75.3024100, 75.3037350, 75.3136230, 75.3000370", \
+					  "94.8492740, 94.8739000, 94.7748690, 94.8574760, 94.8609730, 94.8380610, 94.9385150", \
+					  "114.5292200, 114.5309700, 114.6195800, 114.5459900, 114.4722900, 114.5613300, 114.6013800", \
+					  "134.2288200, 134.1803600, 134.2782400, 134.1785600, 134.2171200, 134.2142400, 134.1504000");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("9.9660553, 9.9651654, 9.9535389, 9.9461217, 9.9367242, 9.9311726, 9.9208554", \
+					  "13.6843050, 13.6637450, 13.6784630, 13.6705570, 13.6407000, 13.6697990, 13.5773810", \
+					  "25.6193470, 25.6051140, 25.5543170, 25.5433050, 25.5792350, 25.5981160, 25.6373600", \
+					  "36.9268190, 36.9676410, 36.9492390, 37.0089240, 36.9753910, 36.9469840, 36.9548590", \
+					  "48.1274330, 48.1413870, 48.0655130, 48.0831280, 48.1108240, 48.0649100, 48.0764530", \
+					  "59.0902740, 59.1095920, 59.1105910, 59.0702970, 59.0555240, 59.1366890, 59.0543790", \
+					  "69.8814060, 69.9498380, 69.9585530, 69.9614950, 69.8918770, 69.9043950, 70.0165060");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("10.5127198, 10.5185748, 10.5298448, 10.5394158, 10.5552658, 10.5670808, 10.5794828", \
+					  "29.5064350, 29.5076600, 29.5071810, 29.5022900, 29.5078860, 29.7013110, 30.0444010", \
+					  "40.6809000, 40.6570850, 40.6813540, 40.6836540, 40.6823600, 40.6844110, 40.6878180", \
+					  "51.8740920, 51.8971360, 51.8756180, 51.8685650, 51.8717640, 51.8750250, 51.8760560", \
+					  "63.2109570, 63.3170250, 63.3240060, 63.2081470, 63.2243220, 63.1992100, 63.2887490", \
+					  "74.6098810, 74.6426810, 74.6532210, 74.5682200, 74.5915690, 74.6240920, 74.6987680", \
+					  "85.9125550, 86.0626220, 86.0675630, 85.9066220, 86.0788800, 85.9665330, 86.0666120");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("24.2191867, 24.2257507, 24.2312487, 24.2434577, 24.2626657, 24.2819767, 24.2728717", \
+					  "24.2056553, 24.2077313, 24.2141463, 24.2562853, 24.2842863, 24.2262033, 24.3241823", \
+					  "24.2153098, 24.2189908, 24.2158338, 24.2204328, 24.2457648, 24.2682028, 24.2774738", \
+					  "24.2127230, 24.2152870, 24.2195800, 24.2451710, 24.2535360, 24.2763770, 24.2683120", \
+					  "24.1995555, 24.2008685, 24.2256335, 24.2368125, 24.2536145, 24.2682505, 24.2856115", \
+					  "24.2155547, 24.2177017, 24.2711607, 24.2446337, 24.2598997, 24.2702327, 24.2692907", \
+					  "24.2342320, 24.2375310, 24.2293330, 24.2596610, 24.2761690, 24.2521900, 24.2929330");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("20.0081477, 20.0195527, 20.0404707, 20.0779487, 20.1340017, 20.1718587, 20.1837517", \
+					  "20.0046070, 19.9988190, 20.0284940, 20.0685480, 20.1232250, 20.1629940, 20.2081560", \
+					  "19.9947203, 20.0140763, 20.0392243, 20.0670963, 20.1308113, 20.1469213, 20.1800093", \
+					  "20.0033436, 20.0189216, 20.0482116, 20.0661786, 20.1290586, 20.1740906, 20.1564086", \
+					  "19.9954417, 20.0126317, 20.0442067, 20.0749027, 20.1258497, 20.1606897, 20.1636187", \
+					  "19.9966221, 20.0045131, 20.0349781, 20.0751071, 20.1065601, 20.1595951, 20.1618181", \
+					  "20.0091085, 20.0148035, 20.0335365, 20.0718065, 20.1221045, 20.1580965, 20.1860035");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("2.0923039, 2.1081636, 2.1406736, 2.2057445, 2.3376154, 2.5987839, 3.1216520", \
+					  "4.4013047, 4.4176649, 4.4502131, 4.5155963, 4.6466693, 4.9074864, 5.4306049", \
+					  "6.3535652, 6.3699073, 6.4023857, 6.4677770, 6.5988206, 6.8595165, 7.3824187", \
+					  "6.7908745, 6.8072197, 6.8397328, 6.9051875, 7.0362232, 7.2970163, 7.8195947", \
+					  "9.4364561, 9.4529558, 9.4854027, 9.5507833, 9.6819468, 9.9432036, 10.4662620", \
+					  "11.6059180, 11.6222760, 11.6547950, 11.7202090, 11.8512520, 12.1118430, 12.6350120", \
+					  "13.1923660, 13.2086260, 13.2412370, 13.3066920, 13.4378450, 13.6984780, 14.2214060", \
+					  "15.5102930, 15.5266240, 15.5591400, 15.6245170, 15.7455150, 16.0162920, 16.5390690", \
+					  "46.9146250, 46.9442510, 46.9700230, 47.0452870, 47.1642570, 47.4163750, 47.9506570", \
+					  "67.0887910, 67.0887948, 67.1349480, 67.1349526, 67.2003540, 67.4607460, 68.1487720", \
+					  "152.6959500, 152.6959610, 152.6959763, 153.0777400, 153.3072300, 153.3679100, 153.9639100", \
+					  "478.7292500, 478.7292634, 479.0094300, 479.0094452, 479.0094758, 479.4448500, 479.8723100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("0.1372889, 0.1574656, 0.1989999, 0.2830092, 0.4528787, 0.7953079, 1.4892680", \
+					  "0.1375735, 0.1575650, 0.1991120, 0.2831197, 0.4529134, 0.7949249, 1.4949435", \
+					  "0.1374249, 0.1578743, 0.1991286, 0.2828996, 0.4529097, 0.7955791, 1.5018933", \
+					  "0.1376328, 0.1577090, 0.1991097, 0.2829745, 0.4528815, 0.7980752, 1.5000472", \
+					  "0.1373492, 0.1578294, 0.1990074, 0.2829636, 0.4529192, 0.7973093, 1.5077928", \
+					  "0.1374903, 0.1580336, 0.1990012, 0.2829050, 0.4527100, 0.7966645, 1.5003271", \
+					  "0.1375740, 0.1579966, 0.1988099, 0.2828431, 0.4528962, 0.7948851, 1.5002041", \
+					  "0.1375414, 0.1576742, 0.1990177, 0.2829427, 0.4528906, 0.7982085, 1.4973368", \
+					  "0.1373022, 0.1580081, 0.1989081, 0.2828531, 0.4529005, 0.7972792, 1.5016597", \
+					  "0.1375651, 0.1578653, 0.1991161, 0.2828943, 0.4528993, 0.7976009, 1.5076819", \
+					  "0.1376710, 0.1577324, 0.1991518, 0.2828658, 0.4528512, 0.7972403, 1.5016878", \
+					  "0.1376359, 0.1577954, 0.1992035, 0.2829694, 0.4529124, 0.7964596, 1.5005638");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("1.9716171, 1.9837791, 2.0112054, 2.0552509, 2.1394240, 2.2761701, 2.4952160", \
+					  "4.3290811, 4.3427555, 4.3679345, 4.4146260, 4.4958379, 4.6323487, 4.8512687", \
+					  "6.2170336, 6.2307297, 6.2562298, 6.3021406, 6.3837073, 6.5196981, 6.7390435", \
+					  "6.6273773, 6.6406511, 6.6660184, 6.7126433, 6.7937678, 6.9297923, 7.1485884", \
+					  "9.0053831, 9.0191179, 9.0436698, 9.0906180, 9.1734771, 9.3117940, 9.5273016", \
+					  "10.8947140, 10.9080280, 10.9330230, 10.9801150, 11.0614160, 11.1976610, 11.4160370", \
+					  "12.3043120, 12.3176250, 12.3423990, 12.3897550, 12.4709650, 12.6069900, 12.8256790", \
+					  "14.3286010, 14.3421470, 14.3672020, 14.4140790, 14.4953320, 14.6315150, 14.8500580", \
+					  "38.4609460, 38.4609471, 38.4714200, 38.5180990, 38.6013030, 38.7350100, 38.9563120", \
+					  "52.5043120, 52.5179050, 52.5430190, 52.5917120, 52.6711120, 52.8094790, 53.0252610", \
+					  "105.8064100, 105.8349800, 105.8349801, 105.8541200, 105.9898500, 106.0736600, 106.3277200", \
+					  "262.8578100, 262.8578339, 262.9076900, 263.0000300, 263.0839300, 263.2034500, 263.3582900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("0.1204766, 0.1312846, 0.1513543, 0.1918506, 0.2635639, 0.3812123, 0.5847503", \
+					  "0.1279978, 0.1343660, 0.1555945, 0.1924908, 0.2674589, 0.3873152, 0.5985295", \
+					  "0.1232931, 0.1331375, 0.1532902, 0.1945397, 0.2683254, 0.3879303, 0.5983510", \
+					  "0.1279907, 0.1344033, 0.1555893, 0.1929202, 0.2677601, 0.3871047, 0.5980346", \
+					  "0.1217102, 0.1326991, 0.1534608, 0.1945820, 0.2679667, 0.3891934, 0.5976011", \
+					  "0.1279836, 0.1343750, 0.1555141, 0.1928326, 0.2677901, 0.3870747, 0.5980264", \
+					  "0.1279896, 0.1342850, 0.1556910, 0.1928308, 0.2679231, 0.3870224, 0.5982642", \
+					  "0.1279418, 0.1343232, 0.1555040, 0.1928422, 0.2677832, 0.3870553, 0.5980450", \
+					  "0.1281028, 0.1345441, 0.1541864, 0.1927627, 0.2658253, 0.3868206, 0.5964535", \
+					  "0.1285472, 0.1339665, 0.1553081, 0.1946139, 0.2658418, 0.3863810, 0.5969308", \
+					  "0.1257157, 0.1334139, 0.1556650, 0.1941581, 0.2681654, 0.3870803, 0.5976467", \
+					  "0.1225741, 0.1359226, 0.1542469, 0.1939258, 0.2665345, 0.3870100, 0.6000146");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.9450307, 0.9616422, 0.9939708, 1.0583531, 1.1872894, 1.4443968, 1.9621975", \
+					  "1.3591588, 1.3755160, 1.4082541, 1.4726982, 1.6016367, 1.8594045, 2.3742737", \
+					  "1.5269750, 1.5430409, 1.5755156, 1.6404562, 1.7687884, 2.0266562, 2.5445128", \
+					  "1.5606262, 1.5769622, 1.6097145, 1.6742610, 1.8030314, 2.0608155, 2.5797289", \
+					  "1.7385610, 1.7551058, 1.7877613, 1.8523479, 1.9810154, 2.2385960, 2.7567009", \
+					  "1.8650319, 1.8758031, 1.9085944, 1.9787771, 2.0973250, 2.3652669, 2.8845006", \
+					  "1.9531650, 1.9682249, 2.0007793, 2.0667976, 2.1942499, 2.4461893, 2.9729861", \
+					  "2.0629234, 2.0795390, 2.1153972, 2.1765587, 2.3054574, 2.5602613, 3.0823029", \
+					  "3.3062766, 3.3437757, 3.3535241, 3.4199290, 3.5703005, 3.8062549, 4.3422368", \
+					  "4.0242885, 4.0318384, 4.0371471, 4.1362798, 4.2641273, 4.4916311, 5.0287614", \
+					  "6.7685484, 6.7806085, 6.7877899, 6.8750410, 7.0084507, 7.2676389, 7.7595663", \
+					  "16.1568860, 16.1890200, 16.2034580, 16.2702850, 16.4062840, 16.6758250, 17.1884680");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1373275, 0.1576115, 0.1979656, 0.2810764, 0.4483299, 0.7871988, 1.4845987", \
+					  "0.1392491, 0.1596609, 0.2005040, 0.2834198, 0.4547853, 0.7982686, 1.4804431", \
+					  "0.1393018, 0.1593847, 0.1991695, 0.2833671, 0.4549647, 0.7972894, 1.4868726", \
+					  "0.1391890, 0.1596593, 0.2005887, 0.2839530, 0.4548694, 0.7991918, 1.5137936", \
+					  "0.1395420, 0.1594054, 0.2002216, 0.2834253, 0.4540384, 0.8004731, 1.5081103", \
+					  "0.1389769, 0.1596770, 0.2003378, 0.2835442, 0.4548215, 0.7969994, 1.5053674", \
+					  "0.1391822, 0.1596792, 0.2005893, 0.2839509, 0.4547953, 0.7991591, 1.5076645", \
+					  "0.1392300, 0.1593849, 0.2005890, 0.2839687, 0.4540623, 0.7988943, 1.5127386", \
+					  "0.1394846, 0.1596529, 0.2002973, 0.2837252, 0.4540599, 0.8016561, 1.5041128", \
+					  "0.1392488, 0.1594126, 0.2000007, 0.2839686, 0.4547846, 0.8001774, 1.5255512", \
+					  "0.1392242, 0.1596693, 0.2004632, 0.2839669, 0.4547981, 0.7991571, 1.5090197", \
+					  "0.1394834, 0.1594522, 0.2005873, 0.2837252, 0.4547862, 0.7994399, 1.5135859");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("1.3589566, 1.3726221, 1.3963096, 1.4395687, 1.5157567, 1.6439976, 1.8524083", \
+					  "2.7359215, 2.7492530, 2.7740026, 2.8170113, 2.8893019, 3.0212925, 3.2296852", \
+					  "3.9897139, 4.0031893, 4.0273256, 4.0708232, 4.1452730, 4.2729082, 4.4818003", \
+					  "4.2771018, 4.2905781, 4.3146988, 4.3581916, 4.4379416, 4.5602704, 4.7691624", \
+					  "6.0737650, 6.0863057, 6.1101302, 6.1525371, 6.2261636, 6.3575119, 6.5641941", \
+					  "7.5936839, 7.6071176, 7.6292022, 7.6736981, 7.7515336, 7.8793692, 8.0823606", \
+					  "8.7729868, 8.7866656, 8.8120835, 8.8560839, 8.9167660, 9.0516270, 9.3049159", \
+					  "10.4540630, 10.4606390, 10.4715430, 10.5179550, 10.6682960, 10.7494170, 10.9557330", \
+					  "35.1100410, 35.1226890, 35.1447550, 35.1875190, 35.4773460, 35.4773465, 35.5995910", \
+					  "51.5322400, 51.5752130, 51.5752163, 51.6376060, 52.0813610, 52.0813618, 52.0813656", \
+					  "125.3140300, 125.3140374, 125.3577200, 125.3800700, 125.4719100, 125.5818900, 125.7909800", \
+					  "410.9259100, 410.9259186, 410.9482500, 411.0098900, 411.0535800, 411.2242500, 411.4215200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1162499, 0.1259626, 0.1458532, 0.1821062, 0.2487321, 0.3617856, 0.5651761", \
+					  "0.1251991, 0.1321397, 0.1485724, 0.1877621, 0.2599848, 0.3663590, 0.5760753", \
+					  "0.1213299, 0.1294773, 0.1530363, 0.1875452, 0.2562478, 0.3686181, 0.5750265", \
+					  "0.1213189, 0.1294667, 0.1529982, 0.1875527, 0.2562825, 0.3686151, 0.5753264", \
+					  "0.1206994, 0.1292986, 0.1515647, 0.1893531, 0.2530487, 0.3718732, 0.5762255", \
+					  "0.1188526, 0.1339489, 0.1558876, 0.1876488, 0.2562469, 0.3666271, 0.5754631", \
+					  "0.1273367, 0.1356030, 0.1521999, 0.1891379, 0.2544880, 0.3682830, 0.5757409", \
+					  "0.1212325, 0.1373398, 0.1514748, 0.1898322, 0.2557614, 0.3710321, 0.5757507", \
+					  "0.1209079, 0.1375029, 0.1513968, 0.1862534, 0.2557146, 0.3714036, 0.5757295", \
+					  "0.1210202, 0.1373598, 0.1518768, 0.1895993, 0.2559575, 0.3710296, 0.5757172", \
+					  "0.1207512, 0.1293257, 0.1559587, 0.1878259, 0.2530394, 0.3685788, 0.5755225", \
+					  "0.1211459, 0.1374621, 0.1555573, 0.1899009, 0.2559576, 0.3714002, 0.5758861");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.9343423, 0.9507816, 0.9834499, 1.0481447, 1.1767423, 1.4338890, 1.9509889", \
+					  "0.3673559, 0.3839133, 0.4165464, 0.4811667, 0.6098234, 0.8674311, 1.3854663", \
+					  "-0.4933950, -0.4768947, -0.4441924, -0.3796081, -0.2509420, 0.0066284, 0.5247383", \
+					  "-0.7094049, -0.6928877, -0.6601957, -0.5949403, -0.4669418, -0.2093812, 0.3087328", \
+					  "-2.1061841, -2.0889956, -2.0562958, -1.9918054, -1.8629582, -1.6051779, -1.0855759", \
+					  "-3.3579570, -3.3412355, -3.3085019, -3.2438661, -3.1151772, -2.8573892, -2.3407738", \
+					  "-4.3519650, -4.3350625, -4.3029580, -4.2382760, -4.1095483, -3.8519707, -3.3331011", \
+					  "-5.8010268, -5.7864238, -5.7542224, -5.6887055, -5.5579243, -5.2992619, -4.7791819", \
+					  "-28.1118510, -28.1107760, -28.0781230, -28.0009010, -27.8745770, -27.6170870, -27.0946980", \
+					  "-43.4361200, -43.4197600, -43.3864180, -43.3260400, -43.1966600, -42.9491070, -42.4167230", \
+					  "-113.0219900, -113.0176600, -112.9740600, -112.9208700, -112.7795900, -112.5236500, -112.0070900", \
+					  "-385.7858200, -385.7708200, -385.7707977, -385.7411700, -385.5430200, -385.2858300, -384.7777600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1373092, 0.1572926, 0.1983090, 0.2810930, 0.4486768, 0.7940145, 1.4783260", \
+					  "0.1395256, 0.1593597, 0.2000896, 0.2832797, 0.4541724, 0.8002679, 1.4899971", \
+					  "0.1395436, 0.1594001, 0.2002323, 0.2834450, 0.4540170, 0.8005447, 1.5077229", \
+					  "0.1395476, 0.1594057, 0.2002398, 0.2834568, 0.4540105, 0.8005698, 1.5076105", \
+					  "0.1395644, 0.1596669, 0.2005877, 0.2839654, 0.4548046, 0.7994395, 1.5130525", \
+					  "0.1395524, 0.1596598, 0.2005887, 0.2838180, 0.4548608, 0.7992240, 1.5071610", \
+					  "0.1391830, 0.1596618, 0.2005875, 0.2834221, 0.4548870, 0.8004664, 1.5138752", \
+					  "0.1391970, 0.1594794, 0.2002155, 0.2839533, 0.4548056, 0.7999585, 1.5128975", \
+					  "0.1392373, 0.1596571, 0.2005877, 0.2838932, 0.4534357, 0.7988806, 1.5082888", \
+					  "0.1391904, 0.1596587, 0.1999880, 0.2839531, 0.4548307, 0.7993283, 1.5083503", \
+					  "0.1392768, 0.1596620, 0.2005858, 0.2838029, 0.4547513, 0.7996335, 1.5079414", \
+					  "0.1391929, 0.1593652, 0.2005875, 0.2839608, 0.4548206, 0.7993191, 1.5123510");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("1.4723157, 1.4854874, 1.5094882, 1.5535779, 1.6299878, 1.7577689, 1.9671722", \
+					  "3.3409859, 3.3545950, 3.3782290, 3.4209751, 3.4968719, 3.6257630, 3.8325827", \
+					  "5.1104490, 5.1239306, 5.1480358, 5.1914628, 5.2662195, 5.3938791, 5.6033413", \
+					  "5.5192917, 5.5326792, 5.5568035, 5.6006781, 5.6774190, 5.8052692, 6.0140701", \
+					  "8.0653679, 8.0738554, 8.1015443, 8.1437390, 8.2199865, 8.3489775, 8.5555483", \
+					  "10.2338160, 10.2456610, 10.2709560, 10.3140420, 10.3912590, 10.5181860, 10.7271530", \
+					  "11.9136590, 11.9230020, 11.9532900, 11.9940040, 12.0704920, 12.2006750, 12.4039300", \
+					  "14.3284130, 14.3436950, 14.3591990, 14.4083620, 14.4851590, 14.6049030, 14.8225820", \
+					  "49.5681580, 49.5797250, 49.6046870, 49.6481220, 49.7272200, 49.8516180, 50.0608160", \
+					  "73.1195510, 73.1297760, 73.1559810, 73.2011930, 73.2745630, 73.4023760, 73.6114250", \
+					  "178.5698300, 178.5804400, 178.6062600, 178.6480100, 178.7268900, 178.8518900, 179.0633900", \
+					  "586.8413400, 586.8531000, 586.8778900, 586.9180800, 586.9992500, 587.1270300, 587.3344500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1181462, 0.1261731, 0.1454778, 0.1817177, 0.2487517, 0.3619169, 0.5636295", \
+					  "0.1211603, 0.1291310, 0.1524391, 0.1892843, 0.2540972, 0.3698827, 0.5770638", \
+					  "0.1229265, 0.1309311, 0.1541862, 0.1865299, 0.2518722, 0.3730503, 0.5754563", \
+					  "0.1238085, 0.1318988, 0.1494998, 0.1842982, 0.2522450, 0.3705031, 0.5751344", \
+					  "0.1211146, 0.1379957, 0.1511665, 0.1899355, 0.2557038, 0.3709724, 0.5756492", \
+					  "0.1203521, 0.1367368, 0.1511071, 0.1900175, 0.2559706, 0.3715659, 0.5758911", \
+					  "0.1242691, 0.1325299, 0.1514626, 0.1877510, 0.2561837, 0.3716964, 0.5769679", \
+					  "0.1208308, 0.1357924, 0.1513749, 0.1894344, 0.2561082, 0.3711219, 0.5759682", \
+					  "0.1233922, 0.1358030, 0.1508222, 0.1884700, 0.2523260, 0.3709509, 0.5750916", \
+					  "0.1247371, 0.1330160, 0.1498045, 0.1851056, 0.2562646, 0.3732903, 0.5749032", \
+					  "0.1208770, 0.1328773, 0.1483943, 0.1865666, 0.2513127, 0.3672394, 0.5790995", \
+					  "0.1198867, 0.1283204, 0.1487399, 0.1895708, 0.2515827, 0.3675298, 0.5712056");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("3.9768517, 3.9929970, 4.0255475, 4.0906980, 4.2182768, 4.4748538, 4.9891038", \
+					  "4.1561630, 4.1726023, 4.2049217, 4.2697446, 4.3978154, 4.6549578, 5.1660360", \
+					  "4.3444364, 4.3575477, 4.3908228, 4.4556887, 4.5825255, 4.8425819, 5.3581410", \
+					  "4.5288377, 4.5458219, 4.5832351, 4.6482111, 4.7710582, 5.0277391, 5.5425358", \
+					  "4.7169801, 4.7342041, 4.7607704, 4.8257731, 4.9592876, 5.2134279, 5.7276863", \
+					  "4.9001256, 4.9161413, 4.9415818, 5.0061817, 5.1412981, 5.3986855, 5.9119754", \
+					  "5.0864237, 5.1020224, 5.1286531, 5.1936779, 5.3282898, 5.5884026, 6.1025518");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("0.1391190, 0.1597331, 0.2013341, 0.2844199, 0.4570834, 0.7995987, 1.4876174", \
+					  "0.1393345, 0.1600100, 0.2017980, 0.2830813, 0.4569589, 0.8065083, 1.4907909", \
+					  "0.1382322, 0.1600078, 0.2014674, 0.2834723, 0.4550338, 0.7987956, 1.4931383", \
+					  "0.1381915, 0.1597747, 0.2011005, 0.2852056, 0.4568428, 0.7987930, 1.4932393", \
+					  "0.1397733, 0.1599988, 0.2012062, 0.2851407, 0.4569197, 0.7983759, 1.4914862", \
+					  "0.1390947, 0.1597437, 0.2019745, 0.2852293, 0.4550822, 0.8006283, 1.4862057", \
+					  "0.1390989, 0.1599634, 0.2011660, 0.2852265, 0.4567669, 0.8078755, 1.4932326");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("3.0778151, 3.0939991, 3.1252079, 3.1832388, 3.2969889, 3.5372836, 4.0067691", \
+					  "3.2270455, 3.2445782, 3.2752150, 3.3333138, 3.4473222, 3.6848331, 4.1538577", \
+					  "3.3436749, 3.3604008, 3.3904830, 3.4492154, 3.5635364, 3.8023118, 4.2749519", \
+					  "3.4484837, 3.4640322, 3.4940366, 3.5521130, 3.6660051, 3.9033630, 4.3684865", \
+					  "3.5321848, 3.5483874, 3.5794643, 3.6376280, 3.7519072, 3.9913215, 4.4570956", \
+					  "3.6055576, 3.6221457, 3.6534398, 3.7110330, 3.8266457, 4.0648862, 4.5340571", \
+					  "3.6813317, 3.6980259, 3.7294865, 3.7879060, 3.8998769, 4.1381805, 4.6082391");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("0.1505106, 0.1629554, 0.1931733, 0.2572432, 0.4063742, 0.7337745, 1.0498555", \
+					  "0.1457048, 0.1619968, 0.1902559, 0.2567475, 0.4089685, 0.7288747, 1.0499740", \
+					  "0.1508586, 0.1625221, 0.1923940, 0.2568179, 0.4064334, 0.7298688, 1.0495501", \
+					  "0.1497570, 0.1648120, 0.1907441, 0.2556680, 0.4055244, 0.7283459, 1.0495741", \
+					  "0.1504456, 0.1621082, 0.1930033, 0.2572762, 0.4065940, 0.7334350, 1.0495915", \
+					  "0.1493777, 0.1618471, 0.1937391, 0.2577987, 0.4080694, 0.7340054, 1.0495130", \
+					  "0.1514306, 0.1629236, 0.1933388, 0.2567918, 0.4056886, 0.7315150, 1.0495790");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.308800;
+			max_transition : 3.762298;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176613, 0.1009087, 0.320548, 1.018257, 3.234608, 10.2751");
+					values("8.5357669, 8.4607917, 8.1505729, 7.7581298, 7.2383612, 6.6000324, 5.2730133", \
+					  "6.4076799, 6.3079806, 6.0563611, 5.6645530, 5.1131729, 4.4845620, 3.0862671", \
+					  "6.8294268, 6.7042188, 6.3806577, 5.9645943, 5.3397933, 4.7165631, 3.1692461", \
+					  "6.8979366, 6.7609447, 6.4625258, 6.0300211, 5.5572730, 4.7695949, 4.1916982", \
+					  "6.9799973, 6.9236639, 6.5340676, 6.1436889, 5.6760807, 5.2825535, 3.6918146", \
+					  "7.0601290, 6.9347895, 6.6054931, 6.1941463, 5.7292357, 5.3537652, 3.4887902", \
+					  "7.1145624, 6.9888244, 6.6759545, 6.2525961, 5.7944196, 5.4015447, 3.3599907");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176613, 0.1009087, 0.320548, 1.018257, 3.234608, 10.2751");
+					values("6.0066002, 5.9453757, 5.8720290, 5.7732894, 5.6363763, 5.5331109, 5.4787663", \
+					  "8.2058024, 8.1389114, 8.0707602, 8.0185095, 7.9457751, 7.8480521, 7.8036301", \
+					  "8.6867527, 8.5680100, 8.3434501, 8.0456197, 7.8435747, 7.8029970, 7.8038765", \
+					  "8.6763747, 8.5724188, 8.3436574, 8.0622974, 7.8586573, 7.7153041, 7.8067908", \
+					  "8.6719841, 8.5710319, 8.3348025, 8.0449432, 7.8288634, 7.7142841, 7.7862499", \
+					  "8.6754909, 8.5727866, 8.3381375, 8.0549846, 7.8250505, 7.7231008, 7.6618260", \
+					  "8.6637928, 8.5681288, 8.3304665, 8.0289837, 7.8210199, 7.7149788, 7.6639233");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("9.6658438, 9.5632757, 9.3169660, 8.9425612, 8.3687803, 7.5133662, 5.9653359", \
+					  "13.5676410, 13.4617210, 13.1177190, 12.6614570, 12.1924280, 11.4452800, 9.5403780", \
+					  "25.5607760, 25.4300450, 25.0693460, 24.6234300, 24.1154540, 23.6960940, 21.5205320", \
+					  "36.9059820, 36.7829000, 36.4474320, 36.0005670, 35.4860240, 35.0602530, 34.2259310", \
+					  "48.0541750, 47.8263260, 47.5517720, 47.0859080, 46.6575320, 46.1994190, 45.8944550", \
+					  "58.9948230, 58.9114310, 58.5185300, 57.9823970, 57.5423220, 57.2535070, 56.7620600", \
+					  "69.8340070, 69.7868500, 69.3264130, 68.8890470, 68.4846760, 68.1022320, 67.6185500");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("9.5306788, 9.4868648, 9.4960978, 9.5732578, 9.5587908, 9.4886958, 9.4536208", \
+					  "29.4500710, 29.3457720, 29.1036880, 28.7903400, 28.5447660, 28.9784600, 28.9465310", \
+					  "40.6254890, 40.5215340, 40.2782320, 40.0704430, 39.7245950, 39.6067480, 40.0764070", \
+					  "51.8194520, 51.7127350, 51.4728880, 51.2604400, 50.9148490, 50.8780000, 51.2370810", \
+					  "63.1616500, 63.0551440, 62.8139350, 62.6124640, 62.2550480, 62.2078640, 62.2134980", \
+					  "74.5577700, 74.4528810, 74.2162580, 74.0142110, 73.6047820, 73.5350740, 73.3400910", \
+					  "85.8691800, 85.7741450, 85.5323380, 85.3645350, 84.9680260, 84.9191690, 84.6087090");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("11.4915865, 11.3946699, 11.1669263, 10.7793570, 10.2072515, 9.3529634, 7.9398323", \
+					  "47.1595850, 47.0357470, 46.7132100, 46.2420590, 45.7663560, 44.2627950, 42.8066850", \
+					  "85.8915790, 85.7611410, 85.4524020, 84.9746590, 84.4438620, 84.0941830, 81.9914970", \
+					  "123.3986900, 123.2615000, 122.9280600, 122.4706000, 122.3014200, 121.7987800, 119.6530200", \
+					  "160.7740300, 160.6640700, 160.2617200, 159.8309400, 159.1819300, 158.9067300, 158.2649600", \
+					  "197.5706100, 197.3826200, 197.1573000, 196.6169900, 196.0803700, 195.8362600, 195.4537500", \
+					  "234.2626100, 234.1696300, 233.7126000, 233.0400900, 232.6774500, 232.3483400, 232.1091800");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("9.5932398, 9.5301918, 9.4808228, 9.4275878, 9.3573008, 9.2721878, 9.2288808", \
+					  "36.6321170, 36.5239680, 36.2824390, 35.9676490, 35.7264320, 36.1019270, 36.0773530", \
+					  "55.8252400, 55.7232870, 55.5149400, 55.1628710, 54.9242840, 54.7700110, 55.2382130", \
+					  "75.2537550, 75.1471800, 75.0006520, 74.5872950, 74.3512470, 74.2071540, 74.5186200", \
+					  "94.8688210, 94.6818110, 94.5838620, 94.1181650, 93.8854060, 93.7083610, 93.5849040", \
+					  "114.5053000, 114.4149700, 114.2642900, 113.8397500, 113.5460800, 113.3761800, 113.2237700", \
+					  "134.1056200, 134.0453700, 133.8614500, 133.4745800, 133.2536900, 132.9672600, 132.8386800");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("23.2951417, 23.2200187, 22.9744937, 22.7110947, 22.6686217, 21.9071417, 20.3361697", \
+					  "23.3283483, 23.2233433, 22.9713023, 22.6940503, 22.6609443, 21.8999543, 20.2463813", \
+					  "23.2881138, 23.2170458, 22.9703108, 22.6991958, 22.6529488, 21.8962868, 20.2029758", \
+					  "23.2847880, 23.2155730, 22.9655380, 22.7015060, 22.6536250, 21.8722790, 20.3059470", \
+					  "23.2592075, 23.2213275, 22.9728075, 22.7036355, 22.6544635, 21.8746705, 20.2099765", \
+					  "23.2913877, 23.2178477, 22.9707497, 22.7089107, 22.6656207, 21.9255377, 20.3660747", \
+					  "23.3128390, 23.2167800, 22.9883740, 22.7240530, 22.6849380, 21.9554420, 20.3377760");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("19.1858047, 19.0139457, 18.5808967, 17.9393767, 17.0720517, 16.2611137, 15.8055717", \
+					  "19.1728170, 19.0074150, 18.5751890, 17.9380360, 17.0474230, 16.2649900, 15.7267800", \
+					  "19.1616613, 19.0137233, 18.5857383, 17.9320993, 17.0547753, 16.2494143, 15.7972753", \
+					  "19.1717016, 19.0076936, 18.5772026, 17.9231666, 17.0620646, 16.2459726, 15.7271256", \
+					  "19.1788937, 19.0077097, 18.5768007, 17.9322227, 17.0697667, 16.2457887, 15.8128517", \
+					  "19.1638611, 19.0056901, 18.5869451, 17.9342891, 17.0382141, 16.2288661, 15.8321851", \
+					  "19.1741195, 19.0282865, 18.5834955, 17.9418695, 17.0534815, 16.2496415, 15.7539735");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("2.4157980, 2.4245104, 2.4481477, 2.5090907, 2.6800420, 3.2104608, 4.9031339", \
+					  "4.7229003, 4.7316018, 4.7553090, 4.8162834, 4.9871693, 5.5169660, 7.2045574", \
+					  "6.6751102, 6.6838259, 6.7073240, 6.7682944, 6.9393780, 7.4684356, 9.1556771", \
+					  "7.1118702, 7.1203821, 7.1441866, 7.2051134, 7.3758550, 7.9052196, 9.5977706", \
+					  "9.7571577, 9.7658859, 9.7896020, 9.8500861, 10.0216170, 10.5502870, 12.2433070", \
+					  "11.9270210, 11.9357910, 11.9593140, 12.0202420, 12.1896580, 12.7226240, 14.4160560", \
+					  "13.5145510, 13.5233110, 13.5467950, 13.6077260, 13.7408870, 14.3079720, 16.0019820", \
+					  "15.8309580, 15.8399430, 15.8632860, 15.9243760, 15.9512720, 16.6246360, 18.3122760", \
+					  "47.2317690, 47.2496710, 47.2769520, 47.3206430, 47.5006910, 48.0225530, 49.7192050", \
+					  "67.4053670, 67.4135660, 67.4135705, 67.4883720, 67.6078140, 68.1960800, 69.9260670", \
+					  "153.1427800, 153.4748000, 153.4748002, 153.4748154, 153.4748307, 153.4748459, 155.8363600", \
+					  "479.3842500, 479.3842621, 479.3842927, 479.3843232, 479.4870300, 479.4949900, 481.5299800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("0.0737061, 0.0814150, 0.1028720, 0.1719207, 0.4076401, 1.2006626, 3.7569365", \
+					  "0.0747364, 0.0822189, 0.1032995, 0.1719276, 0.4078404, 1.2068481, 3.7531320", \
+					  "0.0740388, 0.0812859, 0.1032171, 0.1719165, 0.4087809, 1.2022275, 3.7435304", \
+					  "0.0746569, 0.0822241, 0.1031348, 0.1717587, 0.4081283, 1.1994364, 3.7531067", \
+					  "0.0742739, 0.0812929, 0.1031367, 0.1718731, 0.4087669, 1.2008660, 3.7498262", \
+					  "0.0740470, 0.0811986, 0.1031307, 0.1719067, 0.4091175, 1.2046579, 3.7568419", \
+					  "0.0742768, 0.0812824, 0.1031609, 0.1718069, 0.4084790, 1.2052120, 3.7619521", \
+					  "0.0746757, 0.0812580, 0.1031259, 0.1719304, 0.4079095, 1.2031535, 3.7492085", \
+					  "0.0742531, 0.0820294, 0.1032976, 0.1721080, 0.4087310, 1.2039408, 3.7611994", \
+					  "0.0747022, 0.0812535, 0.1031699, 0.1717730, 0.4087563, 1.2002257, 3.7633523", \
+					  "0.0740724, 0.0820852, 0.1031972, 0.1717598, 0.4087718, 1.1991160, 3.7605656", \
+					  "0.0749860, 0.0813232, 0.1032603, 0.1717517, 0.4087553, 1.2016989, 3.7496437");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("1.5348859, 1.5415252, 1.5670083, 1.6288798, 1.7993564, 2.3115676, 3.9415560", \
+					  "3.8921835, 3.9006376, 3.9242916, 3.9863468, 4.1565027, 4.6691699, 6.2988224", \
+					  "5.7800227, 5.7884932, 5.8122271, 5.8741292, 6.0441891, 6.5567933, 8.1868414", \
+					  "6.1901866, 6.1986580, 6.2223124, 6.2842811, 6.4546648, 6.9669990, 8.5975955", \
+					  "8.5691791, 8.5832088, 8.6012468, 8.6646050, 8.8393382, 9.3518402, 10.9751190", \
+					  "10.4578990, 10.4670370, 10.4899970, 10.5524920, 10.7227180, 11.2353250, 12.8657250", \
+					  "11.8665340, 11.8756230, 11.8986750, 11.9613770, 12.1318570, 12.6443870, 14.2744680", \
+					  "13.8924700, 13.9012330, 13.9245720, 13.9868270, 14.1571950, 14.6697680, 16.2997300", \
+					  "37.9978080, 38.0067140, 38.0295010, 38.0599130, 38.2617240, 38.7739620, 40.4040610", \
+					  "52.0730870, 52.0813190, 52.1050300, 52.1641010, 52.3374270, 52.8499020, 54.4772510", \
+					  "105.3479200, 105.3577000, 105.3786200, 105.4852600, 105.5664400, 105.9740700, 107.7265000", \
+					  "262.4657300, 262.5533600, 262.5533906, 262.5534211, 262.7025100, 263.2403100, 264.9195800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("0.0650326, 0.0712525, 0.0912720, 0.1508748, 0.3467678, 1.0090737, 3.1510845", \
+					  "0.0646336, 0.0714183, 0.0906038, 0.1504305, 0.3478188, 1.0084560, 3.1548031", \
+					  "0.0648956, 0.0717559, 0.0906048, 0.1511187, 0.3477600, 1.0089181, 3.1449650", \
+					  "0.0646364, 0.0714155, 0.0906033, 0.1503589, 0.3478327, 1.0084950, 3.1504241", \
+					  "0.0646799, 0.0717790, 0.0905714, 0.1508284, 0.3476805, 1.0080689, 3.1431990", \
+					  "0.0646345, 0.0714169, 0.0906043, 0.1504294, 0.3478172, 1.0091731, 3.1576475", \
+					  "0.0646627, 0.0714395, 0.0913460, 0.1505394, 0.3478030, 1.0089767, 3.1458214", \
+					  "0.0646674, 0.0714475, 0.0913400, 0.1506285, 0.3479043, 1.0088369, 3.1475833", \
+					  "0.0646427, 0.0714090, 0.0906060, 0.1509670, 0.3476921, 1.0092341, 3.1506935", \
+					  "0.0649121, 0.0713472, 0.0906008, 0.1508931, 0.3479635, 1.0096178, 3.1524425", \
+					  "0.0651376, 0.0714710, 0.0906103, 0.1505431, 0.3479338, 1.0091725, 3.1455842", \
+					  "0.0651404, 0.0712407, 0.0910678, 0.1507422, 0.3474739, 1.0080881, 3.1489769");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.6678159, 0.6768281, 0.7010524, 0.7622535, 0.9329757, 1.4611237, 3.1493215", \
+					  "0.1007672, 0.1097333, 0.1340954, 0.1957739, 0.3662130, 0.8953324, 2.5812830", \
+					  "-0.7599976, -0.7509589, -0.7265556, -0.6652063, -0.4944735, 0.0350309, 1.7270742", \
+					  "-0.9760005, -0.9669619, -0.9425557, -0.8812052, -0.7104713, -0.1809140, 1.5090988", \
+					  "-2.3720874, -2.3630333, -2.3376623, -2.2773454, -2.1067372, -1.5760834, 0.1170314", \
+					  "-3.6238983, -3.6152759, -3.5905119, -3.5295584, -3.3583805, -2.8293805, -1.1386668", \
+					  "-4.6166853, -4.6063837, -4.5847197, -4.5239523, -4.3531538, -3.8243360, -2.1288094", \
+					  "-6.0699450, -6.0601129, -6.0296927, -5.9751657, -5.7988947, -5.2704405, -3.5802383", \
+					  "-28.3967780, -28.3849850, -28.3628070, -28.2990200, -28.1165120, -27.5867950, -25.9032140", \
+					  "-43.7039200, -43.6978310, -43.6695270, -43.6097130, -43.4392330, -42.9082510, -41.2199770", \
+					  "-113.2793600, -113.2765400, -113.2569600, -113.1896400, -113.0202100, -112.4906000, -110.7982000", \
+					  "-386.1379400, -386.1099500, -386.1051100, -386.0532200, -385.8049500, -385.2655900, -383.5907000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0771240, 0.0848203, 0.1066438, 0.1735023, 0.4089270, 1.2006524, 3.7453840", \
+					  "0.0777079, 0.0861309, 0.1070061, 0.1744121, 0.4086579, 1.1985839, 3.7446406", \
+					  "0.0778269, 0.0851492, 0.1070572, 0.1738736, 0.4096698, 1.2031165, 3.7552019", \
+					  "0.0778302, 0.0851610, 0.1070584, 0.1738745, 0.4096768, 1.2031072, 3.7538359", \
+					  "0.0775249, 0.0845785, 0.1070074, 0.1738362, 0.4093506, 1.2029091, 3.7532555", \
+					  "0.0768352, 0.0846894, 0.1075286, 0.1738471, 0.4090852, 1.1992433, 3.7554195", \
+					  "0.0775455, 0.0847129, 0.1069834, 0.1738626, 0.4096429, 1.1994149, 3.7452725", \
+					  "0.0778099, 0.0846831, 0.1074350, 0.1738703, 0.4096682, 1.1994761, 3.7475787", \
+					  "0.0768059, 0.0847620, 0.1069674, 0.1738768, 0.4084885, 1.2006238, 3.7492662", \
+					  "0.0771548, 0.0845743, 0.1070022, 0.1738794, 0.4089008, 1.1994994, 3.7556602", \
+					  "0.0768745, 0.0846801, 0.1070185, 0.1737241, 0.4083230, 1.1999987, 3.7576986", \
+					  "0.0768864, 0.0846922, 0.1070485, 0.1738662, 0.4083429, 1.2003877, 3.7547134");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.6762116, 0.6849851, 0.7093990, 0.7728177, 0.9447600, 1.4579025, 3.0852449", \
+					  "2.5446723, 2.5533200, 2.5777160, 2.6412519, 2.8133489, 3.3263057, 4.9528510", \
+					  "4.3127724, 4.3213855, 4.3458210, 4.4093635, 4.5814170, 5.0943476, 6.7215358", \
+					  "4.7256550, 4.7343338, 4.7588076, 4.8221627, 4.9943472, 5.5073244, 7.1344228", \
+					  "7.2670208, 7.2756605, 7.3001623, 7.3627908, 7.5356628, 8.0486622, 9.6764410", \
+					  "9.4359311, 9.4446904, 9.4691339, 9.5317558, 9.7047321, 10.2176530, 11.8446410", \
+					  "11.1185610, 11.1271770, 11.1517940, 11.2140070, 11.3885780, 11.9001950, 13.5273560", \
+					  "13.5244960, 13.5320730, 13.5653750, 13.6305240, 13.8006500, 14.3061120, 15.9317550", \
+					  "48.7708790, 48.7808410, 48.8040190, 48.8681100, 49.0395670, 49.5528450, 51.1795580", \
+					  "72.3213920, 72.3302880, 72.3560800, 72.4194820, 72.5916110, 73.1038550, 74.7298400", \
+					  "177.7692900, 177.7813900, 177.8043700, 177.8667000, 178.0396700, 178.5507900, 180.1790400", \
+					  "586.0395600, 586.0511000, 586.0720200, 586.1361400, 586.3116700, 586.8203100, 588.4488100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0677861, 0.0743794, 0.0939420, 0.1528261, 0.3501933, 1.0092697, 3.1460166", \
+					  "0.0677418, 0.0743431, 0.0940722, 0.1531474, 0.3503838, 1.0092028, 3.1374878", \
+					  "0.0677582, 0.0742526, 0.0940463, 0.1531064, 0.3506767, 1.0090622, 3.1488130", \
+					  "0.0679085, 0.0741839, 0.0939444, 0.1528764, 0.3505137, 1.0090663, 3.1416629", \
+					  "0.0678694, 0.0742154, 0.0939976, 0.1530284, 0.3506781, 1.0090730, 3.1501273", \
+					  "0.0674069, 0.0741595, 0.0940703, 0.1528138, 0.3508215, 1.0063761, 3.1437717", \
+					  "0.0677450, 0.0742866, 0.0940264, 0.1526301, 0.3505165, 1.0092555, 3.1378176", \
+					  "0.0677562, 0.0742567, 0.0940599, 0.1531293, 0.3505282, 1.0065696, 3.1459690", \
+					  "0.0679697, 0.0742255, 0.0942028, 0.1529787, 0.3497879, 1.0090550, 3.1494354", \
+					  "0.0679390, 0.0743895, 0.0942324, 0.1528123, 0.3501708, 1.0092069, 3.1525533", \
+					  "0.0678538, 0.0743659, 0.0941239, 0.1527891, 0.3505682, 1.0079317, 3.1445559", \
+					  "0.0678251, 0.0743077, 0.0940607, 0.1531797, 0.3505871, 1.0092888, 3.1454159");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.6785447, 0.6873830, 0.7118311, 0.7729140, 0.9436155, 1.4720257, 3.1641021", \
+					  "1.0924103, 1.1014896, 1.1256902, 1.1871447, 1.3578366, 1.8870576, 3.5752672", \
+					  "1.2602269, 1.2688967, 1.2965179, 1.3549628, 1.5253587, 2.0544446, 3.7430512", \
+					  "1.2938707, 1.3029166, 1.3258078, 1.3886334, 1.5593263, 2.0883910, 3.7759369", \
+					  "1.4719297, 1.4778231, 1.5016975, 1.5667113, 1.7374407, 2.2668245, 3.9617709", \
+					  "1.5942651, 1.6043900, 1.6285813, 1.6932884, 1.8639805, 2.3877189, 4.0807802", \
+					  "1.6856740, 1.6886263, 1.7151641, 1.7811551, 1.9518589, 2.4801081, 4.1768478", \
+					  "1.8002260, 1.8039940, 1.8280946, 1.8946211, 2.0614455, 2.5932634, 4.2864299", \
+					  "3.0363736, 3.0670810, 3.0754399, 3.1346161, 3.3045753, 3.8324037, 5.5459792", \
+					  "3.7270440, 3.7624792, 3.7825938, 3.8445740, 4.0211732, 4.5422253, 6.2396648", \
+					  "6.5010521, 6.5077557, 6.5323293, 6.5943939, 6.7649824, 7.2659976, 8.9743830", \
+					  "15.8974840, 15.9267280, 15.9432630, 15.9817920, 16.1758140, 16.6948770, 18.3913610");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0783601, 0.0845407, 0.1057200, 0.1736142, 0.4087996, 1.2000959, 3.7534122", \
+					  "0.0769432, 0.0845808, 0.1069804, 0.1737486, 0.4084186, 1.2040849, 3.7574566", \
+					  "0.0768176, 0.0850763, 0.1074232, 0.1737333, 0.4095488, 1.1996116, 3.7577137", \
+					  "0.0775498, 0.0847148, 0.1070810, 0.1738485, 0.4095476, 1.1994342, 3.7602907", \
+					  "0.0778046, 0.0847267, 0.1070417, 0.1738672, 0.4096377, 1.2026071, 3.7451664", \
+					  "0.0775570, 0.0860572, 0.1070156, 0.1738554, 0.4084891, 1.2018651, 3.7563508", \
+					  "0.0780924, 0.0845874, 0.1070148, 0.1738578, 0.4095818, 1.2007053, 3.7493608", \
+					  "0.0768754, 0.0852346, 0.1069971, 0.1737481, 0.4092760, 1.2016672, 3.7469565", \
+					  "0.0777412, 0.0852953, 0.1069350, 0.1737812, 0.4097156, 1.1994998, 3.7578847", \
+					  "0.0773442, 0.0851911, 0.1070487, 0.1738609, 0.4092701, 1.2013934, 3.7564636", \
+					  "0.0775491, 0.0845717, 0.1070217, 0.1737462, 0.4096529, 1.2012114, 3.7582000", \
+					  "0.0775541, 0.0855120, 0.1070210, 0.1738326, 0.4093052, 1.2002809, 3.7579384");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.5629877, 0.5716328, 0.5960656, 0.6595262, 0.8315798, 1.3445697, 2.9716400", \
+					  "1.9391491, 1.9478159, 1.9723052, 2.0357807, 2.2078919, 2.7209379, 4.3480695", \
+					  "3.1937422, 3.2023548, 3.2267951, 3.2903444, 3.4624095, 3.9754213, 5.6027467", \
+					  "3.4810825, 3.4897001, 3.5141412, 3.5776899, 3.7497512, 4.2627639, 5.8894201", \
+					  "5.2689315, 5.2841411, 5.3076663, 5.3721312, 5.5441940, 6.0572076, 7.6843607", \
+					  "6.8000294, 6.8066379, 6.8303292, 6.8940791, 7.0662294, 7.5791684, 9.2067625", \
+					  "7.9747471, 7.9843367, 7.9983273, 8.0755074, 8.2476870, 8.7606242, 10.3953850", \
+					  "9.6582715, 9.6815436, 9.6942827, 9.7373061, 9.9091508, 10.4228010, 12.1017550", \
+					  "34.3096320, 34.3222520, 34.3434540, 34.4072860, 34.5799300, 35.0538120, 36.7226710", \
+					  "50.7694220, 50.7694370, 50.8140340, 50.8395210, 51.0448290, 51.5573080, 53.6828930", \
+					  "124.5197900, 124.5197945, 124.5489800, 124.5982600, 124.7678100, 125.2291900, 126.9121800", \
+					  "410.0910500, 410.1139600, 410.1733300, 410.2292900, 410.2292939, 410.9062100, 412.5440400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0680859, 0.0742956, 0.0940570, 0.1529169, 0.3505529, 1.0067828, 3.1411831", \
+					  "0.0679097, 0.0742287, 0.0940116, 0.1530389, 0.3512689, 1.0065659, 3.1365632", \
+					  "0.0677655, 0.0743301, 0.0940850, 0.1531607, 0.3504917, 1.0092808, 3.1423639", \
+					  "0.0677662, 0.0743182, 0.0940830, 0.1531582, 0.3505351, 1.0092734, 3.1481531", \
+					  "0.0677671, 0.0743135, 0.0940843, 0.1531571, 0.3505691, 1.0092744, 3.1511607", \
+					  "0.0677675, 0.0749724, 0.0940832, 0.1527297, 0.3507673, 1.0091974, 3.1465348", \
+					  "0.0677737, 0.0742584, 0.0942236, 0.1526838, 0.3507560, 1.0091922, 3.1460119", \
+					  "0.0678573, 0.0743023, 0.0942319, 0.1531161, 0.3506894, 1.0091312, 3.1466625", \
+					  "0.0678847, 0.0742795, 0.0940457, 0.1528150, 0.3507599, 1.0091991, 3.1458132", \
+					  "0.0678432, 0.0743105, 0.0940970, 0.1531700, 0.3503910, 1.0091757, 3.1465373", \
+					  "0.0679825, 0.0742418, 0.0940929, 0.1531661, 0.3506667, 1.0092704, 3.1461713", \
+					  "0.0678067, 0.0744089, 0.0940989, 0.1531516, 0.3503947, 1.0091163, 3.1458738");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("3.0116807, 3.0207561, 3.0449772, 3.1067431, 3.2778096, 3.8056109, 5.4984359", \
+					  "3.1873306, 3.1963747, 3.2207419, 3.2824310, 3.4534646, 3.9812438, 5.6725482", \
+					  "3.3760217, 3.3852230, 3.4094443, 3.4710779, 3.6423506, 4.1668855, 5.8638414", \
+					  "3.5611252, 3.5702341, 3.5944583, 3.6562420, 3.8272883, 4.3600106, 6.0448611", \
+					  "3.7493439, 3.7584940, 3.7826458, 3.8445620, 4.0154799, 4.5432609, 6.2374106", \
+					  "3.9349257, 3.9439296, 3.9682213, 4.0299635, 4.2010457, 4.7287765, 6.4215162", \
+					  "4.1211470, 4.1302850, 4.1544643, 4.2162331, 4.3874500, 4.9151820, 6.6081074");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("0.0769777, 0.0845976, 0.1073013, 0.1746458, 0.4088079, 1.2035934, 3.7475179", \
+					  "0.0775551, 0.0846456, 0.1071217, 0.1746324, 0.4088772, 1.2043065, 3.7495340", \
+					  "0.0769810, 0.0845993, 0.1073013, 0.1746488, 0.4088684, 1.2043067, 3.7556811", \
+					  "0.0769927, 0.0848773, 0.1072933, 0.1746497, 0.4088660, 1.2015643, 3.7499719", \
+					  "0.0769138, 0.0846594, 0.1072023, 0.1746552, 0.4088566, 1.2010258, 3.7530472", \
+					  "0.0769520, 0.0845958, 0.1072921, 0.1746482, 0.4088085, 1.2003628, 3.7461771", \
+					  "0.0769940, 0.0848816, 0.1073015, 0.1746465, 0.4088675, 1.2003831, 3.7483652");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("2.5323814, 2.5434406, 2.5740541, 2.6488427, 2.8339269, 3.3520500, 4.9737769", \
+					  "2.6830862, 2.6944575, 2.7217402, 2.8001341, 2.9847083, 3.5028869, 5.1250941", \
+					  "2.7988447, 2.8097342, 2.8472797, 2.9151250, 3.1001461, 3.6182677, 5.2401665", \
+					  "2.9025018, 2.9136560, 2.9404472, 3.0191429, 3.2040250, 3.7221948, 5.3443068", \
+					  "2.9868066, 2.9978264, 3.0296272, 3.1032332, 3.2883338, 3.8064294, 5.4281362", \
+					  "3.0598430, 3.0707268, 3.1052008, 3.1760952, 3.3613545, 3.8794377, 5.5016760", \
+					  "3.1357308, 3.1469571, 3.1773817, 3.2521310, 3.4369061, 3.9549994, 5.5779488");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("0.1350407, 0.1443155, 0.1666320, 0.2238674, 0.4001792, 1.0276687, 3.1506926", \
+					  "0.1361778, 0.1434632, 0.1665304, 0.2229863, 0.4002589, 1.0268316, 3.1472129", \
+					  "0.1354335, 0.1441013, 0.1674949, 0.2227704, 0.3996700, 1.0275862, 3.1508607", \
+					  "0.1355479, 0.1431905, 0.1674275, 0.2230519, 0.4000586, 1.0267918, 3.1483598", \
+					  "0.1350669, 0.1443211, 0.1675014, 0.2239306, 0.4000838, 1.0276580, 3.1509046", \
+					  "0.1353813, 0.1442291, 0.1663792, 0.2227310, 0.4000837, 1.0268183, 3.1499416", \
+					  "0.1351839, 0.1440298, 0.1663819, 0.2234040, 0.3996205, 1.0276883, 3.1478087");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047798;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6555683, 13.6634663, 13.7070193, 13.8630153, 14.0168203, 14.0561673, 14.0621933", \
+					  "21.1927387, 21.2301077, 21.4477007, 22.4685717, 24.4938527, 25.4850147, 25.6938947", \
+					  "21.4544981, 21.4918641, 21.7105621, 22.7317431, 24.7545611, 25.7480111, 25.9574911", \
+					  "21.4503826, 21.4875476, 21.7070646, 22.7254996, 24.7560416, 25.7505766, 25.9604036", \
+					  "21.4419649, 21.4994259, 21.7104639, 22.7347829, 24.7572849, 25.7534359, 25.9600879", \
+					  "21.4597055, 21.4940055, 21.7137485, 22.7299165, 24.7601465, 25.7556315, 25.9656945", \
+					  "21.4585890, 21.4916700, 21.7138070, 22.7263390, 24.7582860, 25.7549870, 25.9644100");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.7747705, 63.8030605, 64.0142795, 64.3916235, 64.3475755, 61.5531235, -15.0275315", \
+					  "63.7767231, 63.8001691, 63.9765071, 64.4497811, 65.0863631, 62.8857411, -21.5105979", \
+					  "63.7734227, 63.8156177, 63.9739427, 64.4495967, 64.4881147, 59.3440767, -17.4470233", \
+					  "63.8198441, 63.7729651, 63.9680181, 64.4538231, 64.5672951, 62.4822621, -15.4093189", \
+					  "63.7433651, 63.7968571, 63.9857131, 64.4720811, 66.1002721, 62.4996591, -20.9554209", \
+					  "63.7903775, 63.8131025, 63.9966145, 64.4500925, 66.1230945, 62.3889705, -21.0044725", \
+					  "63.7879558, 63.8323438, 64.0350228, 64.5026898, 65.5912288, 63.9532268, -14.4067392");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.0681972, 69.0244952, 68.8048792, 68.2686562, 68.9787542, 58.8348412, -126.6577218", \
+					  "69.0486560, 68.9219580, 69.0797770, 69.2379760, 69.1656520, 58.9970360, -126.9837370", \
+					  "69.0956998, 69.6224108, 69.4332358, 69.1094878, 69.2676108, 58.6908218, -129.4389522", \
+					  "69.0437262, 69.1070012, 69.0827642, 69.2593172, 69.1349032, 79.5035952, -124.3557198", \
+					  "69.2878976, 68.9291296, 69.0803696, 69.9502846, 69.1783886, 58.8743326, -126.5882534", \
+					  "68.6295890, 69.0250640, 69.2971870, 69.1609140, 69.0479490, 59.3557570, -127.6669810", \
+					  "69.0901704, 69.1131104, 69.1209514, 69.1791614, 69.2415974, 58.9155864, -124.6405086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("53.2888113, 53.5199943, 55.3146423, 65.0001413, 91.3935143, 149.3525443, 178.0440543", \
+					  "53.1192016, 53.3290276, 55.1418926, 64.8336956, 91.2384906, 149.1838206, 177.6815406", \
+					  "52.9522119, 53.1649829, 54.9708229, 64.6263879, 91.0736829, 149.0024169, 177.4955969", \
+					  "52.9512151, 53.2254291, 54.9677131, 64.6502971, 91.0424081, 149.0242241, 177.6497741", \
+					  "53.0150735, 53.2419755, 54.9918015, 64.6430915, 91.1256715, 149.0299095, 177.6168495", \
+					  "52.9875108, 53.2713818, 55.0205688, 64.6879368, 91.1776638, 149.0395968, 177.7282068", \
+					  "53.0069731, 53.2934141, 55.0333631, 64.6745921, 91.1622091, 149.0772841, 177.7096641");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8355531, 9.8427730, 9.8375168, 9.8367665, 9.8374500, 9.8382940, 9.8376885", \
+					  "14.9952377, 14.9971807, 14.9938637, 14.9927477, 14.9903877, 14.9902417, 14.9912647", \
+					  "15.0899921, 15.0895391, 15.0869281, 15.0813231, 15.0727211, 15.0717461, 15.0749381", \
+					  "15.0938876, 15.0933006, 15.0897086, 15.0823986, 15.0746356, 15.0733546, 15.0740676", \
+					  "15.1055649, 15.1050319, 15.1023569, 15.0933229, 15.0865609, 15.0798989, 15.0846399", \
+					  "15.0985525, 15.0970235, 15.0945865, 15.0838715, 15.0786495, 15.0763755, 15.0779295", \
+					  "15.0969300, 15.0960880, 15.0926850, 15.0861970, 15.0746780, 15.0745640, 15.0775890");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.5310485, 26.7141235, 26.4710005, 26.8399365, 28.8320075, 26.3397015, 24.5937695", \
+					  "26.5197141, 26.4637301, 26.5382221, 26.7297361, 26.5496001, 26.4959431, 26.5185681", \
+					  "26.5343737, 26.4619337, 26.7830567, 26.7235717, 26.6887907, 28.9052287, 26.7884157", \
+					  "26.6531411, 26.4963251, 26.5290911, 26.2213701, 26.4054051, 29.4016611, 26.0930591", \
+					  "26.5495881, 26.5970531, 26.5273761, 26.7338211, 27.0112051, 26.4346611, 26.2713001", \
+					  "26.5055195, 26.5813285, 26.5791885, 26.2748635, 26.7127475, 29.1556545, 26.6367515", \
+					  "26.5485398, 26.5779258, 26.6352898, 26.5839578, 26.5101658, 26.5637798, 25.3155988");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.6418892, 70.6652322, 70.7428572, 71.2354842, 72.0698792, 69.9758182, -0.6947647", \
+					  "70.6896690, 70.6574240, 70.9655740, 71.2681930, 72.1595080, 67.9758540, -7.3307567", \
+					  "70.7093188, 70.7188118, 70.8774418, 71.2687748, 72.1710178, 68.7260098, -2.9226856", \
+					  "70.6759482, 70.7194752, 70.9663472, 71.2771892, 72.0716232, 69.6669912, -5.8116574", \
+					  "70.7080396, 70.7372316, 70.8803046, 71.3108216, 71.9995066, 69.6283686, -14.4904124", \
+					  "70.6356930, 70.7201110, 70.8620600, 71.2988080, 71.1728810, 69.9253370, -1.9838259", \
+					  "70.6636614, 70.7222464, 70.9187834, 71.3510214, 72.1818224, 69.9721794, -14.5233036");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.0011623, 39.9932313, 40.1581033, 40.7028933, 44.2014933, 46.8277573, 11.9452883", \
+					  "39.7871786, 39.7806806, 40.1790186, 41.2392496, 43.0713536, 43.8193706, 43.8868686", \
+					  "39.7403599, 39.7218399, 39.9431109, 41.1627189, 42.3199699, 42.5960759, 43.8222549", \
+					  "39.6883521, 39.7667401, 39.8590831, 40.3413751, 43.0208911, 44.6860071, 43.9761231", \
+					  "39.6383575, 39.7033675, 40.0725175, 40.9647685, 42.9814085, 45.9145345, 43.9748745", \
+					  "39.6130778, 39.7755938, 40.1907168, 40.9947608, 43.9399218, 50.3583128, 41.8888478", \
+					  "39.6987091, 39.7336851, 40.3248131, 40.6212591, 41.9886211, 43.6997691, 43.1056851");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8324332, 9.8323291, 9.8389107, 9.8343535, 9.8328964, 9.8338522, 9.8334324", \
+					  "14.9890797, 14.9889127, 14.9914787, 14.9858667, 14.9862847, 14.9855357, 14.9853197", \
+					  "15.0892141, 15.0885851, 15.0864021, 15.0805701, 15.0722301, 15.0745061, 15.0708021", \
+					  "15.0929646, 15.0924556, 15.0899376, 15.0811576, 15.0747826, 15.0738056, 15.0724116", \
+					  "15.1049639, 15.1041799, 15.1010279, 15.0930519, 15.0859639, 15.0843909, 15.0781389", \
+					  "15.0980475, 15.0978185, 15.0950325, 15.0879495, 15.0783805, 15.0775395, 15.0757005", \
+					  "15.0966240, 15.0960430, 15.0934900, 15.0844410, 15.0759060, 15.0750290, 15.0730480");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.3924435, 26.4835825, 26.5366545, 26.6820945, 26.5297085, 26.3740455, 26.2084915", \
+					  "26.4433781, 26.5504001, 26.5750031, 26.6763361, 25.8109621, 25.0154351, 27.3775491", \
+					  "26.4669887, 26.6282237, 26.5581447, 26.1629577, 25.8644457, 26.4457937, 26.7892797", \
+					  "26.4662611, 26.5082371, 26.5303191, 26.2230071, 25.8719181, 31.5050791, 26.8083581", \
+					  "26.5367371, 26.5253121, 26.5525491, 26.4632471, 27.9960691, 26.7126951, 27.8226841", \
+					  "26.6340695, 26.6325305, 26.5759455, 26.3256025, 26.0093675, 30.5765015, 25.3884305", \
+					  "26.6533048, 26.5910938, 26.5766628, 26.6338548, 27.2542218, 26.5175108, 27.3031128");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("88.5356273, 89.6202183, 96.1266733, 125.4184743, 152.9559043, 161.1615843, 166.7738643", \
+					  "88.2526916, 89.2517606, 95.7739396, 125.1264706, 152.8168306, 160.8178906, 167.0591206", \
+					  "88.0082599, 88.8688909, 95.6560869, 124.9885069, 152.5769269, 160.7323069, 166.6687369", \
+					  "88.1225291, 89.2936541, 95.7557491, 124.9941741, 152.5849541, 160.6268941, 166.3112441", \
+					  "87.9322405, 89.2830485, 95.7078745, 125.2734695, 152.5991395, 160.6198995, 166.4420195", \
+					  "88.0479168, 89.1762438, 95.7988628, 124.9879768, 152.6576668, 160.6025368, 166.5391968", \
+					  "88.0107931, 89.0832341, 95.8262151, 125.0656341, 152.6399341, 160.6292841, 166.5071741");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.9035602, 12.8962282, 12.9031582, 12.9001662, 12.8957052, 12.8965902, 12.8959892", \
+					  "20.4657280, 20.4572240, 20.4625780, 20.4517440, 20.4367820, 20.4166980, 20.4093180", \
+					  "20.8476458, 20.8336568, 20.8227888, 20.7802978, 20.7258518, 20.6940108, 20.6767578", \
+					  "20.8425922, 20.8351322, 20.8246812, 20.7869922, 20.7249692, 20.6871662, 20.6848602", \
+					  "20.8511136, 20.8466136, 20.8297686, 20.7893146, 20.7294126, 20.6973986, 20.6730206", \
+					  "20.8550880, 20.8646340, 20.8418620, 20.8083530, 20.7355310, 20.7101670, 20.6900860", \
+					  "20.8539304, 20.8523774, 20.8497634, 20.7941244, 20.7414234, 20.7050654, 20.7070184");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6559823, 13.6634383, 13.7086083, 13.8641513, 14.0169663, 14.0545333, 14.0617543", \
+					  "21.2477117, 21.2834157, 21.5024157, 22.5212327, 24.5418437, 25.5376797, 25.7445367", \
+					  "21.4979401, 21.5352071, 21.7567351, 22.7705511, 24.8001501, 25.7971731, 26.0034701", \
+					  "21.4993966, 21.5426196, 21.7634136, 22.7736646, 24.8019346, 25.7982016, 26.0077406", \
+					  "21.5017689, 21.5355389, 21.7538159, 22.7760519, 24.8101989, 25.7952829, 26.0134229", \
+					  "21.5041465, 21.5435515, 21.7577725, 22.7768445, 24.7989175, 25.8025435, 26.0053505", \
+					  "21.5042540, 21.5357290, 21.7598390, 22.7819040, 24.8050650, 25.8019150, 26.0115960");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.6527485, 85.6406335, 85.7925815, 85.5665125, 86.4764715, 79.1173725, 11.1441265", \
+					  "85.6678851, 85.6887921, 85.7759031, 85.5407231, 86.5573261, 80.5735991, 5.2789679", \
+					  "85.6671317, 85.6297937, 85.7773767, 85.5834207, 86.4688517, 80.5710487, 14.8396177", \
+					  "85.6594651, 85.6870471, 85.7787931, 85.5719171, 86.6732931, 79.6931891, 11.2809091", \
+					  "85.6715741, 85.6439371, 85.7922971, 85.5838461, 86.3888621, 80.6111151, 11.0907301", \
+					  "85.6998995, 85.6653925, 85.8104295, 85.6033525, 86.5808415, 79.0802155, 11.1749525", \
+					  "85.7061108, 85.7459818, 85.8404968, 85.6259598, 86.5360448, 80.7011838, 11.2691288");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("49.2732663, 49.5171613, 51.0560353, 59.6549443, 103.7393343, 160.8526843, 173.2748743", \
+					  "49.1151026, 49.3478996, 50.9024996, 59.3741466, 103.5668806, 160.7531906, 173.1718506", \
+					  "48.9390129, 49.2020589, 50.7141199, 59.1687319, 102.8789369, 160.6158269, 172.7020369", \
+					  "48.9420571, 49.1735461, 50.7135851, 59.3154401, 103.4094841, 160.5162741, 173.0289541", \
+					  "48.9450655, 49.1695635, 50.7236185, 59.3168035, 103.4012795, 160.5889695, 172.9128495", \
+					  "48.9757408, 49.1993258, 50.7429838, 59.3254488, 103.4504268, 160.6023668, 172.8819368", \
+					  "48.9943121, 49.2191461, 50.7631821, 59.3615081, 103.4605941, 160.6097241, 172.8995941");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.4817712, 13.4824182, 13.4820842, 13.4830442, 13.4807202, 13.4730582, 13.4717392", \
+					  "21.0964600, 21.1169590, 21.1260280, 21.0945410, 21.0555200, 21.0247270, 21.0177620", \
+					  "21.4821088, 21.4796748, 21.4481578, 21.3891828, 21.3485868, 21.3257788, 21.2451848", \
+					  "21.4688412, 21.4575912, 21.4496862, 21.4039522, 21.3430632, 21.3107382, 21.3012062", \
+					  "21.4612076, 21.4584216, 21.4482096, 21.3504296, 21.3365656, 21.2931736, 21.2956696", \
+					  "21.4731660, 21.4319440, 21.4662670, 21.4117730, 21.3622740, 21.3224780, 21.3123700", \
+					  "21.4852134, 21.4853214, 21.4586084, 21.4130194, 21.3545994, 21.3241914, 21.3095014");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("59.5162595, 59.4424785, 59.3533485, 59.0621445, 57.2391165, 44.5514945, -147.2193155", \
+					  "59.5780331, 59.5525531, 59.4458121, 59.0760111, 57.1554951, 16.3005211, -139.5395369", \
+					  "59.5331267, 59.5596957, 59.4802457, 59.0237217, 57.1996287, 44.7180437, -143.5665783", \
+					  "59.5218591, 59.5298251, 59.4661741, 59.1094601, 55.0565871, 44.9161741, -143.4876979", \
+					  "59.5163581, 59.5643891, 59.4563291, 58.2992691, 57.3187161, 44.6169321, -141.7677539", \
+					  "59.5732225, 59.5631335, 59.4971445, 59.2282975, 57.2476215, 44.7479255, -142.1558435", \
+					  "59.5382858, 59.5332698, 59.5256778, 59.1053898, 57.3357878, 44.2951638, -143.4696032");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.2959943, 12.2956863, 12.2945863, 12.2953153, 12.2894463, 12.2889613, 12.2886573", \
+					  "14.5639767, 14.5703847, 14.5668957, 14.5747947, 14.5857767, 14.5842957, 14.5876107", \
+					  "14.5653661, 14.5686921, 14.5886201, 14.5918151, 14.6018061, 14.5940171, 14.5983591", \
+					  "14.5730266, 14.5748216, 14.5771736, 14.5908036, 14.6018296, 14.6067006, 14.6081106", \
+					  "14.5776309, 14.5794509, 14.5830069, 14.6002239, 14.6053609, 14.6130329, 14.6052949", \
+					  "14.5779985, 14.5814325, 14.5821735, 14.5971305, 14.6087235, 14.6137875, 14.6155085", \
+					  "14.5767810, 14.5772860, 14.5823170, 14.5974490, 14.6113910, 14.6126050, 14.6133740");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("65.9027912, 66.1963762, 65.9831162, 67.5701592, 64.3274262, 51.0931692, -131.2064118", \
+					  "66.1208310, 65.9455890, 66.0845820, 65.9614450, 64.1221520, 33.0636590, -133.9152170", \
+					  "66.2829848, 66.2373548, 66.8337108, 67.1092818, 62.6922598, 16.7096928, -136.3266222", \
+					  "66.4461582, 66.4472612, 66.3712312, 65.9665972, 64.2238942, 51.5436722, -136.2409898", \
+					  "66.5053326, 66.3404086, 66.3258156, 65.8218096, 64.5718926, 51.8194686, -135.7361734", \
+					  "66.4419430, 66.1787790, 66.2550440, 65.8498890, 66.5029640, 51.6200570, -133.5668310", \
+					  "66.4450914, 66.4567974, 66.3567804, 66.0022164, 64.1898604, 51.6799614, -135.0688186");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.7794953, 32.6449633, 32.7503203, 32.7358073, 32.3169553, 32.6235813, 32.2318943", \
+					  "32.3867516, 32.5943976, 32.6519666, 32.0146466, 33.1731086, 32.7456376, 31.9777596", \
+					  "32.2193659, 32.3803619, 32.3802299, 31.8029629, 32.7217589, 32.2474269, 31.6120569", \
+					  "32.3453161, 32.3860471, 32.3882811, 32.4872991, 32.5443161, 32.1459541, 32.0881471", \
+					  "32.4561785, 32.4766795, 32.5162735, 32.4970975, 32.7092475, 32.5748965, 33.7522705", \
+					  "32.0736778, 31.9655978, 32.1757458, 32.2528858, 31.6190108, 30.6584388, 31.7253458", \
+					  "32.3229151, 32.6414931, 32.4370631, 32.3644961, 32.1040101, 32.2729891, 32.7008241");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8497926, 9.8500849, 9.8533392, 9.8503312, 9.8513816, 9.8501786, 9.8521613", \
+					  "16.0008567, 16.0031047, 16.0148907, 15.9973617, 15.9917307, 15.9691247, 15.9767697", \
+					  "16.7663031, 16.7704011, 16.7483981, 16.6987191, 16.6563531, 16.6208381, 16.6193621", \
+					  "16.8555146, 16.8548046, 16.8339796, 16.7746576, 16.7377976, 16.7066286, 16.6941386", \
+					  "16.8697769, 16.9074999, 16.8522519, 16.8093229, 16.7577669, 16.7171819, 16.7061439", \
+					  "16.8573575, 16.8533965, 16.8541045, 16.7941035, 16.7435105, 16.7046095, 16.6715315", \
+					  "16.8568670, 16.8535280, 16.8415810, 16.7914660, 16.7410620, 16.7025170, 16.6867430");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.5864045, 44.8137745, 46.3754975, 54.8474595, 99.0264195, 156.2473645, 168.5276145", \
+					  "44.6046041, 44.8645381, 46.3622991, 54.8212921, 98.6352281, 156.1844531, 168.4976131", \
+					  "44.5902537, 44.8124437, 46.3687127, 54.8691077, 98.5202737, 156.2500017, 168.4461417", \
+					  "44.5797591, 44.8136831, 46.3712291, 54.8590301, 98.8690651, 156.1926321, 168.5332721", \
+					  "44.6453991, 44.8388831, 46.3905831, 54.8382731, 98.5241411, 156.2782361, 168.5251161", \
+					  "44.6180575, 44.8882645, 46.4258475, 54.9001515, 98.5438965, 156.3363165, 168.4921565", \
+					  "44.6603508, 44.8739368, 46.4264928, 54.9368938, 99.1488718, 156.2689968, 168.5370868");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("65.8944092, 66.1264392, 65.6589032, 67.3333692, 64.3265032, 51.0532152, -131.5749618", \
+					  "66.1382820, 66.4975570, 66.2774970, 66.1180620, 64.1739650, 24.7542180, -130.5459870", \
+					  "66.3026078, 66.1325078, 66.3409798, 65.9024558, 59.3017708, 51.9411408, -133.3192422", \
+					  "66.4398292, 66.3207862, 66.3663332, 65.9624202, 64.1829332, 51.5395262, -136.2351498", \
+					  "66.4942506, 66.2918846, 66.3856506, 65.9561186, 64.1245356, 51.6362056, -135.0265034", \
+					  "66.3079250, 66.3901930, 66.3089390, 66.0329020, 66.8245890, 51.6014810, -133.7180810", \
+					  "66.4385274, 66.4831864, 66.3734754, 66.0148174, 64.1665944, 51.5562754, -135.6096586");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.7779263, 32.6481863, 32.7615023, 32.7393773, 32.2399613, 32.6324983, 32.8459763", \
+					  "32.3924556, 32.5481256, 32.6931316, 32.1367226, 32.9644946, 32.7482816, 32.7732376", \
+					  "32.4726669, 32.4333229, 32.3468469, 31.4636579, 32.3280399, 32.2362589, 30.6898219", \
+					  "32.5170051, 32.2001061, 32.3760861, 31.8345611, 31.1834121, 32.1476131, 32.8137191", \
+					  "32.5030655, 32.3190595, 32.5425615, 32.6199335, 32.4968335, 32.5743625, 31.7466875", \
+					  "32.2504118, 32.7033268, 32.2187528, 32.2746318, 35.0344448, 44.8147748, 31.6642588", \
+					  "32.3029521, 32.2438431, 32.4433321, 32.4173011, 31.3881281, 32.2781561, 32.6492231");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.1505112, 70.2283872, 70.3674492, 70.7818472, 71.7482192, 68.8790152, -18.0147938", \
+					  "70.1789110, 70.2712540, 70.3906930, 70.8377450, 70.8522890, 69.7557360, -12.0958110", \
+					  "70.1251268, 70.2383558, 70.4153738, 70.9313148, 70.8041048, 70.0541628, -11.4985982", \
+					  "70.1625462, 70.2711952, 70.4349742, 70.9095662, 71.1853742, 65.5796422, -14.7967968", \
+					  "70.1273096, 70.3047856, 70.4141156, 70.8632626, 71.0188676, 69.7752516, -14.1331844", \
+					  "70.1808970, 70.2675910, 70.4248750, 70.8836540, 70.9099600, 68.1563010, -3.8661086", \
+					  "70.1966464, 70.2872754, 70.4643764, 70.8761164, 71.5208854, 66.1989824, -16.2192546");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.4135673, 16.4352533, 16.5692223, 17.1865933, 18.2417453, 18.6891463, 18.7791993", \
+					  "25.7364636, 25.7736576, 25.9922176, 27.0154146, 29.0344126, 30.0212476, 30.2292656", \
+					  "26.0793679, 26.1180499, 26.3330069, 27.3570699, 29.3821909, 30.3710389, 30.5795489", \
+					  "26.0922901, 26.1291011, 26.3476901, 27.3711021, 29.4022981, 30.3890471, 30.5986371", \
+					  "26.1126785, 26.1497965, 26.3680265, 27.3930085, 29.4170645, 30.4048585, 30.6128895", \
+					  "26.1394628, 26.2053528, 26.4111368, 27.4204748, 29.4325128, 30.4209828, 30.6260998", \
+					  "26.1649871, 26.2009801, 26.4193261, 27.4431571, 29.4650411, 30.4556001, 30.6608211");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.1838552, 95.2444662, 95.4392342, 95.6663232, 98.1125122, 94.5394262, 30.7056542", \
+					  "95.2173130, 95.3227430, 95.4879860, 95.6587310, 98.2494920, 93.1816710, 14.6262060", \
+					  "95.2360468, 95.3044438, 95.4477668, 95.6969978, 98.3156948, 92.8027638, 6.9622521", \
+					  "95.2544612, 95.2506722, 95.4971072, 95.6682272, 98.4751902, 93.2866462, 23.4147162", \
+					  "95.2627316, 95.2700656, 95.4843806, 95.6514206, 98.5364386, 93.2127116, 18.9441636", \
+					  "95.2683430, 95.2965910, 95.5048410, 95.6892420, 98.4074430, 93.1954870, 20.0772760", \
+					  "95.2650314, 95.3332684, 95.4691384, 95.7451814, 98.4620294, 94.0199294, 14.4833184");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.8530623, 61.1729383, 63.0829383, 73.6510753, 102.0525943, 160.6354443, 189.1922943", \
+					  "60.7370496, 61.0318826, 62.9250356, 73.5169236, 101.9110706, 160.4353506, 189.2334206", \
+					  "60.5532499, 60.8285159, 62.7369129, 73.3610329, 101.7354069, 160.2437369, 189.0914469", \
+					  "60.5520621, 60.8213391, 62.7386251, 73.3753461, 101.7270141, 160.2549941, 188.8908241", \
+					  "60.5558125, 60.9053525, 62.7430145, 73.4044585, 101.5863895, 160.2521395, 189.0890895", \
+					  "60.5838238, 60.8614138, 62.7778608, 73.4127348, 101.8033968, 160.3180368, 189.2327668", \
+					  "60.6088721, 60.8832981, 62.7584021, 73.4213241, 101.8006541, 160.3038041, 189.0888941");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8673697, 9.8702632, 9.8709311, 9.8730491, 9.8714844, 9.8714688, 9.8693126", \
+					  "16.5059557, 16.5105777, 16.5096717, 16.4948457, 16.4817617, 16.4498187, 16.4392887", \
+					  "16.7632641, 16.7632541, 16.7353211, 16.6985501, 16.6271841, 16.5862151, 16.5790561", \
+					  "16.7539686, 16.7465516, 16.7275906, 16.6900186, 16.6101236, 16.5846376, 16.5705426", \
+					  "16.7573229, 16.7467689, 16.7390509, 16.6889839, 16.6464879, 16.5913079, 16.5707169", \
+					  "16.7349865, 16.7215625, 16.7133805, 16.6561745, 16.6276395, 16.5871095, 16.5714335", \
+					  "16.7413490, 16.7333690, 16.7153880, 16.6779530, 16.6266750, 16.5849700, 16.5706950");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7359815, 29.8161985, 30.2339125, 31.9333345, 35.9159405, 48.8546595, 71.5672245", \
+					  "29.7008941, 29.7870161, 30.2344951, 31.9010361, 35.9283771, 48.9039111, 71.5785651", \
+					  "29.6702087, 29.8035607, 30.2214527, 31.9182827, 35.9543737, 48.8892177, 71.5615257", \
+					  "29.6863671, 29.8023851, 30.2342971, 31.9469061, 35.9470541, 48.9211441, 71.5951041", \
+					  "29.7033441, 29.8318281, 30.2558231, 31.9225831, 35.9852081, 48.7931371, 71.5833651", \
+					  "29.7079975, 29.8076165, 30.2973005, 31.9384635, 35.9999125, 48.9377585, 71.5882785", \
+					  "29.7418948, 29.8924168, 30.2806828, 31.9512738, 36.0512888, 48.8358018, 71.5836578");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8333673, 9.8330586, 9.8323300, 9.8333587, 9.8332225, 9.8327862, 9.8330386", \
+					  "14.9882637, 14.9891647, 14.9891817, 14.9862207, 14.9863907, 14.9854117, 14.9853947", \
+					  "15.0891801, 15.0884961, 15.0862951, 15.0763431, 15.0757081, 15.0713001, 15.0709321", \
+					  "15.0925866, 15.0922046, 15.0897296, 15.0802456, 15.0756566, 15.0736166, 15.0730256", \
+					  "15.1051949, 15.1043659, 15.1010299, 15.0887779, 15.0859989, 15.0839099, 15.0836269", \
+					  "15.0992185, 15.0984845, 15.0950745, 15.0839995, 15.0784775, 15.0764065, 15.0757475", \
+					  "15.0965950, 15.0962930, 15.0933070, 15.0873920, 15.0771160, 15.0740590, 15.0734210");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.6933695, 26.4840255, 26.7387695, 26.6273235, 25.8244015, 26.3744305, 26.8222775", \
+					  "26.5499871, 26.5933221, 26.4932161, 26.5750161, 26.2319951, 28.9373051, 27.7374821", \
+					  "26.5911857, 26.6036257, 26.4543997, 26.0044017, 25.0726017, 26.7043007, 27.6306947", \
+					  "26.6062091, 26.5008751, 26.5375561, 26.1706331, 26.5825701, 25.3774091, 27.3155601", \
+					  "26.5112001, 26.5206071, 26.5065681, 26.4602631, 27.2320071, 26.4493851, 26.3735771", \
+					  "26.6218815, 26.5417635, 26.5750735, 26.4532425, 25.9447705, 27.9755415, 25.2698465", \
+					  "26.6258528, 26.6150058, 26.5918198, 26.5515268, 26.8365348, 30.8947088, 26.9930818");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.7101425, 84.7476325, 91.3416775, 120.9399045, 148.2661445, 156.2207745, 162.1145745", \
+					  "83.7319821, 84.7256181, 91.3378911, 120.6688431, 148.2863631, 156.2696731, 162.0534931", \
+					  "83.6529647, 84.7292847, 91.3595227, 120.6079517, 148.2523017, 156.2012717, 162.1127617", \
+					  "83.6356881, 84.6904341, 91.2712191, 120.9351021, 148.2564821, 156.2275821, 162.0171821", \
+					  "83.6888621, 84.6499061, 91.4121561, 120.6397661, 148.3064861, 156.2704061, 162.1341061", \
+					  "83.6193285, 84.9446225, 91.3076435, 120.9471965, 148.3603165, 156.2007165, 162.4212565", \
+					  "83.7644978, 84.7953328, 91.4388098, 121.0000068, 148.3239268, 156.3452668, 162.3165568");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8170774, 9.8163506, 9.8169048, 9.8163844, 9.8163011, 9.8168771, 9.8177678", \
+					  "15.3762677, 15.3828267, 15.3777717, 15.3782277, 15.3748627, 15.3614617, 15.3575257", \
+					  "16.1436641, 16.1537921, 16.1442511, 16.0874301, 16.0493201, 16.0140041, 15.9916051", \
+					  "16.2392606, 16.2368016, 16.2188816, 16.1739866, 16.1203546, 16.0864766, 16.0770716", \
+					  "16.2633419, 16.2574109, 16.2287559, 16.1937579, 16.1422409, 16.1041269, 16.0959649", \
+					  "16.2695065, 16.2467275, 16.2338335, 16.1784605, 16.1365365, 16.0973055, 16.1023045", \
+					  "16.2729520, 16.2467300, 16.2293100, 16.1878050, 16.1346260, 16.1009060, 16.1064920");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8744074, 9.8750059, 9.8782238, 9.8743788, 9.8753104, 9.8756191, 9.8758247", \
+					  "16.5144837, 16.5156637, 16.5126467, 16.5118767, 16.4945647, 16.4619587, 16.4511497", \
+					  "16.7433321, 16.7819631, 16.7334131, 16.7016831, 16.6302211, 16.6171551, 16.5832731", \
+					  "16.7538706, 16.7314106, 16.7254086, 16.6914256, 16.6158506, 16.5867346, 16.5712126", \
+					  "16.7568199, 16.7675029, 16.7364079, 16.6934799, 16.6352509, 16.5991249, 16.5680559", \
+					  "16.7286595, 16.7398935, 16.7148425, 16.6793985, 16.6278775, 16.5862285, 16.5731715", \
+					  "16.7378440, 16.7419800, 16.7161550, 16.6775450, 16.6194150, 16.5844810, 16.5725240");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7150425, 29.7429825, 30.2403655, 31.8957635, 35.9558255, 48.8148305, 71.5902825", \
+					  "29.6962901, 29.7589271, 30.2494961, 31.9012171, 35.9898281, 48.8326631, 71.5798141", \
+					  "29.6703967, 29.7691927, 30.3316527, 31.9413057, 35.9667347, 48.9027937, 71.5532197", \
+					  "29.6649021, 29.7601071, 30.2749831, 31.9146061, 35.9450131, 48.9019511, 71.6513021", \
+					  "29.6982761, 29.7822601, 30.2703321, 31.9130911, 35.9775621, 48.9406401, 71.6305151", \
+					  "29.7322735, 29.8016075, 30.2856055, 31.9165815, 35.9818685, 48.9440235, 71.5630135", \
+					  "29.7201898, 29.8283568, 30.3144158, 31.9504968, 36.0313888, 48.9793608, 71.6813848");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8386851, 9.8359347, 9.8365662, 9.8442163, 9.8445494, 9.8379444, 9.8386409", \
+					  "14.9934457, 14.9950297, 14.9942177, 14.9921427, 14.9909617, 14.9908697, 14.9908957", \
+					  "15.0899901, 15.0895131, 15.0830911, 15.0771771, 15.0728071, 15.0717011, 15.0748871", \
+					  "15.0923156, 15.0931746, 15.0886776, 15.0800646, 15.0743296, 15.0732876, 15.0731396", \
+					  "15.1080819, 15.1049709, 15.1016289, 15.0930619, 15.0863539, 15.0797239, 15.0792609", \
+					  "15.0988125, 15.0993395, 15.0947945, 15.0849815, 15.0776675, 15.0767675, 15.0758705", \
+					  "15.0970510, 15.0968500, 15.0897720, 15.0799340, 15.0758890, 15.0745000, 15.0740820");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.4180815, 26.7133745, 26.4571905, 26.6898345, 26.4987975, 26.3442095, 26.7729465", \
+					  "26.6477301, 26.4927881, 26.4425161, 26.5567351, 26.0317371, 26.6292571, 26.5818801", \
+					  "26.5361937, 26.5354977, 26.3777787, 26.4433567, 27.6229357, 26.6915067, 27.7778877", \
+					  "26.5628401, 26.6025631, 26.6114481, 26.2193401, 28.5807191, 26.3476161, 25.8975071", \
+					  "26.5935021, 26.5944861, 26.5348751, 26.1989311, 27.9149781, 28.7616641, 28.7801781", \
+					  "26.5854345, 26.4720955, 26.5990335, 26.4112515, 26.1452035, 28.7206695, 25.8243355", \
+					  "26.5350368, 26.5973378, 26.5979168, 26.4912868, 27.1327028, 28.5786238, 26.7668248");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.0700822, 92.0997392, 92.1909712, 91.9773162, 92.8730572, 86.2355542, 16.2051942", \
+					  "92.0993700, 92.1408370, 92.2260120, 91.9987840, 92.9708120, 86.4643840, 6.9171409", \
+					  "92.1290458, 92.1446658, 92.2440518, 92.0201468, 93.0746578, 86.5471848, 10.6784068", \
+					  "92.1326732, 92.1635722, 92.2355352, 92.0158642, 92.9182392, 85.4878422, 3.6475226", \
+					  "92.1148956, 92.1439766, 92.2344596, 92.0019026, 92.9137636, 86.2544446, 8.5410235", \
+					  "92.0964290, 92.1697940, 92.2786930, 92.0020180, 92.9349520, 85.3799820, 7.6997985", \
+					  "92.1630474, 92.1204424, 92.2688024, 92.0252124, 93.0424614, 86.2562654, 17.2153424");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.4325403, 16.4517273, 16.5903253, 17.2018773, 18.2596183, 18.7057663, 18.7948253", \
+					  "25.7860646, 25.8234636, 26.0451226, 27.0663526, 29.0878656, 30.0800896, 30.2875866", \
+					  "26.1342079, 26.1717419, 26.3800389, 27.4099009, 29.4277069, 30.4224979, 30.6256099", \
+					  "26.1481881, 26.1835261, 26.3940381, 27.4254531, 29.4443001, 30.4414531, 30.6440551", \
+					  "26.1566795, 26.1940545, 26.4172005, 27.4316805, 29.4635485, 30.4515465, 30.6611005", \
+					  "26.1867828, 26.2263818, 26.4570998, 27.4648718, 29.4793988, 30.4798838, 30.6942568", \
+					  "26.2066081, 26.2489281, 26.4540611, 27.4856331, 29.5224851, 30.4664831, 30.7225731");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.6463025, 85.6705745, 85.7892165, 85.5705505, 86.6831885, 80.7107085, 11.2269295", \
+					  "85.6477901, 85.6894161, 85.7784361, 85.5604781, 86.4665311, 79.7313011, 4.1775364", \
+					  "85.6524487, 85.6931667, 85.7919067, 85.5861967, 86.6736807, 80.4580827, 4.3405377", \
+					  "85.6675561, 85.6946381, 85.8107671, 85.5740331, 86.6729871, 80.6031691, 11.1644331", \
+					  "85.6787701, 85.6439441, 85.7939631, 85.5888491, 86.4983231, 80.6454281, 6.3408100", \
+					  "85.6845025, 85.7255045, 85.8042335, 85.5726545, 86.5068935, 80.6425145, 3.3627904", \
+					  "85.6842398, 85.7285998, 85.8257858, 85.6635548, 86.5434968, 80.0024788, 3.2761970");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6548033, 13.6629763, 13.7079473, 13.8623063, 14.0163653, 14.0543263, 14.0656733", \
+					  "21.2489967, 21.2850397, 21.5015537, 22.5160027, 24.5419877, 25.5348367, 25.7443977", \
+					  "21.4977371, 21.5374541, 21.7528881, 22.7705791, 24.8040491, 25.7936431, 26.0058801", \
+					  "21.4992116, 21.5350296, 21.7537696, 22.7734596, 24.8016426, 25.7944096, 26.0080036", \
+					  "21.4993339, 21.5448339, 21.7545479, 22.7783259, 24.8231089, 25.8046229, 26.0121909", \
+					  "21.5039975, 21.5358025, 21.7579995, 22.7774375, 24.7987565, 25.7959045, 26.0122285", \
+					  "21.5042400, 21.5444490, 21.7561250, 22.7789670, 24.8066470, 25.8058910, 26.0135630");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.4313412, 92.4769302, 92.5327882, 92.3024382, 93.2669232, 86.5936642, 11.3866062", \
+					  "92.3402810, 92.4564590, 92.5650390, 92.3304350, 93.1996150, 87.4128480, 6.1708342", \
+					  "92.4737358, 92.4870798, 92.5910238, 92.3616028, 93.2714658, 87.3444778, 4.8752878", \
+					  "92.4392192, 92.4858632, 92.5721762, 92.3306882, 93.0580582, 85.8867732, 17.7395462", \
+					  "92.3882386, 92.4833436, 92.5868646, 92.3438576, 93.1189326, 85.9259496, 17.8989186", \
+					  "92.4184350, 92.4598260, 92.5802850, 92.4074920, 93.1104580, 86.0109150, 4.6160447", \
+					  "92.3974424, 92.5085134, 92.5890464, 92.4091004, 92.9593544, 85.9753644, 5.0601297");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.3912913, 39.8355073, 41.0807053, 42.1195273, 43.9814443, 43.8516903, 43.1040243", \
+					  "39.8745256, 39.9310326, 40.2278476, 41.4102976, 44.2221796, 46.8444506, 44.5862426", \
+					  "39.7285469, 39.7021129, 39.9642539, 41.1693729, 42.1843739, 43.7927299, 43.1774959", \
+					  "39.5613981, 39.6066151, 40.0648081, 40.6533471, 43.3008061, 43.5937941, 44.1239601", \
+					  "39.6786725, 39.7148415, 39.9287635, 40.8526085, 42.5941075, 43.5169495, 43.5839235", \
+					  "39.9200478, 39.7730848, 39.9945048, 41.6039398, 41.2245148, 41.0083088, 43.8902118", \
+					  "39.6810391, 39.9116921, 39.8930501, 41.2718121, 41.5643181, 43.5524321, 41.9393161");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.1672652, 73.3058832, 73.5344502, 74.6493852, 77.8131112, 74.5433312, -6.4623447", \
+					  "73.2348210, 73.2993670, 73.6157320, 74.5272450, 77.5165210, 74.6396970, -7.5404691", \
+					  "73.2697158, 73.3405968, 73.6256878, 74.4758058, 78.4980888, 74.8265538, -2.1829345", \
+					  "73.1910052, 73.3400392, 73.6928472, 74.4642722, 77.6357142, 74.4339692, -7.7728169", \
+					  "73.2815296, 73.3647406, 73.6774566, 74.4523986, 77.8139046, 75.2071356, 1.8756664", \
+					  "73.2562840, 73.3425280, 73.6246280, 74.4874030, 76.5096750, 75.3952950, 0.1606934", \
+					  "73.2642274, 73.3300984, 73.6313504, 74.5001554, 77.4687874, 76.6775244, 4.6948064");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("43.2238973, 43.3856983, 43.9836683, 46.6021673, 52.4948773, 66.1937003, 89.1524673", \
+					  "43.0062396, 43.1268586, 43.8226076, 46.4237836, 52.3425386, 66.0534566, 88.9698196", \
+					  "42.8473299, 42.9828599, 43.6401889, 46.2463229, 52.1622499, 65.8448919, 88.7661679", \
+					  "42.8598841, 42.9577401, 43.6610871, 46.2560911, 52.1697361, 65.8584351, 88.8061691", \
+					  "42.8532575, 43.0155825, 43.6471645, 46.2961355, 52.1393165, 65.7326365, 88.8019405", \
+					  "42.8551788, 43.0078868, 43.6863718, 46.2802968, 52.1801328, 65.9420588, 88.8463128", \
+					  "42.8512781, 43.0425551, 43.6866721, 46.3115831, 52.1807421, 65.9153771, 88.5865201");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.9310702, 68.7586942, 68.9669032, 68.7929722, 69.3469742, 57.9809682, -131.3147518", \
+					  "68.7920420, 69.2953400, 68.8803810, 70.1742410, 69.4651700, 62.9328050, -129.4850170", \
+					  "68.9697658, 68.7511838, 69.1407548, 68.0792218, 69.4521928, 57.4373798, -128.8329122", \
+					  "68.7810052, 68.9800132, 68.9517512, 71.0327532, 69.0213552, 58.0221042, -130.4100198", \
+					  "68.9962496, 68.8067276, 68.8647086, 68.2291406, 77.9660746, 58.9028576, -126.6826234", \
+					  "68.9421790, 69.3292310, 68.9936380, 69.1343870, 69.0678360, 54.8847400, -125.7889810", \
+					  "69.0253264, 69.0284004, 69.0107054, 69.1157264, 69.0888654, 59.0047304, -126.0163086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.8823873, 35.9670113, 36.4060453, 38.0904863, 42.1147353, 54.9233613, 77.7356833", \
+					  "35.6721786, 35.7691186, 36.2600836, 37.8855686, 41.9364666, 54.7056516, 77.5962366", \
+					  "35.5041339, 35.5966189, 36.0584789, 37.7296599, 41.7792989, 54.5729119, 77.3920999", \
+					  "35.5104821, 35.6335501, 36.0524201, 37.7374961, 41.7676921, 54.6008331, 77.4354321", \
+					  "35.5176645, 35.6212335, 36.0798465, 37.7454135, 41.7708185, 54.7198105, 77.4218145", \
+					  "35.6299898, 35.7105708, 36.0727948, 37.7979398, 41.8446398, 54.8233758, 77.4694278", \
+					  "35.6318621, 35.7189271, 36.1059171, 37.7750361, 41.8588321, 54.6684881, 77.4945171");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("51.9108554, 52.1386278, 53.4091023, 59.6451594, 73.0679105, 93.5428448, 105.5655602", \
+					  "57.3685729, 57.5756511, 58.9625176, 65.6624519, 79.9490504, 101.5052626, 113.8350783", \
+					  "57.5056464, 57.7417932, 59.1441242, 65.7130829, 80.1682349, 101.8404021, 114.0588993", \
+					  "57.5185914, 57.7328759, 59.1329879, 65.6920083, 80.4091049, 102.2311285, 113.8018953", \
+					  "57.5321993, 57.7557238, 59.1459830, 65.7652361, 80.6748636, 101.8182921, 114.1121825", \
+					  "57.5463727, 57.7864848, 59.1623325, 65.7773444, 80.1569885, 102.6404698, 113.5342209", \
+					  "57.5699713, 57.8019041, 59.1938951, 65.8692404, 80.5075331, 102.2609697, 113.8612700");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("78.4704331, 78.4905217, 78.5451539, 78.4943658, 78.1155335, 70.2015693, -49.7229441", \
+					  "84.4100088, 84.4099222, 84.4621985, 84.3799281, 84.1233128, 73.6219117, -44.0276422", \
+					  "84.7062123, 84.6825917, 84.7211320, 84.6274761, 84.2646182, 76.2638495, -44.4074502", \
+					  "84.7036681, 84.5928632, 84.7593734, 84.6538008, 84.0994402, 79.2670530, -44.7840063", \
+					  "84.7200012, 84.7345697, 84.7878145, 84.5808581, 84.6089985, 76.7729139, -45.0401264", \
+					  "84.6630222, 84.7387950, 84.7517343, 84.6879970, 85.1605997, 76.0594391, -44.1793333", \
+					  "84.7244430, 84.7172539, 84.8531256, 84.6916215, 84.5090734, 76.9966101, -43.7297599");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("131.6377906, 131.7508816, 131.7677991, 132.4935269, 133.2066309, 121.0164419, -76.8767612", \
+					  "134.2370607, 134.3971185, 134.5269798, 134.9431903, 135.5612463, 116.7698888, -79.7926223", \
+					  "134.4873004, 134.5484571, 134.8701623, 134.8540951, 134.8205504, 117.8499963, -80.0140096", \
+					  "134.4796676, 134.5631004, 134.7409057, 135.1796399, 135.6625529, 126.1581111, -78.1392165", \
+					  "134.5797994, 134.4962215, 134.7020174, 134.7867935, 137.2180472, 123.8712164, -77.2381147", \
+					  "134.4176618, 134.5793810, 134.7397198, 134.8611392, 136.0977520, 122.9263328, -75.3390900", \
+					  "134.5480526, 134.6201730, 134.7519636, 134.9043088, 135.7363801, 123.7143426, -78.1012893");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("84.9755058, 85.1986484, 87.6466969, 98.5172274, 122.3320296, 157.7416264, 171.9790743", \
+					  "87.6443172, 88.0513175, 90.4358939, 101.3405454, 125.8942820, 161.3680730, 181.2421582", \
+					  "87.5297856, 87.8618928, 90.1873496, 101.0810543, 125.0950905, 160.4463533, 180.4371456", \
+					  "87.5484632, 87.8962324, 90.2198610, 101.0736637, 125.2662187, 160.7142539, 181.0611732", \
+					  "87.5607910, 87.9657592, 90.2897772, 101.4064115, 125.3808042, 161.0634188, 181.1027182", \
+					  "87.5439369, 87.9793601, 90.2578999, 101.4173164, 125.6406218, 163.1781123, 180.5311448", \
+					  "87.5802169, 88.0368660, 90.3575662, 101.3688894, 124.8559282, 160.6548985, 180.6774677");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6047110, 1.6047112, 1.6047113, 1.6098662, 1.6098663, 1.6098664, 1.8624629", \
+					  "1.7430766, 1.7437893, 1.7437894, 1.7437895, 1.7473488, 1.7473489, 1.9193807", \
+					  "1.8555062, 1.8555485, 1.8605383, 1.8605384, 1.8605385, 1.8605386, 2.0163695", \
+					  "1.9541051, 1.9541052, 1.9541054, 1.9541055, 1.9541056, 1.9565281, 2.1079256", \
+					  "2.0327855, 2.0327856, 2.0327858, 2.0327861, 2.0410851, 2.0410852, 2.1905107", \
+					  "2.0824882, 2.1014681, 2.1014683, 2.1014685, 2.1014687, 2.1014690, 2.2426554", \
+					  "2.1585791, 2.1740745, 2.1740746, 2.1755948, 2.1755950, 2.1755952, 2.3224818");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.5044246, 7.6928561, 8.8196410, 15.7965200, 58.6209620, 321.4528600, 1939.2876000", \
+					  "7.6573885, 7.8356068, 8.9887875, 15.9589940, 58.8108580, 321.6054000, 1939.2839000", \
+					  "7.7815088, 7.9693194, 9.0993177, 16.0592530, 58.9181080, 321.6081000, 1939.3735000", \
+					  "7.8637710, 8.0564382, 9.2037569, 16.1812590, 58.9573300, 321.8724200, 1939.4281000", \
+					  "7.9491445, 8.1328935, 9.2827781, 16.2241220, 59.0409000, 321.7190000, 1941.7587000", \
+					  "8.0325240, 8.2213303, 9.3574757, 16.3062310, 59.2819480, 322.1460100, 1940.5666000", \
+					  "8.1010212, 8.2868254, 9.4299724, 16.4010250, 59.2159920, 322.0414700, 1942.4253000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8941470, 12.2363250, 14.4900250, 28.3277600, 113.4273800, 637.1907900, 3872.8253000", \
+					  "11.8753670, 12.2524520, 14.4514700, 28.1968440, 113.3228300, 637.1298600, 3874.2628000", \
+					  "11.8575820, 12.2389310, 14.4523210, 28.2084170, 112.9882400, 637.8384700, 3873.0063000", \
+					  "11.8668360, 12.2368220, 14.4618740, 28.2073370, 113.2484500, 637.9963800, 3873.4324000", \
+					  "11.8788720, 12.2361330, 14.4364960, 28.1891900, 113.2243700, 637.5542500, 3876.4856000", \
+					  "11.8659220, 12.2259430, 14.4393680, 28.1916000, 113.4782600, 637.7010800, 3872.6448000", \
+					  "11.8691940, 12.2168850, 14.4551850, 28.2053370, 113.1685000, 637.1180900, 3875.8790000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.9827029, 1.9916322, 2.0421747, 2.2939854, 3.2699490, 5.9538929, 15.0099810", \
+					  "2.1639959, 2.1729289, 2.2229438, 2.4747524, 3.4512597, 6.1351231, 15.1913060", \
+					  "2.3520590, 2.3609281, 2.4141171, 2.6659364, 3.6392616, 6.3231229, 15.3793490", \
+					  "2.5477951, 2.5566134, 2.6046956, 2.8565006, 3.8324592, 6.5201262, 15.5767430", \
+					  "2.7256595, 2.7344562, 2.7844698, 3.0361713, 4.0121906, 6.6976109, 15.7540650", \
+					  "2.8988056, 2.9076530, 2.9650258, 3.2167471, 4.1921977, 6.8710526, 15.9260270", \
+					  "3.0724818, 3.0813625, 3.1328282, 3.3845069, 4.3596988, 7.0465282, 16.0999000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4435189, 0.4470907, 0.4768678, 0.6547494, 1.4608081, 3.3838843, 13.2063070", \
+					  "0.4434309, 0.4470649, 0.4767775, 0.6547521, 1.4610276, 3.3838630, 13.2026080", \
+					  "0.4434554, 0.4470705, 0.4772015, 0.6546587, 1.4610400, 3.3838619, 13.2050080", \
+					  "0.4447584, 0.4481080, 0.4768281, 0.6547504, 1.4607746, 3.3924701, 13.2102100", \
+					  "0.4448424, 0.4489132, 0.4773789, 0.6544832, 1.4619556, 3.3910144, 13.2117830", \
+					  "0.4438307, 0.4472601, 0.4765499, 0.6546890, 1.4586032, 3.3914838, 13.2173260", \
+					  "0.4442834, 0.4475634, 0.4773851, 0.6544425, 1.4620024, 3.3902150, 13.2180110");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1887637, 3.1912284, 3.1912286, 3.1912288, 3.1912290, 3.1912293, 3.1912295", \
+					  "3.3393395, 3.3409608, 3.3409609, 3.3409611, 3.3409614, 3.3409616, 3.3409619", \
+					  "3.4562828, 3.4586189, 3.4586190, 3.4586193, 3.4586195, 3.4586198, 3.4586200", \
+					  "3.5489331, 3.5507048, 3.5507049, 3.5507051, 3.5507054, 3.5507056, 3.5507058", \
+					  "3.5984653, 3.5997947, 3.5997948, 3.5997950, 3.5997953, 3.5997955, 3.5997957", \
+					  "3.7096752, 3.7117671, 3.7117674, 3.7117676, 3.7117678, 3.7117681, 3.7117683", \
+					  "3.7440717, 3.7440719, 3.7523948, 3.7523951, 3.7523953, 3.7523956, 3.7523958");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9424154, 2.9456046, 2.9456047, 2.9456050, 2.9456052, 2.9456054, 2.9694731", \
+					  "3.0891143, 3.0906682, 3.0906684, 3.0906686, 3.0906688, 3.0906691, 3.0906693", \
+					  "3.1662910, 3.1710212, 3.1710214, 3.1710216, 3.1710219, 3.1710221, 3.1710223", \
+					  "3.3052245, 3.3067915, 3.3067917, 3.3067920, 3.3067922, 3.3067924, 3.3067927", \
+					  "3.3843732, 3.3843734, 3.3843736, 3.3843738, 3.3843741, 3.3843743, 3.3843745", \
+					  "3.4385443, 3.4552108, 3.4552109, 3.4552111, 3.4552113, 3.4552116, 3.4552118", \
+					  "3.4991969, 3.4991972, 3.4991974, 3.4991977, 3.4991979, 3.4991981, 3.4991984");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0858164, 2.1100801, 2.2581679, 3.1486751, 8.2506002, 16.4579410, 35.9318370", \
+					  "2.2335978, 2.2575462, 2.4061029, 3.2972398, 8.3983140, 16.6156970, 36.0811930", \
+					  "2.3527478, 2.3769968, 2.5250844, 3.4151959, 8.5174214, 16.7380070, 36.1820800", \
+					  "2.4469731, 2.4712228, 2.6206615, 3.5109684, 8.6116643, 16.8333770, 36.2762050", \
+					  "2.5276863, 2.5519380, 2.7015887, 3.5928183, 8.6923002, 16.9062040, 36.3604260", \
+					  "2.6037537, 2.6280507, 2.7768565, 3.6670205, 8.7667740, 16.9779530, 36.4403970", \
+					  "2.6705032, 2.6947615, 2.8464975, 3.7368801, 8.8350989, 17.0463590, 36.5208520");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5058611, 1.5494869, 1.8310256, 3.5759178, 7.9248554, 10.2993290, 28.2147550", \
+					  "1.5059101, 1.5498111, 1.8307007, 3.5763763, 7.9243954, 10.2743940, 28.1830360", \
+					  "1.5058558, 1.5494974, 1.8310368, 3.5763945, 7.9247222, 10.2811300, 28.2117230", \
+					  "1.5059326, 1.5495669, 1.8306365, 3.5759791, 7.9242346, 10.2854330, 28.2212140", \
+					  "1.5059198, 1.5495543, 1.8309864, 3.5751901, 7.9242666, 10.2934350, 28.2092710", \
+					  "1.5053652, 1.5508738, 1.8308097, 3.5757952, 7.9187377, 10.2993450, 28.2254490", \
+					  "1.5057394, 1.5512924, 1.8309482, 3.5763215, 7.9239957, 10.2990510, 28.2085860");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.7726678, 7.9597546, 9.1219965, 16.1965770, 59.3641400, 322.8747400, 1945.4033000", \
+					  "7.9548155, 8.1477207, 9.3043555, 16.3758750, 59.3939800, 322.6753300, 1945.6445000", \
+					  "8.1431679, 8.3234273, 9.5014032, 16.5724090, 59.6457280, 322.8563200, 1945.8389000", \
+					  "8.3318881, 8.5269862, 9.6855697, 16.7763140, 59.8264980, 323.1793100, 1946.0422000", \
+					  "8.5190770, 8.7098968, 9.8525277, 16.9442660, 59.9313310, 323.3272700, 1946.1972000", \
+					  "8.6889029, 8.9013801, 10.0286400, 17.1402310, 60.1007170, 323.3935800, 1946.8107000", \
+					  "8.8625409, 9.0495691, 10.1963790, 17.2817300, 60.4586670, 324.3265200, 1946.9387000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7160060, 12.0791410, 14.2904660, 28.0805300, 113.5081200, 640.1092500, 3885.4837000", \
+					  "11.7283050, 12.0962940, 14.3352610, 28.1215940, 113.6354200, 639.7369200, 3887.0489000", \
+					  "11.7112280, 12.0950590, 14.2998800, 28.1235070, 113.5089900, 639.8304900, 3885.5123000", \
+					  "11.7215140, 12.0659600, 14.2936850, 28.0561300, 113.6874600, 639.7891600, 3885.5324000", \
+					  "11.7266960, 12.0879800, 14.2933150, 28.1003040, 113.3596500, 639.7107800, 3885.5219000", \
+					  "11.7091970, 12.0734770, 14.2818780, 28.0900030, 113.4434500, 639.8444700, 3886.3882000", \
+					  "11.7143890, 12.0779690, 14.2826540, 28.0383430, 113.2023800, 639.0790200, 3885.6306000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1912348, 3.1924217, 3.1924218, 3.1924221, 3.1924223, 3.1924226, 3.1924228", \
+					  "3.3401391, 3.3417584, 3.3417587, 3.3417589, 3.3417591, 3.3417594, 3.3417596", \
+					  "3.4583407, 3.4604455, 3.4604456, 3.4604458, 3.4604461, 3.4604463, 3.4604465", \
+					  "3.5499910, 3.5518282, 3.5518283, 3.5518286, 3.5518288, 3.5518290, 3.5518293", \
+					  "3.6130483, 3.6190739, 3.6190740, 3.6190743, 3.6190745, 3.6190748, 3.6190750", \
+					  "3.7107808, 3.7128474, 3.7128476, 3.7128479, 3.7128481, 3.7128484, 3.7128486", \
+					  "3.7347019, 3.7359857, 3.7473100, 3.7473101, 3.7473103, 3.7473106, 3.7473108");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5994440, 1.6071315, 1.6071316, 1.6071317, 1.6071318, 1.6071319, 1.8434615", \
+					  "1.7471650, 1.7471651, 1.7471652, 1.7471653, 1.7471654, 1.7471656, 1.9240634", \
+					  "1.8555274, 1.8566405, 1.8566406, 1.8566407, 1.8566408, 1.8581817, 2.0204647", \
+					  "1.9468562, 1.9468563, 1.9485358, 1.9485359, 1.9485360, 1.9485361, 2.1080822", \
+					  "2.0240667, 2.0288193, 2.0288195, 2.0288197, 2.0288200, 2.0288202, 2.1857960", \
+					  "2.0890563, 2.0941082, 2.0941083, 2.0941085, 2.0941088, 2.0941090, 2.2383078", \
+					  "2.1685331, 2.1758322, 2.1758324, 2.1768198, 2.1768200, 2.1768202, 2.3200826");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2436197, 2.2678848, 2.4189841, 3.3394938, 7.8228641, 27.4411710, 67.7888750", \
+					  "2.4231657, 2.4495952, 2.6003419, 3.5188348, 8.0023970, 27.6220130, 68.0044320", \
+					  "2.6130729, 2.6381439, 2.7927924, 3.7120149, 8.1948102, 27.8117710, 68.1542290", \
+					  "2.8066549, 2.8303762, 2.9821021, 3.9023500, 8.3866905, 28.0062050, 68.3584250", \
+					  "2.9767429, 3.0086384, 3.1596035, 4.0792680, 8.5636218, 28.1797770, 68.5584990", \
+					  "3.1633107, 3.1876917, 3.3388423, 4.2561648, 8.7456709, 28.3601750, 68.7044590", \
+					  "3.3320229, 3.3610552, 3.5125458, 4.4339519, 8.9102050, 28.5275360, 68.9054000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5252111, 1.5707664, 1.8556803, 3.2735366, 8.5645748, 31.0412740, 38.0915920", \
+					  "1.5251738, 1.5716568, 1.8548209, 3.2739138, 8.5687084, 31.0565010, 38.1986420", \
+					  "1.5248967, 1.5714495, 1.8552673, 3.2740148, 8.5714120, 31.0551550, 38.0320170", \
+					  "1.5251845, 1.5707401, 1.8556499, 3.2737204, 8.5679090, 31.0637380, 38.0580860", \
+					  "1.5231185, 1.5708187, 1.8549404, 3.2737633, 8.5692555, 31.0445570, 37.9804130", \
+					  "1.5251204, 1.5710572, 1.8555866, 3.2738894, 8.5730463, 31.0450440, 38.0181540", \
+					  "1.5250829, 1.5711825, 1.8556149, 3.2738354, 8.5758938, 31.0416900, 38.1108440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5974688, 1.5974690, 1.5974691, 1.5974692, 1.5974693, 1.5974694, 1.8482855", \
+					  "1.7407912, 1.7407913, 1.7407914, 1.7407915, 1.7417772, 1.7418648, 1.8938118", \
+					  "1.8565567, 1.8565568, 1.8565569, 1.8565570, 1.8578502, 1.8578503, 2.0093076", \
+					  "1.9477393, 1.9477394, 1.9486552, 1.9486554, 1.9486555, 1.9486556, 2.1029163", \
+					  "2.0274868, 2.0274870, 2.0274872, 2.0349915, 2.0349917, 2.0349919, 2.1782594", \
+					  "2.0893509, 2.1065141, 2.1065144, 2.1065146, 2.1065149, 2.1065151, 2.2386786", \
+					  "2.1684177, 2.1756237, 2.1756238, 2.1769566, 2.1769568, 2.1769571, 2.3180904");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.9676309, 1.9765733, 2.0283719, 2.2829969, 3.3423457, 8.8775227, 36.3696490", \
+					  "2.1481834, 2.1572351, 2.2085496, 2.4633433, 3.5228156, 9.0576595, 36.5499700", \
+					  "2.3397444, 2.3498847, 2.4004105, 2.6545988, 3.7143399, 9.2490540, 36.7306260", \
+					  "2.5327205, 2.5389799, 2.5934557, 2.8477767, 3.9073140, 9.4426058, 36.9319080", \
+					  "2.7075657, 2.7211235, 2.7688449, 3.0233005, 4.0828425, 9.6191819, 37.1111990", \
+					  "2.8913474, 2.8961984, 2.9510561, 3.2030369, 4.2651274, 9.7988773, 37.2873890", \
+					  "3.0570684, 3.0694463, 3.1229002, 3.3752467, 4.4324087, 9.9719077, 37.4656390");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4496217, 0.4531383, 0.4822856, 0.6606837, 1.7577947, 8.6983585, 29.9943570", \
+					  "0.4496184, 0.4541360, 0.4818039, 0.6603296, 1.7586167, 8.7010532, 30.0794550", \
+					  "0.4496199, 0.4541283, 0.4823088, 0.6604855, 1.7609197, 8.7204857, 30.0041910", \
+					  "0.4495568, 0.4531814, 0.4822942, 0.6606748, 1.7580926, 8.7083327, 30.0442870", \
+					  "0.4495579, 0.4532224, 0.4824044, 0.6606965, 1.7589794, 8.7103569, 30.0272450", \
+					  "0.4496198, 0.4532174, 0.4823158, 0.6606676, 1.7585166, 8.7103320, 30.0343570", \
+					  "0.4496036, 0.4532071, 0.4823079, 0.6606644, 1.7586185, 8.7076746, 30.0396220");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3945494, 7.5746334, 8.6893230, 15.5889880, 58.1194830, 321.1212600, 1938.2951000", \
+					  "7.5621944, 7.7552329, 8.8719467, 15.7563830, 58.3024720, 320.9680700, 1938.4800000", \
+					  "7.7477829, 7.9365492, 9.0659737, 15.9572760, 58.4667530, 321.2599000, 1938.5445000", \
+					  "7.9394358, 8.1295752, 9.2569605, 16.1720270, 58.7480890, 321.1187600, 1938.6730000", \
+					  "8.1215141, 8.3001552, 9.4328207, 16.3249150, 58.9481070, 321.7482500, 1938.8526000", \
+					  "8.3034601, 8.4856735, 9.6191881, 16.5093700, 59.0056470, 321.7420200, 1939.1960000", \
+					  "8.4806207, 8.6696685, 9.7805586, 16.6752350, 59.2051100, 321.5825800, 1939.3065000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8674790, 12.2217550, 14.4551100, 28.1763290, 113.4695000, 638.3623400, 3873.2014000", \
+					  "11.8797580, 12.2408570, 14.4626590, 28.1902060, 113.4170100, 638.1631000, 3873.0445000", \
+					  "11.8673000, 12.2381020, 14.4362990, 28.1882380, 113.2034300, 637.8067500, 3873.3679000", \
+					  "11.8777030, 12.2350450, 14.4595090, 28.1821290, 113.4851400, 637.2940200, 3873.2783000", \
+					  "11.8796680, 12.2385780, 14.4640450, 28.1917720, 113.4817400, 637.3815600, 3873.3042000", \
+					  "11.8549970, 12.2449380, 14.4539860, 28.1878610, 113.2303000, 637.6830000, 3873.3479000", \
+					  "11.8587590, 12.2320220, 14.4507100, 28.1903110, 113.0314900, 637.6903300, 3873.3947000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1514275, 2.1602089, 2.2110593, 2.4631923, 3.4388423, 6.1243091, 15.1800030", \
+					  "2.3314379, 2.3413051, 2.3916140, 2.6437046, 3.6199128, 6.3053771, 15.3610870", \
+					  "2.5210301, 2.5302822, 2.5809952, 2.8315261, 3.8067554, 6.4915566, 15.5496450", \
+					  "2.7136084, 2.7224138, 2.7733864, 3.0249736, 3.9953565, 6.6860979, 15.7421490", \
+					  "2.8894502, 2.9026552, 2.9457619, 3.2036509, 4.1816324, 6.8642545, 15.9173010", \
+					  "3.0632232, 3.0809892, 3.1238350, 3.3817178, 4.3580579, 7.0399184, 16.0972370", \
+					  "3.2334031, 3.2501817, 3.3001550, 3.5535364, 4.5237973, 7.2027277, 16.2712790");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4450604, 0.4499720, 0.4778711, 0.6555368, 1.4633348, 3.3911034, 13.2062380", \
+					  "0.4457296, 0.4488598, 0.4782850, 0.6535414, 1.4638486, 3.3914318, 13.2043420", \
+					  "0.4451591, 0.4507656, 0.4789223, 0.6553633, 1.4639234, 3.3911594, 13.2267500", \
+					  "0.4444753, 0.4507469, 0.4778373, 0.6561316, 1.4606603, 3.3856775, 13.2233710", \
+					  "0.4449823, 0.4506508, 0.4779441, 0.6540925, 1.4590444, 3.3913994, 13.2029900", \
+					  "0.4462847, 0.4488785, 0.4765782, 0.6556765, 1.4593838, 3.3911285, 13.2287600", \
+					  "0.4446236, 0.4489562, 0.4780669, 0.6551673, 1.4610846, 3.3916816, 13.2180470");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3350561, 7.4989117, 8.6390353, 15.5250390, 58.0802320, 320.8967600, 1938.6694000", \
+					  "7.4689250, 7.6656193, 8.7863041, 15.7124160, 58.2279970, 320.8125200, 1938.3042000", \
+					  "7.5884623, 7.7863831, 8.9058805, 15.7921000, 58.3380600, 320.9499400, 1938.2821000", \
+					  "7.6830133, 7.8675351, 8.9814991, 15.9235240, 58.4366550, 320.8846000, 1938.4449000", \
+					  "7.7722895, 7.9629956, 9.0630303, 15.9627510, 58.6499280, 321.2430800, 1938.5866000", \
+					  "7.8520674, 8.0379543, 9.1604306, 16.0786020, 58.5533440, 321.2096500, 1941.3815000", \
+					  "7.9195033, 8.0879818, 9.2281640, 16.1524550, 58.6210400, 321.1558500, 1938.4395000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8785180, 12.2304900, 14.4590220, 28.1831070, 113.4785800, 637.9459000, 3872.6098000", \
+					  "11.8779710, 12.2390960, 14.4435530, 28.1962280, 113.0399900, 638.1812900, 3875.1923000", \
+					  "11.8779790, 12.2377740, 14.4339050, 28.1813610, 113.4844700, 637.7715100, 3876.0832000", \
+					  "11.8787680, 12.2354540, 14.4584630, 28.1756800, 113.0091500, 638.2857100, 3873.4552000", \
+					  "11.8819650, 12.2377040, 14.4577350, 28.1801180, 113.1699100, 638.2173900, 3872.9404000", \
+					  "11.8599240, 12.2376460, 14.4624110, 28.1792510, 113.4179500, 637.6093900, 3878.4506000", \
+					  "11.8785350, 12.2329900, 14.4368930, 28.1959900, 113.2379500, 637.6830000, 3873.7262000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.4916917, 7.7001348, 8.7989918, 15.7250210, 58.5283500, 322.1925100, 1945.2257000", \
+					  "7.6722655, 7.8790361, 8.9797156, 15.9069080, 58.6938180, 321.9959400, 1944.7073000", \
+					  "7.8607751, 8.0695299, 9.1711234, 16.0933650, 58.8920630, 322.5559100, 1945.3241000", \
+					  "8.0542160, 8.2533660, 9.3612122, 16.2720700, 59.1507890, 322.8530500, 1945.1434000", \
+					  "8.2540623, 8.4201055, 9.5397699, 16.4523680, 59.2796460, 322.9995400, 1945.4912000", \
+					  "8.4317620, 8.6020062, 9.7204751, 16.6412610, 59.4040020, 322.3976000, 1945.9521000", \
+					  "8.5787724, 8.7644665, 9.8896837, 16.8085510, 59.6762040, 323.3186300, 1946.0776000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7156530, 12.0734190, 14.3076380, 28.0702790, 113.6583700, 639.3151500, 3884.1708000", \
+					  "11.7153560, 12.0781380, 14.3089220, 28.0741460, 113.6960500, 639.8906900, 3884.5174000", \
+					  "11.7155990, 12.0741020, 14.3065260, 28.0693800, 113.6727300, 638.9613500, 3884.4587000", \
+					  "11.7117860, 12.0773800, 14.3082160, 28.0357850, 113.2045400, 639.6949700, 3885.1522000", \
+					  "11.7180890, 12.0658910, 14.3094160, 28.0481400, 113.6406000, 639.9371800, 3886.1617000", \
+					  "11.7160590, 12.0752780, 14.3098450, 28.0531400, 113.7278900, 639.9368400, 3885.6510000", \
+					  "11.7117670, 12.0765560, 14.3058100, 28.0468410, 113.2435400, 639.4316800, 3889.5550000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6422698, 1.6478324, 1.6478325, 1.6625303, 1.6625304, 1.6625305, 1.8064776", \
+					  "1.7993944, 1.7993945, 1.7993946, 1.7993947, 1.7993948, 1.7993950, 1.9471442", \
+					  "1.9109265, 1.9234159, 1.9234160, 1.9236839, 1.9236840, 1.9236841, 2.0500996", \
+					  "2.0128992, 2.0160800, 2.0160801, 2.0184880, 2.0184881, 2.0186695, 2.1288606", \
+					  "2.1016411, 2.1016413, 2.1016416, 2.1016418, 2.1016421, 2.1016423, 2.2328357", \
+					  "2.1560349, 2.1560351, 2.1660826, 2.1660828, 2.1660830, 2.1660833, 2.2941227", \
+					  "2.2083715, 2.2253484, 2.2261772, 2.2261774, 2.2261776, 2.2261779, 2.3427977");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9420015, 2.9442273, 2.9442274, 2.9442276, 2.9442279, 2.9442281, 2.9442283", \
+					  "3.0912604, 3.0928957, 3.0928959, 3.0928962, 3.0928964, 3.0928966, 3.0928969", \
+					  "3.1602436, 3.1616001, 3.1616003, 3.1616005, 3.1616008, 3.1616010, 3.1616012", \
+					  "3.3041831, 3.3056968, 3.3056969, 3.3056971, 3.3056974, 3.3056976, 3.3056978", \
+					  "3.3844223, 3.3844225, 3.3844227, 3.3844229, 3.3844232, 3.3844234, 3.3844237", \
+					  "3.4254837, 3.4486451, 3.4486453, 3.4486455, 3.4486458, 3.4486460, 3.4486462", \
+					  "3.4952290, 3.4966305, 3.4966306, 3.4966308, 3.4966311, 3.4966313, 3.4966316");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1544917, 2.1788245, 2.3268429, 3.2173904, 8.3398310, 16.5786510, 36.0312600", \
+					  "2.3357589, 2.3588276, 2.5080613, 3.3988328, 8.5229495, 16.7589960, 36.2241580", \
+					  "2.5235007, 2.5459030, 2.6951550, 3.5853975, 8.7078833, 16.9432540, 36.4099470", \
+					  "2.7148566, 2.7413070, 2.8865634, 3.7728416, 8.9028482, 17.1411240, 36.5920240", \
+					  "2.8955622, 2.9199136, 3.0675336, 3.9606447, 9.0808685, 17.3200940, 36.7753080", \
+					  "3.0734577, 3.0901481, 3.2371601, 4.1352624, 9.2510417, 17.4967830, 36.9441760", \
+					  "3.2361731, 3.2709946, 3.4123451, 4.2982236, 9.4090140, 17.6548750, 37.1114090");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5046154, 1.5486701, 1.8279646, 3.5758656, 7.9623881, 10.2908540, 28.2221520", \
+					  "1.5014926, 1.5489571, 1.8299458, 3.5729586, 7.9624023, 10.3053840, 28.2181390", \
+					  "1.5033418, 1.5474193, 1.8300256, 3.5747911, 7.9613871, 10.2912360, 28.1903110", \
+					  "1.5040341, 1.5478770, 1.8299944, 3.5728285, 7.9607076, 10.3054000, 28.2355630", \
+					  "1.5043077, 1.5492492, 1.8300326, 3.5720811, 7.9735809, 10.3025410, 28.1874590", \
+					  "1.5037478, 1.5492380, 1.8301044, 3.5733492, 7.9735205, 10.2952270, 28.2059740", \
+					  "1.5042867, 1.5492319, 1.8300256, 3.5745800, 7.9695539, 10.2983740, 28.2270190");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.8517923, 1.8517924, 1.8517926, 1.8654256, 1.8654257, 1.8654258, 1.9781317", \
+					  "2.0107309, 2.0107312, 2.0107314, 2.0107316, 2.0115623, 2.0115625, 2.1054507", \
+					  "2.1292277, 2.1292278, 2.1292280, 2.1292283, 2.1292285, 2.1355520, 2.2199307", \
+					  "2.1983413, 2.2067370, 2.2074644, 2.2136390, 2.2149751, 2.2281759, 2.3108520", \
+					  "2.3022642, 2.3022644, 2.3022646, 2.3022649, 2.3022651, 2.3022653, 2.3967004", \
+					  "2.3503428, 2.3503429, 2.3503432, 2.3728339, 2.3728341, 2.3830553, 2.4674245", \
+					  "2.4099469, 2.4386053, 2.4386055, 2.4386057, 2.4393962, 2.4445665, 2.5688205");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3350601, 7.4987430, 8.6390735, 15.5300820, 58.0180380, 320.8927200, 1938.7012000", \
+					  "7.4691187, 7.6493052, 8.7895620, 15.7057320, 58.2336950, 320.7937000, 1938.4038000", \
+					  "7.5888078, 7.7864586, 8.9088452, 15.8263180, 58.3416870, 320.8256500, 1940.8496000", \
+					  "7.6830826, 7.8806712, 9.0029673, 15.9205110, 58.4372620, 320.8964800, 1938.3799000", \
+					  "7.7777225, 7.9597573, 9.0597156, 15.9992940, 58.5921780, 321.1777700, 1938.4217000", \
+					  "7.8401605, 8.0359683, 9.1478025, 16.0763900, 58.5565300, 321.2088600, 1938.1773000", \
+					  "7.9227220, 8.1004830, 9.2244852, 16.1078810, 58.6032880, 321.3153300, 1938.4233000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8785490, 12.2299890, 14.4596160, 28.1922090, 113.3770700, 637.9885300, 3872.2760000", \
+					  "11.8781690, 12.2383560, 14.4570330, 28.1658040, 113.2432700, 638.2161400, 3873.2323000", \
+					  "11.8782990, 12.2377230, 14.4621290, 28.1739910, 113.4908100, 637.1047300, 3872.9781000", \
+					  "11.8787490, 12.2377520, 14.4609360, 28.1737760, 113.0140700, 638.2716200, 3873.3251000", \
+					  "11.8535120, 12.2293060, 14.4606510, 28.1605250, 113.4725700, 637.5761900, 3872.5102000", \
+					  "11.8779320, 12.2336580, 14.4471250, 28.1696160, 113.4233000, 637.6150200, 3873.1860000", \
+					  "11.8783850, 12.2156520, 14.4365760, 28.1877400, 113.3703700, 637.8401200, 3873.6653000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.4919146, 7.6998949, 8.7989669, 15.7242570, 58.5249430, 322.2684200, 1945.2643000", \
+					  "7.6717006, 7.8780804, 8.9791135, 15.9066540, 58.6921620, 322.0296800, 1944.9346000", \
+					  "7.8581035, 8.0457010, 9.1735215, 16.0939880, 58.7718890, 322.5846400, 1944.8560000", \
+					  "8.0539930, 8.2611793, 9.3612282, 16.2825360, 59.0799300, 322.8534200, 1944.8942000", \
+					  "8.2309839, 8.4169232, 9.5407460, 16.4524820, 59.3254830, 322.9997400, 1945.9389000", \
+					  "8.4124663, 8.6166372, 9.7203356, 16.6250270, 59.4131890, 322.4308500, 1945.4938000", \
+					  "8.5793523, 8.7615231, 9.8897566, 16.7989110, 59.4793480, 323.3280900, 1946.3046000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7155670, 12.0743810, 14.3074530, 28.0675040, 113.6689000, 640.0502500, 3884.5769000", \
+					  "11.7152880, 12.0786220, 14.3090040, 28.0728380, 113.7004100, 639.8651100, 3884.3664000", \
+					  "11.6928720, 12.0780890, 14.2932860, 28.0720780, 113.5161200, 639.1093200, 3885.5178000", \
+					  "11.7156200, 12.0769010, 14.3082190, 28.0410930, 113.6869700, 639.7147000, 3884.6911000", \
+					  "11.7114070, 12.0759370, 14.3096020, 28.0488170, 113.2302600, 639.8947200, 3886.4678000", \
+					  "11.7132870, 12.0797920, 14.3099060, 28.0223330, 113.7274300, 639.9006900, 3885.6911000", \
+					  "11.7112660, 12.0730020, 14.3060160, 28.0250350, 113.7066500, 639.4353700, 3888.2104000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0832329, 2.1075044, 2.2555131, 3.1464107, 8.2704465, 16.5055600, 35.9718870", \
+					  "2.2314921, 2.2560567, 2.4038647, 3.2924514, 8.4186658, 16.6598110, 36.1227810", \
+					  "2.3500022, 2.3742868, 2.5225040, 3.4117289, 8.5368708, 16.7739330, 36.2480890", \
+					  "2.4446414, 2.4667779, 2.6165494, 3.5058469, 8.6291712, 16.8667360, 36.3224220", \
+					  "2.5232336, 2.5456515, 2.6961686, 3.5856456, 8.7101979, 16.9538340, 36.4140400", \
+					  "2.5968243, 2.6248204, 2.7665528, 3.6654679, 8.7819307, 17.0279380, 36.4790150", \
+					  "2.6719691, 2.6958469, 2.8442151, 3.7331320, 8.8591925, 17.0934470, 36.5563050");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5040760, 1.5494959, 1.8296886, 3.5751266, 7.9652054, 10.3037160, 28.2298840", \
+					  "1.5041773, 1.5477434, 1.8298411, 3.5759264, 7.9638891, 10.2974480, 28.2186810", \
+					  "1.5047538, 1.5488286, 1.8298584, 3.5755596, 7.9643341, 10.2984950, 28.2175740", \
+					  "1.5048164, 1.5495016, 1.8300361, 3.5753702, 7.9630578, 10.2981940, 28.1949410", \
+					  "1.5046620, 1.5483822, 1.8298955, 3.5760572, 7.9604918, 10.2966320, 28.2130820", \
+					  "1.5046790, 1.5483538, 1.8300419, 3.5731724, 7.9630427, 10.2941200, 28.2250790", \
+					  "1.5047276, 1.5500877, 1.8298787, 3.5715313, 7.9736173, 10.2843550, 28.1933460");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6436248, 1.6436249, 1.6436250, 1.6436251, 1.6462030, 1.6494568, 1.7745385", \
+					  "1.7894253, 1.7894254, 1.7956917, 1.7956918, 1.7956920, 1.7956921, 1.8981223", \
+					  "1.8962987, 1.8998045, 1.8998046, 1.8998047, 1.9045281, 1.9074744, 2.0078099", \
+					  "2.0002866, 2.0002867, 2.0002870, 2.0002872, 2.0002875, 2.0034496, 2.1240509", \
+					  "2.0821951, 2.0821952, 2.0821955, 2.0821957, 2.0821959, 2.0903119, 2.2041355", \
+					  "2.1501125, 2.1501126, 2.1501128, 2.1501130, 2.1502935, 2.1569297, 2.2549453", \
+					  "2.2195286, 2.2224005, 2.2238795, 2.2256206, 2.2256207, 2.2280049, 2.3227906");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0707267, 2.0839943, 2.1556938, 2.4209448, 3.3439798, 7.9234344, 25.8812920", \
+					  "2.2182316, 2.2318003, 2.3034659, 2.5693470, 3.4920684, 8.0695823, 26.0282160", \
+					  "2.3372804, 2.3511839, 2.4224185, 2.6874758, 3.6117394, 8.1872074, 26.1290260", \
+					  "2.4331153, 2.4465938, 2.5183204, 2.7831366, 3.7060501, 8.2832994, 26.2318950", \
+					  "2.5140927, 2.5274440, 2.5992246, 2.8641118, 3.7871555, 8.3644780, 26.3119680", \
+					  "2.5895981, 2.6030229, 2.6746914, 2.9397187, 3.8624610, 8.4415133, 26.3921150", \
+					  "2.6591274, 2.6725000, 2.7444893, 3.0094505, 3.9299173, 8.5077501, 26.4665230");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7599908, 0.7617700, 0.7714546, 0.7596296, 1.7374876, 7.0822863, 27.3093190", \
+					  "0.7596549, 0.7622215, 0.7717835, 0.7596774, 1.7365261, 7.0807791, 27.3262620", \
+					  "0.7595360, 0.7618603, 0.7714127, 0.7595943, 1.7347581, 7.0723942, 27.2922860", \
+					  "0.7597343, 0.7616800, 0.7720382, 0.7595514, 1.7373175, 7.0811788, 27.3413450", \
+					  "0.7599601, 0.7621120, 0.7720089, 0.7593783, 1.7369463, 7.0809674, 27.3224200", \
+					  "0.7595001, 0.7617411, 0.7715875, 0.7595897, 1.7358293, 7.0776194, 27.3593360", \
+					  "0.7597604, 0.7621212, 0.7727127, 0.7592754, 1.7373729, 7.0808968, 27.3182310");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.9983755, 2.0070201, 2.0582365, 2.3116875, 3.2879768, 5.9640768, 15.0169800", \
+					  "2.1795732, 2.1876931, 2.2396225, 2.4932301, 3.4689407, 6.1448424, 15.1984220", \
+					  "2.3677653, 2.3791333, 2.4274645, 2.6812583, 3.6600047, 6.3360464, 15.3862430", \
+					  "2.5637041, 2.5695726, 2.6234404, 2.8772329, 3.8504964, 6.5265362, 15.5822150", \
+					  "2.7409388, 2.7495404, 2.8006513, 3.0545957, 4.0305053, 6.7062009, 15.7599680", \
+					  "2.9147207, 2.9298661, 2.9744327, 3.2282377, 4.2105563, 6.8868200, 15.9331480", \
+					  "3.0883143, 3.0974459, 3.1479426, 3.4018584, 4.3785966, 7.0546298, 16.1066590");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4246917, 0.4301258, 0.4574992, 0.6422959, 1.4492842, 3.3852909, 13.2003050", \
+					  "0.4245881, 0.4293119, 0.4569062, 0.6414149, 1.4490323, 3.3846166, 13.2150520", \
+					  "0.4246990, 0.4293654, 0.4574785, 0.6421078, 1.4490494, 3.3847982, 13.2145650", \
+					  "0.4247277, 0.4293771, 0.4574208, 0.6421158, 1.4490367, 3.3847742, 13.1994700", \
+					  "0.4247000, 0.4290106, 0.4574437, 0.6420984, 1.4500099, 3.3841843, 13.2182130", \
+					  "0.4247034, 0.4293589, 0.4574227, 0.6421196, 1.4482785, 3.3849406, 13.2045660", \
+					  "0.4247139, 0.4290398, 0.4575767, 0.6420854, 1.4489497, 3.3841996, 13.2310180");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6646380, 1.6755530, 1.6755531, 1.6755532, 1.6755533, 1.6755534, 1.8047950", \
+					  "1.8164781, 1.8164782, 1.8164784, 1.8164785, 1.8164786, 1.8164787, 1.9333490", \
+					  "1.9275466, 1.9275467, 1.9275468, 1.9275470, 1.9296391, 1.9335891, 2.0393782", \
+					  "2.0207074, 2.0207075, 2.0232749, 2.0232751, 2.0232753, 2.0247908, 2.1265278", \
+					  "2.1033961, 2.1033963, 2.1033966, 2.1033968, 2.1033971, 2.1092930, 2.2190345", \
+					  "2.1859867, 2.1889481, 2.1889483, 2.1889486, 2.1889488, 2.1889490, 2.2920907", \
+					  "2.2522766, 2.2531286, 2.2531287, 2.2531289, 2.2531292, 2.2531294, 2.3589051");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6402348, 1.6583176, 1.6583177, 1.6583178, 1.6583179, 1.6583180, 1.8064078", \
+					  "1.7861014, 1.8083921, 1.8083922, 1.8083923, 1.8083924, 1.8083925, 1.8940427", \
+					  "1.9125750, 1.9125751, 1.9125752, 1.9138002, 1.9138003, 1.9222748, 2.0481257", \
+					  "1.9963675, 2.0139272, 2.0139274, 2.0198339, 2.0198340, 2.0198342, 2.1284920", \
+					  "2.0802804, 2.0981739, 2.0981740, 2.0981743, 2.0981745, 2.0981747, 2.2328224", \
+					  "2.1612185, 2.1612186, 2.1612188, 2.1612191, 2.1612193, 2.1616555, 2.2698021", \
+					  "2.2105928, 2.2105929, 2.2255324, 2.2268283, 2.2268285, 2.2268287, 2.3497713");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9423113, 2.9423115, 2.9423117, 2.9423119, 2.9423122, 2.9423124, 2.9423126", \
+					  "3.0912091, 3.0912093, 3.0912096, 3.0912098, 3.0912101, 3.0912103, 3.0912105", \
+					  "3.1590048, 3.1681047, 3.1681048, 3.1681051, 3.1681053, 3.1681055, 3.1681058", \
+					  "3.3043075, 3.3128580, 3.3128583, 3.3128585, 3.3128588, 3.3128590, 3.3128592", \
+					  "3.3845855, 3.3845858, 3.3845860, 3.3845863, 3.3845865, 3.3845867, 3.3845870", \
+					  "3.4235570, 3.4508025, 3.4508027, 3.4508030, 3.4508032, 3.4508034, 3.4508037", \
+					  "3.4952654, 3.4991772, 3.4991774, 3.4991776, 3.4991779, 3.4991781, 3.4991783");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1267497, 2.1409374, 2.2143112, 2.4825808, 3.3999172, 7.9745872, 25.9441750", \
+					  "2.3081004, 2.3218585, 2.3945270, 2.6639813, 3.5805026, 8.1562694, 26.1159560", \
+					  "2.4962017, 2.5086015, 2.5835631, 2.8523071, 3.7712047, 8.3462837, 26.3042650", \
+					  "2.6834461, 2.7004927, 2.7700229, 3.0440033, 3.9579207, 8.5362357, 26.4922980", \
+					  "2.8624929, 2.8780842, 2.9560110, 3.2239047, 4.1393009, 8.7156584, 26.6737900", \
+					  "3.0394793, 3.0535037, 3.1333087, 3.4027938, 4.3137072, 8.8882910, 26.8436310", \
+					  "3.1959135, 3.2188323, 3.2939098, 3.5716572, 4.4787516, 9.0560066, 27.0382790");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7788875, 0.7814427, 0.7945528, 0.7857151, 1.7347332, 7.0878299, 27.3389360", \
+					  "0.7791579, 0.7815071, 0.7945493, 0.7858890, 1.7374371, 7.0874751, 27.3593130", \
+					  "0.7790323, 0.7815044, 0.7945103, 0.7857523, 1.7368766, 7.0874937, 27.3592240", \
+					  "0.7791521, 0.7814522, 0.7945733, 0.7857715, 1.7350587, 7.0881274, 27.3171030", \
+					  "0.7793436, 0.7815196, 0.7945057, 0.7857874, 1.7369174, 7.0874449, 27.3379740", \
+					  "0.7790299, 0.7818160, 0.7935943, 0.7857568, 1.7367905, 7.0829032, 27.3583540", \
+					  "0.7789068, 0.7814954, 0.7945685, 0.7857844, 1.7376813, 7.0873676, 27.3450970");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6412370, 7.8249016, 8.9488008, 15.8661140, 58.6865810, 322.4727400, 1945.0943000", \
+					  "7.8199616, 8.0277980, 9.1286267, 16.0517930, 58.8703560, 322.1024400, 1945.0326000", \
+					  "8.0100238, 8.1899163, 9.3163726, 16.2394400, 59.0627000, 322.7123400, 1945.4146000", \
+					  "8.1947800, 8.3864569, 9.5098445, 16.4270130, 59.2534380, 322.5697500, 1945.7445000", \
+					  "8.3798524, 8.5604706, 9.6865040, 16.6114860, 59.2756810, 323.1667400, 1945.7900000", \
+					  "8.5498320, 8.7372269, 9.8644044, 16.7840760, 59.6120560, 323.0034100, 1945.7068000", \
+					  "8.7301331, 8.9089580, 10.0527460, 16.9680470, 59.8240960, 323.4637800, 1945.7345000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7156250, 12.0788390, 14.3109150, 28.0392220, 113.6499400, 639.0910100, 3886.9048000", \
+					  "11.7114110, 12.0809320, 14.3112010, 28.0792140, 113.6369200, 639.5714000, 3885.8302000", \
+					  "11.7164890, 12.0662510, 14.3087120, 28.0891310, 113.6376900, 639.0497400, 3886.0912000", \
+					  "11.7101370, 12.0787270, 14.3104300, 28.0374320, 113.6375000, 640.4700300, 3885.5642000", \
+					  "11.6985740, 12.0669470, 14.3108360, 28.0629530, 113.7192600, 640.0781000, 3885.4773000", \
+					  "11.7129100, 12.0648020, 14.3078750, 28.0891750, 113.6242100, 640.4351300, 3885.9489000", \
+					  "11.7130010, 12.0788270, 14.3125820, 28.0817980, 113.3112000, 639.4393500, 3886.9262000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1913241, 3.1924370, 3.1924371, 3.1924373, 3.1924376, 3.1924378, 3.1924381", \
+					  "3.3403411, 3.3427812, 3.3427815, 3.3427817, 3.3427820, 3.3427822, 3.3427824", \
+					  "3.4584817, 3.4605394, 3.4605395, 3.4605397, 3.4605400, 3.4605402, 3.4605405", \
+					  "3.5500223, 3.5500225, 3.5500228, 3.5500230, 3.5500232, 3.5500235, 3.5500237", \
+					  "3.6099765, 3.6170924, 3.6170925, 3.6170928, 3.6170930, 3.6170933, 3.6170935", \
+					  "3.7107291, 3.7128318, 3.7128319, 3.7128321, 3.7128324, 3.7128326, 3.7128329", \
+					  "3.7432031, 3.7461159, 3.7461161, 3.7461163, 3.7461166, 3.7461168, 3.7461170");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5983539, 1.5994974, 1.5994975, 1.5994977, 1.5994978, 1.6087355, 1.8429275", \
+					  "1.7459784, 1.7459785, 1.7459786, 1.7459787, 1.7459788, 1.7459789, 1.9208739", \
+					  "1.8550711, 1.8600013, 1.8600014, 1.8600016, 1.8600017, 1.8600018, 2.0191269", \
+					  "1.9465864, 1.9491082, 1.9496598, 1.9501982, 1.9501983, 1.9501984, 2.1094146", \
+					  "2.0276902, 2.0276903, 2.0367235, 2.0367238, 2.0367240, 2.0367243, 2.1678190", \
+					  "2.0920514, 2.1050868, 2.1050870, 2.1064526, 2.1064529, 2.1064531, 2.2595026", \
+					  "2.1661954, 2.1740652, 2.1745536, 2.1745538, 2.1745540, 2.1745543, 2.3175075");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4134978, 2.4380098, 2.5892350, 3.5085792, 7.9929681, 27.6128740, 67.9669580", \
+					  "2.5941527, 2.6174649, 2.7701923, 3.6901691, 8.1741014, 27.7909430, 68.1439690", \
+					  "2.7826852, 2.8080778, 2.9595946, 3.8777110, 8.3644709, 27.9812710, 68.3276440", \
+					  "2.9752352, 2.9998581, 3.1493139, 4.0703334, 8.5506517, 28.1730390, 68.5226170", \
+					  "3.1544964, 3.1799658, 3.3300784, 4.2504404, 8.7353351, 28.3490260, 68.7166020", \
+					  "3.3264156, 3.3477965, 3.4992951, 4.4244861, 8.9126377, 28.5253210, 68.9246280", \
+					  "3.4888767, 3.5239780, 3.6785082, 4.5963673, 9.0796606, 28.6881610, 69.0614360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5247344, 1.5715492, 1.8545290, 3.2739897, 8.5707956, 31.0557060, 38.0694660", \
+					  "1.5251682, 1.5710934, 1.8552817, 3.2738723, 8.5717948, 31.0433990, 38.0556530", \
+					  "1.5251245, 1.5710929, 1.8555422, 3.2739829, 8.5736076, 31.0420380, 38.0226660", \
+					  "1.5249128, 1.5709105, 1.8550166, 3.2739917, 8.5774907, 31.0752740, 38.0186440", \
+					  "1.5251407, 1.5713811, 1.8555187, 3.2740008, 8.5709333, 31.0485470, 38.0280130", \
+					  "1.5249507, 1.5715748, 1.8547900, 3.2741279, 8.5704874, 31.0809330, 38.1147840", \
+					  "1.5248300, 1.5716252, 1.8552511, 3.2740013, 8.5702707, 31.0441630, 38.0275470");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6386156, 1.6417681, 1.6624214, 1.6624215, 1.6624216, 1.6624217, 1.8039503", \
+					  "1.7987180, 1.7987181, 1.7987182, 1.7987183, 1.7987184, 1.7987186, 1.9476332", \
+					  "1.9014572, 1.9117139, 1.9117141, 1.9117142, 1.9128887, 1.9170316, 2.0347708", \
+					  "1.9954924, 1.9954926, 1.9964761, 2.0156430, 2.0156431, 2.0156433, 2.1198867", \
+					  "2.0742750, 2.0747273, 2.0955437, 2.0955438, 2.0955440, 2.0955443, 2.2247819", \
+					  "2.1529651, 2.1601614, 2.1601617, 2.1610902, 2.1610903, 2.1682796, 2.3082714", \
+					  "2.2073077, 2.2214650, 2.2223210, 2.2284181, 2.2284183, 2.2284185, 2.3499267");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5974542, 1.5984978, 1.6002148, 1.6002149, 1.6002151, 1.6002152, 1.8283920", \
+					  "1.7411130, 1.7412060, 1.7432851, 1.7522225, 1.7522226, 1.7522228, 1.9363970", \
+					  "1.8601947, 1.8614207, 1.8614209, 1.8614210, 1.8614211, 1.8614212, 2.0341766", \
+					  "1.9468200, 1.9506003, 1.9506004, 1.9535638, 1.9535639, 1.9535640, 2.1103448", \
+					  "2.0245046, 2.0353497, 2.0353498, 2.0353500, 2.0353503, 2.0353505, 2.1885345", \
+					  "2.1063167, 2.1063170, 2.1063172, 2.1063175, 2.1063177, 2.1063179, 2.2423872", \
+					  "2.1713853, 2.1713855, 2.1713857, 2.1761637, 2.1761638, 2.1761641, 2.3219733");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1888048, 3.1918188, 3.1918189, 3.1918191, 3.1918194, 3.1918196, 3.1918198", \
+					  "3.3393537, 3.3404730, 3.3404731, 3.3404733, 3.3404736, 3.3404738, 3.3404741", \
+					  "3.4560945, 3.4583374, 3.4583375, 3.4583377, 3.4583380, 3.4583382, 3.4583384", \
+					  "3.5487848, 3.5493575, 3.5493576, 3.5493578, 3.5493581, 3.5493583, 3.5493585", \
+					  "3.5986321, 3.5998744, 3.5998747, 3.5998749, 3.5998751, 3.5998754, 3.5998756", \
+					  "3.7096632, 3.7117456, 3.7117457, 3.7117459, 3.7117461, 3.7117464, 3.7117466", \
+					  "3.7440935, 3.7440936, 3.7527599, 3.7527601, 3.7527603, 3.7527606, 3.7527608");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9423046, 2.9423048, 2.9423050, 2.9423053, 2.9423055, 2.9423057, 2.9423060", \
+					  "3.0914213, 3.0919503, 3.0919506, 3.0919508, 3.0919511, 3.0919513, 3.0919515", \
+					  "3.1664040, 3.1664042, 3.1674909, 3.1674911, 3.1674914, 3.1674916, 3.1674918", \
+					  "3.3052663, 3.3052665, 3.3052668, 3.3052670, 3.3052673, 3.3052675, 3.3052677", \
+					  "3.3848366, 3.3848368, 3.3848371, 3.3848373, 3.3848376, 3.3848378, 3.3848380", \
+					  "3.4377494, 3.4567609, 3.4567611, 3.4567613, 3.4567615, 3.4567618, 3.4567620", \
+					  "3.4997742, 3.4997744, 3.4997746, 3.4997749, 3.4997751, 3.4997753, 3.4997756");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0558955, 2.0698150, 2.1432847, 2.4114744, 3.3296337, 7.9041760, 25.8571210", \
+					  "2.2022444, 2.2165025, 2.2899067, 2.5592493, 3.4780874, 8.0524618, 26.0163020", \
+					  "2.3219651, 2.3365048, 2.4099205, 2.6776730, 3.5962173, 8.1704306, 26.1274110", \
+					  "2.4182087, 2.4307856, 2.5046978, 2.7740887, 3.6872556, 8.2643954, 26.2295710", \
+					  "2.4966524, 2.5098663, 2.5833646, 2.8533866, 3.7695931, 8.3448226, 26.3044450", \
+					  "2.5721214, 2.5831899, 2.6587679, 2.9273524, 3.8466852, 8.4213703, 26.3798550", \
+					  "2.6459162, 2.6583817, 2.7329119, 2.9982041, 3.9139190, 8.4928731, 26.4543460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7791337, 0.7815063, 0.7946014, 0.7858390, 1.7374491, 7.0867308, 27.3386310", \
+					  "0.7792222, 0.7816030, 0.7946146, 0.7858640, 1.7362138, 7.0872606, 27.3328990", \
+					  "0.7792078, 0.7814340, 0.7946034, 0.7859780, 1.7362860, 7.0871522, 27.3638710", \
+					  "0.7791919, 0.7815836, 0.7945927, 0.7859796, 1.7374557, 7.0875568, 27.3453460", \
+					  "0.7791056, 0.7814733, 0.7933322, 0.7859817, 1.7376250, 7.0866983, 27.3456690", \
+					  "0.7785304, 0.7815580, 0.7938443, 0.7840170, 1.7345979, 7.0887003, 27.3592690", \
+					  "0.7785441, 0.7812533, 0.7935018, 0.7860131, 1.7367953, 7.0874471, 27.3350280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.8828945, 1.8844259, 1.8844260, 1.8844261, 1.8863477, 1.8924620, 2.0453106", \
+					  "2.0325981, 2.0325982, 2.0328303, 2.0328304, 2.0328306, 2.0373319, 2.1522548", \
+					  "2.1640711, 2.1723106, 2.1723108, 2.1723110, 2.1723112, 2.1723115, 2.2371183", \
+					  "2.2439910, 2.2439911, 2.2439913, 2.2500017, 2.2500018, 2.2500021, 2.3578468", \
+					  "2.3206279, 2.3300968, 2.3300969, 2.3300971, 2.3300974, 2.3312521, 2.4348437", \
+					  "2.3891522, 2.3891525, 2.3920799, 2.3920800, 2.3938869, 2.4075442, 2.5116560", \
+					  "2.4429867, 2.4442322, 2.4499309, 2.4693496, 2.4693498, 2.4812263, 2.5861269");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1270339, 2.1409055, 2.2141557, 2.4825679, 3.4006400, 7.9757445, 25.9320830", \
+					  "2.3080347, 2.3223037, 2.3923379, 2.6632987, 3.5811571, 8.1561424, 26.1124620", \
+					  "2.4933331, 2.5112690, 2.5847848, 2.8521729, 3.7697450, 8.3442364, 26.3033950", \
+					  "2.6888169, 2.7003222, 2.7755203, 3.0445353, 3.9621577, 8.5380556, 26.4899050", \
+					  "2.8673082, 2.8771079, 2.9531879, 3.2203356, 4.1422186, 8.7167944, 26.6753710", \
+					  "3.0391487, 3.0537630, 3.1355130, 3.3983871, 4.3107224, 8.8872563, 26.8587850", \
+					  "3.2001277, 3.2192145, 3.3037810, 3.5727721, 4.4799817, 9.0500789, 27.0199220");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7793732, 0.7814613, 0.7935146, 0.7857071, 1.7370233, 7.0860833, 27.3206030", \
+					  "0.7790580, 0.7815346, 0.7945395, 0.7858954, 1.7375151, 7.0874417, 27.3652870", \
+					  "0.7789256, 0.7815668, 0.7936366, 0.7851917, 1.7369254, 7.0900423, 27.3589330", \
+					  "0.7791432, 0.7814996, 0.7938172, 0.7857836, 1.7369053, 7.0882180, 27.3327120", \
+					  "0.7791419, 0.7818209, 0.7944231, 0.7857817, 1.7374717, 7.0874187, 27.3616450", \
+					  "0.7790549, 0.7814834, 0.7944823, 0.7858857, 1.7367034, 7.0876420, 27.3324790", \
+					  "0.7795423, 0.7814617, 0.7935009, 0.7847258, 1.7376630, 7.0881283, 27.3581030");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1513575, 2.1602473, 2.2106958, 2.4631761, 3.4392866, 6.1246341, 15.1801580", \
+					  "2.3324314, 2.3413524, 2.3922142, 2.6442524, 3.6171361, 6.3057057, 15.3584900", \
+					  "2.5192413, 2.5287590, 2.5821800, 2.8316123, 3.8095762, 6.4913213, 15.5474100", \
+					  "2.7102180, 2.7202591, 2.7702014, 3.0201479, 4.0011172, 6.6864656, 15.7370050", \
+					  "2.8918503, 2.9016793, 2.9514609, 3.1994125, 4.1795500, 6.8644490, 15.9192210", \
+					  "3.0628200, 3.0757410, 3.1217808, 3.3762186, 4.3572168, 7.0368000, 16.0928180", \
+					  "3.2229384, 3.2462111, 3.2967664, 3.5407668, 4.5236366, 7.1964159, 16.2575800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4451736, 0.4489209, 0.4784644, 0.6556859, 1.4603098, 3.3913619, 13.2079390", \
+					  "0.4451520, 0.4489128, 0.4780252, 0.6556041, 1.4602555, 3.3915912, 13.2279320", \
+					  "0.4451984, 0.4485703, 0.4769660, 0.6555764, 1.4598138, 3.3839322, 13.2073850", \
+					  "0.4451980, 0.4489624, 0.4782320, 0.6554198, 1.4604726, 3.3912604, 13.2025760", \
+					  "0.4451705, 0.4489228, 0.4780412, 0.6561704, 1.4596212, 3.3853977, 13.2081530", \
+					  "0.4449306, 0.4488967, 0.4764693, 0.6556792, 1.4607029, 3.3900676, 13.2263060", \
+					  "0.4454273, 0.4489224, 0.4766134, 0.6553931, 1.4592816, 3.3907963, 13.2173720");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1544300, 2.1787896, 2.3268241, 3.2168579, 8.3418641, 16.5803480, 36.0247510", \
+					  "2.3323621, 2.3593916, 2.5077007, 3.3983532, 8.5224920, 16.7588220, 36.2155210", \
+					  "2.5251907, 2.5491036, 2.6970102, 3.5850469, 8.7114049, 16.9544770, 36.3979570", \
+					  "2.7161573, 2.7406757, 2.8821894, 3.7781356, 8.9039034, 17.1366600, 36.6078230", \
+					  "2.8936722, 2.9159148, 3.0682357, 3.9579815, 9.0796863, 17.3193370, 36.7839060", \
+					  "3.0671203, 3.0969192, 3.2369831, 4.1264618, 9.2569534, 17.4977930, 36.9494370", \
+					  "3.2259226, 3.2703609, 3.4166530, 4.3037405, 9.4302619, 17.6508960, 37.1195310");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5041971, 1.5487278, 1.8304301, 3.5737351, 7.9614058, 10.2989150, 28.1997150", \
+					  "1.5045677, 1.5475380, 1.8299701, 3.5758874, 7.9622753, 10.3058920, 28.2271970", \
+					  "1.5041811, 1.5491975, 1.8300808, 3.5746077, 7.9620603, 10.2957770, 28.2358300", \
+					  "1.5042012, 1.5500893, 1.8295422, 3.5739440, 7.9625222, 10.3018340, 28.2207890", \
+					  "1.5042211, 1.5493855, 1.8300937, 3.5747270, 7.9690299, 10.2945300, 28.2182490", \
+					  "1.5045643, 1.5492455, 1.8300527, 3.5740155, 7.9607467, 10.2955400, 28.2260630", \
+					  "1.5043816, 1.5493369, 1.8301003, 3.5761836, 7.9731866, 10.2931640, 28.2288540");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4237996, 2.4481965, 2.5994089, 3.5239085, 8.6788212, 16.6495280, 32.1470000", \
+					  "2.6019520, 2.6292513, 2.7795635, 3.7045984, 8.8597361, 16.8267870, 32.3276090", \
+					  "2.7958280, 2.8173506, 2.9671305, 3.8929455, 9.0496082, 17.0173690, 32.5189260", \
+					  "2.9826401, 3.0079614, 3.1584975, 4.0866688, 9.2371097, 17.2116720, 32.7124670", \
+					  "3.1649554, 3.1887899, 3.3401324, 4.2606172, 9.4195078, 17.3874570, 32.8824220", \
+					  "3.3356777, 3.3615724, 3.5191254, 4.4382995, 9.5921964, 17.5626660, 33.0619900", \
+					  "3.4958108, 3.5345755, 3.6809775, 4.6086264, 9.7572084, 17.7298230, 33.2319700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5178945, 1.5639864, 1.8551868, 3.6396262, 8.7180876, 9.4372474, 19.4105250", \
+					  "1.5178544, 1.5647929, 1.8552420, 3.6397447, 8.7168042, 9.4375361, 19.4215360", \
+					  "1.5200213, 1.5649430, 1.8550507, 3.6391536, 8.7182510, 9.4392716, 19.4112900", \
+					  "1.5194620, 1.5636024, 1.8542892, 3.6383609, 8.7166976, 9.4393640, 19.4159500", \
+					  "1.5205890, 1.5652135, 1.8539148, 3.6397154, 8.7160696, 9.4385673, 19.3931540", \
+					  "1.5178530, 1.5638065, 1.8536686, 3.6464034, 8.7156327, 9.4388115, 19.4281390", \
+					  "1.5189369, 1.5661979, 1.8542539, 3.6464096, 8.7194536, 9.4385459, 19.3932750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6341497, 1.6536343, 1.6597450, 1.6597451, 1.6597452, 1.6597453, 1.8104002", \
+					  "1.7846692, 1.7867788, 1.7935710, 1.7935711, 1.7935712, 1.7947822, 1.9274691", \
+					  "1.9002153, 1.9259163, 1.9259164, 1.9259165, 1.9259167, 1.9259168, 1.9891941", \
+					  "1.9970747, 2.0051256, 2.0165827, 2.0165829, 2.0165831, 2.0165834, 2.0879671", \
+					  "2.0761468, 2.0761470, 2.0868811, 2.0868813, 2.0888111, 2.0915338, 2.2053661", \
+					  "2.1450468, 2.1502629, 2.1620412, 2.1620414, 2.1620416, 2.1620418, 2.2668349", \
+					  "2.2066637, 2.2111608, 2.2279623, 2.2279624, 2.2279627, 2.2279629, 2.3484883");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5987071, 1.5990869, 1.5990870, 1.5990871, 1.6051805, 1.6051806, 1.8408645", \
+					  "1.7413780, 1.7413781, 1.7413782, 1.7413783, 1.7413784, 1.7413785, 1.9300515", \
+					  "1.8566751, 1.8567204, 1.8579442, 1.8584370, 1.8584371, 1.8584372, 2.0189652", \
+					  "1.9491639, 1.9491640, 1.9491641, 1.9491643, 1.9491644, 1.9509772, 2.1124880", \
+					  "2.0268065, 2.0302164, 2.0305690, 2.0307689, 2.0307690, 2.0308291, 2.1787696", \
+					  "2.0943813, 2.0943815, 2.0943817, 2.0943820, 2.0953057, 2.0953059, 2.2537070", \
+					  "2.1731545, 2.1731548, 2.1731550, 2.1763364, 2.1763365, 2.1763367, 2.3249254");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5065472, 1.5065473, 1.5065474, 1.5065476, 1.5065477, 1.5065478, 1.7256604", \
+					  "1.6786492, 1.6786493, 1.6786494, 1.6786495, 1.6786497, 1.6786498, 1.8501078", \
+					  "1.8650861, 1.8650862, 1.8650863, 1.8650864, 1.8650865, 1.8650867, 1.9945585", \
+					  "2.0530750, 2.0541580, 2.0541581, 2.0552309, 2.0552310, 2.0552313, 2.1826541", \
+					  "2.2322295, 2.2326219, 2.2326221, 2.2326223, 2.2326226, 2.2326228, 2.3772999", \
+					  "2.4078883, 2.4082232, 2.4082233, 2.4082236, 2.4082238, 2.4082240, 2.5527207", \
+					  "2.5776872, 2.5776874, 2.5776877, 2.5776879, 2.5776881, 2.5776884, 2.7191096");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1375579, 2.1463766, 2.1983002, 2.4524998, 3.5123047, 9.0473611, 36.5349780", \
+					  "2.3187767, 2.3257809, 2.3788587, 2.6335598, 3.6897894, 9.2286134, 36.7249730", \
+					  "2.5063867, 2.5167828, 2.5681810, 2.8204175, 3.8827266, 9.4150323, 36.9070390", \
+					  "2.6999918, 2.7074722, 2.7602631, 3.0116867, 4.0736303, 9.6074304, 37.0990390", \
+					  "2.8741087, 2.8878029, 2.9355336, 3.1930416, 4.2522204, 9.7888604, 37.2800420", \
+					  "3.0576788, 3.0646898, 3.1154210, 3.3632312, 4.4307136, 9.9640349, 37.4386280", \
+					  "3.2177403, 3.2347702, 3.2858734, 3.5372743, 4.6022888, 10.1347580, 37.5981560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4505388, 0.4532967, 0.4830515, 0.6603764, 1.7590478, 8.7152889, 30.0407240", \
+					  "0.4498471, 0.4537234, 0.4826004, 0.6605462, 1.7596933, 8.7023899, 30.0462870", \
+					  "0.4497183, 0.4543836, 0.4824613, 0.6606247, 1.7614655, 8.7087875, 30.0103590", \
+					  "0.4507819, 0.4543871, 0.4830357, 0.6606025, 1.7592120, 8.7137666, 30.0432030", \
+					  "0.4503252, 0.4534512, 0.4830484, 0.6605566, 1.7610157, 8.7150118, 30.0396830", \
+					  "0.4504212, 0.4540892, 0.4818921, 0.6602702, 1.7610250, 8.6953609, 29.9835390", \
+					  "0.4498527, 0.4532784, 0.4823320, 0.6602637, 1.7585275, 8.7149887, 29.9922470");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5204141, 1.5210391, 1.5210392, 1.5210394, 1.5210395, 1.5210396, 1.7615651", \
+					  "1.6932137, 1.6932138, 1.6932139, 1.6934254, 1.6934255, 1.6934256, 1.8648345", \
+					  "1.8790256, 1.8790257, 1.8790258, 1.8790259, 1.8790260, 1.8790262, 2.0175432", \
+					  "2.0679800, 2.0679802, 2.0696223, 2.0696224, 2.0696227, 2.0696229, 2.2057711", \
+					  "2.2455757, 2.2458650, 2.2471367, 2.2471368, 2.2471370, 2.2471372, 2.3849802", \
+					  "2.4217801, 2.4227391, 2.4227392, 2.4227394, 2.4227397, 2.4238542, 2.5591269", \
+					  "2.5914439, 2.5914442, 2.5914444, 2.5914447, 2.5914449, 2.5914451, 2.7306366");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3947355, 7.5723818, 8.7041316, 15.6222640, 58.1195040, 320.6941100, 1938.2237000", \
+					  "7.5591835, 7.7572766, 8.8607610, 15.7653140, 58.2958960, 320.8226100, 1938.3281000", \
+					  "7.7592253, 7.9272615, 9.0483061, 15.9427600, 58.5792530, 321.1740400, 1938.6935000", \
+					  "7.9575688, 8.1392528, 9.2567003, 16.1355690, 58.7705740, 321.4694000, 1938.9599000", \
+					  "8.1357134, 8.3016261, 9.4335757, 16.3258600, 58.8752730, 321.4471200, 1939.0150000", \
+					  "8.3074134, 8.4888550, 9.5940935, 16.5365320, 59.0582370, 321.4550500, 1939.0422000", \
+					  "8.4570049, 8.6443732, 9.7788053, 16.6660020, 59.2217190, 322.0926600, 1939.8342000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8653850, 12.2433730, 14.4632760, 28.2004870, 113.4695400, 638.0528800, 3873.2655000", \
+					  "11.8678190, 12.2315490, 14.4482030, 28.1858950, 113.4691200, 638.1527000, 3873.3215000", \
+					  "11.8647230, 12.2379320, 14.4551000, 28.1943700, 113.4817700, 638.3112900, 3873.2419000", \
+					  "11.8712100, 12.2374790, 14.4402320, 28.1946320, 113.4817700, 638.2530800, 3873.1496000", \
+					  "11.8732640, 12.2401960, 14.4499390, 28.1927250, 113.4756200, 637.3131200, 3873.2996000", \
+					  "11.8796570, 12.2321540, 14.4538050, 28.1763250, 113.2973500, 637.2397400, 3873.3433000", \
+					  "11.8776060, 12.2376660, 14.4564430, 28.1806030, 113.4702400, 638.4434500, 3875.4856000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6404181, 7.8477083, 8.9478940, 15.8765320, 58.6541980, 322.4417100, 1945.0786000", \
+					  "7.8166451, 8.0286604, 9.1291561, 16.0543990, 58.7426530, 322.5434300, 1945.7109000", \
+					  "8.0365794, 8.2124538, 9.3173353, 16.2472150, 58.9181330, 322.4647300, 1945.5665000", \
+					  "8.2000557, 8.3767819, 9.5047357, 16.4285650, 59.2113030, 322.2234900, 1945.8216000", \
+					  "8.3804208, 8.5609724, 9.6834540, 16.6041850, 59.4130010, 323.1377800, 1945.6770000", \
+					  "8.5801224, 8.7331893, 9.8614521, 16.7938210, 59.5395730, 323.0139800, 1945.8869000", \
+					  "8.7498879, 8.8953502, 10.0246340, 16.9494850, 59.7241510, 323.4958400, 1945.8771000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7149760, 12.0796400, 14.3109690, 28.0810560, 113.7224600, 639.9532700, 3886.4910000", \
+					  "11.6982630, 12.0797090, 14.3109670, 28.0812640, 113.6291600, 639.1619000, 3884.7802000", \
+					  "11.7175850, 12.0800090, 14.3107450, 28.0802870, 113.7020900, 640.2930800, 3886.7906000", \
+					  "11.7094810, 12.0676540, 14.3109690, 28.0630720, 113.7061600, 639.6836600, 3884.6570000", \
+					  "11.7159420, 12.0723170, 14.3111570, 28.0730800, 113.6856800, 640.1506900, 3885.7202000", \
+					  "11.7170010, 12.0729720, 14.2994900, 28.0825940, 113.7324300, 640.2845000, 3886.8761000", \
+					  "11.7154670, 12.0708880, 14.2985250, 28.0746340, 113.7169000, 639.3185600, 3886.3814000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0545758, 2.0682345, 2.1409630, 2.4084845, 3.3284639, 7.9146654, 25.8856670", \
+					  "2.2023141, 2.2160949, 2.2883513, 2.5559004, 3.4765018, 8.0625560, 26.0381640", \
+					  "2.3214395, 2.3350770, 2.4075597, 2.6753293, 3.5951304, 8.1816207, 26.1704330", \
+					  "2.4157083, 2.4293769, 2.5034348, 2.7696694, 3.6907486, 8.2777820, 26.2494670", \
+					  "2.4963398, 2.5100135, 2.5843143, 2.8502651, 3.7715724, 8.3591267, 26.3327490", \
+					  "2.5731666, 2.5859910, 2.6594884, 2.9264977, 3.8475525, 8.4340765, 26.4202760", \
+					  "2.6427964, 2.6528322, 2.7292280, 2.9931673, 3.9164956, 8.5039495, 26.4916920");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7740246, 0.7763885, 0.7894116, 0.7820409, 1.7429994, 7.1004647, 27.3669990", \
+					  "0.7743122, 0.7765016, 0.7881280, 0.7816437, 1.7418543, 7.1007382, 27.3312130", \
+					  "0.7739197, 0.7761623, 0.7893965, 0.7819041, 1.7413806, 7.1019235, 27.3624360", \
+					  "0.7736699, 0.7762950, 0.7893997, 0.7818557, 1.7414332, 7.1018094, 27.3262820", \
+					  "0.7741832, 0.7761567, 0.7893499, 0.7818907, 1.7422428, 7.0991502, 27.3438180", \
+					  "0.7731755, 0.7762066, 0.7879440, 0.7806426, 1.7442172, 7.1021167, 27.3574640", \
+					  "0.7731438, 0.7761233, 0.7893329, 0.7806416, 1.7417003, 7.0982638, 27.3620910");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.7736884, 7.9592644, 9.1326529, 16.2022450, 59.3592480, 322.4182300, 1945.7980000", \
+					  "7.9474889, 8.1431804, 9.2947134, 16.4056410, 59.4761640, 322.8476300, 1945.6500000", \
+					  "8.1442559, 8.3253671, 9.4871098, 16.5664730, 59.5817620, 323.1095300, 1945.8610000", \
+					  "8.3329015, 8.5235170, 9.6793995, 16.7556900, 59.8781400, 323.7525700, 1946.0379000", \
+					  "8.5243430, 8.7019734, 9.8559347, 16.9693080, 59.9691960, 323.3009000, 1946.2429000", \
+					  "8.6961736, 8.8817877, 10.0293680, 17.1255150, 60.1067430, 323.9818500, 1947.4110000", \
+					  "8.8640961, 9.0501615, 10.2153330, 17.2896120, 60.2510180, 324.3242800, 1946.5331000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7083160, 12.0659710, 14.3080240, 28.0943220, 113.3373100, 639.9412800, 3884.8325000", \
+					  "11.7126360, 12.0676620, 14.3152920, 28.1144730, 113.7286500, 639.9236600, 3885.5151000", \
+					  "11.7187030, 12.0809290, 14.3030800, 28.0881470, 113.3425300, 639.8048500, 3885.4828000", \
+					  "11.7015320, 12.0732990, 14.3001640, 28.0552770, 113.7520100, 639.2068000, 3885.5001000", \
+					  "11.7128430, 12.0838120, 14.3158000, 28.1068790, 113.5581700, 639.9301400, 3885.4741000", \
+					  "11.7076710, 12.0651670, 14.2729270, 28.0927350, 113.4696700, 640.1089100, 3884.5719000", \
+					  "11.7105120, 12.0665850, 14.2981150, 28.0915740, 113.3916600, 639.1148900, 3887.2795000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1544850, 2.1788227, 2.3268618, 3.2169460, 8.3423464, 16.5781420, 36.0283470", \
+					  "2.3340667, 2.3596045, 2.5077389, 3.3978649, 8.5209590, 16.7592550, 36.2244880", \
+					  "2.5218483, 2.5455704, 2.6969256, 3.5854488, 8.7074463, 16.9464890, 36.4136280", \
+					  "2.7156599, 2.7410916, 2.8885068, 3.7766634, 8.8979908, 17.1413480, 36.5994240", \
+					  "2.8911948, 2.9189058, 3.0677583, 3.9582484, 9.0793177, 17.3132580, 36.7716630", \
+					  "3.0678682, 3.0878620, 3.2382883, 4.1355688, 9.2521679, 17.4876240, 36.9546740", \
+					  "3.2342766, 3.2657013, 3.3997267, 4.2956176, 9.4115409, 17.6597210, 37.1064600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5044134, 1.5492893, 1.8303062, 3.5741770, 7.9625044, 10.3059710, 28.1943250", \
+					  "1.5019148, 1.5478980, 1.8300320, 3.5727759, 7.9663094, 10.3054440, 28.2183360", \
+					  "1.5045064, 1.5486482, 1.8303206, 3.5746814, 7.9620319, 10.3037250, 28.2090390", \
+					  "1.5040916, 1.5486266, 1.8300180, 3.5727103, 7.9697511, 10.2882340, 28.1993770", \
+					  "1.5042010, 1.5478546, 1.8300206, 3.5747305, 7.9730453, 10.3053810, 28.1960890", \
+					  "1.5022569, 1.5495353, 1.8300150, 3.5711656, 7.9621918, 10.3095130, 28.2070260", \
+					  "1.5041867, 1.5492470, 1.8300786, 3.5757741, 7.9640028, 10.2856020, 28.2322650");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6387785, 7.8247906, 8.9493657, 15.8693450, 58.7300240, 322.3861700, 1945.0674000", \
+					  "7.8226234, 8.0244700, 9.1255803, 16.0559490, 58.8238400, 322.2071700, 1945.1395000", \
+					  "8.0090743, 8.1928961, 9.3178745, 16.2391360, 59.0603620, 322.8071500, 1945.4026000", \
+					  "8.1982803, 8.3812957, 9.5068371, 16.4303840, 59.2981270, 322.6449200, 1945.3521000", \
+					  "8.4099572, 8.5613054, 9.6875175, 16.6117470, 59.4389140, 323.1611500, 1945.7450000", \
+					  "8.5699767, 8.7283514, 9.8545847, 16.7840410, 59.6076010, 322.9537800, 1945.8967000", \
+					  "8.7501055, 8.9058130, 10.0311320, 16.9517020, 59.7557560, 323.1907600, 1945.9858000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7111810, 12.0787960, 14.3070040, 28.0465160, 113.2945300, 639.4408300, 3886.0271000", \
+					  "11.7159540, 12.0811310, 14.3077230, 28.0883760, 113.7413900, 640.0641200, 3886.3677000", \
+					  "11.7140820, 12.0767240, 14.3102100, 28.0827860, 113.6266300, 640.0504700, 3886.9061000", \
+					  "11.7122830, 12.0690250, 14.3073520, 28.0810450, 113.2165000, 640.4789600, 3885.9844000", \
+					  "11.7090560, 12.0673630, 14.3079230, 28.0624130, 113.6434800, 639.9524200, 3886.2754000", \
+					  "11.7171150, 12.0664920, 14.3073900, 28.0873850, 113.6184900, 640.4436000, 3886.5533000", \
+					  "11.7075320, 12.0677010, 14.3075050, 28.0811890, 113.6563800, 639.3922300, 3885.2090000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3972015, 7.5760793, 8.6840783, 15.6223500, 58.0916120, 320.8633100, 1938.2244000", \
+					  "7.5625373, 7.7543962, 8.8697885, 15.7589850, 58.2780440, 320.9586900, 1939.0664000", \
+					  "7.7496791, 7.9389064, 9.0712762, 15.9547420, 58.5804610, 321.2719000, 1938.6521000", \
+					  "7.9560536, 8.1235267, 9.2513393, 16.1440800, 58.7008100, 321.4033800, 1938.8749000", \
+					  "8.1200353, 8.3065306, 9.4379731, 16.3141390, 58.8888160, 321.6742400, 1939.0286000", \
+					  "8.3124743, 8.4788443, 9.6138217, 16.5059200, 59.1180400, 321.7796200, 1939.0320000", \
+					  "8.4703125, 8.6509910, 9.7799928, 16.6675850, 59.1983780, 321.9143100, 1941.5859000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8784890, 12.2234470, 14.4711430, 28.2006280, 113.2438600, 637.9871100, 3873.2737000", \
+					  "11.8800710, 12.2436020, 14.4620150, 28.1955450, 113.4458700, 637.8590500, 3872.4183000", \
+					  "11.8790590, 12.2436080, 14.4590050, 28.1975630, 113.4351100, 637.7985600, 3873.3483000", \
+					  "11.8511880, 12.2246680, 14.4609260, 28.1994290, 113.0465400, 637.9053200, 3873.3956000", \
+					  "11.8715220, 12.2424500, 14.4577420, 28.1987610, 113.1800600, 637.3659900, 3873.3479000", \
+					  "11.8788630, 12.2358720, 14.4587990, 28.1743960, 113.4816700, 637.9968900, 3873.3165000", \
+					  "11.8674910, 12.2390340, 14.4580940, 28.1852160, 113.4588800, 638.0163900, 3872.2437000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4244549, 2.4488962, 2.6012761, 3.5193382, 8.6793683, 16.6499560, 32.1485350", \
+					  "2.6056117, 2.6283049, 2.7822900, 3.7007621, 8.8599208, 16.8291940, 32.3294460", \
+					  "2.7927194, 2.8195937, 2.9721310, 3.8909382, 9.0498986, 17.0198380, 32.5150930", \
+					  "2.9809570, 3.0070866, 3.1617013, 4.0855679, 9.2410675, 17.2059610, 32.7102540", \
+					  "3.1675225, 3.1820115, 3.3425065, 4.2604067, 9.4207966, 17.3903310, 32.8867600", \
+					  "3.3443839, 3.3607763, 3.5102816, 4.4417612, 9.5805008, 17.5676900, 33.0561600", \
+					  "3.5081518, 3.5244909, 3.6696823, 4.6159649, 9.7699182, 17.7374220, 33.2386850");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5189413, 1.5642322, 1.8507399, 3.6459502, 8.7161540, 9.4361878, 19.4111020", \
+					  "1.5191296, 1.5667377, 1.8505845, 3.6451295, 8.7148724, 9.4386445, 19.4261230", \
+					  "1.5201078, 1.5649211, 1.8534888, 3.6389258, 8.7151975, 9.4393027, 19.4079220", \
+					  "1.5197827, 1.5629915, 1.8503734, 3.6462775, 8.7151379, 9.4393586, 19.4161450", \
+					  "1.5188197, 1.5667353, 1.8508749, 3.6460330, 8.7168273, 9.4381596, 19.4249100", \
+					  "1.5202621, 1.5642355, 1.8530852, 3.6454193, 8.7149958, 9.4388533, 19.4137440", \
+					  "1.5201770, 1.5659696, 1.8538260, 3.6465559, 8.7165501, 9.4435828, 19.4643430");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1270661, 2.1409510, 2.2144684, 2.4828131, 3.3999425, 7.9761930, 25.9316600", \
+					  "2.3083486, 2.3216569, 2.3959754, 2.6639546, 3.5815719, 8.1565510, 26.1156110", \
+					  "2.4975100, 2.5113713, 2.5836659, 2.8540119, 3.7666195, 8.3428127, 26.3029720", \
+					  "2.6845013, 2.6994127, 2.7692959, 3.0403136, 3.9622310, 8.5332710, 26.4910580", \
+					  "2.8682887, 2.8812481, 2.9555425, 3.2234033, 4.1415080, 8.7160261, 26.6747900", \
+					  "3.0350553, 3.0524556, 3.1328016, 3.3976808, 4.3127919, 8.8859728, 26.8520320", \
+					  "3.2115073, 3.2295340, 3.2974001, 3.5746335, 4.4738879, 9.0450518, 27.0201480");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7788225, 0.7817308, 0.7935010, 0.7844062, 1.7337239, 7.0849788, 27.3206220", \
+					  "0.7791501, 0.7815122, 0.7944990, 0.7858744, 1.7358716, 7.0885764, 27.3611710", \
+					  "0.7791535, 0.7818084, 0.7945641, 0.7857950, 1.7376447, 7.0882851, 27.3159270", \
+					  "0.7790302, 0.7814353, 0.7945018, 0.7857709, 1.7368885, 7.0832438, 27.3275320", \
+					  "0.7790602, 0.7818037, 0.7945719, 0.7857628, 1.7370699, 7.0879089, 27.3187800", \
+					  "0.7791041, 0.7818050, 0.7945629, 0.7857713, 1.7359795, 7.0877566, 27.3230720", \
+					  "0.7788902, 0.7814496, 0.7943733, 0.7856676, 1.7376780, 7.0878650, 27.3252620");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3844788, 7.5723605, 8.7008516, 15.6183070, 58.1237140, 320.5688000, 1938.2542000", \
+					  "7.5736395, 7.7579534, 8.8731174, 15.7658220, 58.2831280, 320.8100500, 1938.7019000", \
+					  "7.7649087, 7.9299562, 9.0524548, 15.9535870, 58.5480930, 321.1238800, 1938.6539000", \
+					  "7.9545242, 8.1405487, 9.2440926, 16.1454480, 58.6864600, 321.2927600, 1940.5286000", \
+					  "8.1204430, 8.3010168, 9.4244772, 16.3230570, 58.8339550, 321.6380500, 1938.8842000", \
+					  "8.2991409, 8.4786898, 9.5869588, 16.4987260, 59.1080500, 321.4616100, 1939.1864000", \
+					  "8.4802325, 8.6458982, 9.7753299, 16.6660430, 59.2740150, 321.6175300, 1939.7226000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8783600, 12.2433390, 14.4669460, 28.1467670, 113.4704200, 637.8671700, 3873.3410000", \
+					  "11.8630370, 12.2126180, 14.4515040, 28.1889180, 113.4393600, 638.1470700, 3873.5516000", \
+					  "11.8734790, 12.2330990, 14.4589490, 28.1856170, 113.4991500, 637.5463500, 3873.3397000", \
+					  "11.8765690, 12.2401270, 14.4510980, 28.1855070, 113.4578700, 638.0454400, 3873.6930000", \
+					  "11.8738200, 12.2310800, 14.4484520, 28.1852040, 113.4496000, 638.2507500, 3873.3178000", \
+					  "11.8729740, 12.2400940, 14.4543180, 28.1854430, 113.4724100, 637.2216600, 3873.2283000", \
+					  "11.8764990, 12.2316830, 14.4502960, 28.1805100, 113.4822700, 637.6252500, 3874.5102000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6403861, 7.8479179, 8.9480512, 15.8763740, 58.5085010, 322.4388900, 1944.6456000", \
+					  "7.8236360, 8.0197333, 9.1259373, 16.0399910, 58.7340420, 322.5888700, 1945.3560000", \
+					  "8.0123552, 8.1905673, 9.3191996, 16.2358750, 58.8949550, 322.7432000, 1945.4105000", \
+					  "8.2012956, 8.3799758, 9.5105346, 16.4384190, 59.2213640, 322.9974400, 1945.1429000", \
+					  "8.3828340, 8.5622531, 9.6785309, 16.6090500, 59.4006800, 322.8312000, 1945.6679000", \
+					  "8.5511660, 8.7511136, 9.8609627, 16.7876930, 59.5636460, 323.0076700, 1945.7293000", \
+					  "8.7374126, 8.8895673, 10.0227360, 16.9485280, 59.7448770, 323.1738500, 1945.8946000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7148620, 12.0795130, 14.3109360, 28.0839990, 113.3182800, 639.9848800, 3884.4723000", \
+					  "11.7171520, 12.0775890, 14.3101470, 28.0674900, 113.6903500, 639.2745600, 3884.8398000", \
+					  "11.7163680, 12.0702980, 14.3102980, 28.0854200, 113.3813800, 639.2007200, 3888.7633000", \
+					  "11.7171150, 12.0748710, 14.2884070, 28.0821480, 113.7126900, 639.9870900, 3887.1267000", \
+					  "11.7170940, 12.0747050, 14.3009430, 28.0665520, 113.6961200, 640.2289000, 3885.6451000", \
+					  "11.7117300, 12.0781570, 14.3006630, 28.0834060, 113.7087100, 640.2993300, 3886.0139000", \
+					  "11.7177330, 12.0704170, 14.2967900, 28.0829240, 113.7135800, 640.3040500, 3886.0794000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1086428, 2.1330904, 2.2829543, 3.1826044, 8.3249843, 16.5357430, 35.9835500", \
+					  "2.2560240, 2.2806019, 2.4303650, 3.3314269, 8.4732275, 16.6816620, 36.1260280", \
+					  "2.3756563, 2.4004194, 2.5501596, 3.4499608, 8.5921776, 16.8060340, 36.2526650", \
+					  "2.4696571, 2.4943270, 2.6441391, 3.5455872, 8.6865368, 16.8969940, 36.3416040", \
+					  "2.5506108, 2.5751896, 2.7249134, 3.6265027, 8.7665555, 16.9813120, 36.4197770", \
+					  "2.6267108, 2.6512892, 2.8010294, 3.7013692, 8.8416900, 17.0582910, 36.5051240", \
+					  "2.6932416, 2.7178306, 2.8675402, 3.7718886, 8.9080361, 17.1281250, 36.5733470");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5032027, 1.5495792, 1.8298775, 3.5803656, 7.9248350, 10.2246630, 28.2075000", \
+					  "1.5046905, 1.5491988, 1.8302042, 3.5781467, 7.9220870, 10.2555680, 28.1977750", \
+					  "1.5032261, 1.5479819, 1.8297373, 3.5714720, 7.9150171, 10.2315930, 28.1875550", \
+					  "1.5052950, 1.5554823, 1.8351634, 3.5788155, 7.9188425, 10.2512360, 28.1970290", \
+					  "1.5075862, 1.5524870, 1.8340637, 3.5757726, 7.9250952, 10.2612360, 28.2156880", \
+					  "1.5036128, 1.5485940, 1.8281178, 3.5726688, 7.9174569, 10.2651700, 28.2217630", \
+					  "1.5022136, 1.5488326, 1.8294887, 3.5718029, 7.9236377, 10.2698610, 28.2174940");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2975952, 2.3235172, 2.4792548, 3.4195811, 8.5986933, 16.5015570, 31.9881580", \
+					  "2.4788576, 2.5051479, 2.6607494, 3.6028620, 8.7811589, 16.6843230, 32.1641520", \
+					  "2.6699682, 2.6927922, 2.8481364, 3.7873544, 8.9688719, 16.8752350, 32.3582730", \
+					  "2.8600649, 2.8881721, 3.0434089, 3.9876591, 9.1645234, 17.0685690, 32.5502220", \
+					  "3.0406710, 3.0658338, 3.2213292, 4.1644292, 9.3421386, 17.2437030, 32.7285580", \
+					  "3.2206569, 3.2398981, 3.3954981, 4.3367976, 9.5149968, 17.4179980, 32.9096000", \
+					  "3.3888594, 3.4132097, 3.5691516, 4.5089434, 9.6888497, 17.5915440, 33.0780350");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5164084, 1.5649024, 1.8512064, 3.6429477, 8.6622096, 9.4103196, 19.3957210", \
+					  "1.5208764, 1.5647911, 1.8525669, 3.6488554, 8.6638305, 9.4129922, 19.3906780", \
+					  "1.5198973, 1.5675853, 1.8557424, 3.6477052, 8.6618277, 9.4173513, 19.4056310", \
+					  "1.5163697, 1.5668584, 1.8545835, 3.6451449, 8.6614174, 9.4114787, 19.4122440", \
+					  "1.5209282, 1.5644775, 1.8522214, 3.6511174, 8.6621661, 9.4161789, 19.3866790", \
+					  "1.5183161, 1.5659013, 1.8517970, 3.6437329, 8.6607352, 9.4130490, 19.4020000", \
+					  "1.5190501, 1.5644731, 1.8518841, 3.6452157, 8.6604217, 9.4129042, 19.4511800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.5060971, 7.6879179, 8.8300682, 15.8003390, 58.6505280, 321.4607300, 1939.1450000", \
+					  "7.6556237, 7.8352240, 8.9708525, 15.9592520, 58.8380150, 321.5874400, 1939.2676000", \
+					  "7.7801019, 7.9576123, 9.0866878, 16.0920290, 58.9070480, 321.6372300, 1939.4049000", \
+					  "7.8662801, 8.0517983, 9.1943706, 16.1769960, 59.0900870, 321.7462000, 1939.5102000", \
+					  "7.9545668, 8.1336617, 9.2620356, 16.2688710, 59.1128920, 321.7601500, 1939.6487000", \
+					  "8.0287199, 8.2112752, 9.3576107, 16.3268970, 59.1821770, 322.1952100, 1940.2421000", \
+					  "8.1030400, 8.2911376, 9.4078834, 16.4144540, 59.2224080, 321.8316400, 1941.3917000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8964460, 12.2467840, 14.4560660, 28.1869340, 113.3875700, 637.6880000, 3873.0091000", \
+					  "11.8740940, 12.2409350, 14.5070540, 28.2153860, 113.3340800, 637.9260600, 3873.0091000", \
+					  "11.8670690, 12.2369320, 14.5372760, 28.1709500, 113.4162700, 637.7960600, 3873.0118000", \
+					  "11.8907040, 12.2979800, 14.4621000, 28.2146680, 113.4727400, 637.8185200, 3873.0118000", \
+					  "11.8700680, 12.2345170, 14.5297130, 28.1786330, 113.2855500, 637.8445500, 3872.9413000", \
+					  "11.8748600, 12.2403430, 14.4365750, 28.1697400, 113.2338600, 637.6361100, 3871.9181000", \
+					  "11.8623910, 12.2176980, 14.4550380, 28.1451410, 113.4337900, 637.5477100, 3874.0709000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2579927, 2.2832778, 2.4349347, 3.3531047, 8.5117149, 16.4826200, 31.9786400", \
+					  "2.4392639, 2.4645557, 2.6156886, 3.5342933, 8.6924974, 16.6635190, 32.1594800", \
+					  "2.6274594, 2.6526485, 2.8069183, 3.7229979, 8.8836147, 16.8543190, 32.3471130", \
+					  "2.8229850, 2.8475791, 2.9974481, 3.9229393, 9.0742338, 17.0449250, 32.5422250", \
+					  "3.0001399, 3.0250067, 3.1773189, 4.0972252, 9.2544070, 17.2216550, 32.7192920", \
+					  "3.1745893, 3.1996423, 3.3577889, 4.2703978, 9.4348138, 17.4024940, 32.8949130", \
+					  "3.3482945, 3.3733123, 3.5256744, 4.4468438, 9.6027710, 17.5741860, 33.0674970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5195627, 1.5672185, 1.8512789, 3.6454246, 8.7126137, 9.4441575, 19.4086630", \
+					  "1.5195164, 1.5671876, 1.8510669, 3.6456949, 8.7126217, 9.4435659, 19.4084460", \
+					  "1.5200471, 1.5672865, 1.8515162, 3.6432199, 8.7124201, 9.4419859, 19.3918020", \
+					  "1.5196868, 1.5642087, 1.8511909, 3.6429182, 8.7126155, 9.4438750, 19.4361790", \
+					  "1.5189806, 1.5647789, 1.8541095, 3.6459966, 8.7129193, 9.4360901, 19.4293880", \
+					  "1.5206674, 1.5671864, 1.8539362, 3.6450707, 8.7132559, 9.4355030, 19.4140380", \
+					  "1.5208964, 1.5664907, 1.8543458, 3.6471091, 8.7137515, 9.4414911, 19.4103130");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.0483957, -9.1231155, -9.1978352, -9.1933477, -9.1890397, -9.1845522, -9.1800647");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.3287262, 9.3954133, 9.4621004, 9.4536524, 9.4455423, 9.4370942, 9.4286462");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.140587;
+			capacitance : 0.140697;
+			fall_capacitance : 0.140806;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3625514, -0.3565705, -0.3505895, -0.3510892, -0.3515689, -0.3520686, -0.3525683");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3617596, 0.3560523, 0.3503451, 0.3515794, 0.3527644, 0.3539987, 0.3552330");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133723;
+			capacitance : 0.133642;
+			fall_capacitance : 0.133561;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3629441, -0.3554140, -0.3478838, -0.3487219, -0.3495264, -0.3503644, -0.3512025");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3611995, 0.3548340, 0.3484683, 0.3500038, 0.3514777, 0.3530131, 0.3545485");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p60v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v40_1v65.lib
new file mode 100644
index 0000000..c7859e2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v40_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v40_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ss_1p40v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.896210e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.2160000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "106.0240000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "174.1930000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.0390000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.6210000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.6100000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.6120000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.7870000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.0410000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "171.5810000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "186.2080000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.5150000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.8430000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.0290000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "171.6740000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "188.9440000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.2720000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "188.4580000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006353;
+			capacitance : 0.006185;
+			fall_capacitance : 0.006018;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7267017, 3.2611596, 2.9486320, 2.6825200, 2.4687905, 2.2453304, 2.0663809", \
+					  "4.0707342, 3.6200310, 3.2851571, 3.0546826, 2.8207703, 2.6119445, 2.4212226", \
+					  "4.4434574, 3.9826753, 3.6562249, 3.3975501, 3.1529830, 2.9645755, 2.7863026", \
+					  "4.7274693, 4.2666797, 3.9613324, 3.6743551, 3.4390586, 3.2511583, 3.0566303", \
+					  "4.9609501, 4.4898168, 4.1773774, 3.9024209, 3.6655508, 3.4796728, 3.2799037", \
+					  "5.1640845, 4.7132731, 4.3554896, 4.1102262, 3.9241977, 3.6874608, 3.5235162", \
+					  "5.3337444, 4.8896379, 4.5570137, 4.2986355, 4.1104216, 3.8847914, 3.7282908");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4200050, 2.8762473, 2.3295002, 1.8549086, 1.4327185, 1.0261531, 0.6409500", \
+					  "3.7655561, 3.2159327, 2.6764198, 2.1962321, 1.7867482, 1.3970200, 1.0409014", \
+					  "4.1367774, 3.5871653, 3.0455263, 2.5288088, 2.1343705, 1.7431736, 1.3641680", \
+					  "4.4207924, 3.8763009, 3.3311370, 2.8168921, 2.4339686, 2.0298439, 1.6544072", \
+					  "4.6542768, 4.1103088, 3.5649153, 3.0446026, 2.6509522, 2.2474385, 1.8692148", \
+					  "4.8684184, 4.3264657, 3.7767574, 3.2984247, 2.8793013, 2.4728673, 2.0958469", \
+					  "5.0435943, 4.4905171, 3.9380457, 3.5032295, 3.0667822, 2.6898488, 2.3077498");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0803066, -2.6630187, -2.3750250, -2.1245829, -1.8790598, -1.6782806, -1.5021228", \
+					  "-3.4273621, -3.0135711, -2.7587545, -2.4441337, -2.2536073, -2.0314061, -1.8562971", \
+					  "-3.8017151, -3.3852694, -3.1271056, -2.8432360, -2.5853015, -2.3908333, -2.2214348", \
+					  "-4.0872671, -3.6684533, -3.3851292, -3.0426197, -2.8742346, -2.6599151, -2.4687227", \
+					  "-4.3177153, -3.9223826, -3.6098286, -3.3279543, -3.0884030, -2.9178770, -2.7600299", \
+					  "-4.5333308, -4.1297758, -3.8365242, -3.5345859, -3.2910514, -3.1409070, -2.9664404", \
+					  "-4.7464425, -4.3010379, -4.0068386, -3.7600067, -3.4845093, -3.3328215, -3.1158513");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2634175, -2.7258080, -2.1969441, -1.7240376, -1.2578196, -0.8817718, -0.5408191", \
+					  "-3.6119987, -3.0545994, -2.5635520, -2.0869268, -1.6429436, -1.2430562, -0.9142662", \
+					  "-3.9863519, -3.4332552, -2.9320887, -2.4388444, -1.9993642, -1.6115296, -1.2539543", \
+					  "-4.2703780, -3.7312577, -3.2188023, -2.7141267, -2.2584614, -1.9057697, -1.5063804", \
+					  "-4.5023516, -3.9690915, -3.4436789, -2.9501694, -2.5080972, -2.1274717, -1.7424356", \
+					  "-4.7179675, -4.1801298, -3.6499681, -3.1698252, -2.7442073, -2.3437454, -1.9654911", \
+					  "-4.9036137, -4.3262080, -3.8695204, -3.3599314, -2.9292603, -2.5448789, -2.1148773");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113722, 0.0168485, 0.0223249, 0.0222233, 0.0221206, 0.0220191, 0.0219175");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160932, 0.0217343, 0.0273755, 0.0272983, 0.0272201, 0.0271429, 0.0270657");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004266;
+			capacitance : 0.004403;
+			rise_capacitance : 0.004541;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1398221, -2.6640341, -2.3290824, -2.0467243, -1.7969822, -1.5821501, -1.3815784", \
+					  "-3.4884031, -3.0142941, -2.7004771, -2.3876758, -2.1576989, -1.9559500, -1.7678214", \
+					  "-3.8612320, -3.3877092, -3.0770932, -2.8101407, -2.4952748, -2.2929935, -2.1231230", \
+					  "-4.1467840, -3.6762419, -3.3493070, -3.0332401, -2.7985589, -2.5803490, -2.3901645", \
+					  "-4.3787584, -3.9046639, -3.5548988, -3.2796636, -3.0155471, -2.7992406, -2.6181232", \
+					  "-4.5943731, -4.1218604, -3.7777445, -3.5010165, -3.2267779, -3.0471754, -2.8481295", \
+					  "-4.7586523, -4.2973751, -3.9506098, -3.7293437, -3.4167366, -3.2397429, -3.0330678");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1337055, -2.6062079, -2.0655135, -1.5522171, -1.1174388, -0.7200286, -0.3378772", \
+					  "-3.4822869, -2.9557956, -2.4184401, -1.9354233, -1.4828220, -1.0771001, -0.7027984", \
+					  "-3.8551137, -3.3265468, -2.7863305, -2.2800446, -1.8359255, -1.4432626, -0.9954984", \
+					  "-4.1406662, -3.6098979, -3.0635236, -2.5343538, -2.1323685, -1.7196215, -1.3525981", \
+					  "-4.3711139, -3.8445005, -3.3102440, -2.8040914, -2.3585611, -1.9126937, -1.5674237", \
+					  "-4.5882553, -4.0656729, -3.5141649, -2.9949642, -2.5580499, -2.1431341, -1.7507264", \
+					  "-4.7647461, -4.2428840, -3.7193963, -3.2169007, -2.7811351, -2.3837250, -1.9809900");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6473620, 3.1758978, 2.8381491, 2.5469374, 2.3055212, 2.0942684, 1.8936966", \
+					  "3.9929129, 3.5266057, 3.1812604, 2.8960572, 2.6867404, 2.4761842, 2.2417046", \
+					  "4.3656601, 3.9009629, 3.5540074, 3.2802654, 3.0165477, 2.7842697, 2.6041871", \
+					  "4.6496751, 4.1844952, 3.8282531, 3.5642065, 3.2989536, 3.0909409, 2.9010503", \
+					  "4.8816336, 4.4170901, 4.0616442, 3.7763284, 3.5509596, 3.3231833, 3.1134562", \
+					  "5.0957752, 4.6267761, 4.2859541, 3.9859807, 3.7626633, 3.5434871, 3.3007379", \
+					  "5.2694265, 4.8048848, 4.4531963, 4.1817503, 3.9476292, 3.7433170, 3.5481918");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3421726, 2.7933865, 2.2278619, 1.7358854, 1.2603001, 0.8613641, 0.4609022", \
+					  "3.6866375, 3.1332457, 2.5591385, 2.0929694, 1.6111423, 1.2101612, 0.8235306", \
+					  "4.0573769, 3.5054859, 2.9407170, 2.4408069, 1.9810114, 1.5644413, 1.1496374", \
+					  "4.3413351, 3.7938304, 3.2154098, 2.7233427, 2.2687259, 1.8280701, 1.4763806", \
+					  "4.5673623, 4.0129858, 3.4598127, 2.9633547, 2.4933871, 2.0856954, 1.7020329", \
+					  "4.7595686, 4.2038282, 3.6488542, 3.1704837, 2.6886980, 2.2637908, 1.8923428", \
+					  "4.9511136, 4.4010807, 3.8735626, 3.3821395, 2.9001246, 2.4975513, 2.1070406");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0107010, 0.0187398, 0.0267786, 0.0266965, 0.0266134, 0.0265312, 0.0264491");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163016, 0.0242009, 0.0321003, 0.0320197, 0.0319381, 0.0318575, 0.0317769");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005904;
+			capacitance : 0.006073;
+			rise_capacitance : 0.006243;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7526416, 3.2885441, 2.9746506, 2.7056964, 2.4899241, 2.2697444, 2.0920608", \
+					  "4.0981941, 3.6407684, 3.3348822, 3.0684291, 2.8561023, 2.6339784, 2.4696206", \
+					  "4.4709363, 4.0106543, 3.7046164, 3.4247596, 3.1980583, 2.9918168, 2.8107166", \
+					  "4.7549509, 4.2957174, 3.9743336, 3.7046442, 3.4433601, 3.2893051, 3.0807399", \
+					  "4.9768587, 4.5183233, 4.2168769, 3.9266952, 3.7251711, 3.4856818, 3.3052921", \
+					  "5.1656628, 4.7422708, 4.4053306, 4.1588065, 3.9582291, 3.7194377, 3.5479304", \
+					  "5.3658507, 4.9171416, 4.5836635, 4.3475266, 4.1400339, 3.9127908, 3.7572828");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3879406, 2.8354215, 2.3021152, 1.8294217, 1.3822455, 0.9849657, 0.6287543", \
+					  "3.7559198, 3.2033985, 2.6715626, 2.1614424, 1.7421600, 1.3576005, 0.9938001", \
+					  "4.1091173, 3.5581247, 3.0243657, 2.5043832, 2.0688953, 1.6763049, 1.3437605", \
+					  "4.3840614, 3.8479338, 3.3084864, 2.7818426, 2.4049769, 2.0004072, 1.6452520", \
+					  "4.6189597, 4.0715869, 3.5174226, 3.0614542, 2.6103791, 2.2352316, 1.8310722", \
+					  "4.8076790, 4.2657449, 3.7171491, 3.2400199, 2.8296157, 2.4179357, 2.0555837", \
+					  "5.0064999, 4.4582394, 3.9249264, 3.4807282, 3.0155412, 2.6547538, 2.2996594");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2161100, -2.7776110, -2.4824065, -2.1779500, -2.0048782, -1.7530486, -1.5799427", \
+					  "-3.5631644, -3.1199365, -2.8228230, -2.5433151, -2.3541206, -2.1395414, -1.9844406", \
+					  "-3.9375207, -3.4912413, -3.2027742, -2.8734210, -2.7177975, -2.5091355, -2.3216579", \
+					  "-4.2215477, -3.7815179, -3.4900478, -3.1797560, -2.9758677, -2.7860456, -2.5941620", \
+					  "-4.4535222, -4.0148051, -3.7069614, -3.4163489, -3.2017515, -3.0140074, -2.8622637", \
+					  "-4.6691359, -4.2318177, -3.9239342, -3.6384491, -3.4674738, -3.2424880, -3.0693820", \
+					  "-4.8471433, -4.4046080, -4.1011099, -3.8345306, -3.6446918, -3.4168654, -3.2207507");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2222187, -2.6706481, -2.1313726, -1.7003737, -1.2410349, -0.8710906, -0.5087757", \
+					  "-3.5692742, -3.0362867, -2.4942374, -2.0589150, -1.5979426, -1.2295654, -0.8735508", \
+					  "-3.9436269, -3.4057894, -2.8754845, -2.4071138, -1.9679284, -1.5622810, -1.2171728", \
+					  "-4.2276535, -3.6745568, -3.1454527, -2.6738726, -2.2462252, -1.8843715, -1.5063810", \
+					  "-4.4596271, -3.9263679, -3.3780698, -2.9228577, -2.4760538, -2.0984800, -1.7404863", \
+					  "-4.6752426, -4.1438663, -3.6006939, -3.1371965, -2.6801203, -2.3330641, -1.9277430", \
+					  "-4.8563111, -4.3126677, -3.8058647, -3.3429854, -2.9065985, -2.5276687, -2.1031960");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113908, 0.0168648, 0.0223388, 0.0222376, 0.0221351, 0.0220338, 0.0219325");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161054, 0.0216928, 0.0272801, 0.0272130, 0.0271451, 0.0270780, 0.0270109");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.027148;
+			capacitance : 0.027280;
+			fall_capacitance : 0.027413;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0034174, -0.0041465, -0.0048757, -0.0049260, -0.0049769, -0.0050272, -0.0050775");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0266361, 0.0267269, 0.0268176, 0.0267826, 0.0267471, 0.0267120, 0.0266770");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032833;
+			capacitance : 0.032531;
+			fall_capacitance : 0.032229;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0321683, 0.0483580, 0.0645478, 0.0647416, 0.0649378, 0.0651317, 0.0653256");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0374336, 0.0563576, 0.0752816, 0.0753636, 0.0754466, 0.0755286, 0.0756106");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.051927;
+			capacitance : 0.051565;
+			fall_capacitance : 0.051204;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0094980, -0.0097862, -0.0100744, -0.0100805, -0.0100868, -0.0100930, -0.0100991");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0097348, 0.0098721, 0.0100094, 0.0100382, 0.0100673, 0.0100960, 0.0101248");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016754;
+			capacitance : 0.016681;
+			fall_capacitance : 0.016609;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0139561, 0.0135035, 0.0130508, 0.0131076, 0.0131651, 0.0132219, 0.0132787");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163554, 0.0160486, 0.0157418, 0.0158129, 0.0158849, 0.0159560, 0.0160271");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.036869;
+			capacitance : 0.036298;
+			fall_capacitance : 0.035727;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.7623728, -3.3495939, -3.0575397, -2.8055329, -2.6004454, -2.4244619, -2.2574329", \
+					  "-4.1079022, -3.6953209, -3.4164442, -3.1674003, -2.9533653, -2.7932176, -2.6180893", \
+					  "-4.4822552, -4.0707315, -3.7789480, -3.5280203, -3.2924132, -3.1324105, -2.9775351", \
+					  "-4.7678072, -4.3557146, -4.0569359, -3.8125695, -3.5834506, -3.4189466, -3.2563287", \
+					  "-4.9997812, -4.5868755, -4.3009358, -4.0394427, -3.7722662, -3.6435068, -3.4756670", \
+					  "-5.2138708, -4.7889552, -4.5075541, -4.2687913, -4.0624816, -3.8517080, -3.7071994", \
+					  "-5.3842581, -4.9797452, -4.6870545, -4.4809376, -4.2658154, -4.0434684, -3.9165517");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.5523501, -2.1229134, -1.8185261, -2.3531432, -1.2837596, -1.6279265, -1.3129138", \
+					  "-2.8978797, -2.4810065, -3.1250118, -1.8907486, -2.3438560, -1.9913888, -1.6655481", \
+					  "-3.2752844, -2.8532716, -2.5078910, -3.0755817, -2.0351891, -2.3450570, -2.0086821", \
+					  "-3.5520064, -3.1349569, -2.8029236, -2.5260560, -2.3139594, -2.6336997, -2.2974002", \
+					  "-3.7752190, -3.3508924, -3.0358289, -2.7950448, -3.1988223, -2.8661382, -2.5461062", \
+					  "-3.9671206, -3.5530467, -3.1965370, -3.0058844, -2.7733497, -3.0899001, -2.7672579", \
+					  "-4.1627756, -3.7422418, -3.3985228, -3.1675089, -2.9370461, -3.2863536, -2.9811644");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("4.1203763, 3.6790047, 3.3802552, 3.1249428, 2.9159238, 2.7320857, 2.5605056", \
+					  "4.4659310, 4.0266544, 3.7214947, 3.4755428, 3.2569006, 3.1001908, 2.9300900", \
+					  "4.8386671, 4.3847595, 4.0967845, 3.8452293, 3.6174295, 3.4332115, 3.2693175", \
+					  "5.1257325, 4.6718529, 4.3799962, 4.1196338, 3.9090729, 3.7076245, 3.5602299", \
+					  "5.3576892, 4.9068370, 4.6118849, 4.3607747, 4.0879960, 3.9610007, 3.8077313", \
+					  "5.5687866, 5.1164053, 4.8225351, 4.5748790, 4.3404931, 4.1818516, 4.0011166", \
+					  "5.7333119, 5.2758245, 4.9991964, 4.7516109, 4.5353182, 4.3805229, 4.2180790");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.8731785, 3.3013379, 2.8219734, 2.4069969, 2.0268045, 1.6733012, 1.3443871", \
+					  "4.2253705, 3.6570301, 3.1612722, 2.7486966, 2.3900984, 2.0304239, 1.7098303", \
+					  "4.5960984, 4.0274085, 3.5335424, 3.1139118, 2.7414351, 2.3872040, 2.0242874", \
+					  "4.8728784, 4.3057898, 3.8211255, 3.3970251, 3.0138261, 2.6746799, 2.3279383", \
+					  "5.0986396, 4.5325857, 4.0446711, 3.6225565, 3.2403711, 2.9066183, 2.5812419", \
+					  "5.2915555, 4.7207010, 4.2340227, 3.8125155, 3.4538655, 3.1274694, 2.7743097", \
+					  "5.4836551, 4.9173210, 4.4232949, 4.0139437, 3.6503530, 3.3199017, 2.9729808");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0730221, 0.1352389, 0.1974557, 0.2046669, 0.2119648, 0.2191759, 0.2263871");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0940817, 0.1589458, 0.2238099, 0.2238237, 0.2238377, 0.2238516, 0.2238654");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010999;
+			capacitance : 0.010902;
+			fall_capacitance : 0.010805;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0055950, 0.5164229, 1.0384407, 1.1505440, 1.2639979, 1.3761012, 1.4882045");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0400297, 0.4044785, 0.7689273, 0.9941612, 1.2221089, 1.4473428, 1.6725768");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006647;
+			capacitance : 0.006475;
+			fall_capacitance : 0.006302;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.9098070, 3.4552592, 3.1332557, 2.8457068, 2.6382137, 2.4345384, 2.2354936", \
+					  "4.2553657, 3.8022987, 3.4986505, 3.2141534, 2.9944534, 2.7747731, 2.6172864", \
+					  "4.6280884, 4.1720829, 3.8500112, 3.5888195, 3.3216832, 3.1287811, 2.9394898", \
+					  "4.9121003, 4.4559945, 4.1370749, 3.8604571, 3.6282062, 3.4245898, 3.2307539", \
+					  "5.1455811, 4.6795415, 4.3717832, 4.1089463, 3.8156077, 3.6341111, 3.4719563", \
+					  "5.3456628, 4.8731701, 4.5843700, 4.2922437, 4.0862765, 3.8758639, 3.7050958", \
+					  "5.5183740, 5.0638887, 4.7411469, 4.4924209, 4.2864537, 4.0721487, 3.8948061");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3727024, 2.8241838, 2.2932126, 1.8184763, 1.3701578, 0.9895324, 0.6104327", \
+					  "3.7167447, 3.1722379, 2.6368475, 2.1736646, 1.7511645, 1.3603976, 0.9726378", \
+					  "4.0894379, 3.5449357, 3.0090895, 2.5279086, 2.0952016, 1.6736294, 1.3324713", \
+					  "4.3734459, 3.8235000, 3.2993708, 2.7955756, 2.3973477, 1.9955302, 1.5907777", \
+					  "4.6069227, 4.0584796, 3.5251906, 3.0415508, 2.6311158, 2.1970846, 1.8499798", \
+					  "4.7901641, 4.2373858, 3.7402706, 3.2438817, 2.8401701, 2.4347205, 2.0484441", \
+					  "4.9949021, 4.4364644, 3.9335142, 3.4451133, 3.0032062, 2.6471243, 2.2723812");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2389981, -2.7893170, -2.4863524, -2.2008381, -2.0050283, -1.7515228, -1.5753650", \
+					  "-3.5860534, -3.1321443, -2.8319766, -2.5433157, -2.3597814, -2.1395538, -1.9767265", \
+					  "-3.9604064, -3.5034457, -3.2043110, -2.9237723, -2.7178170, -2.4986273, -2.3192265", \
+					  "-4.2459584, -3.7936069, -3.4900413, -3.1931186, -2.9652773, -2.7668356, -2.5888227", \
+					  "-4.4764065, -4.0284986, -3.7290999, -3.4146328, -3.1907470, -3.0109557, -2.8439533", \
+					  "-4.6920221, -4.2438934, -3.9316001, -3.6427052, -3.4613703, -3.2318068, -3.0541231", \
+					  "-4.8685129, -4.4139685, -4.1117982, -3.8374448, -3.6517628, -3.4121674, -3.2161731");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2374776, -2.6981139, -2.1313721, -1.7024061, -1.2395091, -0.8710906, -0.5240345", \
+					  "-3.5845331, -3.0500200, -2.5104300, -2.0589150, -1.6001952, -1.2295798, -0.8811244", \
+					  "-3.9588858, -3.4195224, -2.8775477, -2.4105020, -1.9755537, -1.5622810, -1.2232870", \
+					  "-4.2429120, -3.6821863, -3.1627058, -2.6799402, -2.2401891, -1.8713191, -1.5063799", \
+					  "-4.4748860, -3.9373062, -3.3945579, -2.9243747, -2.4699502, -2.0984800, -1.7191733", \
+					  "-4.6905015, -4.1526637, -3.6066072, -3.1455555, -2.6724909, -2.3315383, -1.8839228", \
+					  "-4.8578370, -4.2825953, -3.8089049, -3.3491696, -2.9081086, -2.5292250, -2.1031960");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113893, 0.0168858, 0.0223823, 0.0222806, 0.0221777, 0.0220761, 0.0219744");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161015, 0.0217067, 0.0273118, 0.0272442, 0.0271759, 0.0271084, 0.0270409");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017528;
+			capacitance : 0.017598;
+			rise_capacitance : 0.017667;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0387638, 0.0982544, 0.1577451, 0.1650272, 0.1723970, 0.1796791, 0.1869612");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0431945, 0.1054517, 0.1677089, 0.1678542, 0.1680013, 0.1681466, 0.1682919");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005217;
+			capacitance : 0.005078;
+			fall_capacitance : 0.004939;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1505029, -2.6889588, -2.3786748, -2.0959403, -1.8272802, -1.6019866, -1.4181995", \
+					  "-3.4990841, -3.0535820, -2.7349986, -2.4227713, -2.2020909, -1.9910864, -1.8045295", \
+					  "-3.8719114, -3.4088412, -3.1176858, -2.8088547, -2.5441029, -2.3481088, -2.1612698", \
+					  "-4.1574634, -3.6944032, -3.3557507, -3.0295579, -2.8136759, -2.6159592, -2.4240434", \
+					  "-4.3894374, -3.9314545, -3.5945697, -3.3126401, -3.0489304, -2.8635034, -2.6613065", \
+					  "-4.6050530, -4.1557155, -3.8085434, -3.5254308, -3.2556668, -3.0914260, -2.8939060", \
+					  "-4.7754402, -4.3309211, -3.9868630, -3.7420859, -3.4546439, -3.2794158, -3.0666371");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1779603, -2.6137099, -2.0794512, -1.5780837, -1.1540641, -0.7352874, -0.3439808", \
+					  "-3.5250171, -2.9634961, -2.4463446, -1.9522377, -1.5021834, -1.1125457, -0.7287328", \
+					  "-3.8993637, -3.3358473, -2.8069582, -2.2941026, -1.8788197, -1.4594062, -1.0449809", \
+					  "-4.1833889, -3.6193956, -3.1062423, -2.5820940, -2.1512875, -1.7483342, -1.3613746", \
+					  "-4.4153611, -3.8421308, -3.3390819, -2.8132465, -2.3951822, -1.9409074, -1.5779672", \
+					  "-4.6309807, -4.0673231, -3.5401013, -3.0492588, -2.5748345, -2.1460375, -1.8181612", \
+					  "-4.8029116, -4.2478174, -3.7511822, -3.2630174, -2.8207163, -2.3913544, -1.9807295");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7938459, 3.3257189, 2.9937415, 2.7031837, 2.4687903, 2.2392268, 2.0441382", \
+					  "4.1394011, 3.6769086, 3.3426307, 3.0732570, 2.8192513, 2.6134433, 2.4125664", \
+					  "4.5121345, 4.0483175, 3.7138408, 3.4263377, 3.1349743, 2.9661611, 2.7634098", \
+					  "4.7961477, 4.3344238, 3.9873325, 3.6967472, 3.4349271, 3.2450547, 3.0552492", \
+					  "5.0165172, 4.5556175, 4.2216500, 3.9024426, 3.6732025, 3.4770607, 3.2843546", \
+					  "5.2068423, 4.7423654, 4.3845090, 4.1187136, 3.9111916, 3.6797403, 3.4711519", \
+					  "5.4009218, 4.9391565, 4.5914799, 4.3062987, 4.1125386, 3.8788802, 3.7115063");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3299686, 2.7891232, 2.2085705, 1.7336890, 1.2587742, 0.8689935, 0.4807387", \
+					  "3.6744335, 3.1335872, 2.5682941, 2.0869864, 1.6446830, 1.2179396, 0.8410104", \
+					  "4.0451729, 3.5043269, 2.9329299, 2.4317586, 1.9972606, 1.5391782, 1.2222767", \
+					  "4.3291311, 3.7943469, 3.2276926, 2.7133218, 2.2784539, 1.8596061, 1.5014227", \
+					  "4.5551583, 4.0202635, 3.4490269, 2.9496424, 2.5149059, 2.0811178, 1.7076865", \
+					  "4.7473645, 4.2095983, 3.6430299, 3.1632459, 2.6978531, 2.3157019, 1.8924942", \
+					  "4.9541680, 4.4082780, 3.8708488, 3.3729320, 2.9306034, 2.4884328, 2.1071203");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0106997, 0.0186421, 0.0265845, 0.0264726, 0.0263592, 0.0262472, 0.0261353");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0162937, 0.0236075, 0.0309213, 0.0308524, 0.0307826, 0.0307137, 0.0306448");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005169;
+			capacitance : 0.004954;
+			fall_capacitance : 0.004739;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0081049, -0.0079302, -0.0077555, -0.0077302, -0.0077046, -0.0076793, -0.0076540");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0081157, 0.0081058, 0.0080960, 0.0080891, 0.0080821, 0.0080752, 0.0080684");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7267017, 4.0707342, 4.4434574, 4.7274693, 4.9609501, 5.1640845, 5.3337444", \
+					  "3.2611596, 3.6200310, 3.9826753, 4.2666797, 4.4898168, 4.7132731, 4.8896379", \
+					  "2.9486320, 3.2851571, 3.6562249, 3.9613324, 4.1773774, 4.3554896, 4.5570137", \
+					  "2.6825200, 3.0546826, 3.3975501, 3.6743551, 3.9024209, 4.1102262, 4.2986355", \
+					  "2.4687905, 2.8207703, 3.1529830, 3.4390586, 3.6655508, 3.9241977, 4.1104216", \
+					  "2.2453304, 2.6119445, 2.9645755, 3.2511583, 3.4796728, 3.6874608, 3.8847914", \
+					  "2.0663809, 2.4212226, 2.7863026, 3.0566303, 3.2799037, 3.5235162, 3.7282908");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0803066, -3.4273621, -3.8017151, -4.0872671, -4.3177153, -4.5333308, -4.7464425", \
+					  "-2.6630187, -3.0135711, -3.3852694, -3.6684533, -3.9223826, -4.1297758, -4.3010379", \
+					  "-2.3750250, -2.7587545, -3.1271056, -3.3851292, -3.6098286, -3.8365242, -4.0068386", \
+					  "-2.1245829, -2.4441337, -2.8432360, -3.0426197, -3.3279543, -3.5345859, -3.7600067", \
+					  "-1.8790598, -2.2536073, -2.5853015, -2.8742346, -3.0884030, -3.2910514, -3.4845093", \
+					  "-1.6782806, -2.0314061, -2.3908333, -2.6599151, -2.9178770, -3.1409070, -3.3328215", \
+					  "-1.5021228, -1.8562971, -2.2214348, -2.4687227, -2.7600299, -2.9664404, -3.1158513");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7623728, -4.1079022, -4.4822552, -4.7678072, -4.9997812, -5.2138708, -5.3842581", \
+					  "-3.3495939, -3.6953209, -4.0707315, -4.3557146, -4.5868755, -4.7889552, -4.9797452", \
+					  "-3.0575397, -3.4164442, -3.7789480, -4.0569359, -4.3009358, -4.5075541, -4.6870545", \
+					  "-2.8055329, -3.1674003, -3.5280203, -3.8125695, -4.0394427, -4.2687913, -4.4809376", \
+					  "-2.6004454, -2.9533653, -3.2924132, -3.5834506, -3.7722662, -4.0624816, -4.2658154", \
+					  "-2.4244619, -2.7932176, -3.1324105, -3.4189466, -3.6435068, -3.8517080, -4.0434684", \
+					  "-2.2574329, -2.6180893, -2.9775351, -3.2563287, -3.4756670, -3.7071994, -3.9165517");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1203763, 4.4659310, 4.8386671, 5.1257325, 5.3576892, 5.5687866, 5.7333119", \
+					  "3.6790047, 4.0266544, 4.3847595, 4.6718529, 4.9068370, 5.1164053, 5.2758245", \
+					  "3.3802552, 3.7214947, 4.0967845, 4.3799962, 4.6118849, 4.8225351, 4.9991964", \
+					  "3.1249428, 3.4755428, 3.8452293, 4.1196338, 4.3607747, 4.5748790, 4.7516109", \
+					  "2.9159238, 3.2569006, 3.6174295, 3.9090729, 4.0879960, 4.3404931, 4.5353182", \
+					  "2.7320857, 3.1001908, 3.4332115, 3.7076245, 3.9610007, 4.1818516, 4.3805229", \
+					  "2.5605056, 2.9300900, 3.2693175, 3.5602299, 3.8077313, 4.0011166, 4.2180790");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9098070, 4.2553657, 4.6280884, 4.9121003, 5.1455811, 5.3456628, 5.5183740", \
+					  "3.4552592, 3.8022987, 4.1720829, 4.4559945, 4.6795415, 4.8731701, 5.0638887", \
+					  "3.1332557, 3.4986505, 3.8500112, 4.1370749, 4.3717832, 4.5843700, 4.7411469", \
+					  "2.8457068, 3.2141534, 3.5888195, 3.8604571, 4.1089463, 4.2922437, 4.4924209", \
+					  "2.6382137, 2.9944534, 3.3216832, 3.6282062, 3.8156077, 4.0862765, 4.2864537", \
+					  "2.4345384, 2.7747731, 3.1287811, 3.4245898, 3.6341111, 3.8758639, 4.0721487", \
+					  "2.2354936, 2.6172864, 2.9394898, 3.2307539, 3.4719563, 3.7050958, 3.8948061");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2389981, -3.5860534, -3.9604064, -4.2459584, -4.4764065, -4.6920221, -4.8685129", \
+					  "-2.7893170, -3.1321443, -3.5034457, -3.7936069, -4.0284986, -4.2438934, -4.4139685", \
+					  "-2.4863524, -2.8319766, -3.2043110, -3.4900413, -3.7290999, -3.9316001, -4.1117982", \
+					  "-2.2008381, -2.5433157, -2.9237723, -3.1931186, -3.4146328, -3.6427052, -3.8374448", \
+					  "-2.0050283, -2.3597814, -2.7178170, -2.9652773, -3.1907470, -3.4613703, -3.6517628", \
+					  "-1.7515228, -2.1395538, -2.4986273, -2.7668356, -3.0109557, -3.2318068, -3.4121674", \
+					  "-1.5753650, -1.9767265, -2.3192265, -2.5888227, -2.8439533, -3.0541231, -3.2161731");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1505029, -3.4990841, -3.8719114, -4.1574634, -4.3894374, -4.6050530, -4.7754402", \
+					  "-2.6889588, -3.0535820, -3.4088412, -3.6944032, -3.9314545, -4.1557155, -4.3309211", \
+					  "-2.3786748, -2.7349986, -3.1176858, -3.3557507, -3.5945697, -3.8085434, -3.9868630", \
+					  "-2.0959403, -2.4227713, -2.8088547, -3.0295579, -3.3126401, -3.5254308, -3.7420859", \
+					  "-1.8272802, -2.2020909, -2.5441029, -2.8136759, -3.0489304, -3.2556668, -3.4546439", \
+					  "-1.6019866, -1.9910864, -2.3481088, -2.6159592, -2.8635034, -3.0914260, -3.2794158", \
+					  "-1.4181995, -1.8045295, -2.1612698, -2.4240434, -2.6613065, -2.8939060, -3.0666371");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7938459, 4.1394011, 4.5121345, 4.7961477, 5.0165172, 5.2068423, 5.4009218", \
+					  "3.3257189, 3.6769086, 4.0483175, 4.3344238, 4.5556175, 4.7423654, 4.9391565", \
+					  "2.9937415, 3.3426307, 3.7138408, 3.9873325, 4.2216500, 4.3845090, 4.5914799", \
+					  "2.7031837, 3.0732570, 3.4263377, 3.6967472, 3.9024426, 4.1187136, 4.3062987", \
+					  "2.4687903, 2.8192513, 3.1349743, 3.4349271, 3.6732025, 3.9111916, 4.1125386", \
+					  "2.2392268, 2.6134433, 2.9661611, 3.2450547, 3.4770607, 3.6797403, 3.8788802", \
+					  "2.0441382, 2.4125664, 2.7634098, 3.0552492, 3.2843546, 3.4711519, 3.7115063");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1398221, -3.4884031, -3.8612320, -4.1467840, -4.3787584, -4.5943731, -4.7586523", \
+					  "-2.6640341, -3.0142941, -3.3877092, -3.6762419, -3.9046639, -4.1218604, -4.2973751", \
+					  "-2.3290824, -2.7004771, -3.0770932, -3.3493070, -3.5548988, -3.7777445, -3.9506098", \
+					  "-2.0467243, -2.3876758, -2.8101407, -3.0332401, -3.2796636, -3.5010165, -3.7293437", \
+					  "-1.7969822, -2.1576989, -2.4952748, -2.7985589, -3.0155471, -3.2267779, -3.4167366", \
+					  "-1.5821501, -1.9559500, -2.2929935, -2.5803490, -2.7992406, -3.0471754, -3.2397429", \
+					  "-1.3815784, -1.7678214, -2.1231230, -2.3901645, -2.6181232, -2.8481295, -3.0330678");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6473620, 3.9929129, 4.3656601, 4.6496751, 4.8816336, 5.0957752, 5.2694265", \
+					  "3.1758978, 3.5266057, 3.9009629, 4.1844952, 4.4170901, 4.6267761, 4.8048848", \
+					  "2.8381491, 3.1812604, 3.5540074, 3.8282531, 4.0616442, 4.2859541, 4.4531963", \
+					  "2.5469374, 2.8960572, 3.2802654, 3.5642065, 3.7763284, 3.9859807, 4.1817503", \
+					  "2.3055212, 2.6867404, 3.0165477, 3.2989536, 3.5509596, 3.7626633, 3.9476292", \
+					  "2.0942684, 2.4761842, 2.7842697, 3.0909409, 3.3231833, 3.5434871, 3.7433170", \
+					  "1.8936966, 2.2417046, 2.6041871, 2.9010503, 3.1134562, 3.3007379, 3.5481918");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7913852, -4.1384404, -4.5112675, -4.7968196, -5.0287936, -5.2428832, -5.4132705", \
+					  "-3.3455929, -3.6910417, -4.0680128, -4.3506209, -4.5841142, -4.7937132, -4.9705370", \
+					  "-3.0453326, -3.4223559, -3.7560068, -4.0538192, -4.3071375, -4.5105637, -4.6795694", \
+					  "-2.7967739, -3.1628226, -3.5158134, -3.7983640, -4.0302874, -4.2474288, -4.4626273", \
+					  "-2.5966600, -2.9485065, -3.2854381, -3.5414711, -3.7913616, -4.0335750, -4.2551342", \
+					  "-2.4213835, -2.7725615, -3.1293587, -3.3857772, -3.6283403, -3.8349894, -4.0361867", \
+					  "-2.2391224, -2.6064290, -2.9722364, -3.2205478, -3.4588003, -3.7026217, -3.9043446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1799060, 4.5239344, 4.8981968, 5.1852627, 5.4156935, 5.6283163, 5.8035210", \
+					  "3.7338726, 4.0671060, 4.4435702, 4.7346001, 4.9701934, 5.1713370, 5.3434899", \
+					  "3.4335981, 3.7684236, 4.1512814, 4.4349593, 4.6792146, 4.8780502, 5.0621598", \
+					  "3.1997108, 3.5256811, 3.9073205, 4.2050672, 4.4141360, 4.6435436, 4.8019635", \
+					  "2.9791619, 3.3302299, 3.6876205, 3.9703696, 4.1935325, 4.3657556, 4.5004159", \
+					  "2.7809140, 3.1429162, 3.5026333, 3.7590600, 4.0083028, 4.2383091, 4.4079885", \
+					  "2.6108598, 2.9815031, 3.3374779, 3.6121098, 3.8489299, 4.0568565, 4.2438371");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2460479, 3.5916026, 3.9643376, 4.2483513, 4.4803079, 4.6944580, 4.8592324", \
+					  "2.7921406, 3.1376953, 3.5089043, 3.8054051, 4.0318649, 4.2333437, 4.4077327", \
+					  "2.4989453, 2.8206782, 3.2111876, 3.4881249, 3.7303394, 3.9355597, 4.1144801", \
+					  "2.2033118, 2.5488665, 2.9063428, 3.1970582, 3.4174466, 3.6466672, 3.8305735", \
+					  "2.0094111, 2.3654124, 2.7208554, 2.9709835, 3.1950614, 3.4205295, 3.6557475", \
+					  "1.7692562, 2.1454123, 2.5024914, 2.7693179, 3.0149557, 3.2373327, 3.4379273", \
+					  "1.5884119, 1.9807436, 2.3243117, 2.5882450, 2.8510050, 3.0581231, 3.2186472");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0955633, -3.4426188, -3.8169718, -4.1009979, -4.3329719, -4.5485875, -4.7342336", \
+					  "-2.6462341, -2.9966413, -3.3740397, -3.6501428, -3.8901111, -4.1245480, -4.2903047", \
+					  "-2.3527146, -2.7528990, -3.1221316, -3.3557356, -3.5732075, -3.7993890, -3.9880017", \
+					  "-2.1248247, -2.4395561, -2.8316771, -3.0296980, -3.3048632, -3.5223790, -3.7552105", \
+					  "-1.8717569, -2.2272996, -2.5791981, -2.8666575, -3.0868843, -3.2860452, -3.4751637", \
+					  "-1.6660736, -1.9999362, -2.3679451, -2.6599100, -2.9117734, -3.1188918, -3.3068816", \
+					  "-1.4975453, -1.8440867, -2.2174531, -2.4526836, -2.7106024, -2.9372868, -3.1127867");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7526416, 4.0981941, 4.4709363, 4.7549509, 4.9768587, 5.1656628, 5.3658507", \
+					  "3.2885441, 3.6407684, 4.0106543, 4.2957174, 4.5183233, 4.7422708, 4.9171416", \
+					  "2.9746506, 3.3348822, 3.7046164, 3.9743336, 4.2168769, 4.4053306, 4.5836635", \
+					  "2.7056964, 3.0684291, 3.4247596, 3.7046442, 3.9266952, 4.1588065, 4.3475266", \
+					  "2.4899241, 2.8561023, 3.1980583, 3.4433601, 3.7251711, 3.9582291, 4.1400339", \
+					  "2.2697444, 2.6339784, 2.9918168, 3.2893051, 3.4856818, 3.7194377, 3.9127908", \
+					  "2.0920608, 2.4696206, 2.8107166, 3.0807399, 3.3052921, 3.5479304, 3.7572828");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2161100, -3.5631644, -3.9375207, -4.2215477, -4.4535222, -4.6691359, -4.8471433", \
+					  "-2.7776110, -3.1199365, -3.4912413, -3.7815179, -4.0148051, -4.2318177, -4.4046080", \
+					  "-2.4824065, -2.8228230, -3.2027742, -3.4900478, -3.7069614, -3.9239342, -4.1011099", \
+					  "-2.1779500, -2.5433151, -2.8734210, -3.1797560, -3.4163489, -3.6384491, -3.8345306", \
+					  "-2.0048782, -2.3541206, -2.7177975, -2.9758677, -3.2017515, -3.4674738, -3.6446918", \
+					  "-1.7530486, -2.1395414, -2.5091355, -2.7860456, -3.0140074, -3.2424880, -3.4168654", \
+					  "-1.5799427, -1.9844406, -2.3216579, -2.5941620, -2.8622637, -3.0693820, -3.2207507");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.3169333, 0.0570051, 0.3764082, 0.6644836, 0.8392419, 1.0991860, 1.2883429", \
+					  "-0.7794996, -0.4088438, -0.0635708, 0.2042993, 0.4397666, 0.6535113, 0.8249368", \
+					  "-1.0684561, -0.7235070, -0.3817695, -0.1073564, 0.1151741, 0.3364497, 0.5449436", \
+					  "-1.3325643, -0.9722092, -0.6846732, -0.3897466, -0.1551655, 0.0778926, 0.2819887", \
+					  "-1.5422307, -1.1758599, -0.9010199, -0.6102982, -0.3465127, -0.1296004, 0.0446565", \
+					  "-1.7411517, -1.3610479, -1.0536312, -0.8121473, -0.5748302, -0.3288404, -0.1534357", \
+					  "-1.9049839, -1.5218284, -1.2172731, -1.0236508, -0.7689270, -0.4980954, -0.3030812");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.8153197, 0.4476090, 0.1195479, -0.1430619, -0.3430501, -0.5118310, -0.7434688", \
+					  "1.2704304, 0.9059567, 0.5790969, 0.3185883, 0.0823096, -0.1213738, -0.3127300", \
+					  "1.5593726, 1.2229967, 0.8773133, 0.6183337, 0.3752813, 0.1773573, -0.0288772", \
+					  "1.8189317, 1.4250376, 1.1413692, 0.8808806, 0.6367350, 0.4505721, 0.2695250", \
+					  "2.0271627, 1.6695572, 1.3456207, 1.1045467, 0.8717776, 0.6655190, 0.4770412", \
+					  "2.2539095, 1.8730973, 1.5287340, 1.3136939, 1.0615470, 0.8026406, 0.6391312", \
+					  "2.3505447, 2.0592812, 1.6749975, 1.5127398, 1.2818036, 1.0781252, 0.8432949");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2328932, -3.5814744, -3.9558274, -4.2398535, -4.4718275, -4.6874431, -4.8608821", \
+					  "-2.7858384, -3.1306184, -3.5003939, -3.7906444, -4.0202723, -4.2390790, -4.4114585", \
+					  "-2.4839386, -2.8160382, -3.2026179, -3.4915765, -3.7068548, -3.9285550, -4.1072205", \
+					  "-2.1855792, -2.5433157, -2.9100395, -3.1853080, -3.4151497, -3.6384277, -3.8330438", \
+					  "-2.0050279, -2.3433262, -2.6991446, -2.9607210, -3.1892593, -3.4613703, -3.6464202", \
+					  "-1.7499969, -2.1395683, -2.5016435, -2.7668403, -3.0094298, -3.2318068, -3.4121674", \
+					  "-1.5738391, -1.9798156, -2.3216610, -2.5918132, -2.8470050, -3.0587008, -3.2161731");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.8075723, 4.1515998, 4.5214648, 4.8083531, 5.0418361, 5.2480503, 5.4192444", \
+					  "3.3405106, 3.6967674, 4.0686919, 4.3510926, 4.5846898, 4.7941369, 4.9576048", \
+					  "3.0374645, 3.3923187, 3.7633290, 4.0440098, 4.2843411, 4.4348790, 4.6489384", \
+					  "2.7473277, 3.1074765, 3.4825023, 3.7396764, 3.9905713, 4.2076971, 4.4177948", \
+					  "2.5529732, 2.9065579, 3.2635080, 3.5477051, 3.7831545, 4.0009954, 4.2025839", \
+					  "2.3277278, 2.6791398, 3.0341765, 3.3296673, 3.5519887, 3.7633233, 3.9729716", \
+					  "2.1469924, 2.5185481, 2.8641223, 3.1389525, 3.3425920, 3.6089654, 3.8029254");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023655;
+			capacitance : 0.024437;
+			rise_capacitance : 0.024710;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.7913852, -3.3455929, -3.0453326, -2.7967739, -2.5966600, -2.4213835, -2.2391224", \
+					  "-4.1384404, -3.6910417, -3.4223559, -3.1628226, -2.9485065, -2.7725615, -2.6064290", \
+					  "-4.5112675, -4.0680128, -3.7560068, -3.5158134, -3.2854381, -3.1293587, -2.9722364", \
+					  "-4.7968196, -4.3506209, -4.0538192, -3.7983640, -3.5414711, -3.3857772, -3.2205478", \
+					  "-5.0287936, -4.5841142, -4.3071375, -4.0302874, -3.7913616, -3.6283403, -3.4588003", \
+					  "-5.2428832, -4.7937132, -4.5105637, -4.2474288, -4.0335750, -3.8349894, -3.7026217", \
+					  "-5.4132705, -4.9705370, -4.6795694, -4.4626273, -4.2551342, -4.0361867, -3.9043446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.4852187, -2.0473458, -1.6863256, -1.4524355, -1.3493723, -0.9672209, -1.3358021", \
+					  "-2.8414288, -2.3939854, -3.1198355, -1.8090041, -2.3778044, -1.2550622, -1.7023400", \
+					  "-3.2203613, -2.7455449, -2.4167770, -3.0933939, -2.0271531, -2.3771005, -2.0212886", \
+					  "-4.8410575, -3.0662761, -2.7406226, -2.4337292, -2.2024571, -1.9516870, -2.3223245", \
+					  "-3.7546723, -3.2961899, -3.0547122, -2.6957538, -3.2027609, -2.8980407, -2.5571835", \
+					  "-3.9320367, -3.5010245, -3.1428182, -2.8725097, -3.4065939, -3.1082105, -2.7840427", \
+					  "-4.1078565, -3.6674421, -3.3919893, -3.1040261, -2.8744056, -3.3038147, -2.9978823");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("4.1799060, 3.7338726, 3.4335981, 3.1997108, 2.9791619, 2.7809140, 2.6108598", \
+					  "4.5239344, 4.0671060, 3.7684236, 3.5256811, 3.3302299, 3.1429162, 2.9815031", \
+					  "4.8981968, 4.4435702, 4.1512814, 3.9073205, 3.6876205, 3.5026333, 3.3374779", \
+					  "5.1852627, 4.7346001, 4.4349593, 4.2050672, 3.9703696, 3.7590600, 3.6121098", \
+					  "5.4156935, 4.9701934, 4.6792146, 4.4141360, 4.1935325, 4.0083028, 3.8489299", \
+					  "5.6283163, 5.1713370, 4.8780502, 4.6435436, 4.3657556, 4.2383091, 4.0568565", \
+					  "5.8035210, 5.3434899, 5.0621598, 4.8019635, 4.5004159, 4.4079885, 4.2438371");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.8869235, 3.3163535, 2.8245537, 2.4093619, 2.0369664, 1.6811373, 1.3657426", \
+					  "4.2340036, 3.6725647, 3.1782117, 2.7641243, 2.4050460, 2.0566047, 1.7097784", \
+					  "4.6036879, 4.0498265, 3.5494221, 3.1350560, 2.7519363, 2.4100922, 2.0497422", \
+					  "4.8892275, 4.3267317, 3.8341364, 3.4179873, 3.0011656, 2.6856142, 2.3629152", \
+					  "5.1202822, 4.5621398, 4.0615767, 3.6610577, 3.2385457, 2.9234029, 2.5931315", \
+					  "5.3338079, 4.7753502, 4.2814941, 3.8005370, 3.4805390, 3.1365913, 2.8216119", \
+					  "5.4985859, 4.9453324, 4.4229578, 4.0073254, 3.6595545, 3.3322439, 3.0096019");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006215;
+			capacitance : 0.006379;
+			rise_capacitance : 0.006543;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2460479, 2.7921406, 2.4989453, 2.2033118, 2.0094111, 1.7692562, 1.5884119", \
+					  "3.5916026, 3.1376953, 2.8206782, 2.5488665, 2.3654124, 2.1454123, 1.9807436", \
+					  "3.9643376, 3.5089043, 3.2111876, 2.9063428, 2.7208554, 2.5024914, 2.3243117", \
+					  "4.2483513, 3.8054051, 3.4881249, 3.1970582, 2.9709835, 2.7693179, 2.5882450", \
+					  "4.4803079, 4.0318649, 3.7303394, 3.4174466, 3.1950614, 3.0149557, 2.8510050", \
+					  "4.6944580, 4.2333437, 3.9355597, 3.6466672, 3.4205295, 3.2373327, 3.0581231", \
+					  "4.8592324, 4.4077327, 4.1144801, 3.8305735, 3.6557475, 3.4379273, 3.2186472");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("4.0211930, 3.4782311, 2.9120005, 2.4424510, 2.0049289, 1.5815789, 1.2207898", \
+					  "4.3671824, 3.8227837, 3.2579899, 2.7766028, 2.3781670, 1.9583033, 1.5818937", \
+					  "4.7379219, 4.1937088, 3.6291348, 3.1393499, 2.7001619, 2.3231171, 1.9425777", \
+					  "5.0218801, 4.4755216, 3.9148882, 3.4255084, 2.9743737, 2.6111322, 2.2212543", \
+					  "5.2463805, 4.7034939, 4.1429378, 3.6468415, 3.2138805, 2.8419092, 2.4396030", \
+					  "5.4401095, 4.8963738, 4.3331905, 3.7958488, 3.4296926, 3.0603307, 2.6690242", \
+					  "5.6347223, 5.0859328, 4.5367974, 4.0100916, 3.6230781, 3.2630001, 2.8753245");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0955633, -2.6462341, -2.3527146, -2.1248247, -1.8717569, -1.6660736, -1.4975453", \
+					  "-3.4426188, -2.9966413, -2.7528990, -2.4395561, -2.2272996, -1.9999362, -1.8440867", \
+					  "-3.8169718, -3.3740397, -3.1221316, -2.8316771, -2.5791981, -2.3679451, -2.2174531", \
+					  "-4.1009979, -3.6501428, -3.3557356, -3.0296980, -2.8666575, -2.6599100, -2.4526836", \
+					  "-4.3329719, -3.8901111, -3.5732075, -3.3048632, -3.0868843, -2.9117734, -2.7106024", \
+					  "-4.5485875, -4.1245480, -3.7993890, -3.5223790, -3.2860452, -3.1188918, -2.9372868", \
+					  "-4.7342336, -4.2903047, -3.9880017, -3.7552105, -3.4751637, -3.3068816, -3.1127867");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.3732803, -2.8249287, -2.2686603, -1.8054128, -1.3768382, -0.9763763, -0.5896473", \
+					  "-3.7203373, -3.1724800, -2.6192112, -2.1433159, -1.7444953, -1.3504458, -0.9640912", \
+					  "-4.0946830, -3.5438017, -2.9885374, -2.4820667, -2.0879800, -1.6767221, -1.3097827", \
+					  "-4.3787082, -3.8217493, -3.2782487, -2.7839461, -2.3658819, -1.9796615, -1.5559712", \
+					  "-4.6106805, -4.0576109, -3.5065823, -3.0299214, -2.6179605, -2.1915587, -1.7990184", \
+					  "-4.8263004, -4.2753896, -3.7210976, -3.2487070, -2.8315247, -2.4185133, -2.0395423", \
+					  "-4.9699509, -4.4238521, -3.8788392, -3.4330818, -2.9995002, -2.5820576, -2.2558164");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113367, 0.0167634, 0.0221902, 0.0220828, 0.0219742, 0.0218668, 0.0217594");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161935, 0.0218492, 0.0275049, 0.0274350, 0.0273643, 0.0272944, 0.0272246");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.015670;
+			capacitance : 0.016284;
+			rise_capacitance : 0.016898;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0131539, 0.0228487, 0.0588514, 0.0583531, 0.0578489, 0.0573506, 0.0568523");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0503184, 1.0017967, 1.9532750, 2.3390899, 2.7295531, 3.1153680, 3.5011829");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031955;
+			capacitance : 0.031999;
+			fall_capacitance : 0.032044;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0047584, -0.0052319, -0.0057054, -0.0058353, -0.0059668, -0.0060968, -0.0062267");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0601890, 0.0804438, 0.1006985, 0.1005843, 0.1004688, 0.1003546, 0.1002404");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006786;
+			capacitance : 0.006626;
+			fall_capacitance : 0.006465;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.3169333, -0.7794996, -1.0684561, -1.3325643, -1.5422307, -1.7411517, -1.9049839", \
+					  "0.0570051, -0.4088438, -0.7235070, -0.9722092, -1.1758599, -1.3610479, -1.5218284", \
+					  "0.3764082, -0.0635708, -0.3817695, -0.6846732, -0.9010199, -1.0536312, -1.2172731", \
+					  "0.6644836, 0.2042993, -0.1073564, -0.3897466, -0.6102982, -0.8121473, -1.0236508", \
+					  "0.8392419, 0.4397666, 0.1151741, -0.1551655, -0.3465127, -0.5748302, -0.7689270", \
+					  "1.0991860, 0.6535113, 0.3364497, 0.0778926, -0.1296004, -0.3288404, -0.4980954", \
+					  "1.2883429, 0.8249368, 0.5449436, 0.2819887, 0.0446565, -0.1534357, -0.3030812");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5885276, -1.1297957, -1.6885726, -2.1569035, -2.5770701, -2.9672526, -3.3315854", \
+					  "-0.2313103, -0.7744590, -1.2777713, -1.7541915, -2.2303956, -2.6154951, -2.9734890", \
+					  "0.0874009, -0.4630753, -1.0095897, -1.4435092, -1.8398674, -2.1671069, -2.5449196", \
+					  "0.3361693, -0.1857185, -0.7451781, -1.2234951, -1.6453014, -2.0240378, -2.3598800", \
+					  "0.5316485, 0.0530457, -0.4977772, -0.9801423, -1.4127998, -1.8334929, -2.1793797", \
+					  "0.7444747, 0.2535627, -0.2951528, -0.7574327, -1.2036425, -1.5917465, -2.0062616", \
+					  "0.9484520, 0.4574052, -0.1005916, -0.5709639, -0.9953618, -1.3874932, -1.7897333");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.8153197, 1.2704304, 1.5593726, 1.8189317, 2.0271627, 2.2539095, 2.3505447", \
+					  "0.4476090, 0.9059567, 1.2229967, 1.4250376, 1.6695572, 1.8730973, 2.0592812", \
+					  "0.1195479, 0.5790969, 0.8773133, 1.1413692, 1.3456207, 1.5287340, 1.6749975", \
+					  "-0.1430619, 0.3185883, 0.6183337, 0.8808806, 1.1045467, 1.3136939, 1.5127398", \
+					  "-0.3430501, 0.0823096, 0.3752813, 0.6367350, 0.8717776, 1.0615470, 1.2818036", \
+					  "-0.5118310, -0.1213738, 0.1773573, 0.4505721, 0.6655190, 0.8026406, 1.0781252", \
+					  "-0.7434688, -0.3127300, -0.0288772, 0.2695250, 0.4770412, 0.6391312, 0.8432949");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.6871328, 1.2145472, 1.7795482, 2.2356584, 2.6678318, 3.0259331, 3.3928205", \
+					  "0.3190298, 0.8556730, 1.4014323, 1.8590441, 2.3042024, 2.7082454, 3.0634145", \
+					  "0.0051001, 0.5523400, 1.1099989, 1.5229906, 1.9008611, 2.2258706, 2.6318574", \
+					  "-0.2477610, 0.2832712, 0.8406377, 1.3003514, 1.7229892, 2.0925122, 2.4322331", \
+					  "-0.4509404, 0.0443362, 0.5935290, 1.0544160, 1.4901353, 1.9142224, 2.2588154", \
+					  "-0.6196173, -0.1679545, 0.3736927, 0.8460285, 1.3083763, 1.6621024, 2.0852582", \
+					  "-0.8555445, -0.3605231, 0.1894968, 0.6661609, 1.0919106, 1.4812150, 1.8672913");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114318, 0.0168497, 0.0222676, 0.0221602, 0.0220514, 0.0219439, 0.0218365");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160787, 0.0216369, 0.0271952, 0.0271233, 0.0270506, 0.0269787, 0.0269068");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013948;
+			capacitance : 0.013856;
+			fall_capacitance : 0.013764;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2328932, -2.7858384, -2.4839386, -2.1855792, -2.0050279, -1.7499969, -1.5738391", \
+					  "-3.5814744, -3.1306184, -2.8160382, -2.5433157, -2.3433262, -2.1395683, -1.9798156", \
+					  "-3.9558274, -3.5003939, -3.2026179, -2.9100395, -2.6991446, -2.5016435, -2.3216610", \
+					  "-4.2398535, -3.7906444, -3.4915765, -3.1853080, -2.9607210, -2.7668403, -2.5918132", \
+					  "-4.4718275, -4.0202723, -3.7068548, -3.4151497, -3.1892593, -3.0094298, -2.8470050", \
+					  "-4.6874431, -4.2390790, -3.9285550, -3.6384277, -3.4613703, -3.2318068, -3.0587008", \
+					  "-4.8608821, -4.4114585, -4.1072205, -3.8330438, -3.6464202, -3.4121674, -3.2161731");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2542619, -2.7103210, -2.1603230, -1.7039152, -1.2410349, -0.8710906, -0.5316639", \
+					  "-3.6028433, -3.0485807, -2.5119559, -2.0589152, -1.6311123, -1.2286122, -0.8750194", \
+					  "-3.9771961, -3.4317293, -2.8954643, -2.4104354, -1.9853609, -1.5622810, -1.2234930", \
+					  "-4.2612222, -3.6929235, -3.1810163, -2.6784232, -2.2507959, -1.8843822, -1.5064552", \
+					  "-4.4916703, -3.9542589, -3.4011837, -2.9228575, -2.4943644, -2.1000059, -1.7424913", \
+					  "-4.7072859, -4.1633450, -3.6148728, -3.1420990, -2.7213189, -2.3345900, -1.8839401", \
+					  "-4.8624146, -4.2810486, -3.8264607, -3.3491662, -2.9232154, -2.5292223, -2.1031909");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.8075723, 3.3405106, 3.0374645, 2.7473277, 2.5529732, 2.3277278, 2.1469924", \
+					  "4.1515998, 3.6967674, 3.3923187, 3.1074765, 2.9065579, 2.6791398, 2.5185481", \
+					  "4.5214648, 4.0686919, 3.7633290, 3.4825023, 3.2635080, 3.0341765, 2.8641223", \
+					  "4.8083531, 4.3510926, 4.0440098, 3.7396764, 3.5477051, 3.3296673, 3.1389525", \
+					  "5.0418361, 4.5846898, 4.2843411, 3.9905713, 3.7831545, 3.5519887, 3.3425920", \
+					  "5.2480503, 4.7941369, 4.4348790, 4.2076971, 4.0009954, 3.7633233, 3.6089654", \
+					  "5.4192444, 4.9576048, 4.6489384, 4.4177948, 4.2025839, 3.9729716, 3.8029254");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3925314, 2.8471894, 2.3243605, 1.8263743, 1.3863447, 1.0063222, 0.6348519", \
+					  "3.7369528, 3.1896299, 2.6609217, 2.1716451, 1.7790059, 1.3657461, 1.0172169", \
+					  "4.1183841, 3.5634289, 3.0313514, 2.5045919, 2.1183951, 1.6888194, 1.3473751", \
+					  "4.3901356, 3.8460313, 3.3132086, 2.7912519, 2.3897182, 1.9734312, 1.6528813", \
+					  "4.6161300, 4.0734689, 3.5263473, 3.0553436, 2.6126046, 2.2382834, 1.8489375", \
+					  "4.8097609, 4.2650153, 3.7242732, 3.2512864, 2.8057563, 2.4728673, 2.0769054", \
+					  "5.0170990, 4.4618019, 3.9271784, 3.4795440, 3.0025123, 2.6013478, 2.2936864");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113617, 0.0168651, 0.0223685, 0.0222659, 0.0221621, 0.0220595, 0.0219570");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161029, 0.0217231, 0.0273433, 0.0272752, 0.0272063, 0.0271382, 0.0270701");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.248390;
+			max_transition : 5.031327;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02467172, 0.06086938, 0.1501752, 0.3705081, 0.9141073, 2.25526");
+					values("0.4127691, 0.4215454, 0.4401011, 0.4790183, 0.5788732, 0.7955712, 0.7737177", \
+					  "0.4698133, 0.4781370, 0.4968755, 0.5366772, 0.6370744, 0.8541727, 0.8323977", \
+					  "-0.4493278, -0.4446156, -0.4365534, -0.4103981, -0.3145023, -0.0969783, -0.1186076", \
+					  "-0.3035764, -0.3031429, -0.3024190, -0.3013759, -0.2574566, -0.0750711, -0.0859865", \
+					  "-0.0018749, -0.0021398, -0.0010963, 4.2535655e-05, 0.0004605, -0.0457616, -0.0743488", \
+					  "0.0243269, 0.0225852, 0.0250423, 0.0259295, 0.0257865, 0.0204722, -0.0528673", \
+					  "0.0403882, 0.0317639, 0.0409990, 0.0411456, 0.0360886, 0.0361765, -0.0493811");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02467172, 0.06086938, 0.1501752, 0.3705081, 0.9141073, 2.25526");
+					values("0.5269423, 0.5346949, 0.5502839, 0.5814928, 0.6539329, 0.8014768, 0.8100897", \
+					  "0.4214704, 0.4311594, 0.4443876, 0.4750857, 0.5484495, 0.6946219, 0.7015408", \
+					  "1.3844155, 1.4048464, 1.4180014, 1.4642288, 1.5544430, 1.7048692, 1.7123068", \
+					  "1.5497004, 1.5594936, 1.5515876, 1.5532622, 1.5548006, 1.6957861, 1.7097146", \
+					  "1.6449743, 1.6512920, 1.6466103, 1.6485840, 1.6505051, 1.6516021, 1.7070885", \
+					  "1.6464607, 1.6544561, 1.6486377, 1.6507603, 1.6524623, 1.6533842, 1.6902472", \
+					  "1.6485895, 1.6602863, 1.6505226, 1.6530568, 1.6546626, 1.6561522, 1.6547138");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.5830668, 0.6030496, 0.6620158, 0.7809715, 0.8077535, 0.8025314, 0.7932666", \
+					  "0.8198445, 0.8390845, 0.8978844, 1.0194530, 1.0423794, 1.0378304, 1.0293025", \
+					  "-0.1362393, -0.1243903, -0.0741797, 0.0210935, 0.0408915, 0.0380757, 0.0139550", \
+					  "0.2683328, 0.2749713, 0.2757921, 0.2771467, 0.2724340, 0.1940914, 0.1735397", \
+					  "0.4195465, 0.4198745, 0.4274277, 0.4298891, 0.4295988, 0.4003095, 0.3296694", \
+					  "0.5666859, 0.5678700, 0.5757098, 0.5745156, 0.5717584, 0.5619708, 0.4651252", \
+					  "0.7045171, 0.7035146, 0.7019755, 0.7178918, 0.7084108, 0.7043648, 0.6236592");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.9582254, 0.9681628, 0.9856831, 1.0127855, 1.0396035, 1.0506255, 1.0544998", \
+					  "0.7867740, 0.7964808, 0.8139939, 0.8407765, 0.8678350, 0.8787627, 0.8833352", \
+					  "1.9934434, 2.0040432, 2.0226219, 2.0512665, 2.0797528, 2.0917048, 2.0962292", \
+					  "1.9878526, 1.9879664, 1.9898371, 1.9913706, 2.0300185, 2.0858254, 2.0923077", \
+					  "2.0113577, 2.0144080, 2.0134680, 2.0158519, 2.0174533, 2.0240480, 2.0893805", \
+					  "2.0169825, 2.0160533, 2.0217428, 2.0175652, 2.0252593, 2.0208236, 2.0792359", \
+					  "2.0296694, 2.0279275, 2.0149110, 2.0231681, 2.0241612, 2.0202724, 2.0229909");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.5259758, 0.5457416, 0.6056786, 0.7257299, 0.7492119, 0.7438785, 0.7266989", \
+					  "0.5984692, 0.6193262, 0.6776882, 0.8014530, 0.8233420, 0.8158858, 0.7949408", \
+					  "-0.3814230, -0.3810093, -0.3806989, -0.3253172, -0.3509929, -0.3576669, -0.3843081", \
+					  "-0.2652712, -0.2653584, -0.2640075, -0.2626293, -0.2630261, -0.3488114, -0.3571700", \
+					  "-0.2557976, -0.2560375, -0.2551712, -0.2555318, -0.2540606, -0.2574213, -0.3435197", \
+					  "-0.2448359, -0.2452514, -0.2441000, -0.2438882, -0.2421420, -0.2443707, -0.3466116", \
+					  "-0.2344644, -0.2341155, -0.2335505, -0.2414425, -0.2330444, -0.2342487, -0.2649019");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.8976667, 0.9080423, 0.9274428, 0.9574788, 0.9891016, 1.0042051, 1.0101171", \
+					  "0.7243319, 0.7365678, 0.7537077, 0.7830625, 0.8126229, 0.8292608, 0.8361594", \
+					  "1.9475463, 1.9637846, 1.9780531, 2.0077126, 2.0403262, 2.0556122, 2.0619895", \
+					  "1.9162755, 1.9427793, 1.9574923, 1.9971076, 2.0333947, 2.0526272, 2.0601051", \
+					  "1.9487253, 1.9624883, 1.9632182, 1.9522873, 1.9859357, 2.0487149, 2.0611709", \
+					  "1.9795782, 1.9953598, 1.9942828, 1.9837688, 1.9882093, 1.9906756, 2.0619697", \
+					  "1.9862811, 2.0018757, 2.0003393, 1.9906750, 1.9936815, 1.9932957, 2.0455519");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("1.5043107, 1.5011328, 1.5101887, 1.5098460, 1.5135288, 1.5234549, 1.5089771", \
+					  "1.4974083, 1.5005735, 1.5018756, 1.5039353, 1.5077929, 1.5131427, 1.5000031", \
+					  "1.4915016, 1.4949354, 1.4947542, 1.4974948, 1.5020865, 1.5047485, 1.4972404", \
+					  "1.4909174, 1.4918714, 1.4952670, 1.4944532, 1.5024978, 1.5064358, 1.4882341", \
+					  "1.4907978, 1.4917734, 1.4937166, 1.4968220, 1.5010969, 1.5092120, 1.4961748", \
+					  "1.4892233, 1.4904229, 1.4927148, 1.4959423, 1.5025367, 1.5055530, 1.4861486", \
+					  "1.4895360, 1.4921306, 1.4928111, 1.4959254, 1.5004158, 1.5043964, 1.4967625");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.7461183, 0.7516305, 0.7641305, 0.7874127, 0.8324690, 0.9966070, 1.1003467", \
+					  "0.7394305, 0.7456538, 0.7579338, 0.7808135, 0.8252152, 0.9895625, 1.0930255", \
+					  "0.7340297, 0.7398356, 0.7525210, 0.7758688, 0.8204243, 0.9865224, 1.0885544", \
+					  "0.7364872, 0.7334863, 0.7527342, 0.7750152, 0.8197869, 0.9838545, 1.0869775", \
+					  "0.7322042, 0.7379894, 0.7525548, 0.7745869, 0.8192055, 0.9839383, 1.0873977", \
+					  "0.7328828, 0.7371274, 0.7512933, 0.7743912, 0.8166009, 0.9837736, 1.0866508", \
+					  "0.7332364, 0.7394867, 0.7517477, 0.7746125, 0.8189099, 0.9841514, 1.0872814");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("3.3313334, 3.3587926, 3.4214556, 3.5691667, 3.9253387, 4.7915916, 6.9265922", \
+					  "5.9438365, 5.9710010, 6.0341989, 6.1820393, 6.5369785, 7.4037869, 9.5383852", \
+					  "8.1418650, 8.1766922, 8.2319351, 8.3798879, 8.7345242, 9.6091455, 11.7439880", \
+					  "8.6218197, 8.6489660, 8.7120169, 8.8598728, 9.2150634, 10.0834720, 12.2162360", \
+					  "11.4178440, 11.4449520, 11.5080280, 11.6542200, 12.0110450, 12.8722780, 15.0123420", \
+					  "13.6357560, 13.6628310, 13.7259270, 13.8771810, 14.2282210, 15.0978290, 17.2300610", \
+					  "15.2794330, 15.3066590, 15.3696810, 15.5195840, 15.8769710, 16.7431170, 18.8795380", \
+					  "17.5677590, 17.5940220, 17.6570650, 17.8046880, 18.1608840, 19.0265850, 21.1587170", \
+					  "46.7039280, 46.7298060, 46.7930550, 46.8798260, 47.2189400, 48.2161620, 50.3218870", \
+					  "64.6821900, 64.7090630, 64.7726070, 64.8020940, 65.1785400, 66.0932290, 67.9956360", \
+					  "135.5650600, 135.5650712, 135.5650864, 135.5651017, 135.8671700, 137.5065600, 139.2270800", \
+					  "359.8601900, 359.9503500, 359.9503632, 360.2088400, 360.4395100, 361.3219200, 363.4574200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("0.1658679, 0.1959630, 0.2701435, 0.4569153, 0.9312494, 2.1022324, 5.0131481", \
+					  "0.1661207, 0.1961966, 0.2717425, 0.4603483, 0.9300841, 2.1005262, 4.9999995", \
+					  "0.1665478, 0.1965367, 0.2714632, 0.4604966, 0.9327820, 2.1015236, 5.0151927", \
+					  "0.1668633, 0.1966201, 0.2716735, 0.4595886, 0.9302405, 2.1021218, 5.0021192", \
+					  "0.1668598, 0.1966245, 0.2715562, 0.4604983, 0.9302246, 2.1022761, 5.0011022", \
+					  "0.1667168, 0.1966660, 0.2718712, 0.4604710, 0.9300867, 2.1019753, 5.0044280", \
+					  "0.1668393, 0.1964506, 0.2711950, 0.4597715, 0.9305602, 2.1017903, 4.9997642", \
+					  "0.1668019, 0.1965794, 0.2708416, 0.4589356, 0.9299755, 2.1016240, 4.9965037", \
+					  "0.1664784, 0.1966807, 0.2719303, 0.4604848, 0.9301359, 2.1022561, 5.0048983", \
+					  "0.1664765, 0.1966339, 0.2718443, 0.4601481, 0.9302517, 2.1018767, 5.0075859", \
+					  "0.1667030, 0.1961869, 0.2716387, 0.4597040, 0.9299363, 2.1022828, 4.9991562", \
+					  "0.1662802, 0.1968265, 0.2715959, 0.4599934, 0.9305798, 2.1020019, 4.9999729");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("2.9592644, 2.9965732, 3.0770753, 3.2318206, 3.5179772, 4.0943964, 5.4138440", \
+					  "5.5512901, 5.5886691, 5.6684342, 5.8237415, 6.1110033, 6.6878658, 8.0062286", \
+					  "7.7681124, 7.8056912, 7.8754292, 8.0318188, 8.3272029, 8.9035836, 10.2147550", \
+					  "8.2365910, 8.2739380, 8.3850118, 8.5083496, 8.7968219, 9.3730419, 10.6899090", \
+					  "10.9475100, 10.9848770, 11.0960690, 11.1973870, 11.5119020, 12.0881730, 13.3872660", \
+					  "13.0411650, 13.0788720, 13.1349790, 13.3494070, 13.6003100, 14.1767640, 15.5353950", \
+					  "14.5847410, 14.5904640, 14.6747600, 14.8246430, 15.1131340, 15.6928780, 17.0278830", \
+					  "16.6357740, 16.6616960, 16.7327430, 16.9059740, 17.1823340, 17.8349960, 19.0896210", \
+					  "39.7939810, 39.8092620, 39.9007160, 40.0467750, 40.3402360, 40.9303490, 42.2243040", \
+					  "51.8610830, 51.8879690, 52.0070350, 52.1319410, 52.4156190, 53.0264370, 54.3247190", \
+					  "94.7677140, 94.7867280, 94.9443970, 95.0440220, 95.3853250, 95.9042770, 97.2866090", \
+					  "213.7729800, 213.7902200, 213.8472200, 214.0010600, 214.3249300, 214.8754700, 216.2109500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("0.2206144, 0.2495039, 0.3103302, 0.4292823, 0.6911987, 1.3452125, 3.0592582", \
+					  "0.2220477, 0.2510240, 0.3131344, 0.4303399, 0.6910711, 1.3431761, 3.0547409", \
+					  "0.2221855, 0.2511570, 0.3129063, 0.4346607, 0.6936187, 1.3438344, 3.0533500", \
+					  "0.2219948, 0.2509456, 0.3129766, 0.4346375, 0.6936977, 1.3434734, 3.0582514", \
+					  "0.2220083, 0.2510103, 0.3130537, 0.4338729, 0.6936899, 1.3444460, 3.0584095", \
+					  "0.2221148, 0.2515665, 0.3124033, 0.4337624, 0.6932734, 1.3443409, 3.0584888", \
+					  "0.2221286, 0.2517632, 0.3113708, 0.4339777, 0.6933836, 1.3443741, 3.0569127", \
+					  "0.2220390, 0.2511114, 0.3120692, 0.4332025, 0.6934130, 1.3433984, 3.0578111", \
+					  "0.2220362, 0.2511722, 0.3129377, 0.4345752, 0.6930788, 1.3443857, 3.0567116", \
+					  "0.2221104, 0.2522685, 0.3128209, 0.4330782, 0.6934425, 1.3444986, 3.0588154", \
+					  "0.2220919, 0.2513488, 0.3128593, 0.4340877, 0.6902777, 1.3435425, 3.0580254", \
+					  "0.2223366, 0.2514605, 0.3125951, 0.4344440, 0.6932243, 1.3435993, 3.0589415");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("4.3615458, 4.3865085, 4.4500070, 4.5965938, 4.9499933, 5.8122347, 7.9379125", \
+					  "6.4427357, 6.4692474, 6.5315944, 6.6783490, 7.0307862, 7.8936910, 10.0202190", \
+					  "8.0132514, 8.0418010, 8.1043048, 8.2509324, 8.6034637, 9.4661869, 11.5920010", \
+					  "8.3585983, 8.3791143, 8.4416198, 8.5882803, 8.9405301, 9.8035020, 11.9287900", \
+					  "10.3590530, 10.3834190, 10.4481180, 10.5920300, 10.9449270, 11.8077330, 13.9343160", \
+					  "11.9838530, 12.0005710, 12.0687580, 12.2084850, 12.5691170, 13.4278630, 15.5506080", \
+					  "13.1969790, 13.2154110, 13.2818850, 13.4255020, 13.7906460, 14.6479570, 16.7725120", \
+					  "14.9464880, 14.9754320, 15.0505370, 15.1965760, 15.5475860, 16.4007070, 18.5233730", \
+					  "42.6606270, 42.6606274, 42.6824580, 42.9238720, 43.1332050, 43.9938790, 45.9611050", \
+					  "61.2955300, 61.2955304, 61.3443090, 61.3692280, 61.8723630, 62.7363120, 64.7089710", \
+					  "131.6832500, 132.2655000, 132.5538500, 132.5538559, 132.5538712, 133.0669200, 135.8166100", \
+					  "374.2922800, 374.4907800, 374.6248200, 374.9419800, 375.2953400, 376.5167100, 377.8868600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.1633013, 0.1927463, 0.2674351, 0.4545377, 0.9268021, 2.0961755, 4.9864366", \
+					  "0.1638334, 0.1937130, 0.2689205, 0.4567041, 0.9259259, 2.0970943, 5.0012865", \
+					  "0.1636081, 0.1938923, 0.2689442, 0.4577364, 0.9262879, 2.0964650, 4.9958753", \
+					  "0.1634235, 0.1937902, 0.2686787, 0.4575954, 0.9263234, 2.0958026, 4.9873332", \
+					  "0.1639732, 0.1940607, 0.2680314, 0.4568160, 0.9259261, 2.0969564, 4.9890985", \
+					  "0.1635418, 0.1941434, 0.2678114, 0.4559669, 0.9261632, 2.0959672, 5.0029403", \
+					  "0.1635397, 0.1938761, 0.2688934, 0.4569448, 0.9265219, 2.0967024, 4.9924571", \
+					  "0.1638896, 0.1937039, 0.2683767, 0.4569434, 0.9265189, 2.0967459, 5.0050049", \
+					  "0.1635430, 0.1938616, 0.2685995, 0.4577117, 0.9263811, 2.0965423, 5.0011559", \
+					  "0.1635340, 0.1937624, 0.2683365, 0.4568057, 0.9264132, 2.0966215, 4.9912914", \
+					  "0.1634227, 0.1938442, 0.2689684, 0.4568499, 0.9267942, 2.0966839, 5.0033577", \
+					  "0.1638116, 0.1937403, 0.2689907, 0.4568541, 0.9262964, 2.0969448, 4.9901741");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("4.6674926, 4.7016337, 4.7775206, 4.9204107, 5.1950999, 5.7597020, 7.0694126", \
+					  "6.8924195, 6.9263861, 7.0002213, 7.1455113, 7.4206854, 7.9859088, 9.2953165", \
+					  "8.9984740, 9.0323580, 9.1060413, 9.2517256, 9.5265751, 10.0918350, 11.4020330", \
+					  "9.4311394, 9.4653281, 9.5391330, 9.6844177, 9.9594377, 10.5236910, 11.8349020", \
+					  "11.9224440, 11.9303610, 12.0042040, 12.1106920, 12.4230080, 12.9886090, 14.2983590", \
+					  "13.7498770, 13.7938790, 13.9021660, 14.0202530, 14.2913470, 14.8361420, 16.1622700", \
+					  "15.1073940, 15.1375200, 15.1882770, 15.3575320, 15.6672830, 16.1687210, 17.5067820", \
+					  "16.8904660, 16.9428440, 17.0115640, 17.1437020, 17.4382770, 17.9730190, 19.3112580", \
+					  "37.1163790, 37.1335180, 37.2108530, 37.3165710, 37.6328440, 38.1905000, 39.4973550", \
+					  "48.0848430, 48.0988900, 48.1973930, 48.3405530, 48.6081260, 49.1772990, 50.4682060", \
+					  "90.1571650, 90.2059370, 90.2696800, 90.4095430, 90.6874080, 91.2567300, 92.5237130", \
+					  "227.1774100, 227.1774216, 227.2412300, 227.4363700, 227.7168700, 228.2725000, 229.5389700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.2022367, 0.2295318, 0.2881807, 0.4060760, 0.6686969, 1.3301942, 3.0476079", \
+					  "0.2037516, 0.2309844, 0.2902451, 0.4083469, 0.6697791, 1.3330567, 3.0502907", \
+					  "0.2032372, 0.2314117, 0.2900892, 0.4090161, 0.6696278, 1.3299070, 3.0440623", \
+					  "0.2039206, 0.2309496, 0.2906379, 0.4094272, 0.6681360, 1.3314699, 3.0504004", \
+					  "0.2039841, 0.2312705, 0.2902377, 0.4096632, 0.6694921, 1.3326475, 3.0481335", \
+					  "0.2039529, 0.2309641, 0.2905422, 0.4088665, 0.6697839, 1.3324413, 3.0488168", \
+					  "0.2037954, 0.2312986, 0.2896873, 0.4094719, 0.6695077, 1.3336273, 3.0515370", \
+					  "0.2039483, 0.2311547, 0.2902950, 0.4096886, 0.6698622, 1.3292902, 3.0464786", \
+					  "0.2040483, 0.2310065, 0.2905211, 0.4088925, 0.6697994, 1.3329495, 3.0520610", \
+					  "0.2042487, 0.2311615, 0.2897473, 0.4097438, 0.6691679, 1.3295223, 3.0520073", \
+					  "0.2040029, 0.2323043, 0.2900479, 0.4090968, 0.6698770, 1.3338335, 3.0516987", \
+					  "0.2041606, 0.2320908, 0.2902296, 0.4098242, 0.6696018, 1.3337224, 3.0513427");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("4.3339536, 4.3606461, 4.4235517, 4.5705613, 4.9225966, 5.7860565, 7.9124813", \
+					  "6.1028937, 6.1292988, 6.1918271, 6.3385537, 6.6907080, 7.5537443, 9.6800381", \
+					  "7.1431550, 7.1694850, 7.2318067, 7.3785156, 7.7313738, 8.5937639, 10.7212130", \
+					  "7.3514328, 7.3781679, 7.4396596, 7.5869009, 7.9398026, 8.8033696, 10.9273510", \
+					  "8.4365483, 8.4649470, 8.5259284, 8.6727905, 9.0241805, 9.8879385, 12.0138820", \
+					  "9.2064658, 9.2332222, 9.2944141, 9.4413872, 9.7949551, 10.6619340, 12.7818770", \
+					  "9.7376827, 9.7615009, 9.8257837, 9.9712167, 10.3228700, 11.1852490, 13.3146660", \
+					  "10.4199520, 10.4462660, 10.5088540, 10.6566240, 11.0115280, 11.8717670, 13.9979690", \
+					  "18.7272260, 18.7749940, 18.8312410, 18.9848460, 19.3434100, 20.1924220, 22.3379470", \
+					  "25.6822600, 25.6918200, 25.7382670, 25.8202580, 26.2368940, 27.0601250, 29.1631960", \
+					  "55.1244600, 55.1512190, 55.1512204, 55.3600650, 55.5682330, 56.3479540, 58.7016200", \
+					  "134.4483300, 134.4483414, 134.4483567, 134.9408500, 135.0413000, 136.0851800, 138.1959700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.1631835, 0.1932820, 0.2672485, 0.4552156, 0.9256882, 2.1000257, 4.9965023", \
+					  "0.1637268, 0.1932641, 0.2688256, 0.4566925, 0.9258380, 2.0966811, 5.0025100", \
+					  "0.1640598, 0.1937039, 0.2689718, 0.4569406, 0.9261831, 2.0949802, 4.9895728", \
+					  "0.1641761, 0.1937392, 0.2685781, 0.4565552, 0.9266022, 2.0954711, 4.9943840", \
+					  "0.1640139, 0.1938672, 0.2688087, 0.4576289, 0.9258319, 2.0965802, 5.0021081", \
+					  "0.1639935, 0.1939254, 0.2689670, 0.4574550, 0.9271097, 2.0970752, 5.0061830", \
+					  "0.1638171, 0.1937020, 0.2691647, 0.4566750, 0.9263738, 2.0970119, 5.0054227", \
+					  "0.1638903, 0.1937027, 0.2689699, 0.4576701, 0.9261107, 2.0965489, 5.0033391", \
+					  "0.1638877, 0.1937019, 0.2685947, 0.4575030, 0.9271161, 2.0965965, 5.0006110", \
+					  "0.1640350, 0.1938432, 0.2691961, 0.4568891, 0.9265430, 2.0965201, 5.0024096", \
+					  "0.1635261, 0.1941268, 0.2689836, 0.4566707, 0.9264347, 2.0966433, 5.0004778", \
+					  "0.1638906, 0.1937038, 0.2689687, 0.4569057, 0.9264604, 2.0967990, 5.0018563");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("6.2860805, 6.3219314, 6.3902084, 6.5395698, 6.8144224, 7.3799082, 8.6889989", \
+					  "8.1661220, 8.1998861, 8.2738723, 8.4189615, 8.6943377, 9.2596775, 10.5692440", \
+					  "10.6242470, 10.6581770, 10.7321380, 10.8773510, 11.1525020, 11.7173690, 13.0330600", \
+					  "11.1536210, 11.1877560, 11.2618180, 11.4071610, 11.6823700, 12.2475210, 13.5486100", \
+					  "14.0747410, 14.1085900, 14.1824280, 14.3201770, 14.6029920, 15.1685650, 16.4714060", \
+					  "16.2832060, 16.3063390, 16.3911480, 16.5202230, 16.8115390, 17.3682280, 18.6779890", \
+					  "17.8777010, 17.9518140, 17.9765860, 18.1216660, 18.3987940, 18.9590580, 20.2647300", \
+					  "20.0396410, 20.0684060, 20.1456950, 20.2813770, 20.5666470, 21.1219750, 22.4394160", \
+					  "44.5062080, 44.5574950, 44.6185380, 44.7710350, 45.0356550, 45.6038980, 46.9265660", \
+					  "58.0315070, 58.0555370, 58.1621760, 58.2764170, 58.5644920, 59.1137950, 60.4587310", \
+					  "110.2678800, 110.3084100, 110.4105800, 110.5595300, 110.8012400, 111.3691400, 112.6752900", \
+					  "278.4615800, 278.5035100, 278.5751300, 278.7177800, 278.9816700, 279.5584100, 280.8728300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.2023019, 0.2292439, 0.2881470, 0.4062510, 0.6687296, 1.3291104, 3.0498524", \
+					  "0.2039169, 0.2310508, 0.2903148, 0.4086594, 0.6698344, 1.3337932, 3.0512675", \
+					  "0.2041307, 0.2311382, 0.2896598, 0.4090590, 0.6698370, 1.3295062, 3.0508316", \
+					  "0.2048816, 0.2310794, 0.2896098, 0.4097444, 0.6694567, 1.3306654, 3.0503688", \
+					  "0.2039895, 0.2311152, 0.2897193, 0.4090200, 0.6698428, 1.3337644, 3.0431093", \
+					  "0.2039842, 0.2312493, 0.2907188, 0.4095599, 0.6691955, 1.3306011, 3.0502547", \
+					  "0.2039259, 0.2311047, 0.2907902, 0.4089068, 0.6698573, 1.3326287, 3.0512668", \
+					  "0.2036586, 0.2309634, 0.2903006, 0.4095244, 0.6698103, 1.3337774, 3.0512493", \
+					  "0.2039550, 0.2313611, 0.2903336, 0.4097456, 0.6698404, 1.3326932, 3.0496403", \
+					  "0.2040555, 0.2311768, 0.2897946, 0.4091646, 0.6696080, 1.3321730, 3.0483012", \
+					  "0.2040943, 0.2309804, 0.2907890, 0.4088235, 0.6694804, 1.3338323, 3.0491749", \
+					  "0.2047562, 0.2312963, 0.2904552, 0.4090857, 0.6699745, 1.3327150, 3.0514069");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("23.7960550, 23.8227930, 23.8851430, 24.0315630, 24.3858040, 25.2481540, 27.3819230", \
+					  "24.3566770, 24.3772770, 24.4395770, 24.5924380, 24.9402920, 25.8129780, 27.9363960", \
+					  "24.9004200, 24.9313890, 24.9936940, 25.1358970, 25.4944080, 26.3524880, 28.4905100", \
+					  "25.3821590, 25.4077680, 25.4703120, 25.6178310, 25.9710030, 26.8352080, 28.9700320", \
+					  "25.8182260, 25.8380520, 25.9003570, 26.0468340, 26.4010720, 27.2634180, 29.3971870", \
+					  "26.2090540, 26.2446120, 26.3069260, 26.4533250, 26.8077060, 27.6698100, 29.8050940", \
+					  "26.5790870, 26.6104770, 26.6727670, 26.8191940, 27.1735080, 28.0355050, 30.1681840");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("0.1639940, 0.1941037, 0.2681045, 0.4573236, 0.9340209, 2.1174948, 5.0285220", \
+					  "0.1638576, 0.1941051, 0.2681046, 0.4576438, 0.9340023, 2.1148521, 5.0285736", \
+					  "0.1639916, 0.1941060, 0.2681074, 0.4573261, 0.9339988, 2.1174920, 5.0285891", \
+					  "0.1638615, 0.1941038, 0.2681046, 0.4573235, 0.9351788, 2.1159741, 5.0215356", \
+					  "0.1638872, 0.1941051, 0.2681046, 0.4573222, 0.9340030, 2.1174948, 5.0285727", \
+					  "0.1638583, 0.1937842, 0.2682598, 0.4565041, 0.9345999, 2.1182776, 5.0215623", \
+					  "0.1638515, 0.1941870, 0.2685124, 0.4576462, 0.9344272, 2.1177233, 5.0313274");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("14.4659780, 14.5019670, 14.5712130, 14.7174010, 14.9909350, 15.5517270, 16.8523860", \
+					  "14.9220640, 14.9558800, 15.0292100, 15.1732900, 15.4461600, 16.0083820, 17.3077910", \
+					  "15.2463220, 15.2692860, 15.3512600, 15.4957450, 15.7687780, 16.3308740, 17.6309170", \
+					  "15.4972590, 15.5309240, 15.6040870, 15.7368000, 16.0199640, 16.5724910, 17.8719000", \
+					  "15.7159920, 15.7499840, 15.8228680, 15.9670800, 16.2403460, 16.8027030, 18.1065940", \
+					  "15.9061790, 15.9401590, 16.0130540, 16.1571950, 16.4305480, 16.9928870, 18.3018490", \
+					  "16.0978820, 16.1316840, 16.2050120, 16.3490950, 16.6219680, 17.1841760, 18.4835970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("0.2012231, 0.2287158, 0.2880593, 0.4075048, 0.6642792, 1.3263061, 2.9980005", \
+					  "0.2018088, 0.2285855, 0.2865030, 0.4062702, 0.6639295, 1.3239437, 2.9979106", \
+					  "0.2016095, 0.2293119, 0.2873629, 0.4070913, 0.6649951, 1.3230860, 2.9978566", \
+					  "0.2020351, 0.2292410, 0.2867033, 0.4069568, 0.6666442, 1.3254374, 2.9980900", \
+					  "0.2032221, 0.2293177, 0.2876849, 0.4075419, 0.6638795, 1.3256700, 2.9980869", \
+					  "0.2031077, 0.2292081, 0.2875919, 0.4070198, 0.6637887, 1.3254285, 2.9977214", \
+					  "0.2018086, 0.2285808, 0.2865002, 0.4062483, 0.6639479, 1.3239766, 2.9979270");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.492320;
+			max_transition : 3.765246;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.7886748, 0.7898162, 0.7922965, 0.7956843, 0.8034231, 0.8053295, 0.7940524", \
+					  "0.8426245, 0.8446468, 0.8499300, 0.8549801, 0.8615010, 0.8641432, 0.8498585", \
+					  "-0.1066826, -0.1043114, -0.1006352, -0.0958507, -0.0905308, -0.0885130, -0.0999919", \
+					  "-0.0820786, -0.0801046, -0.0766600, -0.0740634, -0.0706851, -0.0673585, -0.0801591", \
+					  "-0.0021136, -0.0012834, -0.0033133, -0.0093622, -0.0281398, -0.0425807, -0.0653023", \
+					  "0.0249826, 0.0225179, 0.0261619, 0.0272154, 0.0303356, -0.0044735, -0.0281153", \
+					  "0.0388469, 0.0383590, 0.0400907, 0.0453836, 0.0476785, 0.0507216, -0.0273913");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.7626503, 0.7689100, 0.7761657, 0.7904319, 0.8049170, 0.8152440, 0.8196181", \
+					  "0.6575997, 0.6624157, 0.6692899, 0.6833721, 0.6981737, 0.7081902, 0.7124052", \
+					  "1.6674375, 1.6710481, 1.6788758, 1.6934381, 1.7083690, 1.7187742, 1.7236589", \
+					  "1.6295002, 1.6365063, 1.6476449, 1.6700690, 1.7025921, 1.7153583, 1.7215268", \
+					  "1.6452674, 1.6486102, 1.6475223, 1.6523009, 1.6545906, 1.6823539, 1.7198088", \
+					  "1.6469481, 1.6477141, 1.6496603, 1.6552468, 1.6574665, 1.6616481, 1.6979985", \
+					  "1.6494337, 1.6498419, 1.6524695, 1.6563959, 1.6587437, 1.6625787, 1.6672832");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.7414985, 0.7418770, 0.7452204, 0.7500715, 0.7521478, 0.7529704, 0.7454990", \
+					  "0.8128401, 0.8148610, 0.8174589, 0.8220273, 0.8285371, 0.8283112, 0.8192126", \
+					  "-0.3338910, -0.3368588, -0.3454081, -0.3489279, -0.3477640, -0.3451709, -0.3671196", \
+					  "-0.2645975, -0.2650690, -0.2633503, -0.2610364, -0.2571466, -0.3312836, -0.3453204", \
+					  "-0.2537438, -0.2550970, -0.2537917, -0.2521362, -0.2477774, -0.2461171, -0.3394172", \
+					  "-0.2443300, -0.2548790, -0.2422095, -0.2404887, -0.2353070, -0.2358307, -0.2609578", \
+					  "-0.2338000, -0.2431161, -0.2320888, -0.2289199, -0.2246142, -0.2345613, -0.2309932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.9348296, 0.9408125, 0.9522317, 0.9723352, 0.9940910, 1.0106800, 1.0193692", \
+					  "0.7611013, 0.7725974, 0.7784464, 0.7988455, 0.8198374, 0.8367190, 0.8523732", \
+					  "1.9854019, 2.0033734, 2.0029813, 2.0231419, 2.0473725, 2.0745775, 2.0826236", \
+					  "1.9654315, 1.9875321, 1.9917460, 2.0144914, 2.0528123, 2.0730498, 2.0852146", \
+					  "1.9486086, 1.9685145, 1.9509214, 1.9529046, 2.0014322, 2.0593598, 2.0850448", \
+					  "1.9797482, 1.9898866, 1.9882017, 1.9858499, 2.0041531, 2.0077848, 2.0772785", \
+					  "1.9867324, 2.0005564, 1.9914656, 1.9928458, 2.0067121, 2.0172763, 2.0281008");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.7957300, 0.7996349, 0.8018258, 0.8074629, 0.8118184, 0.8111633, 0.8015404", \
+					  "1.0341405, 1.0359437, 1.0387840, 1.0438979, 1.0513949, 1.0518207, 1.0385583", \
+					  "0.0338795, 0.0344826, 0.0370848, 0.0412863, 0.0445613, 0.0477240, 0.0296372", \
+					  "0.2753864, 0.2762693, 0.2764781, 0.2784275, 0.2441468, 0.2053275, 0.1917320", \
+					  "0.4294961, 0.4295274, 0.4297727, 0.4301631, 0.4364123, 0.4149472, 0.3560579", \
+					  "0.5731230, 0.5708718, 0.5756393, 0.5686875, 0.5829981, 0.5811422, 0.4897035", \
+					  "0.7078578, 0.7122478, 0.7071459, 0.7081256, 0.7197779, 0.7210379, 0.6939922");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.9925608, 0.9980883, 1.0081187, 1.0252619, 1.0443362, 1.0579191, 1.0644540", \
+					  "0.8204003, 0.8239578, 0.8367609, 0.8544882, 0.8721664, 0.8802625, 0.8927534", \
+					  "2.0306842, 2.0355514, 2.0461224, 2.0637877, 2.0845489, 2.1110557, 2.1061193", \
+					  "1.9855014, 1.9885565, 1.9897950, 1.9963790, 2.0395578, 2.1045717, 2.1016411", \
+					  "2.0250264, 2.0128374, 2.0209574, 2.0183176, 2.0213750, 2.0424619, 2.0969656", \
+					  "2.0275084, 2.0154850, 2.0211740, 2.0218434, 2.0372892, 2.0432675, 2.0396397", \
+					  "2.0298910, 2.0172531, 2.0211380, 2.0240747, 2.0417927, 2.0405472, 2.0365320");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.1858763, 1.1892497, 1.2040433, 1.2395417, 1.3723669, 1.5176817, 1.5107475", \
+					  "1.1791851, 1.1846314, 1.1971306, 1.2317284, 1.3653095, 1.5131858, 1.5070136", \
+					  "1.1736225, 1.1777973, 1.1906747, 1.2258374, 1.3609860, 1.4967936, 1.4978499", \
+					  "1.1712513, 1.1845008, 1.1888728, 1.2257715, 1.3586428, 1.5021164, 1.4977290", \
+					  "1.1745066, 1.1770493, 1.1894559, 1.2256025, 1.3585748, 1.5020632, 1.4967878", \
+					  "1.1696873, 1.1760423, 1.1887682, 1.2250169, 1.3666443, 1.5011942, 1.4918966", \
+					  "1.1701212, 1.1763589, 1.1900251, 1.2298886, 1.3562658, 1.5018781, 1.4966469");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0125756, 1.0239494, 1.0348025, 1.0547164, 1.0751419, 1.0981029, 1.1099947", \
+					  "1.0109464, 1.0177331, 1.0295720, 1.0470640, 1.0688169, 1.0916375, 1.1034111", \
+					  "1.0049962, 1.0119539, 1.0248012, 1.0411711, 1.0629547, 1.0858493, 1.0975389", \
+					  "1.0046603, 1.0120738, 1.0238224, 1.0412969, 1.0629478, 1.0856866, 1.0973418", \
+					  "1.0041801, 1.0151325, 1.0239078, 1.0407085, 1.0625905, 1.0836970, 1.0985760", \
+					  "1.0041581, 1.0114196, 1.0237546, 1.0401941, 1.0626169, 1.0825664, 1.0984387", \
+					  "1.0047638, 1.0115797, 1.0234738, 1.0408424, 1.0625831, 1.0845623, 1.0976955");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("6.1906156, 6.2322352, 6.3198535, 6.4989880, 6.8413941, 7.5058235, 8.9267447", \
+					  "8.7983647, 8.8441441, 8.9346033, 9.1141867, 9.4529051, 10.1175740, 11.5397300", \
+					  "10.9998870, 11.0488540, 11.1311820, 11.3181090, 11.6492940, 12.3238890, 13.7424860", \
+					  "11.4799570, 11.5230530, 11.6131720, 11.7890980, 12.1288450, 12.7955780, 14.2195270", \
+					  "14.2742000, 14.3173920, 14.4074970, 14.5868810, 14.9259880, 15.5914890, 17.0085440", \
+					  "16.4943720, 16.5373990, 16.6273660, 16.8028520, 17.1431260, 17.8095490, 19.2341040", \
+					  "18.1392500, 18.1796710, 18.2734910, 18.4485720, 18.7883720, 19.4568950, 20.8813700", \
+					  "20.4227670, 20.4691730, 20.5601190, 20.7346940, 21.0743480, 21.7421900, 23.1582840", \
+					  "49.4884920, 49.6048220, 49.6183080, 49.7634860, 50.2114050, 50.7993720, 52.3247610", \
+					  "67.4250340, 67.5829740, 67.5829812, 67.6956160, 68.1889740, 68.8456580, 70.1754890", \
+					  "138.1274500, 138.1987300, 139.0182500, 139.0182572, 139.0182724, 140.1976700, 140.8973100", \
+					  "362.2263600, 362.7456500, 362.8388200, 363.1294900, 363.4029600, 364.0149400, 365.3413200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("0.4912309, 0.5185645, 0.5908627, 0.7441238, 1.0772840, 1.8607160, 3.7570187", \
+					  "0.4855086, 0.5173538, 0.5953892, 0.7453762, 1.0794913, 1.8586629, 3.7511829", \
+					  "0.4841908, 0.5248836, 0.5946865, 0.7451119, 1.0747505, 1.8597083, 3.7501438", \
+					  "0.4900370, 0.5222667, 0.5921902, 0.7449989, 1.0780765, 1.8546844, 3.7582124", \
+					  "0.4881055, 0.5247781, 0.5941093, 0.7458859, 1.0802048, 1.8564216, 3.7415386", \
+					  "0.4888864, 0.5217750, 0.5928109, 0.7468016, 1.0810388, 1.8582145, 3.7589096", \
+					  "0.4846864, 0.5182843, 0.5945083, 0.7458943, 1.0799667, 1.8588213, 3.7584837", \
+					  "0.4907049, 0.5209470, 0.5906962, 0.7468423, 1.0812121, 1.8544132, 3.7560266", \
+					  "0.4911815, 0.5212868, 0.5930363, 0.7471189, 1.0808761, 1.8554791, 3.7538879", \
+					  "0.4857701, 0.5222717, 0.5924890, 0.7472127, 1.0771799, 1.8607113, 3.7591366", \
+					  "0.4853183, 0.5184118, 0.5917990, 0.7467727, 1.0796818, 1.8556726, 3.7584895", \
+					  "0.4895867, 0.5176447, 0.5945097, 0.7466069, 1.0797551, 1.8613481, 3.7526173");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("5.2211404, 5.2539826, 5.3290869, 5.4785785, 5.7504685, 6.2290648, 7.1477806", \
+					  "7.8133207, 7.8480138, 7.9221678, 8.0786577, 8.3431644, 8.8212095, 9.7388897", \
+					  "10.0298290, 10.0644220, 10.1386860, 10.3080800, 10.5824460, 11.0381410, 11.9548310", \
+					  "10.4976210, 10.5325390, 10.6072210, 10.7797310, 11.0531840, 11.5067090, 12.4243450", \
+					  "13.2139060, 13.2445400, 13.3230240, 13.4516260, 13.7350320, 14.2218100, 15.1412540", \
+					  "15.3013670, 15.3376190, 15.4116900, 15.5595770, 15.8237480, 16.3123700, 17.2258330", \
+					  "16.8255420, 16.8484990, 16.9230830, 17.0788310, 17.3557720, 17.8247110, 18.7417260", \
+					  "18.8884370, 18.9206500, 18.9726070, 19.1544490, 19.4036540, 19.9093100, 20.8058920", \
+					  "42.0263400, 42.0464690, 42.1161810, 42.2579550, 42.5424780, 43.0397120, 43.9607710", \
+					  "54.1333630, 54.1608570, 54.2356200, 54.3950560, 54.6587380, 55.1046850, 56.0407010", \
+					  "97.0136040, 97.0172070, 97.1454380, 97.3196990, 97.5433350, 98.0754460, 98.9910590", \
+					  "215.9847300, 215.9847336, 216.0666400, 216.1888500, 216.4336200, 216.9905100, 217.8702400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("0.3817310, 0.4133584, 0.4731193, 0.5956277, 0.8211978, 1.2798937, 2.3536539", \
+					  "0.3845788, 0.4116905, 0.4729032, 0.5942444, 0.8202065, 1.2796046, 2.3556468", \
+					  "0.3848562, 0.4123646, 0.4744850, 0.5942618, 0.8217969, 1.2806528, 2.3565314", \
+					  "0.3838146, 0.4118696, 0.4744911, 0.5941582, 0.8197398, 1.2801692, 2.3478792", \
+					  "0.3831956, 0.4117832, 0.4722194, 0.5956366, 0.8209950, 1.2767386, 2.3481230", \
+					  "0.3849700, 0.4134330, 0.4744484, 0.5953580, 0.8212354, 1.2789773, 2.3495279", \
+					  "0.3829123, 0.4132925, 0.4754118, 0.5953417, 0.8205877, 1.2795919, 2.3542501", \
+					  "0.3842562, 0.4138197, 0.4729276, 0.5948537, 0.8210552, 1.2762988, 2.3540536", \
+					  "0.3853778, 0.4138515, 0.4760850, 0.5953261, 0.8190970, 1.2794026, 2.3514846", \
+					  "0.3855173, 0.4137851, 0.4761159, 0.5953729, 0.8191029, 1.2781366, 2.3525679", \
+					  "0.3838113, 0.4119064, 0.4721909, 0.5943978, 0.8207290, 1.2786084, 2.3551141", \
+					  "0.3828399, 0.4117351, 0.4743731, 0.5956791, 0.8213964, 1.2774695, 2.3518445");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0575233, 5.0999787, 5.1883857, 5.3664810, 5.7031344, 6.3672729, 7.7853226", \
+					  "6.8252906, 6.8680674, 6.9582105, 7.1338291, 7.4721402, 8.1351654, 9.5551282", \
+					  "7.8674445, 7.9094971, 7.9980911, 8.1742861, 8.5132186, 9.1760031, 10.5934280", \
+					  "8.0753138, 8.1174880, 8.2039477, 8.3812797, 8.7205763, 9.3837409, 10.7992430", \
+					  "9.1583177, 9.2007362, 9.2904466, 9.4659329, 9.8006998, 10.4715720, 11.8864960", \
+					  "9.9298516, 9.9729469, 10.0612450, 10.2362470, 10.5761280, 11.2382330, 12.6571670", \
+					  "10.4576320, 10.5003530, 10.5867630, 10.7660180, 11.1038880, 11.7681560, 13.1877590", \
+					  "11.1437770, 11.1889160, 11.2754650, 11.4565900, 11.7899050, 12.4591240, 13.8734030", \
+					  "19.4768080, 19.5201740, 19.5963960, 19.7414370, 20.1177230, 20.7415380, 22.2016950", \
+					  "26.3647020, 26.4087440, 26.4150180, 26.7272460, 27.0347900, 27.7253470, 29.1162990", \
+					  "55.6581060, 55.7065970, 55.7514250, 56.1559150, 56.4942300, 56.9320910, 58.5764750", \
+					  "135.3807700, 135.3807755, 135.4540000, 135.4540024, 135.9242100, 136.1034200, 138.0561100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4806654, 0.5143414, 0.5864680, 0.7390611, 1.0808116, 1.8579928, 3.7493875", \
+					  "0.4837366, 0.5169608, 0.5847606, 0.7360350, 1.0740148, 1.8567551, 3.7506820", \
+					  "0.4828299, 0.5154437, 0.5837021, 0.7380460, 1.0706805, 1.8519348, 3.7254826", \
+					  "0.4810995, 0.5156062, 0.5858962, 0.7357468, 1.0720937, 1.8496259, 3.7321652", \
+					  "0.4810619, 0.5140656, 0.5830183, 0.7388315, 1.0737595, 1.8582874, 3.7436441", \
+					  "0.4845281, 0.5129437, 0.5865617, 0.7378779, 1.0736485, 1.8511510, 3.7423833", \
+					  "0.4836653, 0.5170146, 0.5844311, 0.7353791, 1.0741993, 1.8571801, 3.7421124", \
+					  "0.4836775, 0.5167799, 0.5827582, 0.7358422, 1.0726918, 1.8531641, 3.7498440", \
+					  "0.4836690, 0.5170064, 0.5854771, 0.7385266, 1.0732468, 1.8539061, 3.7500389", \
+					  "0.4836881, 0.5170345, 0.5852984, 0.7378816, 1.0729573, 1.8568986, 3.7537209", \
+					  "0.4837142, 0.5170359, 0.5867476, 0.7372114, 1.0741481, 1.8569256, 3.7461674", \
+					  "0.4836692, 0.5170079, 0.5845447, 0.7358058, 1.0741158, 1.8568626, 3.7498560");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3266059, 6.3629355, 6.4410242, 6.5993562, 6.8843287, 7.3794735, 8.3142142", \
+					  "8.2080085, 8.2445828, 8.3231688, 8.4814475, 8.7661354, 9.2614707, 10.1945630", \
+					  "10.6650570, 10.7102980, 10.7802090, 10.9447860, 11.2229120, 11.7179880, 12.6517790", \
+					  "11.1946520, 11.2320160, 11.3096630, 11.4585590, 11.7526910, 12.2478630, 13.1789430", \
+					  "14.1149210, 14.1426510, 14.2300540, 14.3804440, 14.6729320, 15.1876540, 16.1011670", \
+					  "16.3246320, 16.3485470, 16.4232540, 16.5868420, 16.8748710, 17.3592250, 18.3105230", \
+					  "17.9179940, 17.9473700, 18.0303080, 18.1864480, 18.5273490, 18.9610350, 19.9042150", \
+					  "20.0783750, 20.0783759, 20.1951290, 20.2893330, 20.6186890, 21.1203840, 22.0639810", \
+					  "44.5498220, 44.6081750, 44.6683830, 44.7192860, 45.0672180, 45.6117880, 46.5328260", \
+					  "58.0756850, 58.1142320, 58.1787740, 58.3561960, 58.6101590, 59.1176530, 60.0496720", \
+					  "110.3387500, 110.3542900, 110.4275000, 110.6232100, 110.8693800, 111.3920000, 112.3289300", \
+					  "278.4995300, 278.5128600, 278.6193500, 278.7727700, 279.0576700, 279.5597400, 280.4916900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3933454, 0.4245291, 0.4920546, 0.6204611, 0.8534070, 1.3113177, 2.3726616", \
+					  "0.3936225, 0.4254299, 0.4931499, 0.6206726, 0.8518198, 1.3111194, 2.3786093", \
+					  "0.3934968, 0.4252967, 0.4929485, 0.6204882, 0.8518232, 1.3050906, 2.3764901", \
+					  "0.3939265, 0.4249398, 0.4921719, 0.6208038, 0.8514519, 1.3107594, 2.3747719", \
+					  "0.3932815, 0.4250859, 0.4928114, 0.6203774, 0.8510638, 1.3106919, 2.3691569", \
+					  "0.3933059, 0.4252223, 0.4922433, 0.6204125, 0.8515946, 1.3085661, 2.3784001", \
+					  "0.3932340, 0.4249740, 0.4917735, 0.6204075, 0.8515891, 1.3106796, 2.3768696", \
+					  "0.3941511, 0.4248887, 0.4916932, 0.6202515, 0.8502930, 1.3106847, 2.3782951", \
+					  "0.3934821, 0.4251482, 0.4919054, 0.6206184, 0.8508160, 1.3108735, 2.3752176", \
+					  "0.3937484, 0.4252307, 0.4922223, 0.6208047, 0.8513844, 1.3108192, 2.3764160", \
+					  "0.3935342, 0.4253595, 0.4932010, 0.6207281, 0.8520056, 1.3111551, 2.3767508", \
+					  "0.3941392, 0.4262223, 0.4927133, 0.6213257, 0.8537634, 1.3098188, 2.3785343");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0836246, 5.1259530, 5.2159743, 5.3923337, 5.7295733, 6.3935359, 7.8095699", \
+					  "7.1648532, 7.2075150, 7.2977029, 7.4731945, 7.8116758, 8.4755580, 9.8946638", \
+					  "8.7383354, 8.7740917, 8.8708356, 9.0461674, 9.3831956, 10.0484730, 11.4678130", \
+					  "9.0753556, 9.1209706, 9.2083150, 9.3835375, 9.7220330, 10.3848890, 11.8051000", \
+					  "11.0805210, 11.1253360, 11.2124520, 11.3884600, 11.7269150, 12.3901100, 13.8085150", \
+					  "12.6969620, 12.7499230, 12.8373220, 13.0059430, 13.3443310, 14.0070400, 15.4323190", \
+					  "13.9127310, 13.9628260, 14.0563370, 14.2194440, 14.5781440, 15.2246360, 16.6529280", \
+					  "15.6711960, 15.7123540, 15.8171430, 15.9865540, 16.3311340, 16.9957360, 18.3984220", \
+					  "43.2286060, 43.4341350, 43.4542290, 43.7451040, 43.7671520, 44.6991210, 46.1952220", \
+					  "62.0184510, 62.0270410, 62.0270443, 62.2897010, 62.5093360, 63.1710440, 64.5958310", \
+					  "132.9534600, 133.1955100, 133.3289400, 133.3289414, 133.3289567, 133.8234300, 135.0732200", \
+					  "375.1199500, 375.6229900, 375.6230011, 375.6230317, 375.6734900, 376.7189000, 377.8745600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4821467, 0.5166532, 0.5876000, 0.7374846, 1.0724643, 1.8579195, 3.7502614", \
+					  "0.4836428, 0.5169894, 0.5845640, 0.7358939, 1.0740553, 1.8568158, 3.7504435", \
+					  "0.4845057, 0.5120242, 0.5813474, 0.7338301, 1.0713737, 1.8589851, 3.7341321", \
+					  "0.4843097, 0.5170005, 0.5856511, 0.7368076, 1.0726130, 1.8520069, 3.7414543", \
+					  "0.4832908, 0.5156149, 0.5842158, 0.7380707, 1.0741819, 1.8562117, 3.7475520", \
+					  "0.4845043, 0.5155163, 0.5857408, 0.7378577, 1.0731951, 1.8512565, 3.7498471", \
+					  "0.4846962, 0.5163029, 0.5859002, 0.7338798, 1.0726031, 1.8587604, 3.7527310", \
+					  "0.4836751, 0.5170057, 0.5838602, 0.7358056, 1.0741154, 1.8519866, 3.7463868", \
+					  "0.4837046, 0.5162839, 0.5856140, 0.7373648, 1.0741139, 1.8534996, 3.7535903", \
+					  "0.4844789, 0.5155588, 0.5854008, 0.7354446, 1.0725058, 1.8537127, 3.7492929", \
+					  "0.4816338, 0.5160205, 0.5845794, 0.7368286, 1.0735229, 1.8538571, 3.7531227", \
+					  "0.4823439, 0.5170358, 0.5841924, 0.7386259, 1.0724580, 1.8571611, 3.7473771");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7086899, 4.7476125, 4.8237458, 4.9844147, 5.2660489, 5.7639866, 6.6941568", \
+					  "6.9343429, 6.9708501, 7.0495529, 7.2073396, 7.4922974, 7.9873610, 8.9193692", \
+					  "9.0371142, 9.0757188, 9.1542312, 9.3125010, 9.5971933, 10.0806230, 11.0249290", \
+					  "9.4550829, 9.5095327, 9.5880477, 9.7465884, 10.0309800, 10.5203060, 11.4585030", \
+					  "11.9239540, 11.9739710, 12.0519260, 12.2102380, 12.4947670, 12.9785950, 13.9214450", \
+					  "13.7842770, 13.8379020, 13.9164090, 14.0750900, 14.3590460, 14.8389490, 15.7872900", \
+					  "15.1264000, 15.1812320, 15.2597030, 15.4179500, 15.6903340, 16.1799160, 17.1264460", \
+					  "16.9070060, 16.9866270, 17.0651300, 17.2223780, 17.5062600, 17.9601580, 18.9256020", \
+					  "37.1116330, 37.1746580, 37.2513430, 37.4099440, 37.6967970, 38.1957510, 39.1187030", \
+					  "48.1282640, 48.1427200, 48.2208300, 48.3923480, 48.6794040, 49.1849550, 50.1103730", \
+					  "90.1794270, 90.1794320, 90.2803240, 90.5072350, 90.7584910, 91.2097700, 92.2107260", \
+					  "226.8226400, 227.2667100, 227.2667161, 227.3721500, 227.7324300, 228.2182100, 229.1963500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3930889, 0.4243687, 0.4912689, 0.6198378, 0.8518797, 1.3100326, 2.3735280", \
+					  "0.3942564, 0.4250892, 0.4913642, 0.6206637, 0.8525899, 1.3097377, 2.3762952", \
+					  "0.3937703, 0.4250859, 0.4917283, 0.6204917, 0.8522464, 1.3105937, 2.3662272", \
+					  "0.3934338, 0.4251987, 0.4928570, 0.6204237, 0.8499152, 1.3068844, 2.3746727", \
+					  "0.3939360, 0.4253139, 0.4920242, 0.6204717, 0.8522593, 1.3100390, 2.3726574", \
+					  "0.3933496, 0.4248846, 0.4928852, 0.6186871, 0.8516126, 1.3105634, 2.3783833", \
+					  "0.3941579, 0.4250024, 0.4918917, 0.6204842, 0.8526730, 1.3095314, 2.3753406", \
+					  "0.3935549, 0.4251952, 0.4927120, 0.6202887, 0.8526027, 1.3076481, 2.3766875", \
+					  "0.3934361, 0.4254890, 0.4929235, 0.6203624, 0.8520012, 1.3105725, 2.3775384", \
+					  "0.3940211, 0.4255668, 0.4923625, 0.6205478, 0.8521075, 1.3107646, 2.3740430", \
+					  "0.3941468, 0.4257504, 0.4924426, 0.6208851, 0.8524925, 1.3108912, 2.3779276", \
+					  "0.3942921, 0.4256233, 0.4936358, 0.6212267, 0.8532333, 1.3107467, 2.3773534");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("21.0609170, 21.1042150, 21.1942820, 21.3731450, 21.7117520, 22.3766000, 23.7974170", \
+					  "21.6153440, 21.6667290, 21.7487010, 21.9275600, 22.2661840, 22.9310280, 24.3518410", \
+					  "22.1694330, 22.2084590, 22.3024510, 22.4816520, 22.8202720, 23.4851160, 24.9059390", \
+					  "22.6452830, 22.6921720, 22.7810520, 22.9581390, 23.2988810, 23.9637410, 25.3836880", \
+					  "23.0761700, 23.1194490, 23.2122160, 23.3883630, 23.7300530, 24.3949220, 25.8126640", \
+					  "23.4803590, 23.5262940, 23.6047890, 23.7954030, 24.1226470, 24.7874860, 26.2197640", \
+					  "23.8477880, 23.8877980, 23.9799750, 24.1613470, 24.4952020, 25.1601350, 26.5849690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.4934719, 0.5253959, 0.5943467, 0.7469999, 1.0758784, 1.8533522, 3.7407424", \
+					  "0.4934736, 0.5223235, 0.5943568, 0.7469996, 1.0758797, 1.8533498, 3.7407646", \
+					  "0.4934752, 0.5254167, 0.5957485, 0.7469917, 1.0758818, 1.8534277, 3.7407895", \
+					  "0.4870107, 0.5224475, 0.5957579, 0.7470094, 1.0758879, 1.8534215, 3.7360506", \
+					  "0.4934742, 0.5253987, 0.5957828, 0.7469911, 1.0759077, 1.8533985, 3.7407912", \
+					  "0.4885409, 0.5260887, 0.5957803, 0.7469260, 1.0759080, 1.8534002, 3.7366314", \
+					  "0.4871691, 0.5253987, 0.5960711, 0.7475063, 1.0734863, 1.8525383, 3.7366203");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("17.7102470, 17.7588950, 17.8636790, 18.0840530, 18.4750740, 19.1153480, 20.2151100", \
+					  "18.1660140, 18.2149620, 18.3212240, 18.5369530, 18.9329890, 19.5746620, 20.6715750", \
+					  "18.4822010, 18.5370400, 18.6430440, 18.8591450, 19.2450140, 19.8873240, 20.9926640", \
+					  "18.7417020, 18.7815750, 18.8950560, 19.1110260, 19.5045600, 20.1468850, 21.2356600", \
+					  "18.9628630, 19.0165520, 19.1173210, 19.3303950, 19.7256580, 20.3734650, 21.4703600", \
+					  "19.1533470, 19.2088480, 19.3079850, 19.5211830, 19.9158810, 20.5674590, 21.6655880", \
+					  "19.3412630, 19.3908000, 19.4970370, 19.7127590, 20.1082010, 20.7497150, 21.8468750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.5865063, 0.6275711, 0.7149319, 0.8887391, 1.1755946, 1.6746529, 2.7250664", \
+					  "0.5887770, 0.6290227, 0.7149327, 0.8877593, 1.1760656, 1.6707087, 2.7183968", \
+					  "0.5860161, 0.6276158, 0.7148496, 0.8869691, 1.1770198, 1.6746790, 2.7250637", \
+					  "0.5865045, 0.6266088, 0.7153466, 0.8865246, 1.1770668, 1.6746567, 2.7179339", \
+					  "0.5860159, 0.6269155, 0.7153688, 0.8887423, 1.1770116, 1.6734178, 2.7189049", \
+					  "0.5851719, 0.6293274, 0.7152462, 0.8883492, 1.1771517, 1.6746826, 2.7185592", \
+					  "0.5887342, 0.6288122, 0.7149080, 0.8877148, 1.1760228, 1.6699453, 2.7204652");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.201710;
+			max_capacitance : 551.202000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6274479, 6.6291636, 6.6292495, 6.6424147, 6.6122431, 5.5574861, -11.3568321", \
+					  "6.5612048, 6.5722442, 6.5652186, 6.5833993, 6.5543371, 5.4722036, -11.6005599", \
+					  "6.4970040, 6.4924347, 6.5024974, 6.5161228, 6.4776861, 5.3799622, -11.3796547", \
+					  "6.4860765, 6.4889414, 6.4947515, 6.4994873, 6.4804972, 5.4506067, -11.5688339", \
+					  "6.4829463, 6.4855298, 6.4892438, 6.5033471, 6.4740997, 5.4229058, -11.5876238", \
+					  "6.4827049, 6.4716378, 6.4617139, 6.4829057, 6.4706043, 5.4026439, -11.4095249", \
+					  "6.4673821, 6.4701551, 6.4717450, 6.4900693, 6.4572535, 5.3476762, -11.6366491");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.0007196, 3.9933119, 4.0269391, 4.1608081, 4.6898745, 5.5315060, 6.0106127", \
+					  "3.9329264, 3.9289067, 3.9674925, 4.0925366, 4.6176292, 5.4768564, 5.9488638", \
+					  "3.8688521, 3.8718926, 3.8907460, 4.0256455, 4.5559270, 5.4110212, 5.8818902", \
+					  "3.8636762, 3.8723598, 3.8906290, 4.0269037, 4.5565135, 5.4125817, 5.8856369", \
+					  "3.8630672, 3.8718848, 3.8881209, 4.0227133, 4.5516934, 5.4076311, 5.8920717", \
+					  "3.8664624, 3.8573720, 3.8867240, 4.0233413, 4.5542478, 5.4088150, 5.8776575", \
+					  "3.8624922, 3.8686890, 3.8928359, 4.0226292, 4.5521436, 5.4074568, 5.8780465");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924444, 0.0925455, 0.0925016, 0.0925553, 0.0926395, 0.0924470, 0.0925526", \
+					  "0.2779856, 0.2779270, 0.2778949, 0.2779157, 0.2779777, 0.2779825, 0.2779833", \
+					  "0.5419292, 0.5419466, 0.5425246, 0.5417306, 0.5406668, 0.5406701, 0.5407001", \
+					  "1.2751357, 1.2771447, 1.2884231, 1.3341720, 1.3961982, 1.4176575, 1.4203267", \
+					  "1.7515481, 1.7550687, 1.7736673, 1.8470041, 1.9385361, 1.9693470, 1.9754378", \
+					  "1.7831171, 1.7867121, 1.8074373, 1.8808143, 1.9737090, 2.0056899, 2.0116786", \
+					  "1.7841795, 1.7883986, 1.8073868, 1.8825318, 1.9754113, 2.0076108, 2.0136424");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6966760, 5.6975221, 5.6953485, 5.6866332, 5.6491658, 5.3881849, -0.3618620", \
+					  "5.6947988, 5.6953652, 5.6935580, 5.6923199, 5.6495468, 5.4403626, -0.2916224", \
+					  "5.6914086, 5.6922782, 5.6905278, 5.6878915, 5.6498178, 5.4157220, -0.3081298", \
+					  "5.6899656, 5.6910694, 5.6887184, 5.6867525, 5.6411501, 5.3263758, -0.2989242", \
+					  "5.6892624, 5.6901093, 5.6879284, 5.6869439, 5.6428789, 5.3371296, -0.3126438", \
+					  "5.6884460, 5.6896209, 5.6877092, 5.6850542, 5.6421428, 5.4418967, -0.3275595", \
+					  "5.6885614, 5.6902029, 5.6866250, 5.6856275, 5.6394023, 5.4046201, -0.3373335");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925119, 0.0926104, 0.0924629, 0.0925042, 0.0925054, 0.0925283, 0.0924963", \
+					  "0.2622138, 0.2622649, 0.2622134, 0.2622766, 0.2622727, 0.2622139, 0.2622642", \
+					  "0.4781330, 0.4778557, 0.4781290, 0.4767382, 0.4778388, 0.4779411, 0.4778365", \
+					  "1.0351844, 1.0353978, 1.0351695, 1.0347414, 1.0353148, 1.0350882, 1.0353027", \
+					  "1.1723141, 1.1722769, 1.1715367, 1.1696361, 1.1678146, 1.1672412, 1.1671831", \
+					  "1.1830289, 1.1838322, 1.1829823, 1.1802883, 1.1779195, 1.1772517, 1.1770877", \
+					  "1.1857991, 1.1858201, 1.1850497, 1.1819690, 1.1794564, 1.1787713, 1.1785866");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5668588, 2.5786935, 2.5814604, 2.5605798, 2.5681258, 2.6351288, 2.5705236", \
+					  "2.5596552, 2.5578951, 2.5562846, 2.5590979, 2.5248859, 2.5811538, 2.5648223", \
+					  "2.5502280, 2.5544288, 2.5558814, 2.5605888, 2.5661469, 2.5664561, 2.5510266", \
+					  "2.5525521, 2.5497742, 2.5548949, 2.5542136, 2.5619823, 2.5574972, 2.5820570", \
+					  "2.5504030, 2.5511075, 2.5447508, 2.5655994, 2.5609453, 2.5577530, 2.5781659", \
+					  "2.5536769, 2.5513179, 2.5554246, 2.5539833, 2.5606572, 2.5661743, 2.5586827", \
+					  "2.5474924, 2.5508965, 2.5534865, 2.5535917, 2.5611741, 2.5701420, 2.5627258");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4518605, 6.4528016, 6.4499046, 6.4492324, 6.4067135, 6.1937389, 0.4143330", \
+					  "6.3864028, 6.3876163, 6.3853412, 6.3853780, 6.3387981, 6.0445326, 0.3337646", \
+					  "6.3225467, 6.3217817, 6.3215779, 6.3236821, 6.2807056, 6.0435217, 0.2824714", \
+					  "6.3154465, 6.3148763, 6.3131675, 6.3158108, 6.2680517, 5.9880188, 0.2859490", \
+					  "6.3070536, 6.3060644, 6.3053098, 6.3066251, 6.2637909, 6.0914032, 0.2842739", \
+					  "6.3012298, 6.2995190, 6.2985779, 6.3014718, 6.2473615, 6.0485483, 0.2919535", \
+					  "6.2931617, 6.2927905, 6.2923047, 6.2950057, 6.2458202, 5.9854868, 0.2357849");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9148343, 3.9024224, 3.9300943, 3.9797767, 4.0407709, 4.0770722, 4.0811193", \
+					  "3.8315566, 3.8413122, 3.8468616, 3.8962136, 3.9608199, 3.9934202, 3.9972699", \
+					  "3.7666756, 3.7668742, 3.7830644, 3.8300797, 3.9318048, 3.9444049, 3.9361663", \
+					  "3.7643095, 3.7667455, 3.7790230, 3.8308660, 3.8964299, 3.9268435, 3.9350848", \
+					  "3.7649321, 3.7680650, 3.7806557, 3.8286528, 3.9020009, 3.9594887, 3.9561923", \
+					  "3.7624246, 3.7630430, 3.7738121, 3.8270807, 3.8934014, 3.9230573, 3.9222268", \
+					  "3.7640865, 3.7668629, 3.7733590, 3.8302822, 3.8958322, 3.9276263, 3.9394540");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3085923, 3.3070009, 3.3200675, 3.3917655, 3.8535192, 5.1907174, 5.3939858", \
+					  "3.2388072, 3.2408245, 3.2499168, 3.3246697, 3.7862044, 5.1208174, 5.3613307", \
+					  "3.1726362, 3.1732572, 3.1846496, 3.2572966, 3.7240300, 5.0637023, 5.2888278", \
+					  "3.1717696, 3.1727126, 3.1846447, 3.2551055, 3.7151548, 5.0528235, 5.2740025", \
+					  "3.1697773, 3.1731182, 3.1836612, 3.2546010, 3.7124576, 5.0623765, 5.2922781", \
+					  "3.1685177, 3.1711638, 3.1822216, 3.2507971, 3.7308456, 5.0516728, 5.2535642", \
+					  "3.1691030, 3.1705303, 3.1821388, 3.2534446, 3.7156227, 5.0574326, 5.2834475");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1203455, 0.1203475, 0.1203406, 0.1203388, 0.1203541, 0.1203519, 0.1203605", \
+					  "0.2602370, 0.2602227, 0.2601895, 0.2599187, 0.2603163, 0.2602347, 0.2604446", \
+					  "0.7712711, 0.7710309, 0.7705144, 0.7711674, 0.7705198, 0.7706532, 0.7705985", \
+					  "1.0506692, 1.0505723, 1.0506760, 1.0502917, 1.0507309, 1.0504240, 1.0506416", \
+					  "1.4691270, 1.4688473, 1.4690158, 1.4683476, 1.4667312, 1.4653942, 1.4648949", \
+					  "1.6380917, 1.6374681, 1.6329675, 1.6183167, 1.6023555, 1.5958433, 1.5942991", \
+					  "1.6473194, 1.6475980, 1.6412771, 1.6251837, 1.6072551, 1.6001176, 1.5985431");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925254, 0.0924821, 0.0925158, 0.0925391, 0.0926048, 0.0925361, 0.0925662", \
+					  "0.2622142, 0.2622643, 0.2622139, 0.2622131, 0.2622050, 0.2623511, 0.2622504", \
+					  "0.4781294, 0.4778462, 0.4781246, 0.4776712, 0.4778329, 0.4776884, 0.4781065", \
+					  "1.0333363, 1.0335498, 1.0333164, 1.0331994, 1.0334629, 1.0334512, 1.0332214", \
+					  "1.1715969, 1.1714413, 1.1708033, 1.1686905, 1.1661749, 1.1665489, 1.1663619", \
+					  "1.1840092, 1.1831014, 1.1829051, 1.1797621, 1.1778559, 1.1771974, 1.1770614", \
+					  "1.1855664, 1.1865444, 1.1846739, 1.1819245, 1.1794370, 1.1787769, 1.1787847");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5488469, 2.5605834, 2.5549454, 2.5487405, 2.5747355, 2.4915839, 2.5679820", \
+					  "2.5602545, 2.5594951, 2.5550879, 2.5600466, 2.5718648, 2.5524121, 2.6104689", \
+					  "2.5541660, 2.5542352, 2.5529467, 2.5567900, 2.5484575, 2.5578826, 2.5663932", \
+					  "2.5526610, 2.5546520, 2.5523457, 2.5628796, 2.5670948, 2.5576039, 2.5754238", \
+					  "2.5576374, 2.5521774, 2.5518888, 2.5545214, 2.5489358, 2.5556971, 2.5734171", \
+					  "2.5436487, 2.5515174, 2.5507617, 2.5542112, 2.5289215, 2.5543083, 2.5830926", \
+					  "2.5507064, 2.5408651, 2.5494149, 2.5553554, 2.5598208, 2.5651779, 2.5772667");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6425877, 3.6312326, 3.6488808, 3.7157540, 3.8083349, 5.0290034, 5.9088291", \
+					  "3.5682386, 3.5628930, 3.5808856, 3.6396424, 3.7481927, 4.9358980, 5.7991654", \
+					  "3.4986031, 3.5013643, 3.5177302, 3.5716250, 3.6751472, 4.8709628, 5.7333060", \
+					  "3.5010379, 3.4947113, 3.5135799, 3.5731109, 3.6817592, 4.8705361, 5.7145407", \
+					  "3.4993971, 3.4945538, 3.5119145, 3.5719710, 3.6700358, 4.8647581, 5.7158949", \
+					  "3.4969611, 3.5017654, 3.5077186, 3.5698303, 3.6749563, 4.8670769, 5.7048916", \
+					  "3.4979556, 3.4928464, 3.5110628, 3.5675913, 3.6742096, 4.8670970, 5.7295195");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1203269, 0.1203296, 0.1203527, 0.1203297, 0.1203369, 0.1203444, 0.1203541", \
+					  "0.2601310, 0.2601321, 0.2601288, 0.2595976, 0.2601292, 0.2605042, 0.2602050", \
+					  "0.7958579, 0.7958655, 0.7957916, 0.7956269, 0.7947670, 0.7940711, 0.7945274", \
+					  "1.0964865, 1.0966957, 1.0965016, 1.0964801, 1.0970470, 1.0968495, 1.0964118", \
+					  "1.5146151, 1.5145895, 1.5143956, 1.5137758, 1.5120386, 1.5116769, 1.5108952", \
+					  "1.6837952, 1.6848726, 1.6782818, 1.6636420, 1.6475980, 1.6413471, 1.6397206", \
+					  "1.6924307, 1.6910834, 1.6865486, 1.6699124, 1.6529579, 1.6451037, 1.6440429");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5453695, 5.5417959, 5.5307523, 5.5159334, 5.3296111, 4.2023938, -12.9970219", \
+					  "5.5359723, 5.5386225, 5.5295195, 5.4949322, 5.3201003, 4.1355070, -12.8339456", \
+					  "5.5308266, 5.5296279, 5.5254515, 5.5022535, 5.3471293, 4.1298474, -12.7254872", \
+					  "5.5317684, 5.5272078, 5.5250090, 5.4980701, 5.3411808, 4.1278012, -12.8270361", \
+					  "5.5306857, 5.5273121, 5.5243366, 5.4981401, 5.3365094, 4.1234242, -12.7341634", \
+					  "5.5295675, 5.5279364, 5.5246955, 5.4941991, 5.3321677, 4.1393549, -12.8019623", \
+					  "5.5266770, 5.5279095, 5.5226897, 5.4922974, 5.3390428, 4.1187108, -12.8795831");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924684, 0.0924967, 0.0924314, 0.0925111, 0.0924415, 0.0925547, 0.0925250", \
+					  "0.2780286, 0.2779264, 0.2779298, 0.2779390, 0.2779260, 0.2779484, 0.2780221", \
+					  "0.5405348, 0.5403125, 0.5402877, 0.5399514, 0.5407697, 0.5401434, 0.5402275", \
+					  "1.0185411, 1.0188077, 1.0191194, 1.0195582, 1.0204734, 1.0203619, 1.0205440", \
+					  "1.0837014, 1.0839128, 1.0850216, 1.0882275, 1.0902049, 1.0919296, 1.0922179", \
+					  "1.0847444, 1.0849798, 1.0862094, 1.0897808, 1.0926337, 1.0936908, 1.0939843", \
+					  "1.0856794, 1.0859181, 1.0871298, 1.0904649, 1.0938732, 1.0948238, 1.0949986");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2991628, 6.2902255, 6.2885051, 6.2730491, 6.0860143, 4.9597633, -12.3935231", \
+					  "6.2262089, 6.2288232, 6.2242617, 6.1975951, 6.0280285, 4.8083127, -12.3631899", \
+					  "6.1663774, 6.1640134, 6.1599507, 6.1330633, 5.9920338, 4.7842408, -12.4950177", \
+					  "6.1560415, 6.1592533, 6.1460126, 6.1320043, 5.9702708, 4.7623118, -12.2711199", \
+					  "6.1499969, 6.1514749, 6.1434119, 6.1180568, 5.9687574, 4.7791378, -12.3749898", \
+					  "6.1431584, 6.1415754, 6.1342883, 6.1091712, 5.9598523, 4.7530416, -12.4092539", \
+					  "6.1288916, 6.1328967, 6.1298891, 6.1063576, 5.9610489, 4.7057115, -12.5849591");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1340880, 3.1285336, 3.1210945, 3.1324081, 3.1276055, 3.1245225, 3.1471949", \
+					  "3.0556453, 3.0548133, 3.0574182, 3.0568961, 3.0670342, 3.0607476, 3.0749077", \
+					  "2.9938092, 2.9934685, 2.9899228, 2.9958011, 2.9983676, 3.0114823, 3.0187252", \
+					  "2.9859052, 2.9895720, 2.9906902, 2.9929909, 3.0028153, 3.0111402, 3.0263063", \
+					  "2.9875381, 2.9880581, 2.9843152, 2.9911689, 3.0336106, 3.0109096, 3.0060648", \
+					  "2.9972040, 2.9919805, 2.9865901, 2.9865890, 2.9930938, 2.9703559, 3.0062553", \
+					  "2.9885174, 2.9895500, 2.9866270, 2.9913140, 2.9976688, 3.0092970, 3.0271447");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2968938, 6.3034771, 6.2875866, 6.2659918, 6.1698938, 4.8241521, -12.2856901", \
+					  "6.2262133, 6.2288153, 6.2242118, 6.1983736, 6.0621913, 4.7965518, -12.3147199", \
+					  "6.1652992, 6.1639943, 6.1600608, 6.1350882, 5.9921877, 4.7380247, -12.4760587", \
+					  "6.1572758, 6.1618355, 6.1502870, 6.1234361, 6.0786993, 4.8452320, -12.5885689", \
+					  "6.1499653, 6.1478116, 6.1445924, 6.1143636, 5.9616558, 4.7641754, -12.5384758", \
+					  "6.1432295, 6.1411769, 6.1341959, 6.1111388, 5.9610705, 4.7399648, -12.4504569", \
+					  "6.1307972, 6.1330597, 6.1305257, 6.0970165, 5.9618716, 4.7395551, -12.5059041");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1397258, 3.1760494, 3.1352635, 3.0031305, 3.1279779, 3.0811739, 3.1481965", \
+					  "3.0517559, 3.0548114, 3.0574247, 3.0572255, 3.0670479, 3.0595062, 3.0764919", \
+					  "2.9937598, 3.0005083, 2.9903332, 3.0020225, 2.9680561, 3.0034274, 2.9882954", \
+					  "2.9851101, 2.9895672, 2.9906035, 2.9916248, 3.0012731, 2.9933923, 3.0027288", \
+					  "2.9877862, 2.9881056, 2.9904856, 2.9904594, 2.9966383, 3.0172427, 3.0041199", \
+					  "2.9936944, 2.9919901, 2.9864422, 2.9844314, 2.9934236, 2.9795855, 3.0045614", \
+					  "2.9919973, 2.9905479, 2.9865613, 2.9915081, 2.9974170, 3.0092320, 3.0141662");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924670, 0.0924947, 0.0924381, 0.0925470, 0.0924534, 0.0925088, 0.0924620", \
+					  "0.2778753, 0.2779274, 0.2779339, 0.2780043, 0.2779350, 0.2779813, 0.2779376", \
+					  "0.5419230, 0.5418905, 0.5417025, 0.5411789, 0.5412805, 0.5406750, 0.5413007", \
+					  "1.2759468, 1.2779538, 1.2894345, 1.3358778, 1.3969851, 1.4184362, 1.4224441", \
+					  "1.7550284, 1.7580087, 1.7780244, 1.8505273, 1.9415610, 1.9729367, 1.9788051", \
+					  "1.7869365, 1.7905333, 1.8106164, 1.8843864, 1.9772604, 2.0092862, 2.0151017", \
+					  "1.7881681, 1.7910982, 1.8117122, 1.8856584, 1.9788365, 2.0109376, 2.0169516");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8684049, 6.8747969, 6.8974559, 7.0235664, 7.0663937, 6.1427562, -0.0061996", \
+					  "6.8630917, 6.8704049, 6.8952925, 7.0182900, 7.0688433, 6.1251642, -0.2947989", \
+					  "6.8609922, 6.8669388, 6.8889177, 7.0170175, 7.0556585, 6.1978269, -0.0626291", \
+					  "6.8595765, 6.8659069, 6.8901180, 7.0106397, 7.0568540, 6.1717309, -0.2993361", \
+					  "6.8606721, 6.8669735, 6.8907002, 7.0053099, 7.0623797, 6.1681193, -0.0638681", \
+					  "6.8607852, 6.8640001, 6.8886596, 7.0140480, 7.0608695, 6.1231166, -0.0365937", \
+					  "6.8604911, 6.8625116, 6.8882619, 7.0120617, 7.0643176, 6.2040639, -0.0348431");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3914011, 6.3872894, 6.3865668, 6.3859059, 6.3331356, 6.0924050, 0.3813962", \
+					  "6.3208485, 6.3207765, 6.3218217, 6.3197916, 6.2761135, 6.0000235, 0.3016704", \
+					  "6.2586074, 6.2562517, 6.2553639, 6.2581009, 6.2116112, 5.9412042, 0.2521117", \
+					  "6.2495782, 6.2501472, 6.2487759, 6.2463087, 6.2036809, 5.8587255, 0.1851641", \
+					  "6.2418536, 6.2422534, 6.2412243, 6.2405061, 6.2064106, 6.0046067, 0.2329749", \
+					  "6.2339677, 6.2372550, 6.2336498, 6.2322026, 6.1882889, 5.8745516, 0.2198724", \
+					  "6.2266650, 6.2267031, 6.2262230, 6.2279604, 6.1785598, 6.0024350, 0.2216373");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1534194, 0.1532470, 0.1534200, 0.1533715, 0.1531174, 0.1531977, 0.1531915", \
+					  "0.3107034, 0.3109392, 0.3110066, 0.3110485, 0.3103495, 0.3097933, 0.3096112", \
+					  "0.6112212, 0.6111071, 0.6102429, 0.6066927, 0.6004628, 0.5968118, 0.5960185", \
+					  "1.3614874, 1.3643809, 1.3798540, 1.4402284, 1.5202172, 1.5477388, 1.5525998", \
+					  "1.7797547, 1.7815172, 1.8030587, 1.8760824, 1.9681110, 1.9996879, 2.0053821", \
+					  "2.0617940, 2.0653806, 2.0852813, 2.1590285, 2.2521342, 2.2839583, 2.2898265", \
+					  "2.1093782, 2.1127739, 2.1329692, 2.2067335, 2.3005276, 2.3325244, 2.3383381");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9654974, 7.9721813, 8.0011659, 8.1586311, 8.3309212, 7.5107084, 1.4135773", \
+					  "7.8980114, 7.9058896, 7.9352420, 8.0912613, 8.2665274, 7.4632984, 1.3366479", \
+					  "7.8318151, 7.8382596, 7.8743254, 8.0276481, 8.2004022, 7.3839208, 1.0448436", \
+					  "7.8262380, 7.8340712, 7.8635754, 8.0258848, 8.1952415, 7.3842635, 1.0774026", \
+					  "7.8171404, 7.8226065, 7.8585829, 8.0118449, 8.1862409, 7.3776456, 1.0227071", \
+					  "7.8130110, 7.8142817, 7.8515288, 8.0046216, 8.1773671, 7.3634617, 1.2609266", \
+					  "7.8022931, 7.8066611, 7.8401968, 8.0068083, 8.1717033, 7.4323650, 1.2398575");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7876114, 4.7942246, 4.8304240, 5.0019257, 5.5954886, 6.4661138, 6.9275377", \
+					  "4.7224936, 4.7121811, 4.7610153, 4.9351998, 5.5344188, 6.4015494, 6.8717488", \
+					  "4.6519080, 4.6615556, 4.6891885, 4.8704827, 5.4554549, 6.3338339, 6.8151164", \
+					  "4.6340270, 4.6569578, 4.6886616, 4.8662761, 5.4589279, 6.3308047, 6.8070877", \
+					  "4.6470104, 4.6523256, 4.6956069, 4.8680363, 5.4555498, 6.3303730, 6.7956033", \
+					  "4.6473794, 4.6510709, 4.6938999, 4.8628234, 5.4570594, 6.3308353, 6.8033441", \
+					  "4.6509014, 4.6457124, 4.6913586, 4.8608173, 5.4577066, 6.3310349, 6.8127515");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925413, 0.0925000, 0.0925086, 0.0924864, 0.0925054, 0.0924946, 0.0925881", \
+					  "0.2622713, 0.2620627, 0.2622145, 0.2622601, 0.2622591, 0.2622646, 0.2622067", \
+					  "0.4777212, 0.4779651, 0.4779863, 0.4777008, 0.4776926, 0.4776878, 0.4779615", \
+					  "1.0161071, 1.0161030, 1.0160726, 1.0155860, 1.0149893, 1.0140823, 1.0136189", \
+					  "1.1832079, 1.1832092, 1.1831992, 1.1831634, 1.1835506, 1.1832419, 1.1831119", \
+					  "1.2547300, 1.2545190, 1.2540838, 1.2530223, 1.2502425, 1.2481804, 1.2474490", \
+					  "1.3268199, 1.3259200, 1.3211196, 1.3058249, 1.2903294, 1.2823783, 1.2806416");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2265420, 3.2216939, 3.2417601, 3.2992953, 3.4040606, 4.5963907, 5.4303846", \
+					  "3.2235516, 3.2175701, 3.2365009, 3.2958879, 3.4005457, 4.5912688, 5.4536341", \
+					  "3.2137632, 3.2233260, 3.2337715, 3.2923756, 3.3933513, 4.5885560, 5.4438137", \
+					  "3.2147494, 3.2131335, 3.2319826, 3.2914103, 3.3945171, 4.5913158, 5.4488508", \
+					  "3.2174022, 3.2182490, 3.2350964, 3.2918203, 3.3929742, 4.5840657, 5.4485088", \
+					  "3.2156799, 3.2110380, 3.2320045, 3.2893619, 3.3915976, 4.5917834, 5.4605095", \
+					  "3.2162747, 3.2108784, 3.2297491, 3.2858232, 3.3994237, 4.5858827, 5.4481209");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0926069, 0.0925069, 0.0925083, 0.0926010, 0.0925415, 0.0925115, 0.0926963", \
+					  "0.2622803, 0.2622050, 0.2624471, 0.2622686, 0.2622179, 0.2622658, 0.2622856", \
+					  "0.4777762, 0.4780106, 0.4777575, 0.4777443, 0.4780088, 0.4772452, 0.4779233", \
+					  "1.0743608, 1.0741502, 1.0743281, 1.0741252, 1.0731126, 1.0726031, 1.0722302", \
+					  "1.2745452, 1.2744181, 1.2731132, 1.2689017, 1.2626697, 1.2585200, 1.2571105", \
+					  "1.3328392, 1.3319056, 1.3268500, 1.3108344, 1.2933976, 1.2855903, 1.2836313", \
+					  "1.3371227, 1.3361660, 1.3310551, 1.3150060, 1.2973016, 1.2894562, 1.2875560");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5802346, 2.5776542, 2.5838897, 2.6172516, 2.8397937, 3.8846757, 4.5238307", \
+					  "2.5762580, 2.5767580, 2.5800733, 2.6179777, 2.8311407, 3.8566793, 4.4699925", \
+					  "2.5726161, 2.5737352, 2.5783493, 2.6138340, 2.8274845, 3.8687950, 4.4618254", \
+					  "2.5715174, 2.5718319, 2.5774988, 2.6126904, 2.8241686, 3.8702975, 4.4661035", \
+					  "2.5705442, 2.5701070, 2.5741784, 2.6113063, 2.8251277, 3.8501584, 4.4794823", \
+					  "2.5703767, 2.5704365, 2.5754633, 2.6101838, 2.8252080, 3.8689332, 4.5092261", \
+					  "2.5691400, 2.5699395, 2.5752534, 2.6108868, 2.8238366, 3.8226009, 4.4679345");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8984677, 2.8989174, 2.9089959, 2.9844829, 3.4271260, 4.7784760, 4.9604060", \
+					  "2.8934073, 2.8951132, 2.9069021, 2.9790686, 3.4306785, 4.7753264, 5.0120554", \
+					  "2.8899399, 2.8911382, 2.9045754, 2.9747107, 3.4202080, 4.7742816, 5.0057982", \
+					  "2.8882437, 2.8920807, 2.9038532, 2.9713783, 3.4224069, 4.7723082, 4.9783292", \
+					  "2.8897049, 2.8917317, 2.8997496, 2.9708941, 3.4181323, 4.7735010, 5.0672070", \
+					  "2.8890209, 2.8908607, 2.9009655, 2.9786898, 3.4259225, 4.7785380, 4.9975521", \
+					  "2.8867700, 2.8911190, 2.9052949, 2.9710327, 3.4344706, 4.7649517, 4.9800491");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0926309, 0.0925037, 0.0925188, 0.0925300, 0.0925338, 0.0925930, 0.0925265", \
+					  "0.2622228, 0.2622214, 0.2622698, 0.2622649, 0.2622086, 0.2622573, 0.2622605", \
+					  "0.4781292, 0.4775578, 0.4778470, 0.4783947, 0.4781060, 0.4778257, 0.4778287", \
+					  "0.9867325, 0.9867299, 0.9865696, 0.9880877, 0.9863563, 0.9863142, 0.9862556", \
+					  "1.1375364, 1.1375864, 1.1375217, 1.1374898, 1.1376066, 1.1375795, 1.1374710", \
+					  "1.2089040, 1.2092931, 1.2086360, 1.2072296, 1.2048865, 1.2028220, 1.2021809", \
+					  "1.2817597, 1.2808584, 1.2760732, 1.2608462, 1.2440259, 1.2371968, 1.2354937");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925495, 0.0925102, 0.0925495, 0.0925300, 0.0925540, 0.0925297, 0.0925128", \
+					  "0.2622165, 0.2622667, 0.2622156, 0.2623203, 0.2622152, 0.2622685, 0.2622714", \
+					  "0.4781357, 0.4778181, 0.4781314, 0.4781212, 0.4774745, 0.4775821, 0.4778377", \
+					  "1.0332430, 1.0335639, 1.0325420, 1.0332729, 1.0332447, 1.0334609, 1.0334592", \
+					  "1.1716128, 1.1715347, 1.1705558, 1.1687889, 1.1670582, 1.1661763, 1.1661478", \
+					  "1.1840051, 1.1838319, 1.1823614, 1.1797226, 1.1768087, 1.1771890, 1.1770498", \
+					  "1.1871037, 1.1858230, 1.1848682, 1.1821393, 1.1794503, 1.1787746, 1.1785655");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5566278, 2.5658830, 2.5666003, 2.5668601, 2.4988648, 2.6925313, 2.5133025", \
+					  "2.5574974, 2.5441114, 2.5530565, 2.5713492, 2.5528332, 2.5905035, 2.6230686", \
+					  "2.5539123, 2.5549206, 2.5530306, 2.5516958, 2.5678562, 2.5860760, 2.5766667", \
+					  "2.5543716, 2.5528874, 2.5498915, 2.5573070, 2.5687913, 2.5631246, 2.5689303", \
+					  "2.5524684, 2.5523558, 2.5478564, 2.5531692, 2.5539255, 2.5809606, 2.5747791", \
+					  "2.5547637, 2.5380640, 2.5502448, 2.5518535, 2.5296649, 2.5654526, 2.5649979", \
+					  "2.5524261, 2.5502369, 2.5498679, 2.5532237, 2.5606090, 2.5535223, 2.5679777");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5582069, 7.5707628, 7.5861966, 7.7059281, 7.7600741, 6.9071877, 0.6453798", \
+					  "7.4906202, 7.4961280, 7.5226025, 7.6416071, 7.6923660, 6.8254214, 0.5522545", \
+					  "7.4270374, 7.4379887, 7.4576335, 7.5787623, 7.6288707, 6.7672036, 0.5536874", \
+					  "7.4213458, 7.4230675, 7.4464507, 7.5702700, 7.6214522, 6.7542292, 0.5131218", \
+					  "7.4143445, 7.4189806, 7.4416629, 7.5588869, 7.6138143, 6.7547688, 0.5182802", \
+					  "7.4031921, 7.4088239, 7.4337154, 7.5544080, 7.6066882, 6.7405789, 0.5173880", \
+					  "7.3971493, 7.4031991, 7.4274245, 7.5466260, 7.6008110, 6.7431255, 0.4656969");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1535370, 0.1535474, 0.1535106, 0.1534062, 0.1532486, 0.1538041, 0.1531949", \
+					  "0.3109397, 0.3109726, 0.3106914, 0.3111322, 0.3105539, 0.3101808, 0.3097828", \
+					  "0.6118273, 0.6108648, 0.6104465, 0.6069993, 0.6005598, 0.5969798, 0.5961807", \
+					  "1.3631301, 1.3662514, 1.3814588, 1.4421393, 1.5214516, 1.5492465, 1.5540648", \
+					  "1.7838454, 1.7878999, 1.8070575, 1.8799343, 1.9720676, 2.0046468, 2.0091903", \
+					  "2.0655764, 2.0694784, 2.0889277, 2.1625732, 2.2558311, 2.2876312, 2.2925710", \
+					  "2.1136383, 2.1164487, 2.1366218, 2.2106562, 2.3041016, 2.3361018, 2.3419062");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8754709, 6.8713457, 6.8989577, 7.0231327, 7.0743244, 6.1505546, -0.0205452", \
+					  "6.8687126, 6.8699690, 6.8931571, 7.0185030, 7.0616013, 6.1886850, -0.0308541", \
+					  "6.8649764, 6.8657700, 6.8892877, 7.0131053, 7.0570922, 6.1941432, -0.0480539", \
+					  "6.8600314, 6.8652941, 6.8922682, 7.0162424, 7.0626367, 6.1964507, -0.0569884", \
+					  "6.8583584, 6.8650640, 6.8889889, 7.0131777, 7.0636487, 6.1787445, -0.0333620", \
+					  "6.8584576, 6.8656489, 6.8862696, 7.0109901, 7.0553587, 6.1810351, -0.0376018", \
+					  "6.8570629, 6.8653657, 6.8879995, 7.0123718, 7.0648376, 6.1320885, -0.0342993");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924432, 0.0924830, 0.0925151, 0.0925070, 0.0929328, 0.0924219, 0.0924824", \
+					  "0.2779207, 0.2777556, 0.2779285, 0.2779151, 0.2779740, 0.2779780, 0.2779948", \
+					  "0.5418811, 0.5425610, 0.5417013, 0.5417340, 0.5406581, 0.5406738, 0.5412802", \
+					  "1.2758980, 1.2777027, 1.2893935, 1.3350964, 1.3969708, 1.4183865, 1.4221352", \
+					  "1.7550007, 1.7585571, 1.7779794, 1.8499472, 1.9408426, 1.9734821, 1.9788862", \
+					  "1.7865744, 1.7906430, 1.8105784, 1.8843920, 1.9771581, 2.0092797, 2.0153519", \
+					  "1.7881336, 1.7912502, 1.8116812, 1.8852809, 1.9788098, 2.0109367, 2.0169618");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925009, 0.0924094, 0.0924863, 0.0924830, 0.0925026, 0.0924688, 0.0924672", \
+					  "0.2780392, 0.2778606, 0.2778868, 0.2779575, 0.2779773, 0.2779379, 0.2778601", \
+					  "0.5419319, 0.5418943, 0.5423649, 0.5410889, 0.5406688, 0.5413070, 0.5413235", \
+					  "1.2740936, 1.2771033, 1.2884397, 1.3345340, 1.3950650, 1.4173702, 1.4214250", \
+					  "1.7519284, 1.7544127, 1.7736244, 1.8464158, 1.9387178, 1.9696102, 1.9754306", \
+					  "1.7835835, 1.7864192, 1.8065842, 1.8807898, 1.9737055, 2.0056437, 2.0115936", \
+					  "1.7845399, 1.7883300, 1.8080749, 1.8819676, 1.9754273, 2.0076110, 2.0135804");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6988257, 5.6985815, 5.6984662, 5.6929051, 5.6470416, 5.3970953, -0.3436184", \
+					  "5.6927870, 5.6952004, 5.6942910, 5.6917878, 5.6515938, 5.4485791, -0.3418895", \
+					  "5.6914069, 5.6915010, 5.6907416, 5.6890420, 5.6367848, 5.3832493, -0.2998617", \
+					  "5.6902167, 5.6909471, 5.6907827, 5.6870604, 5.6435436, 5.4106170, -0.3247046", \
+					  "5.6892056, 5.6900304, 5.6878512, 5.6873130, 5.6429058, 5.4151336, -0.2933182", \
+					  "5.6880319, 5.6875848, 5.6888220, 5.6910113, 5.6447327, 5.4375556, -0.3241943", \
+					  "5.6885353, 5.6868431, 5.6855083, 5.6851609, 5.6294025, 5.3863339, -0.3282996");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924827, 0.0925221, 0.0925197, 0.0925406, 0.0925305, 0.0927004, 0.0926030", \
+					  "0.2620924, 0.2622621, 0.2622146, 0.2622126, 0.2622149, 0.2622124, 0.2622646", \
+					  "0.4778526, 0.4778615, 0.4780171, 0.4771235, 0.4781165, 0.4781132, 0.4778379", \
+					  "1.0353826, 1.0353770, 1.0351514, 1.0350993, 1.0350839, 1.0345895, 1.0352825", \
+					  "1.1723993, 1.1722662, 1.1715318, 1.1696163, 1.1677738, 1.1672792, 1.1671781", \
+					  "1.1840587, 1.1838782, 1.1822872, 1.1795824, 1.1779303, 1.1772441, 1.1765210", \
+					  "1.1859847, 1.1858145, 1.1853711, 1.1819159, 1.1794526, 1.1787690, 1.1785876");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5734815, 2.5530489, 2.5644052, 2.6308256, 2.5646746, 2.5388703, 2.5566116", \
+					  "2.5587683, 2.5622117, 2.5596487, 2.5590120, 2.5669172, 2.5893547, 2.5418311", \
+					  "2.5528071, 2.5547953, 2.5517157, 2.5585817, 2.5922805, 2.5725016, 2.5688619", \
+					  "2.5525975, 2.5519077, 2.5525203, 2.5586707, 2.5619719, 2.5835915, 2.5779448", \
+					  "2.5540164, 2.5532943, 2.5451181, 2.5578959, 2.5609436, 2.5879244, 2.5763659", \
+					  "2.5534434, 2.5550139, 2.5501582, 2.5574892, 2.5601769, 2.5663684, 2.5801670", \
+					  "2.5552572, 2.5507812, 2.5593037, 2.5461744, 2.5884401, 2.5604223, 2.5567937");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6236016, 7.6286835, 7.6540495, 7.7745252, 7.8125650, 6.9634673, 0.6970516", \
+					  "7.5539429, 7.5623519, 7.5870362, 7.7112112, 7.7594495, 6.8922603, 0.6324239", \
+					  "7.4930210, 7.4980821, 7.5228999, 7.6393085, 7.6909218, 6.7608771, 0.5694660", \
+					  "7.4854577, 7.4904650, 7.5164034, 7.6321667, 7.6876779, 6.8282373, 0.3311332", \
+					  "7.4775327, 7.4824584, 7.5075122, 7.6239668, 7.6796176, 6.7497069, 0.5463342", \
+					  "7.4713529, 7.4729219, 7.4980902, 7.6247336, 7.6753980, 6.7364208, 0.3439016", \
+					  "7.4624859, 7.4690700, 7.4911661, 7.6179223, 7.6585686, 6.7347958, 0.3012744");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9237200, 3.9043544, 3.9153378, 3.9700228, 4.0214873, 4.0298782, 4.0566727", \
+					  "3.8363765, 3.8383931, 3.8468820, 3.9051917, 3.9713436, 3.9938274, 4.0454146", \
+					  "3.7652461, 3.7679588, 3.7763458, 3.8335053, 3.9001248, 3.9310467, 3.9604216", \
+					  "3.7611623, 3.7694655, 3.7821268, 3.8334694, 3.8942546, 3.9273670, 3.9283003", \
+					  "3.7673959, 3.7695872, 3.7824164, 3.8295154, 3.8791580, 3.9174911, 3.9633823", \
+					  "3.7637807, 3.7694289, 3.7746236, 3.8310437, 3.8999886, 3.9343278, 3.9657792", \
+					  "3.7666266, 3.7693683, 3.7802485, 3.8306340, 3.8992579, 3.9252774, 3.9441569");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7842921, 6.7883618, 6.7946098, 6.8304856, 6.9057570, 6.7963541, 1.1043738", \
+					  "6.7191510, 6.7187949, 6.7288967, 6.7632286, 6.8395924, 6.7245811, 1.0523837", \
+					  "6.6551552, 6.6527388, 6.6637915, 6.7016987, 6.7770386, 6.6218269, 0.9975402", \
+					  "6.6478677, 6.6456659, 6.6564051, 6.6970194, 6.7684566, 6.6570322, 1.0621927", \
+					  "6.6394804, 6.6394891, 6.6487360, 6.6851162, 6.7601300, 6.7166214, 0.9804689", \
+					  "6.6354217, 6.6325135, 6.6407109, 6.6737465, 6.7475074, 6.5510721, 0.9894739", \
+					  "6.6236638, 6.6251800, 6.6321028, 6.6793745, 6.7448264, 6.6198934, 0.9729714");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9200975, 3.9223821, 3.9410182, 4.0226877, 4.2938111, 5.4115295, 5.9976262", \
+					  "3.8516837, 3.8544107, 3.8720329, 3.9534057, 4.2292281, 5.2786980, 5.9086581", \
+					  "3.7850584, 3.7867641, 3.8057203, 3.8870797, 4.1606777, 5.2138452, 5.8276107", \
+					  "3.7831186, 3.7857706, 3.8041604, 3.8850003, 4.1557576, 5.2153033, 5.8285450", \
+					  "3.7824871, 3.7821367, 3.8031125, 3.8860097, 4.1605883, 5.2252458, 5.8366707", \
+					  "3.7820352, 3.7849018, 3.8008816, 3.8838023, 4.1578092, 5.2015516, 5.8177553", \
+					  "3.7808309, 3.7834503, 3.8008340, 3.8853298, 4.1578692, 5.1980068, 5.8475210");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6207315, 6.6219640, 6.6315870, 6.6415677, 6.6203911, 5.5703369, -11.4973141", \
+					  "6.5547525, 6.5598370, 6.5595916, 6.5784267, 6.5453443, 5.4084069, -11.5515699", \
+					  "6.4955537, 6.4910057, 6.5002687, 6.5096453, 6.4909003, 5.4649081, -11.4805087", \
+					  "6.4909641, 6.4877770, 6.4921546, 6.5057140, 6.4644810, 5.4273796, -11.6505619", \
+					  "6.4766610, 6.4708015, 6.4720388, 6.5017173, 6.4683196, 5.4119571, -11.5773228", \
+					  "6.4735837, 6.4721708, 6.4769096, 6.4928621, 6.4641633, 5.4344536, -11.3153519", \
+					  "6.4624629, 6.4645441, 6.4692465, 6.4788972, 6.4532003, 5.4358336, -11.5394931");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1442092, 3.1471127, 3.1549127, 3.1868999, 3.3836833, 4.4533155, 5.0476630", \
+					  "3.0763345, 3.0760240, 3.0836123, 3.1204774, 3.3254846, 4.3545996, 4.9643874", \
+					  "3.0090993, 3.0085994, 3.0160954, 3.0496499, 3.2623244, 4.2941317, 4.8977764", \
+					  "3.0077025, 3.0090447, 3.0134739, 3.0497498, 3.2622315, 4.3043874, 4.9056998", \
+					  "3.0068994, 3.0079946, 3.0127580, 3.0504472, 3.2642790, 4.2855651, 4.9066612", \
+					  "3.0052737, 3.0049931, 3.0131814, 3.0455798, 3.2588415, 4.2847277, 4.9255410", \
+					  "3.0055186, 3.0058252, 3.0117621, 3.0478038, 3.2559728, 4.3071425, 4.8955920");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9333778, 2.9340355, 2.9434030, 2.9943517, 3.1897982, 3.8384804, 4.1438501", \
+					  "3.1156622, 3.1120631, 3.1224130, 3.1728198, 3.3731464, 4.0134853, 4.3433497", \
+					  "3.3732327, 3.3759746, 3.3838698, 3.4308300, 3.6374391, 4.2712042, 4.5988727", \
+					  "4.0043990, 4.0054109, 4.0216121, 4.0987660, 4.3406539, 4.9882711, 5.3205016", \
+					  "4.3176780, 4.3194638, 4.3377757, 4.4332420, 4.6917523, 5.3479539, 5.6889237", \
+					  "4.3345432, 4.3356305, 4.3587785, 4.4537134, 4.7100312, 5.3704202, 5.7097668", \
+					  "4.3360552, 4.3370567, 4.3606016, 4.4525640, 4.7228802, 5.3632753, 5.6979373");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0804838, 6.0798137, 6.0837615, 6.1128739, 6.0545117, 5.2180969, -5.1616111", \
+					  "6.2444171, 6.2457360, 6.2502119, 6.2740961, 6.2121839, 5.3921799, -5.0491574", \
+					  "6.4567560, 6.4570475, 6.4623334, 6.4885598, 6.4254816, 5.6035017, -4.7628490", \
+					  "7.0220917, 7.0228844, 7.0281809, 7.0533419, 6.9896302, 6.1678207, -4.1950923", \
+					  "7.1890006, 7.1900942, 7.1937770, 7.2168861, 7.1524262, 6.3256786, -4.0105395", \
+					  "7.2301105, 7.2307122, 7.2334814, 7.2512613, 7.1800061, 6.3523426, -3.9867929", \
+					  "7.2469468, 7.2481394, 7.2488922, 7.2637284, 7.1927604, 6.3505051, -3.9801679");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.4766280, 11.4809313, 11.4916858, 11.5614000, 11.5463999, 10.2693827, -7.1060888", \
+					  "11.4096207, 11.4169386, 11.4290904, 11.4982981, 11.4805323, 10.1593885, -7.1833742", \
+					  "11.4799243, 11.4805745, 11.4974460, 11.5649857, 11.5512741, 10.2417357, -7.0943323", \
+					  "11.5639079, 11.5672280, 11.5791935, 11.6491457, 11.6477145, 10.3505517, -7.0795113", \
+					  "11.6901195, 11.6918178, 11.7059544, 11.7744257, 11.7602678, 10.5083333, -6.9195971", \
+					  "11.7371231, 11.7357028, 11.7457717, 11.8115368, 11.7913758, 10.4803213, -6.7878420", \
+					  "11.7224505, 11.7271568, 11.7397750, 11.8068557, 11.7823128, 10.4986832, -6.9311995");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2038570, 6.2022365, 6.2218272, 6.3119928, 6.7081532, 7.7836390, 8.3376374", \
+					  "6.1312436, 6.1310803, 6.1575400, 6.2672732, 6.6547178, 7.7159824, 8.2779387", \
+					  "6.1214494, 6.1257025, 6.1440809, 6.2561467, 6.6388228, 7.7119417, 8.2567225", \
+					  "6.3637394, 6.3729232, 6.3998176, 6.5312442, 6.9444644, 8.0236942, 8.5690996", \
+					  "6.5066485, 6.5108745, 6.5405272, 6.6749320, 7.0943651, 8.1809027, 8.7305853", \
+					  "6.6018506, 6.6037614, 6.6300507, 6.7644868, 7.1869388, 8.2539326, 8.8106623", \
+					  "6.6168410, 6.6187675, 6.6477298, 6.7831240, 7.2013883, 8.2847049, 8.8420073");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7850180, 7.7926732, 7.7926734, 7.7926739, 7.7926744, 7.7926748, 7.8869018", \
+					  "8.2333358, 8.2396472, 8.2396474, 8.2396484, 8.2396493, 8.2396503, 8.3263130", \
+					  "8.5272340, 8.5417460, 8.5417467, 8.5417476, 8.5417486, 8.5417495, 8.6639567", \
+					  "8.7716652, 8.7790708, 8.7790714, 8.7790723, 8.7790733, 8.7790742, 8.8696552", \
+					  "8.9840553, 8.9917878, 8.9917884, 8.9917894, 8.9917903, 8.9917913, 9.1239869", \
+					  "9.1783097, 9.1818402, 9.1818405, 9.1818414, 9.1818424, 9.1818433, 9.2386490", \
+					  "9.3044559, 9.3250847, 9.3250852, 9.3250862, 9.3250871, 9.3250881, 9.5117505");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.6539726, 7.6600859, 7.6970714, 7.9125684, 9.3415879, 18.8615190, 78.5075470", \
+					  "8.1054496, 8.1115674, 8.1487652, 8.3644025, 9.7935580, 19.3076970, 78.9589550", \
+					  "8.4081231, 8.4142444, 8.4514840, 8.6670759, 10.0963050, 19.6110450, 79.2607010", \
+					  "8.6518952, 8.6580156, 8.6952054, 8.9108037, 10.3397970, 19.8545660, 79.5053910", \
+					  "8.8609973, 8.8671301, 8.9038741, 9.1193746, 10.5492260, 20.0642220, 79.7159880", \
+					  "9.0459187, 9.0520302, 9.0889554, 9.3047241, 10.7338920, 20.2501390, 79.9001260", \
+					  "9.2113517, 9.2174846, 9.2548014, 9.4702886, 10.8995250, 20.4137350, 80.0646300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.4971037, 1.5070514, 1.5792019, 2.1364610, 5.9937801, 31.6856020, 191.7332500", \
+					  "1.4946531, 1.5078471, 1.5850358, 2.1327498, 5.9871192, 31.7152420, 191.7101700", \
+					  "1.4946013, 1.5079135, 1.5852873, 2.1320332, 5.9881606, 31.6474170, 191.7671000", \
+					  "1.4952578, 1.5084423, 1.5857899, 2.1321853, 5.9883365, 31.6581820, 191.3879100", \
+					  "1.4928733, 1.5056016, 1.5815554, 2.1344222, 5.9866658, 31.6397220, 191.7858400", \
+					  "1.4956707, 1.5076216, 1.5893524, 2.1332158, 5.9857688, 31.7216760, 191.5856800", \
+					  "1.4945141, 1.5074705, 1.5841291, 2.1331319, 5.9874248, 31.7113770, 191.7448300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("132.9406700, 132.9529900, 133.0134000, 133.3220800, 135.3616000, 145.9530200, 193.4098700", \
+					  "133.5248900, 133.5337700, 133.5888100, 133.9056400, 135.9431000, 146.5449600, 194.0159100", \
+					  "134.0111700, 134.0196400, 134.0539600, 134.4001100, 136.4222600, 147.0301600, 194.4347600", \
+					  "134.4208900, 134.4289400, 134.4849100, 134.8017900, 136.8392300, 147.4401600, 194.9139500", \
+					  "134.7935800, 134.8033200, 134.8575200, 135.1745600, 137.2050900, 147.8146300, 195.2885100", \
+					  "135.1335900, 135.1428300, 135.1920000, 135.5168300, 137.5473900, 148.1487600, 195.6451000", \
+					  "135.4675600, 135.4756700, 135.5315200, 135.8483200, 137.8858300, 148.4868600, 195.9604800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("50.8444200, 50.8457060, 50.8357480, 50.6030130, 50.3751560, 55.0639890, 176.7372900", \
+					  "50.8476390, 50.8430920, 50.8410200, 50.6007360, 50.3527350, 55.2224900, 176.3946100", \
+					  "50.6731870, 50.6751900, 50.8197500, 50.8071100, 50.4464490, 55.2675380, 176.0011800", \
+					  "50.7107640, 50.8405830, 50.8350770, 50.6007040, 50.3481270, 55.2216800, 176.3162100", \
+					  "50.7099430, 50.7067490, 50.8329130, 50.6009560, 50.3192760, 55.2227450, 176.3146700", \
+					  "50.6931880, 50.6860650, 50.6572950, 50.8234090, 50.2077100, 55.5861420, 176.0365600", \
+					  "50.7111330, 50.8409880, 50.8356240, 50.6005550, 50.3493070, 55.2212570, 176.3311400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.6274258, 7.6337203, 7.6704119, 7.8843083, 9.2948849, 19.1540990, 82.3639540", \
+					  "8.0801250, 8.0852685, 8.1229699, 8.3359399, 9.7474517, 19.5974080, 82.8192550", \
+					  "8.3821101, 8.3883549, 8.4256104, 8.6391845, 10.0499540, 19.9041410, 83.1164120", \
+					  "8.6259604, 8.6315053, 8.6693328, 8.8823802, 10.2939880, 20.1408330, 83.3606460", \
+					  "8.8346166, 8.8401828, 8.8776337, 9.0910390, 10.5023030, 20.3496490, 83.5687860", \
+					  "9.0186827, 9.0256318, 9.0630667, 9.2764179, 10.6871650, 20.5478780, 83.7566670", \
+					  "9.1846353, 9.1913410, 9.2288683, 9.4422736, 10.8530130, 20.6999380, 83.9206960");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.5722961, 1.5852037, 1.6667118, 2.2134554, 6.0469416, 31.7239390, 191.3581700", \
+					  "1.5663822, 1.5791121, 1.6612746, 2.2105036, 6.0497714, 31.7195940, 191.8141400", \
+					  "1.5728224, 1.5853525, 1.6622550, 2.2086029, 6.0456555, 31.7227130, 191.5909600", \
+					  "1.5757752, 1.5802932, 1.6619185, 2.2118842, 6.0495839, 31.7036000, 191.7081800", \
+					  "1.5667440, 1.5787406, 1.6563568, 2.2118354, 6.0467857, 31.6535460, 191.7584500", \
+					  "1.5738452, 1.5867282, 1.6637576, 2.2077555, 6.0462342, 31.6625410, 191.7563600", \
+					  "1.5770283, 1.5892192, 1.6660517, 2.2050290, 6.0507861, 31.6644310, 191.6894900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("113.1835500, 113.2014900, 113.2517200, 113.5171800, 115.0936100, 120.8798500, 154.4043200", \
+					  "113.7659900, 113.7769200, 113.8278700, 114.0923700, 115.7003700, 121.4494600, 154.9804000", \
+					  "114.2502600, 114.2615200, 114.3090500, 114.5884000, 116.1875500, 121.9316200, 155.4631000", \
+					  "114.6614300, 114.6733500, 114.7239400, 114.9877500, 116.5768200, 122.3459400, 155.8744900", \
+					  "115.0354900, 115.0457400, 115.0964500, 115.3620700, 116.9510500, 122.7185900, 156.2468100", \
+					  "115.3784700, 115.3892500, 115.4399500, 115.7640600, 117.2871100, 123.0611800, 156.5892400", \
+					  "115.6831600, 115.7200600, 115.7701400, 116.0344700, 117.6239600, 123.3925800, 156.9214000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("115.2713300, 115.2725800, 115.1364400, 115.0256900, 114.1466900, 111.7971100, 111.0899500", \
+					  "115.2791800, 115.2752300, 115.1424100, 115.0278600, 114.1594700, 111.8394100, 111.1100500", \
+					  "115.2197900, 115.2203100, 115.1790600, 114.9453800, 114.1411500, 111.8331000, 111.1201200", \
+					  "115.2759700, 115.2718200, 115.1386000, 115.0247000, 114.1501900, 111.8336700, 111.0555800", \
+					  "115.2737500, 115.2696800, 115.1366200, 115.0225700, 114.1474800, 111.8313400, 111.0371000", \
+					  "115.1681400, 115.1672000, 115.1581800, 115.0179500, 114.0819100, 111.8384200, 111.0543500", \
+					  "115.2474200, 115.2726100, 115.1371800, 115.0255300, 114.1525300, 111.8346400, 111.0683900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4402400, 18.6545780, 20.0303860, 28.3680390, 79.3312580, 391.6789800, 2315.9087000", \
+					  "18.8734380, 19.1007730, 20.4604640, 28.8124210, 79.7629270, 392.1433900, 2315.9846000", \
+					  "19.1759690, 19.3910990, 20.7540400, 29.1013790, 80.0705070, 392.4414500, 2316.6840000", \
+					  "19.4156460, 19.6422060, 20.9914680, 29.3476020, 80.2986690, 392.6796800, 2316.4519000", \
+					  "19.6240340, 19.8443500, 21.2124400, 29.5436420, 80.5182050, 392.8867600, 2316.6585000", \
+					  "19.8066560, 20.0198360, 21.3840910, 29.7324010, 80.6984910, 393.0472300, 2316.9912000", \
+					  "19.9706790, 20.1878030, 21.5540760, 29.8919570, 80.8537880, 393.2302100, 2317.1226000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4800120, 16.9054510, 19.5565790, 35.8376050, 136.8141900, 760.0551200, 4599.8959000", \
+					  "16.4569940, 16.8843930, 19.5565180, 35.8107460, 137.0420800, 759.8650300, 4600.8940000", \
+					  "16.4542620, 16.9051300, 19.5618490, 35.8353490, 136.9770500, 758.9841900, 4601.2656000", \
+					  "16.4762550, 16.9094210, 19.5576910, 35.8152480, 137.0357400, 759.7626000, 4600.4807000", \
+					  "16.4550380, 16.8890790, 19.5569180, 35.8245130, 136.8741100, 759.8055700, 4600.4789000", \
+					  "16.4550840, 16.9053860, 19.5610890, 35.8379030, 137.0570000, 760.0417600, 4601.6830000", \
+					  "16.4753440, 16.8832450, 19.5567370, 35.8367880, 137.0464300, 758.7493100, 4599.5621000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.9570290, 13.0179400, 13.3946940, 15.3962870, 20.1935380, 41.0602080, 82.3734610", \
+					  "13.5299500, 13.5925740, 13.9692150, 15.9703770, 20.7654600, 41.6542430, 82.9519140", \
+					  "14.0161770, 14.0771470, 14.4535840, 16.4557740, 21.2526250, 42.1192470, 83.4372640", \
+					  "14.4296090, 14.4911090, 14.8662500, 16.8698410, 21.6671230, 42.5411670, 83.8504520", \
+					  "14.8062400, 14.8677270, 15.2428770, 17.2467070, 22.0418790, 42.9192080, 84.2270320", \
+					  "15.1493660, 15.2102600, 15.5869400, 17.5887620, 22.3855330, 43.2531520, 84.5653930", \
+					  "15.4747750, 15.5366390, 15.9142300, 17.9141630, 22.7139940, 43.5843820, 84.8984810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6878092, 3.7467713, 4.0264263, 4.7894240, 7.9216553, 25.6529410, 56.9298710", \
+					  "3.6903345, 3.7466217, 4.0276449, 4.8032036, 7.9239184, 25.6720510, 56.9835560", \
+					  "3.6874264, 3.7462797, 4.0265897, 4.7909374, 7.9215852, 25.6528100, 56.9231560", \
+					  "3.6866130, 3.7439798, 4.0297663, 4.7957092, 7.9257045, 25.6774600, 56.9669960", \
+					  "3.6865593, 3.7439869, 4.0296437, 4.7955804, 7.9258848, 25.6801800, 56.9720130", \
+					  "3.6876855, 3.7465790, 4.0264689, 4.7900697, 7.9216491, 25.6531880, 56.9176810", \
+					  "3.6901371, 3.7497885, 4.0264223, 4.7953597, 7.9184925, 25.6543940, 56.9336720");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.9006011, 8.9019858, 8.9089722, 8.9089723, 8.9089733, 8.9089742, 8.9089752", \
+					  "9.3387440, 9.3394830, 9.3394838, 9.3394847, 9.3394857, 9.3394866, 9.3394876", \
+					  "9.6454862, 9.6500852, 9.6500860, 9.6500869, 9.6500879, 9.6500888, 9.6500898", \
+					  "9.9130144, 9.9130149, 9.9130159, 9.9130168, 9.9130178, 9.9130188, 9.9130197", \
+					  "10.1866010, 10.1880030, 10.1880031, 10.1880041, 10.1880050, 10.1880060, 10.1880069", \
+					  "10.4291730, 10.4291740, 10.4291750, 10.4291759, 10.4291769, 10.4291778, 10.4291788", \
+					  "10.8345620, 10.8345628, 10.8345638, 10.8345647, 10.8345657, 10.8345666, 10.8345676");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7168698, 7.7307964, 7.7473592, 7.7473596, 7.7473600, 7.7473605, 7.7473610", \
+					  "8.1766380, 8.1782359, 8.1782365, 8.1782375, 8.1782384, 8.1782394, 8.1782403", \
+					  "8.4767535, 8.4767538, 8.4767547, 8.4767557, 8.4767566, 8.4767576, 8.4767585", \
+					  "8.7247001, 8.7247005, 8.7247015, 8.7247024, 8.7247034, 8.7247043, 8.7247053", \
+					  "8.9366647, 8.9366651, 8.9366661, 8.9366670, 8.9366680, 8.9366689, 8.9366699", \
+					  "9.1160208, 9.1160217, 9.1160226, 9.1160236, 9.1160245, 9.1160255, 9.1160265", \
+					  "9.2806269, 9.2859667, 9.2859674, 9.2859684, 9.2859693, 9.2859703, 9.2859712");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7841270, 10.8324820, 11.1256880, 12.8849870, 23.5958170, 89.5374510, 419.3862300", \
+					  "11.2210530, 11.2722760, 11.5653520, 13.3229250, 24.0371300, 89.9742570, 419.8066400", \
+					  "11.5158520, 11.5641530, 11.8568300, 13.6181400, 24.3303160, 90.2802030, 420.0875300", \
+					  "11.7601320, 11.8057080, 12.0996540, 13.8625370, 24.5759080, 90.5108170, 420.3255900", \
+					  "11.9663310, 12.0128450, 12.3064590, 14.0685700, 24.7801190, 90.7195390, 420.5551800", \
+					  "12.1455120, 12.1998810, 12.4907570, 14.2476230, 24.9591710, 90.9018140, 420.7430200", \
+					  "12.3167790, 12.3651520, 12.6570700, 14.4238340, 25.1385170, 91.0784910, 420.9545400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1727201, 3.2521794, 3.7427652, 6.7288988, 25.4776340, 140.9857800, 385.9522900", \
+					  "3.1723377, 3.2522536, 3.7427577, 6.7288815, 25.4810320, 141.2326600, 385.9022100", \
+					  "3.1728937, 3.2525393, 3.7427377, 6.7288828, 25.4883080, 141.5425700, 385.8969000", \
+					  "3.1729286, 3.2532690, 3.7426773, 6.7288783, 25.4881400, 141.4089600, 385.9101700", \
+					  "3.1728715, 3.2526071, 3.7427403, 6.7288881, 25.4835490, 141.1420700, 385.9384200", \
+					  "3.1728533, 3.2530589, 3.7422638, 6.7288854, 25.4775930, 141.5187300, 385.9493900", \
+					  "3.1730352, 3.2532388, 3.7417260, 6.7287105, 25.4329610, 141.4528000, 385.9946400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.8140990, 21.0302250, 22.3803860, 30.5585670, 80.5261990, 388.4570700, 2285.5031000", \
+					  "21.3903210, 21.6031690, 22.9562320, 31.1440650, 81.1084320, 388.9872500, 2285.2209000", \
+					  "21.8728250, 22.0908640, 23.4389360, 31.6290350, 81.5913310, 389.4813000, 2286.4663000", \
+					  "22.2850860, 22.5064460, 23.8531740, 32.0374200, 82.0175070, 389.6894000, 2287.1695000", \
+					  "22.6622650, 22.8772080, 24.2283210, 32.4166010, 82.3746830, 390.3052700, 2287.5013000", \
+					  "23.0067110, 23.2232650, 24.5736680, 32.7668380, 82.7817600, 390.7711600, 2288.2266000", \
+					  "23.3122360, 23.5416720, 24.8689000, 33.0821910, 83.1156730, 391.1025600, 2287.1741000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6614900, 16.0914820, 18.6851260, 34.8136380, 134.5585800, 749.2863600, 4536.9979000", \
+					  "15.6632010, 16.0913910, 18.6853520, 34.7778300, 134.6153900, 749.2806200, 4536.2735000", \
+					  "15.6615400, 16.0914320, 18.6865810, 34.7779550, 134.6095400, 749.2910200, 4538.3076000", \
+					  "15.6606140, 16.0704130, 18.6824030, 34.7772160, 134.1730800, 749.4941800, 4537.4509000", \
+					  "15.6632890, 16.0914690, 18.6849840, 34.7783490, 134.5583200, 749.3068800, 4539.0643000", \
+					  "15.6611810, 16.0906750, 18.6871920, 34.7637260, 134.2680900, 749.0371000, 4537.2385000", \
+					  "15.6469890, 16.0846660, 18.6860910, 34.8838010, 134.3849200, 748.9184700, 4536.0121000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.8528020, 8.8733794, 8.8788221, 8.8788229, 8.8788238, 8.8788248, 8.8788257", \
+					  "9.3307380, 9.3307386, 9.3307395, 9.3307405, 9.3307415, 9.3307424, 9.3307434", \
+					  "9.6441539, 9.6441541, 9.6441551, 9.6441560, 9.6441570, 9.6441579, 9.6441589", \
+					  "9.9059827, 9.9059835, 9.9059845, 9.9059854, 9.9059864, 9.9059873, 9.9059883", \
+					  "10.1781530, 10.1781536, 10.1781545, 10.1781555, 10.1781564, 10.1781574, 10.1781583", \
+					  "10.4312790, 10.4312797, 10.4312807, 10.4312816, 10.4312826, 10.4312835, 10.4312845", \
+					  "10.7576210, 10.7576213, 10.7576223, 10.7576232, 10.7576242, 10.7576252, 10.7576261");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7652800, 7.7652801, 7.7922513, 7.7922514, 7.7922519, 7.7922524, 7.9100424", \
+					  "8.2206038, 8.2292209, 8.2292219, 8.2292228, 8.2292238, 8.2292247, 8.3286364", \
+					  "8.5273912, 8.5273920, 8.5273929, 8.5273939, 8.5273948, 8.5273958, 8.6400469", \
+					  "8.7781107, 8.7912140, 8.7912145, 8.7912155, 8.7912164, 8.7912174, 8.8895069", \
+					  "8.9929166, 8.9929176, 8.9929185, 8.9929195, 8.9929204, 8.9929214, 9.0964036", \
+					  "9.1504795, 9.1566008, 9.1566015, 9.1566024, 9.1566034, 9.1566043, 9.2666532", \
+					  "9.3522541, 9.3522544, 9.3522554, 9.3549639, 9.3549648, 9.3549657, 9.4483923");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8558000, 12.9186970, 13.3025000, 15.6065630, 29.5908490, 103.6755500, 176.5322300", \
+					  "13.4300700, 13.4908960, 13.8760540, 16.1800620, 30.1636480, 104.2526100, 177.1102700", \
+					  "13.9136770, 13.9767820, 14.3611900, 16.6632020, 30.5863070, 104.7344500, 177.5851900", \
+					  "14.3297420, 14.3919220, 14.7762740, 17.0796460, 31.0644240, 105.1485700, 178.0092200", \
+					  "14.7055850, 14.7671170, 15.1519790, 17.4556140, 31.4390400, 105.5261500, 178.3826800", \
+					  "15.0473180, 15.1108460, 15.4939870, 17.7982550, 31.7186920, 105.8677500, 178.7200000", \
+					  "15.3746150, 15.4367580, 15.8182230, 18.1252880, 32.1094510, 106.1967000, 179.0550600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8168388, 3.9094110, 4.4708472, 8.0115061, 30.3254990, 85.3638800, 73.4375760", \
+					  "3.8177319, 3.9094727, 4.4709179, 8.0116145, 30.3255020, 85.3865530, 73.4478930", \
+					  "3.8182160, 3.9057184, 4.4655986, 8.0142017, 30.3408890, 85.3704020, 73.6679130", \
+					  "3.8177559, 3.9094545, 4.4708877, 8.0117077, 30.3256480, 85.3788010, 73.4956980", \
+					  "3.8177266, 3.9094670, 4.4709569, 8.0114875, 30.3450490, 85.3815440, 73.5108330", \
+					  "3.8148205, 3.9078256, 4.4707038, 8.0106792, 30.4579080, 85.3773300, 73.6501060", \
+					  "3.8177963, 3.9094408, 4.4708584, 8.0117868, 30.3258570, 85.3840800, 73.5066550");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7664684, 7.7664688, 7.7891880, 7.7927735, 7.7927740, 7.7927745, 7.7988043", \
+					  "8.2300362, 8.2306286, 8.2306295, 8.2310159, 8.2310167, 8.2310176, 8.3520080", \
+					  "8.5299190, 8.5299192, 8.5299202, 8.5299211, 8.5299221, 8.5299230, 8.6260830", \
+					  "8.7816536, 8.7932692, 8.7932697, 8.7932706, 8.7932716, 8.7932725, 8.8940508", \
+					  "8.9946468, 8.9946476, 8.9946485, 8.9946495, 8.9946504, 8.9946514, 9.0987626", \
+					  "9.1537915, 9.1574863, 9.1574865, 9.1574874, 9.1574884, 9.1574893, 9.2696091", \
+					  "9.3551149, 9.3551155, 9.3551164, 9.3572474, 9.3572479, 9.3572488, 9.4448769");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8230630, 12.8841270, 13.2599420, 15.2713630, 20.1340370, 43.5600000, 138.7488300", \
+					  "13.3963740, 13.4569180, 13.8330310, 15.8433250, 20.7077000, 44.1326020, 139.3115000", \
+					  "13.8827460, 13.9427680, 14.3179400, 16.3302630, 21.1935480, 44.6128430, 139.7944800", \
+					  "14.2968690, 14.3575990, 14.7325680, 16.7440500, 21.6079720, 45.0327920, 140.2090200", \
+					  "14.6725800, 14.7332790, 15.1085220, 17.1172530, 21.9838490, 45.4081320, 140.5830100", \
+					  "15.0156300, 15.0766460, 15.4505160, 17.4673000, 22.3273350, 45.7492070, 140.9430700", \
+					  "15.3415930, 15.4021240, 15.7774540, 17.7893450, 22.6538180, 46.0779040, 141.2551000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6959458, 3.7560968, 4.0387214, 4.8326974, 7.9666975, 39.7694570, 89.0603720", \
+					  "3.6963057, 3.7563503, 4.0387698, 4.8327298, 7.9628553, 39.7695990, 89.0180730", \
+					  "3.6984302, 3.7572212, 4.0389883, 4.8431130, 7.9652143, 39.7636340, 89.0179950", \
+					  "3.6962875, 3.7563601, 4.0387560, 4.8326938, 7.9628499, 39.7693900, 89.0118200", \
+					  "3.6962586, 3.7562984, 4.0387214, 4.8326063, 7.9628437, 39.7693330, 89.0091480", \
+					  "3.6942829, 3.7520915, 4.0404791, 4.8346447, 7.9669498, 39.7594170, 89.0640170", \
+					  "3.6963241, 3.7563654, 4.0387698, 4.8327453, 7.9628464, 39.7694140, 89.0127230");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4534240, 18.6629450, 20.0096100, 28.2669180, 78.9582230, 391.0385000, 2314.7206000", \
+					  "19.0257230, 19.2360720, 20.5837520, 28.8397080, 79.5254140, 391.6071900, 2315.4546000", \
+					  "19.5125200, 19.7191450, 21.0736690, 29.3249960, 80.0094430, 392.0877100, 2316.5940000", \
+					  "19.9208260, 20.1298750, 21.4832970, 29.7304870, 80.4197310, 392.4904800, 2316.9825000", \
+					  "20.3076450, 20.5117670, 21.8454610, 30.1129500, 80.7780860, 392.8842000, 2316.7270000", \
+					  "20.6409680, 20.8498910, 22.1976140, 30.4517370, 81.1363920, 393.2200300, 2317.2149000", \
+					  "20.9806770, 21.1860380, 22.5150250, 30.7830670, 81.4594690, 393.5489000, 2317.8768000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4785680, 16.9202070, 19.5637000, 35.8542390, 136.9921800, 760.0517100, 4601.4088000", \
+					  "16.4771270, 16.9202410, 19.5633090, 35.8535570, 137.1431500, 759.5430700, 4599.2992000", \
+					  "16.4544790, 16.9202820, 19.5624640, 35.8538550, 137.0080300, 759.5997400, 4602.7153000", \
+					  "16.4774080, 16.9201830, 19.5199930, 35.8542460, 137.1157600, 760.7378600, 4600.3870000", \
+					  "16.4688740, 16.9202470, 19.5637070, 35.9371450, 136.5484800, 761.2443900, 4600.7640000", \
+					  "16.4705550, 16.9202110, 19.5637550, 35.8540150, 137.1108200, 760.0754100, 4600.2397000", \
+					  "16.4711180, 16.8948780, 19.5645700, 35.8356440, 137.1187600, 759.5492700, 4600.8668000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3406840, 14.4022010, 14.7776480, 16.7919470, 21.5980740, 42.4669190, 83.7887200", \
+					  "14.9114800, 14.9737380, 15.3505600, 17.3617440, 22.1705290, 43.0605990, 84.3710950", \
+					  "15.3942760, 15.4562140, 15.8357720, 17.8439010, 22.6547560, 43.5216130, 84.8515360", \
+					  "15.8096080, 15.8648290, 16.2502670, 18.2532510, 23.0668480, 43.9367080, 85.2628900", \
+					  "16.1753210, 16.2459730, 16.6193600, 18.6308340, 23.4403250, 44.3268430, 85.6416590", \
+					  "16.5238680, 16.5831530, 16.9634830, 18.9617500, 23.7846900, 44.6491800, 85.9752090", \
+					  "16.8491460, 16.9081030, 17.2929070, 19.2937680, 24.1116020, 44.9743600, 86.3121630");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6991508, 3.7584682, 4.0444132, 4.8229403, 7.9251459, 25.6539250, 56.9542530", \
+					  "3.7021002, 3.7582328, 4.0480139, 4.8120965, 7.9259070, 25.6745170, 56.9514960", \
+					  "3.6994681, 3.7602028, 4.0449746, 4.8161626, 7.9255527, 25.6535380, 56.9405150", \
+					  "3.6991989, 3.7613632, 4.0467798, 4.8150253, 7.9276203, 25.6561810, 56.9406000", \
+					  "3.7014127, 3.7575885, 4.0430286, 4.8216307, 7.9256388, 25.6552400, 56.9710000", \
+					  "3.6991703, 3.7593537, 4.0444568, 4.8153521, 7.9242470, 25.6534670, 56.9552090", \
+					  "3.6996015, 3.7575694, 4.0445602, 4.8162416, 7.9254177, 25.6563370, 56.8788680");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.9759600, 18.1945920, 19.5335430, 27.7789740, 78.4725100, 390.5467500, 2314.7275000", \
+					  "18.4117150, 18.6234510, 19.9718160, 28.2174300, 78.9018980, 390.9818500, 2314.5335000", \
+					  "18.7110430, 18.9231670, 20.2669150, 28.5203650, 79.2101390, 391.2798800, 2315.7975000", \
+					  "18.9508280, 19.1651070, 20.5084960, 28.7529730, 79.4389120, 391.5176600, 2315.1335000", \
+					  "19.1587600, 19.3768010, 20.7165610, 28.9700050, 79.6598770, 391.7296600, 2315.7409000", \
+					  "19.3403250, 19.5532180, 20.8962610, 29.1414860, 79.8365960, 391.9100800, 2315.7309000", \
+					  "19.5028830, 19.7242240, 21.0713850, 29.3146790, 80.0076380, 392.0534900, 2316.2586000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4729460, 16.8835610, 19.5598080, 35.8398890, 136.9502300, 759.8260400, 4599.3697000", \
+					  "16.4543280, 16.9087060, 19.5599060, 35.8378290, 136.5514400, 759.8897000, 4600.8386000", \
+					  "16.4735440, 16.8935500, 19.5597780, 35.8830730, 136.8715100, 759.3418400, 4600.6671000", \
+					  "16.4763120, 16.8913720, 19.5459060, 35.8411750, 136.5185500, 759.9746300, 4600.5757000", \
+					  "16.4672420, 16.9055880, 19.5597780, 35.8829590, 136.8726400, 759.8660000, 4599.3324000", \
+					  "16.4711360, 16.8938270, 19.5594920, 35.8374020, 136.9760300, 759.9546200, 4599.0496000", \
+					  "16.4806320, 16.9058310, 19.5545000, 35.9153170, 136.8412100, 759.8811700, 4600.7613000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.2154700, 20.4227250, 21.7457570, 29.8341230, 79.6632590, 387.1756700, 2283.3824000", \
+					  "20.7915340, 21.0017140, 22.3238090, 30.4015480, 80.2178380, 387.8810200, 2285.8976000", \
+					  "21.2753890, 21.4837290, 22.8062690, 30.9318760, 80.7198790, 388.2579400, 2286.4640000", \
+					  "21.6802490, 21.9006270, 23.2130630, 31.3133520, 81.1620140, 389.1032600, 2285.3853000", \
+					  "22.0532780, 22.2745640, 23.5856670, 31.6889230, 81.5150970, 389.4532200, 2286.1398000", \
+					  "22.4080950, 22.6161100, 23.9391190, 32.0624170, 81.8592910, 389.3471500, 2286.6921000", \
+					  "22.7356690, 22.9464590, 24.2670930, 32.3386900, 82.2446250, 390.1304800, 2286.4740000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6634440, 16.0755300, 18.7002500, 34.8877440, 134.2146200, 749.3168300, 4539.2603000", \
+					  "15.6728390, 16.0831810, 18.7059040, 34.7431100, 134.4713900, 749.5658000, 4537.9843000", \
+					  "15.6660210, 16.0660840, 18.7024740, 34.8640010, 134.2283300, 749.3786700, 4538.6569000", \
+					  "15.6712780, 16.0897930, 18.6968700, 34.7751340, 134.4359600, 749.4188000, 4537.6200000", \
+					  "15.6733380, 16.0871120, 18.6884360, 34.7707320, 134.5610600, 749.3904400, 4537.9575000", \
+					  "15.6642840, 16.0702860, 18.7015580, 34.8579300, 134.1956900, 749.3482000, 4538.1162000", \
+					  "15.6750470, 16.0894920, 18.7049750, 34.7284120, 134.4167900, 748.6210100, 4538.5914000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.5011331, 8.5011335, 8.5058431, 8.5058437, 8.5058446, 8.5058456, 8.5058466", \
+					  "8.9208170, 8.9208179, 8.9481560, 8.9481569, 8.9481578, 8.9481588, 8.9956664", \
+					  "9.1880654, 9.2120498, 9.2451966, 9.2451969, 9.2451978, 9.2451988, 9.2788612", \
+					  "9.4471710, 9.4505452, 9.4505458, 9.4505468, 9.4505477, 9.4505487, 9.5108135", \
+					  "9.6632782, 9.6753103, 9.6753107, 9.6753116, 9.6753126, 9.6753135, 9.7381383", \
+					  "9.8440065, 9.8440071, 9.8445483, 9.8456372, 9.8456378, 9.8456388, 9.9026396", \
+					  "10.0029320, 10.0280480, 10.0362850, 10.0362859, 10.0362869, 10.0362878, 10.0931490");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7288176, 7.7288178, 7.7509332, 7.7509335, 7.7509339, 7.7509344, 7.7509349", \
+					  "8.1709439, 8.1783478, 8.1783481, 8.1783491, 8.1783500, 8.1783510, 8.1783519", \
+					  "8.4738776, 8.4778815, 8.4778820, 8.4778829, 8.4778839, 8.4778848, 8.4778858", \
+					  "8.7223153, 8.7223154, 8.7223163, 8.7223173, 8.7223182, 8.7223192, 8.7223201", \
+					  "8.9333101, 8.9336085, 8.9336086, 8.9336095, 8.9336105, 8.9336114, 8.9336124", \
+					  "9.1133980, 9.1145935, 9.1145940, 9.1145950, 9.1145959, 9.1145969, 9.1145978", \
+					  "9.2803898, 9.2900567, 9.2900568, 9.2900577, 9.2900587, 9.2900596, 9.2900606");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3348760, 11.3799790, 11.6690340, 13.4369190, 24.1461460, 90.0913120, 420.0556100", \
+					  "11.9084360, 11.9502400, 12.2418810, 14.0067660, 24.7225300, 90.6562220, 420.5651600", \
+					  "12.3859250, 12.4352120, 12.7259120, 14.4927350, 25.2088770, 91.1424820, 421.1146600", \
+					  "12.7970590, 12.8442090, 13.1389560, 14.8998280, 25.6142080, 91.5454520, 421.4781800", \
+					  "13.1772060, 13.2180650, 13.5179710, 15.2737800, 25.9959800, 91.9337350, 421.9047300", \
+					  "13.5134880, 13.5563440, 13.8557980, 15.6192020, 26.3339860, 92.2815600, 422.1742500", \
+					  "13.8385200, 13.8900830, 14.1901090, 15.9400790, 26.6557980, 92.5888270, 422.5085200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1757637, 3.2544321, 3.7435393, 6.7293282, 25.4887060, 141.4719900, 386.0353400", \
+					  "3.1757468, 3.2559979, 3.7434962, 6.7292119, 25.4290280, 141.1906800, 386.0132000", \
+					  "3.1760052, 3.2560958, 3.7435197, 6.7293526, 25.4551950, 141.1878700, 386.0777200", \
+					  "3.1759770, 3.2559999, 3.7438541, 6.7292869, 25.4654800, 141.4991600, 386.0136800", \
+					  "3.1758587, 3.2560064, 3.7436889, 6.7292629, 25.4653520, 141.5827000, 386.0770300", \
+					  "3.1759804, 3.2559997, 3.7437879, 6.7274497, 25.4889800, 141.1876000, 385.9587400", \
+					  "3.1740985, 3.2560583, 3.7448071, 6.7291914, 25.4900080, 141.3480000, 385.9931600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6507340, 10.6929350, 10.6929355, 10.6929365, 10.6929374, 10.6929384, 10.7412430", \
+					  "11.1192550, 11.1192556, 11.1192565, 11.1192575, 11.1192585, 11.1192594, 11.1946620", \
+					  "11.4424830, 11.4424835, 11.4424844, 11.4424854, 11.4424863, 11.4424873, 11.4597620", \
+					  "11.6449830, 11.6767270, 11.6767278, 11.6767288, 11.6767297, 11.6767307, 11.7043970", \
+					  "11.9054300, 11.9054304, 11.9054313, 11.9054323, 11.9054332, 11.9054342, 11.9597660", \
+					  "12.0669310, 12.0669313, 12.0840180, 12.0901920, 12.0901924, 12.0901933, 12.1451470", \
+					  "12.2529280, 12.2529283, 12.2529293, 12.2592830, 12.2592836, 12.2592845, 12.3113210");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.9747380, 18.1941980, 19.5336070, 27.7867760, 78.4753240, 390.5465500, 2314.3311000", \
+					  "18.4117290, 18.6234850, 19.9717970, 28.2192210, 78.9035680, 390.9818800, 2314.6745000", \
+					  "18.7101750, 18.9231710, 20.2669350, 28.5233450, 79.2115390, 391.2798800, 2315.5844000", \
+					  "18.9509310, 19.1693450, 20.5085090, 28.7627180, 79.4503800, 391.5176600, 2315.1670000", \
+					  "19.1587870, 19.3768020, 20.7165450, 28.9673000, 79.6577170, 391.7296300, 2315.2647000", \
+					  "19.3403070, 19.5532530, 20.8990780, 29.1525360, 79.8407310, 391.9092800, 2315.7063000", \
+					  "19.5029340, 19.7242510, 21.0713950, 29.3160980, 80.0075170, 392.0537800, 2316.1156000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4724200, 16.9126700, 19.5598470, 35.8355190, 136.8745300, 759.8087600, 4598.8836000", \
+					  "16.4544110, 16.9086900, 19.5599250, 35.8371750, 137.0026400, 759.8904400, 4599.7117000", \
+					  "16.4860820, 16.8935070, 19.5597940, 35.8158270, 136.8727100, 759.8716300, 4598.4229000", \
+					  "16.4678780, 16.9140990, 19.5459650, 35.8288300, 136.8751600, 760.0367600, 4596.8695000", \
+					  "16.4672610, 16.9067180, 19.5597960, 35.9308530, 136.5049400, 759.8444500, 4598.6935000", \
+					  "16.4723910, 16.8938230, 19.5536800, 35.8082670, 136.8750700, 759.8289400, 4599.4420000", \
+					  "16.4807740, 16.9061580, 19.5545220, 35.8955920, 136.8468800, 759.8756000, 4600.0873000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.2151150, 20.4239260, 21.7470260, 29.8729930, 79.6702930, 387.3093100, 2284.0939000", \
+					  "20.7915040, 21.0017030, 22.3231420, 30.4030690, 80.2177380, 387.9135300, 2285.6755000", \
+					  "21.2753300, 21.4836400, 22.8065460, 30.9323450, 80.7190900, 388.2495300, 2284.7198000", \
+					  "21.6800320, 21.9006060, 23.2131470, 31.3143320, 81.1621560, 389.1048800, 2285.1693000", \
+					  "22.0532850, 22.2745880, 23.5865250, 31.6892650, 81.5308850, 389.4454000, 2286.0229000", \
+					  "22.4080540, 22.6160940, 23.9390450, 32.0618130, 81.8598450, 389.3434000, 2286.2143000", \
+					  "22.7355680, 22.9467960, 24.2676920, 32.3418550, 82.2445900, 390.1289500, 2286.1859000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6611120, 16.0668420, 18.6991440, 34.8655400, 134.1784800, 749.5640400, 4537.2881000", \
+					  "15.6728280, 16.0831860, 18.7057750, 34.7484990, 134.4733700, 749.6170200, 4536.8160000", \
+					  "15.6659570, 16.0662060, 18.7023710, 34.8646840, 134.2323100, 749.3721300, 4537.9761000", \
+					  "15.6713110, 16.0898300, 18.6968660, 34.7751550, 134.4366000, 749.3716800, 4536.3804000", \
+					  "15.6733360, 16.0868990, 18.6934360, 34.7708530, 134.5001100, 749.4178400, 4537.2121000", \
+					  "15.6641690, 16.0703810, 18.7015100, 34.8570170, 134.1957200, 749.3245600, 4536.5036000", \
+					  "15.6749960, 16.0881030, 18.7055350, 34.7325370, 134.4154000, 748.3820900, 4540.3558000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7614850, 10.8090320, 11.1017910, 12.8645320, 23.5790800, 89.5173640, 419.4307300", \
+					  "11.1995790, 11.2488220, 11.5374660, 13.3045200, 24.0167390, 89.9570200, 419.9252100", \
+					  "11.4960250, 11.5452250, 11.8373440, 13.5993190, 24.3129090, 90.2536780, 420.1794200", \
+					  "11.7376770, 11.7901710, 12.0749040, 13.8359010, 24.5553230, 90.4888890, 420.4171100", \
+					  "11.9440470, 11.9908480, 12.2856750, 14.0491000, 24.7624390, 90.6977890, 420.6173100", \
+					  "12.1255030, 12.1774400, 12.4716210, 14.2291960, 24.9395850, 90.8880150, 420.7865300", \
+					  "12.2968810, 12.3406540, 12.6350470, 14.3994950, 25.1101630, 91.0516750, 421.0407400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1745246, 3.2547378, 3.7447259, 6.7295320, 25.4848920, 141.0031600, 386.0209300", \
+					  "3.1745158, 3.2554881, 3.7451482, 6.7295565, 25.4794760, 141.0006500, 386.0735900", \
+					  "3.1757528, 3.2551950, 3.7446646, 6.7295476, 25.4898360, 140.9962800, 386.0234300", \
+					  "3.1746028, 3.2553025, 3.7451882, 6.7291701, 25.4670580, 141.2800500, 385.9188400", \
+					  "3.1757257, 3.2557836, 3.7437524, 6.7294001, 25.4488860, 140.9936600, 386.0129700", \
+					  "3.1757315, 3.2555310, 3.7437218, 6.7295503, 25.4785990, 141.4971400, 385.9738100", \
+					  "3.1756187, 3.2557856, 3.7438723, 6.7294517, 25.4819810, 141.1399500, 386.0997100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.2364862, 9.2364870, 9.2364879, 9.2366097, 9.2366100, 9.2366109, 9.2366119", \
+					  "9.6815054, 9.6815057, 9.6821333, 9.6821342, 9.6821352, 9.6821361, 9.7645749", \
+					  "9.9815205, 9.9824868, 9.9824873, 9.9863087, 9.9863096, 9.9863105, 10.0418820", \
+					  "10.2265710, 10.2298410, 10.2303080, 10.2303081, 10.2303091, 10.2303100, 10.2894220", \
+					  "10.4341740, 10.4347820, 10.4357720, 10.4388450, 10.4388452, 10.4388462, 10.4983190", \
+					  "10.6287090, 10.6287094, 10.6287103, 10.6287113, 10.6287122, 10.6287132, 10.6878500", \
+					  "10.7956310, 10.7956319, 10.7956329, 10.7956338, 10.7956348, 10.7956358, 10.8399010");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.9190430, 10.9686120, 11.2608420, 13.0691700, 19.7100240, 36.4762440, 132.9352800", \
+					  "11.3576080, 11.4027260, 11.6974350, 13.5045100, 20.1472050, 36.9107620, 133.3717000", \
+					  "11.6542430, 11.6995680, 11.9945780, 13.8022620, 20.4438690, 37.2101820, 133.6708900", \
+					  "11.8934630, 11.9394350, 12.2338400, 14.0402410, 20.6834870, 37.4514000, 133.9091800", \
+					  "12.1006800, 12.1484640, 12.4425450, 14.2513100, 20.8929990, 37.6557810, 134.1200400", \
+					  "12.2839990, 12.3302430, 12.6260400, 14.4325560, 21.0742000, 37.8415380, 134.3007200", \
+					  "12.4450210, 12.4965580, 12.7865070, 14.5937610, 21.2399430, 38.0002020, 134.4608900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2146417, 3.3000325, 3.8223167, 6.1406018, 9.0146974, 27.8636920, 171.1169400", \
+					  "3.2151828, 3.3000676, 3.8224575, 6.1404242, 9.0139816, 27.8478320, 171.1194100", \
+					  "3.2151282, 3.3005676, 3.8223238, 6.1401626, 9.0146868, 27.8636880, 171.4459300", \
+					  "3.2151792, 3.3000751, 3.8224535, 6.1403704, 9.0135455, 27.8248460, 171.4181500", \
+					  "3.2151672, 3.3005851, 3.8223007, 6.1401981, 9.0147712, 27.8475520, 171.4451200", \
+					  "3.2147358, 3.3006673, 3.8223074, 6.1401599, 9.0146859, 27.8636940, 171.1184400", \
+					  "3.2147605, 3.3006688, 3.8224632, 6.1405103, 9.0139709, 27.8583590, 171.1155700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.1834630, 13.2470230, 13.6296960, 15.5707770, 20.2856580, 41.1545180, 82.4025010", \
+					  "13.7566400, 13.8192280, 14.1993790, 16.1415060, 20.8592950, 41.7306620, 82.9748640", \
+					  "14.2425780, 14.3063070, 14.6888730, 16.6298020, 21.3428420, 42.2007460, 83.4659420", \
+					  "14.6566310, 14.7186080, 15.0988590, 17.0414420, 21.7575170, 42.6124560, 83.8741700", \
+					  "15.0307410, 15.0924250, 15.4743450, 17.4159980, 22.1342780, 42.9752910, 84.2536000", \
+					  "15.3758390, 15.4378090, 15.8181910, 17.7598470, 22.4788580, 43.3204940, 84.5981920", \
+					  "15.7016500, 15.7642330, 16.1460850, 18.0855120, 22.8039930, 43.6591710, 84.9240390");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.5911571, 3.6426533, 3.8968797, 4.6120823, 7.8861797, 25.6226630, 56.9143810", \
+					  "3.5929231, 3.6472287, 3.8954826, 4.6093351, 7.8724911, 25.6329060, 56.8813190", \
+					  "3.5908114, 3.6423735, 3.8977808, 4.6112238, 7.8801961, 25.6059000, 56.9537380", \
+					  "3.5948251, 3.6458885, 3.8952375, 4.6173416, 7.8743376, 25.6167780, 56.9587150", \
+					  "3.5948253, 3.6451502, 3.8961936, 4.6113069, 7.8705833, 25.6009350, 56.9491330", \
+					  "3.5945200, 3.6452408, 3.8962651, 4.6233790, 7.8722868, 25.6008550, 56.9239800", \
+					  "3.5930068, 3.6425858, 3.8993484, 4.6242388, 7.8780742, 25.5854250, 56.9267120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.3115453, 9.3129957, 9.3156505, 9.3156515, 9.3156524, 9.3156534, 9.3631707", \
+					  "9.7658086, 9.7658096, 9.7658105, 9.7658115, 9.7658124, 9.7658134, 9.8219299", \
+					  "10.0714430, 10.0714431, 10.0714441, 10.0714450, 10.0714460, 10.0714469, 10.1295560", \
+					  "10.3123100, 10.3129860, 10.3141250, 10.3141256, 10.3141265, 10.3141275, 10.3843760", \
+					  "10.5241120, 10.5241123, 10.5241132, 10.5241142, 10.5241151, 10.5241161, 10.5908850", \
+					  "10.7116480, 10.7117080, 10.7129600, 10.7129608, 10.7129617, 10.7129627, 10.7703290", \
+					  "10.8820690, 10.8820692, 10.8836190, 10.8836198, 10.8836208, 10.8836217, 10.9465970");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.4636200, 8.5105913, 8.5105920, 8.5230552, 8.5230556, 8.5230566, 8.5509617", \
+					  "8.9653431, 8.9653440, 8.9653450, 8.9653459, 8.9653469, 8.9653478, 8.9672119", \
+					  "9.1968584, 9.2317833, 9.2629238, 9.2629247, 9.2629257, 9.2629266, 9.2773682", \
+					  "9.4624992, 9.4700354, 9.4700361, 9.4700370, 9.4700380, 9.4700389, 9.4986801", \
+					  "9.7190025, 9.7190033, 9.7190042, 9.7190052, 9.7190061, 9.7190071, 9.7590602", \
+					  "9.8637534, 9.8637538, 9.8637548, 9.8637558, 9.8637567, 9.8637577, 9.8946389", \
+					  "10.0663300, 10.0663305, 10.0701460, 10.0701461, 10.0701471, 10.0701480, 10.0701490");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7265643, 7.7265647, 7.7265652, 7.7265656, 7.7265661, 7.7265666, 7.7265671", \
+					  "8.1709146, 8.1784082, 8.1784091, 8.1784101, 8.1784111, 8.1784120, 8.1784130", \
+					  "8.4739904, 8.4779019, 8.4779020, 8.4779029, 8.4779039, 8.4779048, 8.4779058", \
+					  "8.7222620, 8.7222629, 8.7222639, 8.7222648, 8.7222658, 8.7222667, 8.7222677", \
+					  "8.9332479, 8.9341050, 8.9341054, 8.9341064, 8.9341074, 8.9341083, 8.9341093", \
+					  "9.1134238, 9.1142125, 9.1142126, 9.1142135, 9.1142145, 9.1142154, 9.1142164", \
+					  "9.2799572, 9.2903205, 9.2903209, 9.2903219, 9.2903228, 9.2903238, 9.2903247");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3277350, 11.3766730, 11.6660330, 13.4476760, 20.1733330, 36.9483110, 133.4041300", \
+					  "11.8995270, 11.9509460, 12.2415200, 14.0193210, 20.7434660, 37.5205960, 133.9803600", \
+					  "12.3858910, 12.4335340, 12.7214800, 14.5077940, 21.2290910, 38.0074530, 134.4660800", \
+					  "12.7958430, 12.8470270, 13.1311880, 14.9228360, 21.6402820, 38.4175860, 134.8762700", \
+					  "13.1799260, 13.2069360, 13.5070030, 15.2974240, 22.0250450, 38.8008880, 135.2395500", \
+					  "13.5122240, 13.5634820, 13.8538720, 15.6420650, 22.3553960, 39.1364740, 135.5914800", \
+					  "13.8423180, 13.8857330, 14.1655890, 15.9485380, 22.6826240, 39.4645810, 135.9159500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2218745, 3.3067185, 3.8268180, 6.2239405, 9.1855803, 27.8562060, 171.0938700", \
+					  "3.2219074, 3.3074496, 3.8266958, 6.2239374, 9.1845909, 27.8694350, 171.4009300", \
+					  "3.2227252, 3.3075223, 3.8272079, 6.2237433, 9.1856966, 27.8666280, 171.2502100", \
+					  "3.2218708, 3.3066128, 3.8268304, 6.2237793, 9.1855972, 27.8740910, 170.9029900", \
+					  "3.2227991, 3.3073539, 3.8272079, 6.2237504, 9.1855705, 27.8668110, 170.9385200", \
+					  "3.2218541, 3.3067045, 3.8271741, 6.2244045, 9.1850971, 27.8658750, 171.0943100", \
+					  "3.2219811, 3.3067629, 3.8267043, 6.2240995, 9.1857082, 27.8680400, 171.1082300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5426590, 21.7559040, 23.0725070, 31.1705580, 81.0426070, 388.6997000, 2285.8333000", \
+					  "22.1200690, 22.3272690, 23.6477700, 31.7381040, 81.6265880, 389.0705800, 2286.7755000", \
+					  "22.5809260, 22.8034890, 24.1256630, 32.2228320, 82.0444870, 390.0563300, 2287.1482000", \
+					  "22.9971010, 23.2204390, 24.5349750, 32.6209280, 82.5187810, 390.4380600, 2287.3214000", \
+					  "23.3796730, 23.5939690, 24.9083300, 33.0111330, 82.8253590, 390.7616100, 2286.8946000", \
+					  "23.7090770, 23.9302980, 25.2531650, 33.3560380, 83.1992110, 391.1637200, 2288.0063000", \
+					  "24.0447470, 24.2654470, 25.5805790, 33.6836800, 83.4932410, 391.5524200, 2287.6993000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6541450, 16.0722510, 18.6961580, 34.7975370, 134.4598700, 748.8019400, 4536.6251000", \
+					  "15.6700550, 16.0737110, 18.7029470, 34.7903680, 134.3143600, 749.0841100, 4539.2130000", \
+					  "15.6799940, 16.0921940, 18.7035810, 34.7758370, 134.5910600, 748.9214200, 4537.5623000", \
+					  "15.6800370, 16.0744080, 18.7035860, 34.7647350, 134.3157700, 749.0435200, 4538.6782000", \
+					  "15.6705760, 16.0922210, 18.6790800, 34.7727680, 134.5978100, 749.4750800, 4536.1940000", \
+					  "15.6712880, 16.0961840, 18.6911710, 34.7835500, 134.5278900, 749.5833600, 4537.6328000", \
+					  "15.6673000, 16.1010410, 18.6741060, 34.7852090, 134.5068300, 749.1002000, 4537.5123000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.8527710, 8.8766745, 8.8766752, 8.8835934, 8.8835941, 8.8835950, 8.8835960", \
+					  "9.3308037, 9.3308044, 9.3308054, 9.3308063, 9.3308073, 9.3308082, 9.3308092", \
+					  "9.6443511, 9.6443515, 9.6443525, 9.6443534, 9.6443544, 9.6443553, 9.6443563", \
+					  "9.9061319, 9.9061323, 9.9061332, 9.9061342, 9.9061351, 9.9061361, 9.9061370", \
+					  "10.1778420, 10.1778427, 10.1778436, 10.1778446, 10.1778455, 10.1778465, 10.1778474", \
+					  "10.4315290, 10.4315296, 10.4315305, 10.4315315, 10.4315324, 10.4315334, 10.4315343", \
+					  "10.8719180, 10.8719183, 10.8719192, 10.8719202, 10.8719211, 10.8719221, 10.8719230");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7756459, 7.7834903, 7.7834905, 7.7834909, 7.7834914, 7.7834919, 7.7834924", \
+					  "8.2109439, 8.2374827, 8.2374836, 8.2374845, 8.2374855, 8.2374864, 8.3237817", \
+					  "8.5383363, 8.5397085, 8.5397087, 8.5397096, 8.5397106, 8.5397115, 8.6301677", \
+					  "8.7670164, 8.7768841, 8.7768846, 8.7768856, 8.7768865, 8.7768875, 8.8668672", \
+					  "8.9802032, 8.9896801, 8.9896808, 8.9896818, 8.9896827, 8.9896837, 9.1049372", \
+					  "9.1739381, 9.1792955, 9.1792961, 9.1792970, 9.1792980, 9.1792989, 9.2402903", \
+					  "9.2990993, 9.3198773, 9.3198782, 9.3198791, 9.3198801, 9.3198810, 9.5141255");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2661810, 14.3282710, 14.7140350, 17.0163240, 31.0017290, 105.0886900, 177.9473800", \
+					  "14.8393350, 14.9009910, 15.2859770, 17.5872350, 31.5738800, 105.6628500, 178.5161200", \
+					  "15.3231760, 15.3843250, 15.7688760, 18.0742890, 32.0400990, 106.1454000, 179.0137000", \
+					  "15.7346010, 15.7982440, 16.1753140, 18.4866110, 32.4154000, 106.5614400, 179.4266600", \
+					  "16.1051300, 16.1682170, 16.5598500, 18.8593050, 32.7808640, 106.9299100, 179.7937400", \
+					  "16.4505870, 16.5177310, 16.9000000, 19.2034180, 33.1842960, 107.2828900, 180.1401300", \
+					  "16.7835450, 16.8323990, 17.2236540, 19.5258230, 33.5094480, 107.6083200, 180.4531700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8178434, 3.9094301, 4.4673221, 8.0118348, 30.3456070, 85.3863470, 73.4515170", \
+					  "3.8178452, 3.9094687, 4.4708743, 8.0116820, 30.3456710, 85.3894450, 73.4520570", \
+					  "3.8178469, 3.9054471, 4.4688853, 8.0125719, 30.3571600, 85.3846130, 73.5799690", \
+					  "3.8178949, 3.9094719, 4.4713078, 8.0115328, 30.3464520, 85.3894730, 73.6084830", \
+					  "3.8178194, 3.9054484, 4.4657549, 8.0142240, 30.3408960, 85.3874550, 73.4703600", \
+					  "3.8173398, 3.9064347, 4.4689052, 8.0116980, 30.3455780, 85.3898710, 73.5855540", \
+					  "3.8177035, 3.9064663, 4.4694053, 8.0116997, 30.3255380, 85.3867230, 73.4781690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.4546175, 8.4961664, 8.4961668, 8.5072989, 8.5072990, 8.5073000, 8.5073009", \
+					  "8.9483443, 8.9483448, 8.9483457, 8.9483467, 8.9483476, 8.9483486, 8.9823002", \
+					  "9.1712185, 9.2185912, 9.2185913, 9.2410026, 9.2410036, 9.2410045, 9.2555910", \
+					  "9.4525969, 9.4555004, 9.4555011, 9.4555021, 9.4555030, 9.4555040, 9.4882244", \
+					  "9.7020365, 9.7020374, 9.7020383, 9.7020393, 9.7020402, 9.7020412, 9.7211883", \
+					  "9.8518766, 9.8518768, 9.8518777, 9.8518787, 9.8518796, 9.8518806, 9.8845065", \
+					  "9.9913038, 10.0545390, 10.0545393, 10.0545402, 10.0545412, 10.0545421, 10.0657440");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7801516, 7.7820532, 7.7820533, 7.7902866, 7.7902868, 7.7902873, 7.8751041", \
+					  "8.2338723, 8.2397840, 8.2397848, 8.2397857, 8.2397867, 8.2397876, 8.3271621", \
+					  "8.5285166, 8.5427505, 8.5427509, 8.5427518, 8.5427528, 8.5427537, 8.6392120", \
+					  "8.7728944, 8.7804075, 8.7804084, 8.7804094, 8.7804103, 8.7804113, 8.8701047", \
+					  "8.9853573, 8.9935401, 8.9935403, 8.9935413, 8.9935422, 8.9935432, 9.1180326", \
+					  "9.1791605, 9.1825463, 9.1825471, 9.1825481, 9.1825490, 9.1825500, 9.2387209", \
+					  "9.3078114, 9.3279819, 9.3279825, 9.3279834, 9.3279844, 9.3279853, 9.4988142");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.9040650, 8.9041174, 8.9099839, 8.9099842, 8.9099851, 8.9099861, 8.9099870", \
+					  "9.3386570, 9.3386579, 9.3386589, 9.3386598, 9.3386608, 9.3386617, 9.3386627", \
+					  "9.6543582, 9.6543584, 9.6543594, 9.6543603, 9.6543613, 9.6543623, 9.6543632", \
+					  "9.9143413, 9.9143415, 9.9143425, 9.9143434, 9.9143444, 9.9143453, 9.9143463", \
+					  "10.1861220, 10.1876600, 10.1876607, 10.1876617, 10.1876627, 10.1876636, 10.1876646", \
+					  "10.4292110, 10.4292112, 10.4292121, 10.4292131, 10.4292141, 10.4292150, 10.4292160", \
+					  "10.9078520, 10.9078527, 10.9078537, 10.9078546, 10.9078556, 10.9078565, 10.9078575");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7188682, 7.7290396, 7.7465581, 7.7625657, 7.7625659, 7.7625664, 7.7625669", \
+					  "8.1761709, 8.1819111, 8.1819120, 8.1819129, 8.1819139, 8.1819149, 8.1819158", \
+					  "8.4768876, 8.4808889, 8.4808898, 8.4808908, 8.4808918, 8.4808927, 8.4808937", \
+					  "8.7245553, 8.7250527, 8.7250534, 8.7250543, 8.7250553, 8.7250562, 8.7250572", \
+					  "8.9366745, 8.9370271, 8.9370275, 8.9370285, 8.9370294, 8.9370304, 8.9370313", \
+					  "9.1161558, 9.1181089, 9.1181093, 9.1181102, 9.1181112, 9.1181121, 9.1181131", \
+					  "9.2807513, 9.2934140, 9.2934146, 9.2934156, 9.2934166, 9.2934175, 9.2934185");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7613380, 10.8086520, 11.0999180, 12.8833980, 19.6080020, 36.3872740, 132.8365900", \
+					  "11.1988310, 11.2472820, 11.5390830, 13.3253750, 20.0456060, 36.8296430, 133.2754400", \
+					  "11.4955570, 11.5447860, 11.8333950, 13.6174600, 20.3427320, 37.1179920, 133.5745300", \
+					  "11.7317260, 11.7854540, 12.0711960, 13.8588360, 20.5797850, 37.3638860, 133.8109000", \
+					  "11.9448020, 11.9926980, 12.2758410, 14.0647540, 20.7878820, 37.5670520, 134.0205200", \
+					  "12.1259370, 12.1751000, 12.4629530, 14.2489820, 20.9721610, 37.7533310, 134.2036300", \
+					  "12.2958750, 12.3466800, 12.6311070, 14.4182230, 21.1421960, 37.9242770, 134.3763100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2219662, 3.3071534, 3.8268051, 6.2239311, 9.1858903, 27.8572150, 171.0921400", \
+					  "3.2219567, 3.3066470, 3.8268482, 6.2240777, 9.1855492, 27.8702250, 171.0986600", \
+					  "3.2225396, 3.3071579, 3.8267940, 6.2239400, 9.1859969, 27.8667920, 171.0709900", \
+					  "3.2218750, 3.3072478, 3.8271657, 6.2239489, 9.1854817, 27.8698720, 171.0861300", \
+					  "3.2225598, 3.3072147, 3.8267860, 6.2239534, 9.1855252, 27.8656990, 171.0976400", \
+					  "3.2224698, 3.3071141, 3.8267891, 6.2239240, 9.1856887, 27.8699090, 171.0973500", \
+					  "3.2223568, 3.3070959, 3.8268317, 6.2240608, 9.1861221, 27.8641480, 170.9008300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7506660, 10.7506662, 10.7530110, 10.7628390, 10.7628398, 10.7628408, 10.8847520", \
+					  "11.2186180, 11.2186189, 11.2186199, 11.2186208, 11.2186218, 11.2186227, 11.2779070", \
+					  "11.5174630, 11.5174633, 11.5221290, 11.5221296, 11.5221305, 11.5221315, 11.5739380", \
+					  "11.7718830, 11.7718835, 11.7718845, 11.7718854, 11.7718864, 11.7718874, 11.8233600", \
+					  "11.9740540, 11.9920340, 11.9920345, 11.9920354, 11.9920364, 11.9920373, 12.0332510", \
+					  "12.1845310, 12.1847390, 12.1847396, 12.1847406, 12.1847415, 12.1847425, 12.2213100", \
+					  "12.3483790, 12.3483797, 12.3483806, 12.3483816, 12.3483825, 12.3483835, 12.4033950");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3267770, 11.3759720, 11.6656340, 13.4474240, 20.1770530, 36.9483930, 133.4095600", \
+					  "11.9031830, 11.9466220, 12.2359920, 14.0210440, 20.7446470, 37.5277870, 133.9738400", \
+					  "12.3890490, 12.4317290, 12.7251220, 14.5045420, 21.2320970, 38.0087930, 134.4713600", \
+					  "12.7999940, 12.8473690, 13.1363860, 14.9196830, 21.6465580, 38.4203920, 134.8729100", \
+					  "13.1635960, 13.2197350, 13.5029210, 15.2945640, 22.0155720, 38.8039040, 135.2525100", \
+					  "13.5116700, 13.5586970, 13.8558550, 15.6359410, 22.3607570, 39.1371200, 135.5873600", \
+					  "13.8381000, 13.8733400, 14.1720700, 15.9571220, 22.6969230, 39.4562360, 135.9172000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2225478, 3.3071592, 3.8275711, 6.2241550, 9.1859622, 27.8212210, 170.9415900", \
+					  "3.2233760, 3.3072465, 3.8273948, 6.2241545, 9.1856567, 27.8727640, 171.0937700", \
+					  "3.2234073, 3.3071303, 3.8272248, 6.2239414, 9.1861061, 27.8697830, 171.2307400", \
+					  "3.2220309, 3.3078467, 3.8271342, 6.2246239, 9.1859684, 27.8710810, 170.9411400", \
+					  "3.2223357, 3.3079939, 3.8275680, 6.2240346, 9.1858414, 27.8733570, 171.0943400", \
+					  "3.2231011, 3.3071941, 3.8271892, 6.2239240, 9.1856514, 27.8725650, 171.0969300", \
+					  "3.2225416, 3.3080370, 3.8274512, 6.2242758, 9.1859578, 27.8209460, 171.0991500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3388350, 14.4002940, 14.7764380, 16.7897500, 21.5988470, 42.4680450, 83.7921870", \
+					  "14.9099030, 14.9707380, 15.3490570, 17.3590420, 22.1698890, 43.0439010, 84.3639820", \
+					  "15.3952730, 15.4539920, 15.8322120, 17.8438600, 22.6532640, 43.5186930, 84.8477060", \
+					  "15.8070000, 15.8665370, 16.2492600, 18.2578890, 23.0581620, 43.9306160, 85.2627550", \
+					  "16.1738550, 16.2382940, 16.6192550, 18.6413590, 23.4345020, 44.3117010, 85.6362310", \
+					  "16.5259430, 16.5791970, 16.9611280, 18.9755610, 23.7818090, 44.6446400, 85.9796220", \
+					  "16.8383390, 16.9065050, 17.2972410, 19.2956160, 24.1112480, 44.9788150, 86.2972700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7016104, 3.7608348, 4.0467656, 4.8104187, 7.9263325, 25.6560210, 56.9499080", \
+					  "3.7024472, 3.7623868, 4.0453507, 4.8140194, 7.9257516, 25.6565150, 56.9700090", \
+					  "3.7013101, 3.7618064, 4.0470338, 4.8096829, 7.9243963, 25.6534070, 56.9709610", \
+					  "3.7014209, 3.7639478, 4.0470010, 4.8142894, 7.9250091, 25.6500080, 56.9318670", \
+					  "3.7024448, 3.7591659, 4.0447152, 4.8157953, 7.9248847, 25.6565920, 56.9679700", \
+					  "3.7027328, 3.7608294, 4.0472750, 4.8162772, 7.9263422, 25.6490690, 56.9650350", \
+					  "3.6998855, 3.7617554, 4.0445389, 4.8121542, 7.9256681, 25.6562720, 56.9663070");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3358020, 11.3750150, 11.6694900, 13.4297500, 24.1507680, 90.0914050, 419.9941900", \
+					  "11.9003670, 11.9520500, 12.2411950, 13.9998520, 24.7148560, 90.6554900, 420.5648700", \
+					  "12.3893530, 12.4319550, 12.7235570, 14.4876820, 25.2078590, 91.1406350, 421.1108000", \
+					  "12.8000370, 12.8420470, 13.1367650, 14.8974740, 25.6201690, 91.5542980, 421.5292800", \
+					  "13.1772580, 13.2221740, 13.5159390, 15.2717410, 25.9824300, 91.9308220, 421.8504400", \
+					  "13.5166790, 13.5603670, 13.8495270, 15.6211990, 26.3211280, 92.2605780, 422.2385700", \
+					  "13.8389260, 13.8789750, 14.1761690, 15.9403690, 26.6512950, 92.5921670, 422.5007300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1755152, 3.2564531, 3.7443497, 6.7292487, 25.4647240, 141.4257900, 386.0129700", \
+					  "3.1763510, 3.2565501, 3.7440229, 6.7293811, 25.4906120, 141.1810000, 385.9929100", \
+					  "3.1762843, 3.2566008, 3.7443804, 6.7294623, 25.4812900, 141.4692900, 386.0745000", \
+					  "3.1763083, 3.2565524, 3.7443182, 6.7293700, 25.4648270, 141.5766500, 386.0348800", \
+					  "3.1763829, 3.2566549, 3.7442933, 6.7293562, 25.4650130, 141.5785100, 386.0200200", \
+					  "3.1763092, 3.2562391, 3.7443684, 6.7294810, 25.4892660, 141.1811500, 386.0576800", \
+					  "3.1747409, 3.2563690, 3.7453103, 6.7293389, 25.4259160, 141.4436800, 386.0122000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3533440, 14.4167720, 14.7988460, 17.0932410, 31.0639830, 116.8919400, 489.9528700", \
+					  "14.9247410, 14.9881420, 15.3697500, 17.6690570, 31.6167000, 117.4849400, 490.5268200", \
+					  "15.4080870, 15.4669010, 15.8590720, 18.1557720, 32.0861560, 117.9720300, 491.0090200", \
+					  "15.8168000, 15.8830480, 16.2697450, 18.5658670, 32.4977880, 118.4019700, 491.4228400", \
+					  "16.1952690, 16.2578450, 16.6426910, 18.9451030, 32.9000970, 118.7850200, 491.7849900", \
+					  "16.5368310, 16.6132420, 16.9752280, 19.2990460, 33.2355480, 119.0711000, 492.1421400", \
+					  "16.8640200, 16.9261160, 17.3038930, 19.6145250, 33.5751300, 119.4786400, 492.4264700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8061363, 3.8994967, 4.4562900, 8.0001357, 30.4759310, 168.7791200, 348.8441700", \
+					  "3.8089665, 3.8982062, 4.4551456, 8.0007103, 30.4347980, 168.7306900, 348.8632400", \
+					  "3.8088404, 3.9008743, 4.4578399, 8.0006970, 30.3720250, 168.8610200, 348.8529500", \
+					  "3.8024326, 3.9010528, 4.4575095, 8.0007192, 30.3783810, 168.9363200, 348.8557400", \
+					  "3.8021297, 3.9009440, 4.4578266, 7.9967588, 30.4756680, 168.9436300, 348.6979400", \
+					  "3.8085957, 3.8978292, 4.4566457, 7.9986950, 30.3437910, 168.7571300, 348.8517600", \
+					  "3.8090873, 3.8989945, 4.4539523, 8.0007156, 30.4745140, 168.8867100, 348.6901800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.4348750, 8.4440774, 8.4440780, 8.4680103, 8.4680105, 8.4680114, 8.5471950", \
+					  "8.9148839, 8.9149061, 8.9149070, 8.9159036, 8.9159046, 8.9159055, 8.9469507", \
+					  "9.2007850, 9.2008232, 9.2222869, 9.2222877, 9.2222887, 9.2222896, 9.2470023", \
+					  "9.4372270, 9.4391694, 9.4396801, 9.4409112, 9.4409118, 9.4409128, 9.4785584", \
+					  "9.6640438, 9.6654018, 9.6690256, 9.6690259, 9.6690269, 9.6690279, 9.7106323", \
+					  "9.8366950, 9.8366952, 9.8369908, 9.8375503, 9.8375507, 9.8375516, 9.8719459", \
+					  "9.9876134, 9.9903819, 9.9903827, 9.9903837, 10.0094470, 10.0094476, 10.0610760");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7764994, 7.7820479, 7.7820480, 7.7820485, 7.7820490, 7.7820495, 7.7837043", \
+					  "8.2340765, 8.2342027, 8.2342029, 8.2342039, 8.2342048, 8.2342058, 8.3482385", \
+					  "8.5378238, 8.5378242, 8.5378252, 8.5378261, 8.5378271, 8.5378280, 8.6557055", \
+					  "8.7822016, 8.7928598, 8.7928606, 8.7928615, 8.7928625, 8.7928634, 8.8932923", \
+					  "8.9965031, 8.9970928, 8.9970937, 8.9970947, 8.9970956, 8.9970966, 9.1101873", \
+					  "9.1606527, 9.1606536, 9.1606546, 9.1606555, 9.1606565, 9.1606575, 9.2700807", \
+					  "9.3565804, 9.3565813, 9.3565822, 9.3565832, 9.3565841, 9.3565851, 9.4444905");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.8261863, 6.8340742, 6.8340743, 6.8340748, 6.8340753, 6.8340757, 6.8369639", \
+					  "7.3959718, 7.3959721, 7.3959725, 7.3959730, 7.3959735, 7.3959740, 7.4391826", \
+					  "7.8846245, 7.8847950, 7.8847955, 7.8847960, 7.8847964, 7.8847969, 7.9512805", \
+					  "8.3095522, 8.3112415, 8.3112417, 8.3112426, 8.3112436, 8.3112445, 8.3705816", \
+					  "8.6925525, 8.6939744, 8.6939750, 8.6939760, 8.6939769, 8.6939779, 8.7664613", \
+					  "9.0504271, 9.0504280, 9.0504289, 9.0504299, 9.0504308, 9.0504318, 9.0910710", \
+					  "9.3595025, 9.3611243, 9.3611246, 9.3611255, 9.3611265, 9.3611274, 9.3611284");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2338430, 14.2956360, 14.6720180, 16.6819570, 21.5461910, 44.9698310, 140.1475600", \
+					  "14.8070580, 14.8662080, 15.2414770, 17.2540680, 22.1189290, 45.5414550, 140.7232600", \
+					  "15.2883640, 15.3528030, 15.7267000, 17.7409980, 22.6065230, 46.0256120, 141.1848300", \
+					  "15.7079290, 15.7653160, 16.1353240, 18.1467520, 23.0124990, 46.4415490, 141.6055800", \
+					  "16.0775140, 16.1362510, 16.5087530, 18.5264390, 23.3815220, 46.8002670, 141.9872300", \
+					  "16.4133240, 16.4744400, 16.8541070, 18.8807960, 23.7295590, 47.1648460, 142.3553900", \
+					  "16.7415180, 16.8029380, 17.1835290, 19.1891640, 24.0558720, 47.4786010, 142.6557600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6963883, 3.7564782, 4.0389248, 4.8329722, 7.9628952, 39.7694960, 89.0136680", \
+					  "3.6963108, 3.7564969, 4.0388795, 4.8330633, 7.9629112, 39.7696600, 89.0177820", \
+					  "3.6980674, 3.7531862, 4.0389438, 4.8326618, 7.9671798, 39.7552210, 89.0706670", \
+					  "3.6981582, 3.7564898, 4.0388217, 4.8434035, 7.9628864, 39.7696520, 89.0114930", \
+					  "3.6963395, 3.7572963, 4.0401122, 4.8339452, 7.9628881, 39.7796280, 89.0166450", \
+					  "3.6964081, 3.7565155, 4.0389798, 4.8335873, 7.9629618, 39.7556970, 89.0637610", \
+					  "3.6963013, 3.7563606, 4.0389332, 4.8327164, 7.9629201, 39.7696670, 89.0172130");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.8319026, 6.8319028, 6.8319033, 6.8319037, 6.8319042, 6.8319047, 6.8921544", \
+					  "7.3998669, 7.4007627, 7.4007628, 7.4007633, 7.4007638, 7.4007643, 7.4318871", \
+					  "7.8886933, 7.8886936, 7.8886941, 7.8886946, 7.8886951, 7.8886955, 7.9229103", \
+					  "8.3054337, 8.3054338, 8.3054348, 8.3054357, 8.3054367, 8.3054376, 8.3727167", \
+					  "8.6896712, 8.6922345, 8.6922346, 8.6922355, 8.6922365, 8.6922374, 8.7597307", \
+					  "9.0492671, 9.0492673, 9.0492683, 9.0492692, 9.0492702, 9.0492712, 9.1038785", \
+					  "9.3750137, 9.3750139, 9.3750148, 9.3750158, 9.3750167, 9.3750177, 9.3775752");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4586800, 18.6637300, 20.0169550, 28.2616030, 78.9566240, 391.0362800, 2315.2309000", \
+					  "19.0258210, 19.2365390, 20.5827050, 28.8397840, 79.5213350, 391.6093200, 2315.5876000", \
+					  "19.5150900, 19.7188560, 21.0556990, 29.3174090, 80.0068490, 392.0855500, 2316.3848000", \
+					  "19.9213690, 20.1416090, 21.4780990, 29.7379850, 80.4135570, 392.4909000, 2316.5549000", \
+					  "20.2966020, 20.5171580, 21.8645790, 30.1018230, 80.7947200, 392.8773000, 2317.1956000", \
+					  "20.6359160, 20.8480470, 22.1948020, 30.4592230, 81.1338410, 393.2202300, 2317.6992000", \
+					  "20.9605740, 21.1806710, 22.5122500, 30.7793120, 81.4581040, 393.5367400, 2317.3964000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4858050, 16.9193640, 19.5626770, 35.8450580, 136.8454900, 760.0642700, 4601.5766000", \
+					  "16.4762270, 16.9193370, 19.5626790, 35.8525090, 137.0993500, 760.1033800, 4600.0368000", \
+					  "16.4700060, 16.9194490, 19.5641480, 35.8438150, 137.1152100, 759.5965000, 4600.7203000", \
+					  "16.4759160, 16.9155750, 19.5628240, 35.8529140, 137.0763400, 759.8601400, 4602.2992000", \
+					  "16.4777440, 16.9154450, 19.5627250, 35.8427560, 137.1179500, 760.0374400, 4601.8431000", \
+					  "16.4776670, 16.9193510, 19.5628050, 35.8437720, 137.1099400, 759.9724700, 4601.4502000", \
+					  "16.4636780, 16.9155110, 19.5636700, 35.8339420, 137.1120500, 759.7783500, 4600.5275000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5479870, 21.7603450, 23.0800530, 31.1623510, 80.9652930, 388.7118700, 2285.5986000", \
+					  "22.1046200, 22.3312910, 23.6536980, 31.7238320, 81.6102810, 389.5740400, 2285.2241000", \
+					  "22.5882940, 22.8182490, 24.1392280, 32.2189950, 82.0708270, 389.7101500, 2286.9212000", \
+					  "23.0110810, 23.2260180, 24.5337460, 32.6277250, 82.4426250, 390.0541700, 2286.6557000", \
+					  "23.3719940, 23.5990780, 24.9188620, 33.0491580, 82.8086750, 390.5243500, 2287.6864000", \
+					  "23.7303150, 23.9345890, 25.2597500, 33.3642200, 83.1572250, 391.1855800, 2287.6552000", \
+					  "24.0509940, 24.2449010, 25.5766130, 33.6763120, 83.5669880, 391.4920800, 2287.3544000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6717200, 16.0919690, 18.7075670, 34.9107300, 134.4156100, 749.6799400, 4538.2490000", \
+					  "15.6745640, 16.1040270, 18.7058950, 34.7282980, 134.1796900, 748.5957100, 4537.4518000", \
+					  "15.6763370, 16.0877120, 18.7091120, 34.8990810, 134.2336200, 749.5838800, 4538.8720000", \
+					  "15.6702970, 16.0765160, 18.7007880, 34.7788000, 134.3189000, 749.4968500, 4540.3053000", \
+					  "15.6762990, 16.0691100, 18.7103010, 34.8770790, 134.4251900, 749.6598200, 4538.0857000", \
+					  "15.6724180, 16.0895490, 18.6977810, 34.7776560, 134.4559300, 749.2005200, 4536.6542000", \
+					  "15.6760630, 16.0911110, 18.6978810, 34.7852880, 134.4108500, 749.2985200, 4536.9075000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7825470, 10.8322300, 11.1212490, 12.9054550, 19.6148380, 36.3960560, 132.8398000", \
+					  "11.2205480, 11.2717450, 11.5591880, 13.3428740, 20.0554490, 36.8354020, 133.2776900", \
+					  "11.5153900, 11.5650330, 11.8537260, 13.6379590, 20.3501840, 37.1253430, 133.5719000", \
+					  "11.7597350, 11.8065180, 12.0980230, 13.8822890, 20.5885820, 37.3685190, 133.8184300", \
+					  "11.9656220, 12.0136900, 12.3038180, 14.0883780, 20.7978220, 37.5753300, 134.0226000", \
+					  "12.1483300, 12.1949030, 12.4856650, 14.2692250, 20.9801100, 37.7572640, 134.2057900", \
+					  "12.3160220, 12.3673090, 12.6533330, 14.4369650, 21.1479970, 37.9256130, 134.3743000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2201335, 3.3052987, 3.8258663, 6.2131980, 9.1705203, 27.8653080, 171.0681800", \
+					  "3.2203753, 3.3053296, 3.8259524, 6.2134249, 9.1712336, 27.8707390, 171.0897500", \
+					  "3.2210217, 3.3058773, 3.8258410, 6.2130194, 9.1712486, 27.8555440, 171.0645400", \
+					  "3.2210485, 3.3056198, 3.8258641, 6.2132743, 9.1710382, 27.8661010, 171.4248200", \
+					  "3.2209644, 3.3056702, 3.8259524, 6.2131558, 9.1712566, 27.8678500, 171.0636300", \
+					  "3.2200849, 3.3057546, 3.8259071, 6.2132823, 9.1707886, 27.8694840, 171.4131900", \
+					  "3.2204819, 3.3053116, 3.8259360, 6.2135666, 9.1717558, 27.8684630, 171.4354000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.8020300, 21.0248780, 22.3809650, 30.5749060, 80.5610090, 388.4221900, 2285.5415000", \
+					  "21.3868960, 21.6022930, 22.9543120, 31.1384730, 81.1686010, 389.1564100, 2285.3285000", \
+					  "21.8726940, 22.0871290, 23.4409680, 31.6332520, 81.6456800, 389.6318200, 2286.1700000", \
+					  "22.2842140, 22.5021440, 23.8515390, 32.0455660, 82.0473860, 389.9467900, 2287.0688000", \
+					  "22.6602930, 22.8758970, 24.2270060, 32.4154210, 82.4168320, 390.3966200, 2287.0620000", \
+					  "22.9974370, 23.2212990, 24.5711040, 32.7581380, 82.7906630, 390.4145300, 2288.0326000", \
+					  "23.3318240, 23.5471320, 24.8965910, 33.0842590, 83.0329160, 390.9785800, 2288.4133000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6573780, 16.0881850, 18.6796070, 34.7532280, 134.5111700, 749.3000600, 4536.7401000", \
+					  "15.6639150, 16.0913580, 18.6874660, 34.7772580, 134.3101600, 748.3877200, 4538.3886000", \
+					  "15.6688530, 16.0909280, 18.6872600, 34.7696410, 134.2538800, 749.3399000, 4535.3672000", \
+					  "15.6607150, 16.0912170, 18.6828440, 34.7583930, 134.4744500, 749.3292700, 4534.8611000", \
+					  "15.6625680, 16.0914220, 18.6862030, 34.7783240, 134.3672800, 748.2709100, 4536.7465000", \
+					  "15.6606530, 16.0841920, 18.6855460, 34.7781290, 134.3865700, 749.5020200, 4537.1753000", \
+					  "15.6638840, 16.0913610, 18.6836610, 34.7783380, 134.5762700, 749.3245600, 4537.2481000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3348260, 11.3781620, 11.6699540, 13.4368310, 24.1509090, 90.0915110, 419.9951300", \
+					  "11.9020400, 11.9481430, 12.2422560, 14.0074600, 24.7214940, 90.6604280, 420.6287400", \
+					  "12.3911730, 12.4341680, 12.7252400, 14.4910700, 25.2076370, 91.1398890, 421.1126500", \
+					  "12.8025440, 12.8451860, 13.1306970, 14.9000490, 25.6190930, 91.5530980, 421.5200400", \
+					  "13.1678530, 13.2213320, 13.5152580, 15.2808650, 25.9860880, 91.9281580, 421.8306300", \
+					  "13.5206620, 13.5628020, 13.8535650, 15.6212700, 26.3338930, 92.2793150, 422.2510800", \
+					  "13.8406340, 13.8837140, 14.1812560, 15.9396020, 26.6391190, 92.5923940, 422.5631800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1757972, 3.2542500, 3.7435850, 6.7293784, 25.4297530, 141.4391700, 386.0131400", \
+					  "3.1742617, 3.2559235, 3.7435339, 6.7293362, 25.4527810, 141.2110700, 386.0687100", \
+					  "3.1758058, 3.2560310, 3.7439452, 6.7292674, 25.4618100, 141.2858000, 386.0688500", \
+					  "3.1750349, 3.2560761, 3.7439292, 6.7291395, 25.4651200, 141.5458800, 386.0670900", \
+					  "3.1757867, 3.2560743, 3.7438417, 6.7292150, 25.4650290, 141.5543800, 385.9904900", \
+					  "3.1758032, 3.2549607, 3.7439070, 6.7293189, 25.4633290, 141.1879700, 386.0695900", \
+					  "3.1743883, 3.2552279, 3.7444083, 6.7293073, 25.4914540, 140.9899700, 386.0778600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5470290, 21.7485600, 23.0796130, 31.1686140, 80.9793690, 388.9406900, 2286.2343000", \
+					  "22.1089080, 22.3282300, 23.6461840, 31.7625750, 81.6233050, 389.5445400, 2285.7166000", \
+					  "22.5983590, 22.8032440, 24.1258940, 32.2219090, 82.1100700, 389.9855000, 2286.2091000", \
+					  "22.9972090, 23.2137030, 24.5435690, 32.6384680, 82.4598060, 389.9575600, 2287.0674000", \
+					  "23.3685500, 23.5986800, 24.9057250, 33.0085610, 82.8627900, 390.7818200, 2286.6047000", \
+					  "23.7223410, 23.9385030, 25.2595350, 33.3533520, 83.2022310, 391.1970100, 2286.9276000", \
+					  "24.0277110, 24.2637180, 25.5783930, 33.6649780, 83.5021370, 391.5166400, 2288.3603000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6719120, 16.0979440, 18.7066490, 34.7757950, 134.6038200, 749.4643300, 4538.2012000", \
+					  "15.6670680, 16.1040110, 18.7034250, 34.8370900, 134.3018600, 749.0430700, 4539.1698000", \
+					  "15.6541320, 16.0981980, 18.7036820, 34.7840580, 134.3224800, 749.4551300, 4538.1221000", \
+					  "15.6800300, 16.0934750, 18.7039860, 34.7935400, 134.2973400, 749.0466500, 4538.2840000", \
+					  "15.6799910, 16.0926380, 18.6817710, 34.7753430, 134.6283100, 749.4529100, 4539.6050000", \
+					  "15.6779510, 16.0985410, 18.6985150, 34.7986530, 134.5094800, 748.8718600, 4537.6551000", \
+					  "15.6706100, 16.1037480, 18.7035310, 34.7497360, 134.5241900, 748.9590500, 4538.9011000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4536780, 18.6746490, 20.0117290, 28.2672570, 78.9583510, 391.0383600, 2314.9578000", \
+					  "19.0215010, 19.2346440, 20.5814410, 28.8366910, 79.5233600, 391.6090300, 2315.4703000", \
+					  "19.5097220, 19.7189660, 21.0660560, 29.3268090, 80.0033890, 392.0942800, 2315.9585000", \
+					  "19.9266170, 20.1275170, 21.4805120, 29.7411130, 80.4208040, 392.5069900, 2316.3600000", \
+					  "20.2973660, 20.5129440, 21.8448580, 30.1027720, 80.7979600, 392.8763600, 2317.1576000", \
+					  "20.6380280, 20.8443730, 22.1999400, 30.4457860, 81.1345090, 393.2234400, 2317.5762000", \
+					  "20.9638960, 21.1781420, 22.5102230, 30.7798760, 81.4797400, 393.5396400, 2317.8143000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4785200, 16.8886190, 19.5635400, 35.8518940, 137.0185900, 760.0632400, 4600.8190000", \
+					  "16.4831700, 16.9202060, 19.5635330, 35.8369830, 137.1171800, 759.4852600, 4597.5744000", \
+					  "16.4785220, 16.9201910, 19.5635770, 35.8537310, 137.1214600, 760.0506800, 4601.0987000", \
+					  "16.4510560, 16.9202700, 19.5635310, 35.8571590, 137.1167700, 760.0803500, 4600.3533000", \
+					  "16.4657030, 16.9109790, 19.5635900, 35.9314530, 136.9641500, 759.8673100, 4600.8936000", \
+					  "16.4772360, 16.9202070, 19.5635210, 35.9319650, 137.1212100, 760.0692700, 4601.1442000", \
+					  "16.4645490, 16.9141590, 19.5635740, 35.8453110, 136.9475900, 759.6702300, 4601.2683000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3529760, 14.4151830, 14.8034430, 17.0936990, 31.0637670, 116.9412100, 490.0052800", \
+					  "14.9267370, 14.9892810, 15.3709400, 17.6713650, 31.6391570, 117.5362400, 490.6294700", \
+					  "15.4055380, 15.4735900, 15.8592820, 18.1498740, 32.1171820, 117.9920900, 491.0147600", \
+					  "15.8178250, 15.8794720, 16.2696420, 18.5618130, 32.5082790, 118.4142100, 491.4510900", \
+					  "16.1940590, 16.2557910, 16.6387650, 18.9414100, 32.9005050, 118.7847600, 491.8399000", \
+					  "16.5418130, 16.6021540, 16.9890410, 19.2796910, 33.2321760, 119.1039100, 492.1712400", \
+					  "16.8590650, 16.9320400, 17.3168040, 19.6066200, 33.5654880, 119.4273800, 492.4571600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8093368, 3.9005572, 4.4565316, 7.9968396, 30.4748400, 168.9433700, 348.7457700", \
+					  "3.8073873, 3.8973700, 4.4540274, 7.9998435, 30.4756790, 168.8729600, 348.8231100", \
+					  "3.8036814, 3.8969623, 4.4555266, 7.9979818, 30.4608850, 168.6337800, 348.8030100", \
+					  "3.8035615, 3.9001962, 4.4548067, 7.9966824, 30.3581620, 168.7365800, 348.8933900", \
+					  "3.8035179, 3.8973327, 4.4533186, 7.9867934, 30.4622920, 168.6668300, 348.8533500", \
+					  "3.8087897, 3.9002832, 4.4542601, 7.9852311, 30.4604910, 168.7948600, 348.8957200", \
+					  "3.8000443, 3.8969601, 4.4547490, 7.9989011, 30.4607970, 168.8258600, 348.7269000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3262460, 11.3771670, 11.6638260, 13.4488430, 20.1733560, 36.9477000, 133.4029900", \
+					  "11.8983340, 11.9479350, 12.2359230, 14.0202720, 20.7492440, 37.5230980, 133.9817900", \
+					  "12.3851660, 12.4351220, 12.7204950, 14.5060580, 21.2331240, 38.0083910, 134.4634800", \
+					  "12.7955820, 12.8427140, 13.1393700, 14.9146920, 21.6463470, 38.4209360, 134.8753600", \
+					  "13.1742390, 13.2268680, 13.5148130, 15.2935390, 22.0266840, 38.8006040, 135.2485800", \
+					  "13.5173590, 13.5642250, 13.8512190, 15.6351960, 22.3675300, 39.1385630, 135.6020600", \
+					  "13.8357980, 13.8837920, 14.1804890, 15.9578290, 22.6828920, 39.4628370, 135.9159000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2217973, 3.3066099, 3.8271435, 6.2239849, 9.1856194, 27.8211910, 171.0910900", \
+					  "3.2224512, 3.3065635, 3.8267358, 6.2239618, 9.1856469, 27.8702430, 171.4251100", \
+					  "3.2225154, 3.3065815, 3.8270973, 6.2239440, 9.1860608, 27.8665840, 171.2535200", \
+					  "3.2225231, 3.3073970, 3.8266030, 6.2238894, 9.1861150, 27.8741080, 171.4254600", \
+					  "3.2226233, 3.3078087, 3.8265791, 6.2241514, 9.1859249, 27.8739960, 171.2475200", \
+					  "3.2228085, 3.3066008, 3.8270604, 6.2239800, 9.1849230, 27.8551670, 171.0833600", \
+					  "3.2224250, 3.3073018, 3.8270787, 6.2240795, 9.1856647, 27.8603170, 171.0618000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4511130, 18.6628850, 20.0109830, 28.2665620, 78.9509760, 391.0377900, 2315.0876000", \
+					  "19.0216230, 19.2324820, 20.5820870, 28.8388100, 79.5208730, 391.6082700, 2315.3459000", \
+					  "19.5074590, 19.7325570, 21.0736250, 29.3196190, 80.0043050, 392.0918300, 2315.9764000", \
+					  "19.9315250, 20.1311410, 21.4809010, 29.7267920, 80.4173580, 392.5038100, 2316.3529000", \
+					  "20.2953050, 20.5157350, 21.8629860, 30.1104030, 80.7924320, 392.8815300, 2317.4480000", \
+					  "20.6321400, 20.8596020, 22.1941560, 30.4512790, 81.1414790, 393.2210000, 2317.1037000", \
+					  "20.9612720, 21.1876190, 22.5250820, 30.7782460, 81.4714550, 393.5384100, 2317.8168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4778520, 16.9194420, 19.5628620, 35.8522850, 137.1140600, 760.0828000, 4600.9477000", \
+					  "16.4776890, 16.9194350, 19.5628540, 35.8527860, 137.0976400, 760.0436900, 4599.7804000", \
+					  "16.4778250, 16.8884710, 19.5626950, 35.9331120, 137.1178700, 759.8651500, 4600.3584000", \
+					  "16.4696980, 16.9194440, 19.5628600, 35.8430260, 137.1162900, 760.0746700, 4600.9122000", \
+					  "16.4837330, 16.8784600, 19.5618310, 35.8372990, 136.9674700, 759.9180100, 4603.2387000", \
+					  "16.4778220, 16.8960920, 19.5628720, 35.8353950, 137.1133300, 758.7227600, 4600.8672000", \
+					  "16.4774900, 16.8870380, 19.5444920, 35.8335970, 136.8918300, 759.7036000, 4602.5039000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5474110, 21.7591880, 23.0799750, 31.1605990, 80.9654570, 388.6487400, 2285.2605000", \
+					  "22.0983710, 22.3309620, 23.6390590, 31.7252500, 81.5602870, 389.2719200, 2287.0286000", \
+					  "22.6014730, 22.8185260, 24.1332150, 32.2130020, 82.0208610, 390.0191800, 2286.6411000", \
+					  "22.9957210, 23.2232580, 24.5479780, 32.6255500, 82.4397260, 390.0654200, 2286.6100000", \
+					  "23.3886460, 23.6082260, 24.9202600, 33.0062560, 82.7969940, 390.4225100, 2287.2393000", \
+					  "23.7311150, 23.9420870, 25.2625390, 33.3480760, 83.1552070, 391.1780500, 2286.7919000", \
+					  "24.0610020, 24.2616270, 25.5740190, 33.6787770, 83.4961970, 391.5037300, 2287.8537000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6720860, 16.1040350, 18.7057300, 34.7520450, 134.4069700, 749.5853000, 4536.3913000", \
+					  "15.6791700, 16.0916950, 18.7009540, 34.7346540, 134.5113400, 749.6675500, 4536.8442000", \
+					  "15.6663380, 16.0896430, 18.7091140, 34.7455680, 134.4048600, 749.2766400, 4541.8597000", \
+					  "15.6762510, 16.0831330, 18.7098890, 34.9009820, 134.4163000, 749.5070200, 4538.6601000", \
+					  "15.6729070, 16.0892950, 18.7103060, 34.9006800, 134.3940900, 749.4510900, 4538.3017000", \
+					  "15.6792500, 16.0920520, 18.7090180, 34.9054050, 134.4151900, 749.1853500, 4542.0761000", \
+					  "15.6703980, 16.0911980, 18.7004300, 34.7812270, 134.4909000, 748.8915800, 4537.1235000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.9168180, 10.9671450, 11.2574600, 13.0406400, 23.8198100, 89.8302870, 419.6119500", \
+					  "11.3545490, 11.4041000, 11.6995570, 13.4796730, 24.2633400, 90.2685500, 420.0428800", \
+					  "11.6544440, 11.7024880, 12.0005670, 13.7813050, 24.5642330, 90.5703960, 420.4095500", \
+					  "11.8976020, 11.9416410, 12.2397450, 14.0269540, 24.8064730, 90.8174580, 420.6390300", \
+					  "12.1018840, 12.1520930, 12.4446350, 14.2269280, 25.0042230, 91.0167870, 420.8357900", \
+					  "12.2840880, 12.3348220, 12.6289130, 14.4151900, 25.1939550, 91.1998650, 420.9969400", \
+					  "12.4501160, 12.4942290, 12.7932200, 14.5796390, 25.3591550, 91.3697490, 421.1639500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1602028, 3.2412477, 3.7320400, 6.7258807, 25.4762500, 140.9890700, 385.7957200", \
+					  "3.1610548, 3.2407608, 3.7319379, 6.7255508, 25.4521170, 141.4706900, 385.7910300", \
+					  "3.1603686, 3.2408374, 3.7324708, 6.7257311, 25.4563930, 141.5226600, 385.8741900", \
+					  "3.1598297, 3.2403962, 3.7323971, 6.7252830, 25.4272780, 141.4259400, 385.8621100", \
+					  "3.1601461, 3.2408314, 3.7326973, 6.7258337, 25.4844520, 141.1379300, 385.8543500", \
+					  "3.1601710, 3.2405452, 3.7319765, 6.7252195, 25.4294270, 141.4839200, 385.8177400", \
+					  "3.1598317, 3.2404757, 3.7324051, 6.7252826, 25.4848530, 141.2790600, 385.8420100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.1983850, 13.2651770, 13.6596910, 15.9847810, 29.9951850, 115.9044600, 488.6159700", \
+					  "13.7714860, 13.8370770, 14.2332600, 16.5573460, 30.5690440, 116.4982700, 489.2106600", \
+					  "14.2566480, 14.3227280, 14.7191540, 17.0428600, 31.0545830, 116.9833700, 489.7968900", \
+					  "14.6743030, 14.7402690, 15.1292290, 17.4573970, 31.4570800, 117.3468400, 490.0845700", \
+					  "15.0468370, 15.1137860, 15.5076310, 17.8332090, 31.8433670, 117.7724300, 490.4603700", \
+					  "15.3979110, 15.4619980, 15.8443140, 18.1914430, 32.1947300, 117.9456700, 490.9125000", \
+					  "15.7197650, 15.7823460, 16.1779030, 18.5030040, 32.4829760, 118.4426000, 491.1287300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7626582, 3.8532195, 4.4212238, 7.9821891, 30.4745280, 168.9383100, 348.4632900", \
+					  "3.7627301, 3.8537316, 4.4217745, 7.9840623, 30.4751500, 168.9872000, 348.5603200", \
+					  "3.7627355, 3.8536667, 4.4222008, 7.9838109, 30.4752770, 168.9844600, 348.4232400", \
+					  "3.7594057, 3.8547050, 4.4262598, 7.9744638, 30.4681080, 168.4959700, 348.3958200", \
+					  "3.7626688, 3.8527190, 4.4210524, 7.9821527, 30.4743680, 168.8334500, 348.4168800", \
+					  "3.7668930, 3.8580028, 4.4234048, 7.9813605, 30.3676270, 168.6835300, 348.4091700", \
+					  "3.7633678, 3.8566070, 4.4231152, 7.9819706, 30.3774390, 168.9455600, 348.4773300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4410260, 18.6569940, 20.0265440, 28.3586290, 79.3318550, 391.7018300, 2315.5028000", \
+					  "18.8791150, 19.0945060, 20.4634820, 28.7934390, 79.7613920, 392.1368500, 2316.5103000", \
+					  "19.1714220, 19.3981760, 20.7499510, 29.1047290, 80.0564880, 392.4352800, 2316.2236000", \
+					  "19.4186230, 19.6309460, 20.9983460, 29.3389380, 80.3097890, 392.6789700, 2317.0669000", \
+					  "19.6255300, 19.8402560, 21.2015330, 29.5466870, 80.5129120, 392.8817000, 2316.9503000", \
+					  "19.7932440, 20.0152870, 21.3824690, 29.7330590, 80.6978800, 393.0671300, 2317.1692000", \
+					  "19.9739760, 20.1902120, 21.5583730, 29.8886500, 80.8638630, 393.2326500, 2317.3200000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4609530, 16.8894920, 19.5567190, 35.8160580, 136.5176100, 759.7136600, 4600.3734000", \
+					  "16.4660800, 16.8851850, 19.5568240, 35.8498440, 137.0538000, 759.9400100, 4599.4566000", \
+					  "16.4754240, 16.9020370, 19.5500200, 35.8232450, 136.9948600, 760.0438000, 4600.7790000", \
+					  "16.4504750, 16.9048120, 19.5099010, 35.8049660, 137.0038400, 759.9094800, 4600.5689000", \
+					  "16.4748730, 16.8868850, 19.5611850, 35.8350750, 136.5143900, 759.8961200, 4600.8504000", \
+					  "16.4803510, 16.9113450, 19.5584850, 35.8304990, 136.7837400, 759.8767400, 4601.5803000", \
+					  "16.4675940, 16.8886190, 19.5570690, 35.8387560, 136.8720900, 759.8498500, 4601.2974000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.9691070, 13.0351800, 13.4200450, 15.7117960, 29.6710980, 115.5665600, 488.5632200", \
+					  "13.5445570, 13.6060570, 13.9937880, 16.2834830, 30.2520820, 116.1444000, 489.0909500", \
+					  "14.0284540, 14.0896240, 14.4794250, 16.7713080, 30.7318760, 116.6322500, 489.5685500", \
+					  "14.4450600, 14.5077320, 14.8900360, 17.1906220, 31.1456460, 117.0035800, 490.0091400", \
+					  "14.8205850, 14.8844970, 15.2598800, 17.5711780, 31.5105670, 117.3890500, 490.3629900", \
+					  "15.1614770, 15.2193740, 15.6122300, 17.9045670, 31.8643390, 117.7624100, 490.7079200", \
+					  "15.4905080, 15.5523750, 15.9392550, 18.2290470, 32.1990190, 118.0597500, 491.0713200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7981209, 3.8944368, 4.4507016, 7.9898044, 30.4684950, 168.7416400, 348.8690100", \
+					  "3.7975059, 3.8939052, 4.4526103, 7.9943936, 30.4732200, 168.9144000, 348.7507500", \
+					  "3.7981249, 3.8912495, 4.4514987, 7.9900246, 30.4695220, 168.8069800, 348.7440100", \
+					  "3.7945749, 3.8907988, 4.4485180, 7.9964231, 30.4606470, 168.8225000, 348.8995300", \
+					  "3.8009595, 3.8929922, 4.4532822, 7.9945615, 30.3387860, 168.7891700, 348.7562600", \
+					  "3.7980841, 3.8909924, 4.4511288, 7.9888487, 30.4690990, 168.7739700, 348.8277100", \
+					  "3.8025743, 3.8946930, 4.4517892, 7.9976470, 30.4751420, 168.9081300, 348.8671000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.1243581, -1.1561158, -1.1878736, -1.1864257, -1.1849603, -1.1835124, -1.1820645");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1269200, 1.1770428, 1.2271656, 1.2271146, 1.2270631, 1.2270121, 1.2269611");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.160944;
+			capacitance : 0.160326;
+			fall_capacitance : 0.159707;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0626003, -0.0553644, -0.0481286, -0.0480745, -0.0480197, -0.0479656, -0.0479115");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0546200, 0.0513826, 0.0481452, 0.0480642, 0.0479822, 0.0479011, 0.0478201");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.154003;
+			capacitance : 0.153207;
+			fall_capacitance : 0.152411;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0636124, -0.0558468, -0.0480811, -0.0480921, -0.0481031, -0.0481140, -0.0481250");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0551003, 0.0515927, 0.0480851, 0.0479963, 0.0479065, 0.0478177, 0.0477290");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p40v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_1v65.lib
new file mode 100644
index 0000000..bf94c7a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.189140e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.5090000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "134.3160000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.8860000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.3310000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.9140000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.3030000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.3050000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.4810000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.3330000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "199.8850000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "214.7980000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.2080000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.5370000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "201.7220000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "199.9780000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "217.7500000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.5640000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.2360000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006414;
+			capacitance : 0.006270;
+			fall_capacitance : 0.006126;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9860922, 3.8495196, 3.7285817, 3.6363843, 3.5694712, 3.4865775, 3.4332877", \
+					  "4.3316486, 4.1934880, 4.0781416, 3.9835560, 3.9338540, 3.8539623, 3.7830959", \
+					  "4.7043780, 4.5663698, 4.4469071, 4.3577233, 4.2892839, 4.2150743, 4.1498194", \
+					  "4.9883909, 4.8499571, 4.7294025, 4.6388158, 4.5702440, 4.5021882, 4.4550117", \
+					  "5.2203462, 5.0837115, 4.9628349, 4.8706384, 4.8067770, 4.7202933, 4.6669569", \
+					  "5.4265430, 5.2609169, 5.1690850, 5.0909524, 5.0055536, 4.9589779, 4.8753830", \
+					  "5.5946805, 5.4544967, 5.3340659, 5.2500580, 5.1751259, 5.0933265, 5.0603462");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6565142, 3.5171886, 3.3613628, 3.1997689, 3.0717365, 2.9423115, 2.8358667", \
+					  "4.0020780, 3.8612263, 3.7077901, 3.5491441, 3.4057950, 3.2951020, 3.1875338", \
+					  "4.3747852, 4.2297302, 4.0960811, 3.9210966, 3.7799553, 3.6634880, 3.5541375", \
+					  "4.6587949, 4.5194695, 4.3686564, 4.2050930, 4.0815793, 3.9473876, 3.8472113", \
+					  "4.8922735, 4.7514217, 4.6013600, 4.4376461, 4.3030169, 4.1772615, 4.0812547", \
+					  "5.0831715, 4.9595819, 4.8135738, 4.6325986, 4.5097897, 4.3855713, 4.2892941", \
+					  "5.2680809, 5.1351122, 4.9767375, 4.8192288, 4.6833970, 4.5466509, 4.4661673");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.3854727, -3.2168230, -3.1454665, -3.0415845, -2.9945415, -2.9557354, -2.8690412", \
+					  "-3.7325282, -3.5638785, -3.4826133, -3.3944063, -3.3285387, -3.3122287, -3.1865521", \
+					  "-4.1068808, -3.9411396, -3.8653029, -3.7672875, -3.6998513, -3.6772616, -3.5918381", \
+					  "-4.3924333, -4.2237833, -4.1394601, -4.0514522, -3.9951857, -3.9612213, -3.8738987", \
+					  "-4.6228809, -4.4542496, -4.3828964, -4.2660863, -4.2258865, -4.1751431, -4.1064500", \
+					  "-4.8384969, -4.6725043, -4.5903656, -4.4781087, -4.4417134, -4.3572641, -4.3163519", \
+					  "-4.9653807, -4.8562400, -4.7581136, -4.6429564, -4.6147172, -4.5511867, -4.4509411");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4755121, -3.3500671, -3.2379967, -3.0541143, -2.9592250, -2.8427040, -2.6846109", \
+					  "-3.8240937, -3.6970082, -3.5879090, -3.4082876, -3.2741180, -3.1489975, -3.0389038", \
+					  "-4.1969203, -4.0713612, -3.9582233, -3.7998633, -3.6441958, -3.5126690, -3.4239924", \
+					  "-4.4824723, -4.3552315, -4.2482255, -4.0693926, -3.9657062, -3.7966951, -3.6867018", \
+					  "-4.7129205, -4.5868031, -4.4781536, -4.2960548, -4.1635315, -4.0362986, -3.9084671", \
+					  "-4.9300619, -4.7814163, -4.6878680, -4.5009971, -4.3736363, -4.2653101, -4.1369376", \
+					  "-5.1111304, -4.9764759, -4.8462112, -4.6963959, -4.5456320, -4.4349068, -4.3049441");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234647, 0.0266357, 0.0298067, 0.0296599, 0.0295191, 0.0293723, 0.0292256");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296223, 0.0331678, 0.0367133, 0.0366078, 0.0365066, 0.0364012, 0.0362957");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004593;
+			capacitance : 0.004489;
+			fall_capacitance : 0.004386;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4465292, -3.3107232, -3.1874296, -3.0815423, -3.0136713, -2.9602753, -2.8960056", \
+					  "-3.7951102, -3.6595169, -3.5314236, -3.4393894, -3.3726737, -3.3180682, -3.2460609", \
+					  "-4.1679386, -4.0339551, -3.9247380, -3.8027174, -3.7454610, -3.6802767, -3.6173637", \
+					  "-4.4534911, -4.3178978, -4.1943824, -4.0854326, -4.0251089, -3.9642544, -3.8882273", \
+					  "-4.6839392, -4.5498718, -4.4378032, -4.3216414, -4.2689618, -4.1730274, -4.1292047", \
+					  "-4.9010802, -4.7624639, -4.6475154, -4.5311245, -4.4798611, -4.3831407, -4.3306684", \
+					  "-5.0729884, -4.9395614, -4.8154087, -4.7100228, -4.6574697, -4.5596866, -4.5127628");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.3946518, -3.2801703, -3.1251177, -2.9518532, -2.8071096, -2.6845525, -2.5931927", \
+					  "-3.7417076, -3.6272258, -3.4728602, -3.3004434, -3.1572171, -3.0384592, -2.9540288", \
+					  "-4.1160601, -4.0012000, -3.8480567, -3.6702676, -3.5411182, -3.4225558, -3.3013158", \
+					  "-4.4016121, -4.2871308, -4.1357990, -3.9622165, -3.8110183, -3.7091527, -3.5903420", \
+					  "-4.6320602, -4.5175785, -4.3640702, -4.2004402, -4.0682108, -3.9297749, -3.8177858", \
+					  "-4.8476758, -4.7264106, -4.5706898, -4.3885839, -4.2775301, -4.1670429, -4.0475514", \
+					  "-5.0325430, -4.8921216, -4.7298705, -4.5618293, -4.4438330, -4.3007564, -4.1711285");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9479649, 3.8107888, 3.6975041, 3.5864685, 3.5247756, 3.4486394, 3.3786285", \
+					  "4.2919906, 4.1685471, 4.0314110, 3.9350974, 3.8687478, 3.7911135, 3.7093724", \
+					  "4.6647369, 4.5281643, 4.4041022, 4.3044340, 4.2413316, 4.1607915, 4.0924982", \
+					  "4.9487519, 4.8141844, 4.6897324, 4.5825084, 4.5217837, 4.4507862, 4.3774613", \
+					  "5.1822362, 5.0365403, 4.9264084, 4.8219160, 4.7521478, 4.6725173, 4.6210851", \
+					  "5.3838852, 5.2222949, 5.1034144, 5.0088931, 4.9788438, 4.8449669, 4.8180134", \
+					  "5.5669787, 5.4300314, 5.2929233, 5.2164302, 5.1421656, 5.0619094, 5.0026088");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6061541, 3.4655892, 3.2831753, 3.1460961, 3.0215677, 2.8943290, 2.7382079", \
+					  "3.9506192, 3.8113551, 3.6595312, 3.4951074, 3.3632009, 3.2236461, 3.0811469", \
+					  "4.3213586, 4.1820945, 4.0137190, 3.8664949, 3.7324555, 3.5943855, 3.4549382", \
+					  "4.6053168, 4.4648132, 4.3142181, 4.1503728, 4.0400943, 3.8768178, 3.7552648", \
+					  "4.8328704, 4.6920803, 4.5027282, 4.3765749, 4.2638287, 4.1069950, 3.9679405", \
+					  "5.0250775, 4.8842875, 4.7298452, 4.5587354, 4.4467046, 4.3019635, 4.1617530", \
+					  "5.2227216, 5.0849825, 4.9324987, 4.7618734, 4.6379658, 4.4983997, 4.3518654");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0230659, 0.0293982, 0.0357304, 0.0355834, 0.0354422, 0.0352952, 0.0351481");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0308233, 0.0368600, 0.0428967, 0.0427924, 0.0426923, 0.0425881, 0.0424838");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006305;
+			capacitance : 0.006158;
+			fall_capacitance : 0.006012;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0135578, 3.8770199, 3.7530088, 3.6625570, 3.5877819, 3.5152608, 3.4799686", \
+					  "4.3591031, 4.2209541, 4.1000650, 4.0081550, 3.9379049, 3.8658346, 3.8192008", \
+					  "4.7318689, 4.5937199, 4.4683326, 4.3836883, 4.3106712, 4.2284281, 4.2004514", \
+					  "5.0158871, 4.8786702, 4.7629130, 4.6801836, 4.5931630, 4.5157361, 4.4761584", \
+					  "5.2478486, 5.1096996, 4.9857805, 4.8969573, 4.8312281, 4.7526847, 4.7000158", \
+					  "5.4619769, 5.3240330, 5.2000114, 5.1125737, 5.0484082, 4.9586875, 4.9151756", \
+					  "5.6340504, 5.4986791, 5.3765786, 5.2744505, 5.2206970, 5.1454648, 5.0943714");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6259947, 3.4863910, 3.3356855, 3.1901036, 3.0399723, 2.9187542, 2.8275354", \
+					  "3.9939703, 3.8533610, 3.7030695, 3.5537518, 3.4095049, 3.2822505, 3.1840022", \
+					  "4.3486861, 4.2042354, 4.0523179, 3.9128730, 3.7626589, 3.6397765, 3.5417696", \
+					  "4.6284228, 4.4857192, 4.3329556, 4.1941684, 4.0488491, 3.9194299, 3.7962689", \
+					  "4.8569946, 4.7143838, 4.5573801, 4.4169471, 4.2760118, 4.1571131, 4.0566850", \
+					  "5.0472362, 4.9006823, 4.7548874, 4.6009270, 4.4658067, 4.3416202, 4.2416411", \
+					  "5.2545273, 5.1026364, 4.9495211, 4.8072189, 4.6705869, 4.5534628, 4.4071096");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4846547, -3.3602620, -3.2426463, -3.1668483, -3.0911335, -3.0316840, -2.9813374", \
+					  "-3.8317093, -3.7073111, -3.5925813, -3.5208762, -3.4357079, -3.3916571, -3.3314053", \
+					  "-4.2060655, -4.0816672, -3.9652110, -3.8900387, -3.8129741, -3.7599370, -3.6815766", \
+					  "-4.4916184, -4.3628334, -4.2477894, -4.1740466, -4.0991172, -4.0356040, -3.9652425", \
+					  "-4.7220669, -4.5990094, -4.4507724, -4.4088877, -4.3188792, -4.2703469, -4.2046688", \
+					  "-4.8994339, -4.7734347, -4.6469705, -4.5651960, -4.4934955, -4.4471286, -4.3769568", \
+					  "-5.0813582, -4.9518045, -4.8574804, -4.7727508, -4.6980062, -4.6650308, -4.5985808");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4633049, -3.3064133, -3.1797169, -3.0137559, -2.8971878, -2.7658797, -2.6627216", \
+					  "-3.8103606, -3.6603873, -3.5267016, -3.3636142, -3.2380271, -3.1032210, -3.0144040", \
+					  "-4.1847132, -4.0332139, -3.8999450, -3.7366281, -3.6005045, -3.4909770, -3.3856844", \
+					  "-4.4702657, -4.3187664, -4.1772378, -4.0300502, -3.9015308, -3.7601417, -3.6617007", \
+					  "-4.7007133, -4.5492141, -4.4175430, -4.2575567, -4.1327032, -3.9978942, -3.8938206", \
+					  "-4.9178547, -4.7642083, -4.6190022, -4.4597985, -4.3318355, -4.2226011, -4.1139294", \
+					  "-5.0706787, -4.9203723, -4.7888730, -4.6298673, -4.4998123, -4.3672254, -4.2439285");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234872, 0.0266546, 0.0298220, 0.0296756, 0.0295350, 0.0293886, 0.0292422");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0295972, 0.0331112, 0.0366251, 0.0365184, 0.0364160, 0.0363094, 0.0362027");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026795;
+			capacitance : 0.026877;
+			fall_capacitance : 0.026959;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034081, -0.0038526, -0.0042970, -0.0044342, -0.0045659, -0.0047031, -0.0048403");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0266428, 0.0270633, 0.0274838, 0.0273232, 0.0271691, 0.0270085, 0.0268479");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032993;
+			capacitance : 0.032601;
+			fall_capacitance : 0.032210;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0575950, 0.0706926, 0.0837901, 0.0840632, 0.0843253, 0.0845983, 0.0848713");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0719896, 0.0856221, 0.0992547, 0.0994427, 0.0996231, 0.0998111, 0.0999990");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052217;
+			capacitance : 0.051657;
+			fall_capacitance : 0.051097;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0130829, -0.0133993, -0.0137157, -0.0137316, -0.0137469, -0.0137628, -0.0137787");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0135926, 0.0137481, 0.0139036, 0.0138640, 0.0138260, 0.0137864, 0.0137468");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016866;
+			capacitance : 0.016761;
+			fall_capacitance : 0.016657;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0184343, 0.0179188, 0.0174033, 0.0174722, 0.0175383, 0.0176072, 0.0176761");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0217977, 0.0214142, 0.0210308, 0.0211123, 0.0211905, 0.0212720, 0.0213535");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035934;
+			capacitance : 0.036531;
+			rise_capacitance : 0.037127;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8722267, -3.7556395, -3.6558651, -3.5728753, -3.5342668, -3.4727289, -3.4212746", \
+					  "-4.2208079, -4.0965912, -4.0186896, -3.9367240, -3.8637646, -3.8265846, -3.7681779", \
+					  "-4.5921091, -4.4817658, -4.3878023, -4.2942838, -4.2586541, -4.1926202, -4.1397612", \
+					  "-4.8776611, -4.7625998, -4.6582477, -4.5859394, -4.5129227, -4.4797909, -4.4315553", \
+					  "-5.1126865, -4.9915220, -4.8952497, -4.8102839, -4.7731270, -4.7197461, -4.6674137", \
+					  "-5.3237248, -5.2086635, -5.1178792, -5.0304771, -4.9897408, -4.9382615, -4.8783215", \
+					  "-5.4841777, -5.3665754, -5.2617130, -5.2022441, -5.1521602, -5.0913475, -5.0483228");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6026951, -2.4646931, -2.3503092, -2.2615931, -2.1982840, -2.1336068, -2.0690400", \
+					  "-2.9482250, -2.8102227, -2.7267994, -2.6168303, -2.5560207, -2.4867659, -2.4141988", \
+					  "-3.3241034, -3.1830496, -3.0983691, -3.0033902, -2.9334252, -2.8422229, -2.7918618", \
+					  "-3.6081298, -4.8301569, -3.3584048, -3.2675799, -3.1930373, -3.1299325, -3.0646203", \
+					  "-3.8401035, -3.7139396, -4.9061157, -3.5032625, -3.4166189, -3.3595823, -3.3067115", \
+					  "-4.0694521, -3.9421312, -3.8149437, -4.9877522, -4.8820043, -3.5792311, -3.5265292", \
+					  "-4.2230550, -4.1025509, -3.9965468, -3.8942522, -5.0442994, -4.9454385, -3.7417276");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2760074, 4.1383504, 4.0368462, 3.9430857, 3.8731212, 3.8215274, 3.7529200", \
+					  "4.6169893, 4.4790387, 4.3731608, 4.2871194, 4.2202062, 4.1570550, 4.1015309", \
+					  "4.9927616, 4.8545863, 4.7523153, 4.6598399, 4.5898750, 4.5282496, 4.4788291", \
+					  "5.2813507, 5.1388376, 5.0409084, 4.9438514, 4.8769382, 4.8153129, 4.7567375", \
+					  "5.4925476, 5.3515453, 5.2471936, 5.1751606, 5.0942391, 5.0341391, 4.9801415", \
+					  "5.6813397, 5.5433891, 5.4390370, 5.3514698, 5.2845566, 5.2244571, 5.1750371", \
+					  "5.8708343, 5.7405132, 5.6346352, 5.5551970, 5.4820293, 5.4215812, 5.3660572");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0196618, 3.8747781, 3.7217814, 3.5881967, 3.4842916, 3.3793968, 3.2724639", \
+					  "4.3718547, 4.2169311, 4.0597843, 3.9321962, 3.8255308, 3.7164581, 3.6171093", \
+					  "4.7380045, 4.5892501, 4.4445863, 4.3013586, 4.2013715, 4.0853239, 3.9893478", \
+					  "5.0219804, 4.8716345, 4.7273046, 4.5822424, 4.4833646, 4.3694371, 4.2717379", \
+					  "5.2419920, 5.0901468, 4.9386538, 4.8037799, 4.7027522, 4.5936457, 4.4998772", \
+					  "5.4365111, 5.2846388, 5.1369955, 5.0013507, 4.8917131, 4.7849021, 4.6831088", \
+					  "5.6255585, 5.4789207, 5.3176382, 5.1940064, 5.0889775, 4.9795726, 4.8812139");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1176730, 0.1511907, 0.1847083, 0.2080297, 0.2304181, 0.2537394, 0.2770607");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1554066, 0.2050055, 0.2546044, 0.2609507, 0.2670431, 0.2733894, 0.2797356");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010653;
+			capacitance : 0.010473;
+			fall_capacitance : 0.010294;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0055824, 0.0169280, 0.0394384, 0.2686575, 0.4887078, 0.7179268, 0.9471459");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0400286, 0.0584154, 0.0768022, 0.2053222, 0.3287014, 0.4572214, 0.5857414");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006705;
+			capacitance : 0.006558;
+			fall_capacitance : 0.006410;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1630941, 4.0249367, 3.9088477, 3.8133863, 3.7388437, 3.6909043, 3.6229729", \
+					  "4.5086479, 4.3704905, 4.2527287, 4.1604660, 4.0843975, 4.0394424, 3.9686057", \
+					  "4.8813858, 4.7432283, 4.6177482, 4.5469560, 4.4556552, 4.3909323, 4.3398399", \
+					  "5.1653995, 5.0272420, 4.9063645, 4.8156921, 4.7411495, 4.6718947, 4.6268081", \
+					  "5.3857752, 5.2476177, 5.1237928, 5.0375937, 4.9615248, 4.9088798, 4.8590779", \
+					  "5.5761025, 5.4364191, 5.3109804, 5.2248692, 5.1503857, 5.1076723, 5.0468832", \
+					  "5.7686583, 5.6295753, 5.5193812, 5.4297156, 5.3581413, 5.2819476, 5.2257330");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6305743, 3.4714092, 3.3248731, 3.1665047, 3.0369039, 2.9162402, 2.7988037", \
+					  "3.9761419, 3.8090951, 3.6714070, 3.5221664, 3.3782380, 3.2586718, 3.1356580", \
+					  "4.3459456, 4.1881465, 4.0262609, 3.8858395, 3.7524031, 3.6375874, 3.5033619", \
+					  "4.6328459, 4.4721549, 4.3203048, 4.1710291, 4.0350894, 3.9199833, 3.8045778", \
+					  "4.8531630, 4.6955651, 4.5503834, 4.4051716, 4.2614008, 4.1425559, 4.0243891", \
+					  "5.0789771, 4.8843017, 4.7354563, 4.5855611, 4.4788234, 4.3241191, 4.2170421", \
+					  "5.2390335, 5.0814116, 4.9324933, 4.7957161, 4.6472073, 4.5231803, 4.4182913");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5105949, -3.3739888, -3.2515219, -3.1758544, -3.1131495, -3.0391185, -2.9859355", \
+					  "-3.8576504, -3.7210443, -3.6048200, -3.5245917, -3.4633633, -3.3999887, -3.3360143", \
+					  "-4.2320029, -4.0953971, -3.9726011, -3.8989986, -3.8332757, -3.7606959, -3.7158263", \
+					  "-4.5160295, -4.3788406, -4.2570416, -4.1830003, -4.1147616, -4.0445351, -3.9944565", \
+					  "-4.7480031, -4.6144912, -4.4920172, -4.4162014, -4.3484320, -4.2762995, -4.2084067", \
+					  "-4.9636190, -4.8271153, -4.7075829, -4.6199215, -4.5421273, -4.4777441, -4.4206942", \
+					  "-5.1210202, -4.9832260, -4.8727690, -4.7885351, -4.7175932, -4.6628547, -4.6063724");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800897, -3.2946405, -3.1989153, -3.0261448, -2.9081355, -2.8041375, -2.6627298", \
+					  "-3.8271454, -3.6695428, -3.5431082, -3.3712541, -3.2350413, -3.1093245, -3.0128775", \
+					  "-4.2030239, -4.0438956, -3.9200893, -3.7366267, -3.6220331, -3.4948608, -3.3856850", \
+					  "-4.4870500, -4.3248700, -4.2049672, -4.0376628, -3.8780274, -3.7738068, -3.6632688", \
+					  "-4.7190240, -4.5598958, -4.4330403, -4.2645542, -4.1403354, -4.0099819, -3.8938206", \
+					  "-4.9331137, -4.7546253, -4.6490018, -4.4659016, -4.3332841, -4.2320145, -4.1139298", \
+					  "-5.0821384, -4.9304433, -4.8169195, -4.6564154, -4.5250574, -4.3896586, -4.2767947");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234914, 0.0266866, 0.0298818, 0.0297351, 0.0295943, 0.0294476, 0.0293009");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296124, 0.0331616, 0.0367108, 0.0365967, 0.0364872, 0.0363731, 0.0362590");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017771;
+			capacitance : 0.017667;
+			fall_capacitance : 0.017563;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0645935, 0.0979377, 0.1312819, 0.1548839, 0.1775419, 0.2011438, 0.2247458");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0790848, 0.1294733, 0.1798618, 0.1863280, 0.1925355, 0.1990017, 0.2054678");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005269;
+			capacitance : 0.005161;
+			fall_capacitance : 0.005054;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4602529, -3.3293572, -3.2128482, -3.1160840, -3.0422091, -2.9781135, -2.9176006", \
+					  "-3.8073084, -3.6786267, -3.5677756, -3.4663649, -3.3894862, -3.3404374, -3.2706017", \
+					  "-4.1816614, -4.0529795, -3.9376433, -3.8410423, -3.7622356, -3.6935361, -3.5990517", \
+					  "-4.4656876, -4.3318719, -4.2280266, -4.1268093, -4.0496608, -3.9790679, -3.8953722", \
+					  "-4.6976615, -4.5689796, -4.4439698, -4.3561170, -4.2760997, -4.1928147, -4.1480448", \
+					  "-4.9132771, -4.7788135, -4.6605801, -4.5631540, -4.4798602, -4.4273902, -4.3566077", \
+					  "-5.0752559, -4.9426198, -4.8234005, -4.7294179, -4.6536603, -4.5617467, -4.5344517");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4236131, -3.2807694, -3.1467641, -2.9539009, -2.8254203, -2.7112605, -2.6203801", \
+					  "-3.7706702, -3.6293523, -3.4873544, -3.3147133, -3.1755291, -3.0622271, -2.9639528", \
+					  "-4.1450165, -4.0048948, -3.8681455, -3.6860390, -3.5471032, -3.4314586, -3.2989995", \
+					  "-4.4290418, -4.2877237, -4.1455743, -3.9980261, -3.8308487, -3.7126983, -3.6019249", \
+					  "-4.6610140, -4.5196964, -4.3842132, -4.2287233, -4.0946248, -3.9544476, -3.8455427", \
+					  "-4.8766335, -4.7340023, -4.5935417, -4.4185959, -4.3132473, -4.1538845, -4.0647136", \
+					  "-5.0577193, -4.9236337, -4.7526140, -4.6093713, -4.4845285, -4.3389254, -4.2485439");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868120, 3.9504718, 3.8401414, 3.7279369, 3.6565629, 3.5981700, 3.5196511", \
+					  "4.4308462, 4.2913933, 4.1733295, 4.0719715, 4.0005979, 3.9430954, 3.8597057", \
+					  "4.8035645, 4.6687505, 4.5538216, 4.4431639, 4.3701481, 4.2946982, 4.2291138", \
+					  "5.0891019, 4.9527616, 4.8300833, 4.7302267, 4.6588385, 4.5821190, 4.5222158", \
+					  "5.3225818, 5.1862419, 5.0742009, 4.9700544, 4.8891640, 4.8144131, 4.7518916", \
+					  "5.4982417, 5.3620107, 5.2408609, 5.1408986, 5.0724534, 4.9955696, 4.9111393", \
+					  "5.6923127, 5.5585308, 5.4399874, 5.3364897, 5.2638661, 5.1833251, 5.1242178");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5863108, 3.4570658, 3.3101504, 3.1478529, 3.0098147, 2.8832017, 2.7518153", \
+					  "3.9307755, 3.8028321, 3.6540773, 3.4971508, 3.3510271, 3.2266972, 3.0979941", \
+					  "4.3015150, 4.1720456, 4.0250135, 3.8702391, 3.7233266, 3.5989625, 3.4686827", \
+					  "4.5854732, 4.4563080, 4.3003048, 4.1542505, 4.0130608, 3.8813950, 3.7501049", \
+					  "4.8115004, 4.6820310, 4.5360571, 4.3834783, 4.2362356, 4.0976727, 3.9853177", \
+					  "5.0037057, 4.8742364, 4.7250224, 4.5502018, 4.4226825, 4.3028987, 4.1646635", \
+					  "5.2089868, 5.0612068, 4.9251006, 4.7656237, 4.6226248, 4.4937403, 4.3752813");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0231209, 0.0292818, 0.0354428, 0.0353294, 0.0352206, 0.0351073, 0.0349939");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0305192, 0.0359603, 0.0414014, 0.0412976, 0.0411980, 0.0410941, 0.0409903");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005174;
+			capacitance : 0.004959;
+			fall_capacitance : 0.004744;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0081085, -0.0080638, -0.0080190, -0.0079722, -0.0079273, -0.0078804, -0.0078336");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0081152, 0.0080906, 0.0080660, 0.0080755, 0.0080847, 0.0080942, 0.0081037");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9860922, 4.3316486, 4.7043780, 4.9883909, 5.2203462, 5.4265430, 5.5946805", \
+					  "3.8495196, 4.1934880, 4.5663698, 4.8499571, 5.0837115, 5.2609169, 5.4544967", \
+					  "3.7285817, 4.0781416, 4.4469071, 4.7294025, 4.9628349, 5.1690850, 5.3340659", \
+					  "3.6363843, 3.9835560, 4.3577233, 4.6388158, 4.8706384, 5.0909524, 5.2500580", \
+					  "3.5694712, 3.9338540, 4.2892839, 4.5702440, 4.8067770, 5.0055536, 5.1751259", \
+					  "3.4865775, 3.8539623, 4.2150743, 4.5021882, 4.7202933, 4.9589779, 5.0933265", \
+					  "3.4332877, 3.7830959, 4.1498194, 4.4550117, 4.6669569, 4.8753830, 5.0603462");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3854727, -3.7325282, -4.1068808, -4.3924333, -4.6228809, -4.8384969, -4.9653807", \
+					  "-3.2168230, -3.5638785, -3.9411396, -4.2237833, -4.4542496, -4.6725043, -4.8562400", \
+					  "-3.1454665, -3.4826133, -3.8653029, -4.1394601, -4.3828964, -4.5903656, -4.7581136", \
+					  "-3.0415845, -3.3944063, -3.7672875, -4.0514522, -4.2660863, -4.4781087, -4.6429564", \
+					  "-2.9945415, -3.3285387, -3.6998513, -3.9951857, -4.2258865, -4.4417134, -4.6147172", \
+					  "-2.9557354, -3.3122287, -3.6772616, -3.9612213, -4.1751431, -4.3572641, -4.5511867", \
+					  "-2.8690412, -3.1865521, -3.5918381, -3.8738987, -4.1064500, -4.3163519, -4.4509411");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8722267, -4.2208079, -4.5921091, -4.8776611, -5.1126865, -5.3237248, -5.4841777", \
+					  "-3.7556395, -4.0965912, -4.4817658, -4.7625998, -4.9915220, -5.2086635, -5.3665754", \
+					  "-3.6558651, -4.0186896, -4.3878023, -4.6582477, -4.8952497, -5.1178792, -5.2617130", \
+					  "-3.5728753, -3.9367240, -4.2942838, -4.5859394, -4.8102839, -5.0304771, -5.2022441", \
+					  "-3.5342668, -3.8637646, -4.2586541, -4.5129227, -4.7731270, -4.9897408, -5.1521602", \
+					  "-3.4727289, -3.8265846, -4.1926202, -4.4797909, -4.7197461, -4.9382615, -5.0913475", \
+					  "-3.4212746, -3.7681779, -4.1397612, -4.4315553, -4.6674137, -4.8783215, -5.0483228");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2760074, 4.6169893, 4.9927616, 5.2813507, 5.4925476, 5.6813397, 5.8708343", \
+					  "4.1383504, 4.4790387, 4.8545863, 5.1388376, 5.3515453, 5.5433891, 5.7405132", \
+					  "4.0368462, 4.3731608, 4.7523153, 5.0409084, 5.2471936, 5.4390370, 5.6346352", \
+					  "3.9430857, 4.2871194, 4.6598399, 4.9438514, 5.1751606, 5.3514698, 5.5551970", \
+					  "3.8731212, 4.2202062, 4.5898750, 4.8769382, 5.0942391, 5.2845566, 5.4820293", \
+					  "3.8215274, 4.1570550, 4.5282496, 4.8153129, 5.0341391, 5.2244571, 5.4215812", \
+					  "3.7529200, 4.1015309, 4.4788291, 4.7567375, 4.9801415, 5.1750371, 5.3660572");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1630941, 4.5086479, 4.8813858, 5.1653995, 5.3857752, 5.5761025, 5.7686583", \
+					  "4.0249367, 4.3704905, 4.7432283, 5.0272420, 5.2476177, 5.4364191, 5.6295753", \
+					  "3.9088477, 4.2527287, 4.6177482, 4.9063645, 5.1237928, 5.3109804, 5.5193812", \
+					  "3.8133863, 4.1604660, 4.5469560, 4.8156921, 5.0375937, 5.2248692, 5.4297156", \
+					  "3.7388437, 4.0843975, 4.4556552, 4.7411495, 4.9615248, 5.1503857, 5.3581413", \
+					  "3.6909043, 4.0394424, 4.3909323, 4.6718947, 4.9088798, 5.1076723, 5.2819476", \
+					  "3.6229729, 3.9686057, 4.3398399, 4.6268081, 4.8590779, 5.0468832, 5.2257330");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5105949, -3.8576504, -4.2320029, -4.5160295, -4.7480031, -4.9636190, -5.1210202", \
+					  "-3.3739888, -3.7210443, -4.0953971, -4.3788406, -4.6144912, -4.8271153, -4.9832260", \
+					  "-3.2515219, -3.6048200, -3.9726011, -4.2570416, -4.4920172, -4.7075829, -4.8727690", \
+					  "-3.1758544, -3.5245917, -3.8989986, -4.1830003, -4.4162014, -4.6199215, -4.7885351", \
+					  "-3.1131495, -3.4633633, -3.8332757, -4.1147616, -4.3484320, -4.5421273, -4.7175932", \
+					  "-3.0391185, -3.3999887, -3.7606959, -4.0445351, -4.2762995, -4.4777441, -4.6628547", \
+					  "-2.9859355, -3.3360143, -3.7158263, -3.9944565, -4.2084067, -4.4206942, -4.6063724");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4602529, -3.8073084, -4.1816614, -4.4656876, -4.6976615, -4.9132771, -5.0752559", \
+					  "-3.3293572, -3.6786267, -4.0529795, -4.3318719, -4.5689796, -4.7788135, -4.9426198", \
+					  "-3.2128482, -3.5677756, -3.9376433, -4.2280266, -4.4439698, -4.6605801, -4.8234005", \
+					  "-3.1160840, -3.4663649, -3.8410423, -4.1268093, -4.3561170, -4.5631540, -4.7294179", \
+					  "-3.0422091, -3.3894862, -3.7622356, -4.0496608, -4.2760997, -4.4798602, -4.6536603", \
+					  "-2.9781135, -3.3404374, -3.6935361, -3.9790679, -4.1928147, -4.4273902, -4.5617467", \
+					  "-2.9176006, -3.2706017, -3.5990517, -3.8953722, -4.1480448, -4.3566077, -4.5344517");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868120, 4.4308462, 4.8035645, 5.0891019, 5.3225818, 5.4982417, 5.6923127", \
+					  "3.9504718, 4.2913933, 4.6687505, 4.9527616, 5.1862419, 5.3620107, 5.5585308", \
+					  "3.8401414, 4.1733295, 4.5538216, 4.8300833, 5.0742009, 5.2408609, 5.4399874", \
+					  "3.7279369, 4.0719715, 4.4431639, 4.7302267, 4.9700544, 5.1408986, 5.3364897", \
+					  "3.6565629, 4.0005979, 4.3701481, 4.6588385, 4.8891640, 5.0724534, 5.2638661", \
+					  "3.5981700, 3.9430954, 4.2946982, 4.5821190, 4.8144131, 4.9955696, 5.1833251", \
+					  "3.5196511, 3.8597057, 4.2291138, 4.5222158, 4.7518916, 4.9111393, 5.1242178");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4465292, -3.7951102, -4.1679386, -4.4534911, -4.6839392, -4.9010802, -5.0729884", \
+					  "-3.3107232, -3.6595169, -4.0339551, -4.3178978, -4.5498718, -4.7624639, -4.9395614", \
+					  "-3.1874296, -3.5314236, -3.9247380, -4.1943824, -4.4378032, -4.6475154, -4.8154087", \
+					  "-3.0815423, -3.4393894, -3.8027174, -4.0854326, -4.3216414, -4.5311245, -4.7100228", \
+					  "-3.0136713, -3.3726737, -3.7454610, -4.0251089, -4.2689618, -4.4798611, -4.6574697", \
+					  "-2.9602753, -3.3180682, -3.6802767, -3.9642544, -4.1730274, -4.3831407, -4.5596866", \
+					  "-2.8960056, -3.2460609, -3.6173637, -3.8882273, -4.1292047, -4.3306684, -4.5127628");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9479649, 4.2919906, 4.6647369, 4.9487519, 5.1822362, 5.3838852, 5.5669787", \
+					  "3.8107888, 4.1685471, 4.5281643, 4.8141844, 5.0365403, 5.2222949, 5.4300314", \
+					  "3.6975041, 4.0314110, 4.4041022, 4.6897324, 4.9264084, 5.1034144, 5.2929233", \
+					  "3.5864685, 3.9350974, 4.3044340, 4.5825084, 4.8219160, 5.0088931, 5.2164302", \
+					  "3.5247756, 3.8687478, 4.2413316, 4.5217837, 4.7521478, 4.9788438, 5.1421656", \
+					  "3.4486394, 3.7911135, 4.1607915, 4.4507862, 4.6725173, 4.8449669, 5.0619094", \
+					  "3.3786285, 3.7093724, 4.0924982, 4.3774613, 4.6210851, 4.8180134, 5.0026088");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9271912, -4.2772982, -4.6485995, -4.9356774, -5.1691768, -5.3786892, -5.5391422", \
+					  "-3.8151657, -4.1606951, -4.5403518, -4.8242647, -5.0510482, -5.2703284, -5.4262143", \
+					  "-3.6970637, -4.0471712, -4.4296102, -4.7055499, -4.9404269, -5.1612343, -5.3105411", \
+					  "-3.6171259, -3.9600693, -4.3374563, -4.6271380, -4.8591120, -5.0743784, -5.2337887", \
+					  "-3.5533793, -3.9228427, -4.2778467, -4.5596444, -4.7923232, -5.0054171, -5.1735711", \
+					  "-3.4865859, -3.8388490, -4.2080496, -4.4935955, -4.7274177, -4.9658079, -5.1054180", \
+					  "-3.4365583, -3.7798593, -4.1519616, -4.4551573, -4.6816250, -4.8896742, -5.0504722");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3355491, 4.6765257, 5.0507876, 5.3363272, 5.5682834, 5.7839589, 5.9545878", \
+					  "4.1937390, 4.5385556, 4.9113005, 5.1968308, 5.4318392, 5.6444212, 5.8120400", \
+					  "4.0917259, 4.4296134, 4.8071678, 5.0894149, 5.3198457, 5.5339949, 5.7136765", \
+					  "4.0071762, 4.3537798, 4.7178339, 5.0094767, 5.2414653, 5.4479535, 5.6277492", \
+					  "3.9295787, 4.2766588, 4.6478690, 4.9508054, 5.1638394, 5.3779885, 5.5526568", \
+					  "3.8694792, 4.2180853, 4.5908209, 4.8748346, 5.1052654, 5.3215719, 5.4948324", \
+					  "3.8121025, 4.1720901, 4.5337714, 4.8227744, 5.0497418, 5.2719969, 5.4210390");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.5084860, 3.8540405, 4.2267761, 4.5107898, 4.7357405, 4.9321700, 5.1336285", \
+					  "3.3846004, 3.7417756, 4.1007671, 4.3842559, 4.6155891, 4.8309999, 5.0076199", \
+					  "3.2646621, 3.6086907, 3.9755788, 4.2551361, 4.4928739, 4.6900335, 4.8838327", \
+					  "3.1811625, 3.5344439, 3.9027864, 4.1809465, 4.4216518, 4.5779929, 4.8092026", \
+					  "3.1182055, 3.4674153, 3.8356290, 4.1217801, 4.3538562, 4.5460478, 4.7278988", \
+					  "3.0447456, 3.3838464, 3.7693780, 4.0500743, 4.2685964, 4.4786559, 4.6764586", \
+					  "2.9929430, 3.3415571, 3.7009423, 3.9777517, 4.2105082, 4.4261837, 4.6059683");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3610523, -3.7081078, -4.0824608, -4.3664869, -4.5984605, -4.8140764, -4.9730035", \
+					  "-3.2320215, -3.5790772, -3.9520797, -4.2389818, -4.4694299, -4.6895067, -4.8516024", \
+					  "-3.1268463, -3.4688629, -3.8482628, -4.1333343, -4.3642873, -4.5770967, -4.7307071", \
+					  "-3.0445852, -3.3959755, -3.7687450, -4.0529306, -4.2849832, -4.4903159, -4.6577650", \
+					  "-2.9944975, -3.3422058, -3.7135021, -3.9996217, -4.2026873, -4.4356098, -4.6131638", \
+					  "-2.8885363, -3.2665175, -3.6460003, -3.9298371, -4.1456929, -4.3449925, -4.5107253", \
+					  "-2.8552489, -3.1777163, -3.5517495, -3.8473977, -4.0683405, -4.2842163, -4.4361889");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0135578, 4.3591031, 4.7318689, 5.0158871, 5.2478486, 5.4619769, 5.6340504", \
+					  "3.8770199, 4.2209541, 4.5937199, 4.8786702, 5.1096996, 5.3240330, 5.4986791", \
+					  "3.7530088, 4.1000650, 4.4683326, 4.7629130, 4.9857805, 5.2000114, 5.3765786", \
+					  "3.6625570, 4.0081550, 4.3836883, 4.6801836, 4.8969573, 5.1125737, 5.2744505", \
+					  "3.5877819, 3.9379049, 4.3106712, 4.5931630, 4.8312281, 5.0484082, 5.2206970", \
+					  "3.5152608, 3.8658346, 4.2284281, 4.5157361, 4.7526847, 4.9586875, 5.1454648", \
+					  "3.4799686, 3.8192008, 4.2004514, 4.4761584, 4.7000158, 4.9151756, 5.0943714");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4846547, -3.8317093, -4.2060655, -4.4916184, -4.7220669, -4.8994339, -5.0813582", \
+					  "-3.3602620, -3.7073111, -4.0816672, -4.3628334, -4.5990094, -4.7734347, -4.9518045", \
+					  "-3.2426463, -3.5925813, -3.9652110, -4.2477894, -4.4507724, -4.6469705, -4.8574804", \
+					  "-3.1668483, -3.5208762, -3.8900387, -4.1740466, -4.4088877, -4.5651960, -4.7727508", \
+					  "-3.0911335, -3.4357079, -3.8129741, -4.0991172, -4.3188792, -4.4934955, -4.6980062", \
+					  "-3.0316840, -3.3916571, -3.7599370, -4.0356040, -4.2703469, -4.4471286, -4.6650308", \
+					  "-2.9813374, -3.3314053, -3.6815766, -3.9652425, -4.2046688, -4.3769568, -4.5985808");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0743436, 0.2817302, 0.6321204, 0.9055595, 1.0743779, 1.3065749, 1.5110338", \
+					  "-0.2057578, 0.1574323, 0.4909749, 0.7585428, 0.9524294, 1.1914066, 1.4028139", \
+					  "-0.3242559, 0.0407971, 0.3908946, 0.6282747, 0.8757717, 1.0648498, 1.2642768", \
+					  "-0.4048866, -0.0510955, 0.2961513, 0.5651427, 0.7874527, 0.9659859, 1.1878524", \
+					  "-0.4750485, -0.1207287, 0.2214874, 0.4919961, 0.7242384, 0.9253842, 1.1077424", \
+					  "-0.5437856, -0.1939847, 0.1607209, 0.4483576, 0.6519318, 0.8758347, 1.0615965", \
+					  "-0.5975305, -0.2445786, 0.1006531, 0.3812602, 0.6101409, 0.8248885, 1.0159302");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5498410, 0.1924028, -0.1344824, -0.3686921, -0.5568767, -0.7798235, -0.9874080", \
+					  "0.6842969, 0.3225580, -0.0077556, -0.2683561, -0.4762078, -0.6856650, -0.8782143", \
+					  "0.8028050, 0.4392267, 0.1100886, -0.1570864, -0.3757564, -0.5828747, -0.7604347", \
+					  "0.8918952, 0.5222896, 0.1888482, -0.0636680, -0.2866633, -0.4937815, -0.6730569", \
+					  "0.9627895, 0.5852330, 0.2684709, 0.0063887, -0.2365348, -0.4238166, -0.6148582", \
+					  "1.0394327, 0.6397168, 0.3230493, 0.0795477, -0.1376050, -0.3637170, -0.5394999", \
+					  "1.0699017, 0.7035117, 0.3886165, 0.1343909, -0.1114517, -0.3052090, -0.5053383");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5060130, -3.8545946, -4.2289471, -4.5129733, -4.7434214, -4.9605628, -5.1194903", \
+					  "-3.3754752, -3.7225307, -4.0968835, -4.3802115, -4.6161077, -4.8286057, -4.9783806", \
+					  "-3.2444631, -3.6016145, -3.9701897, -4.2509558, -4.4813488, -4.7027282, -4.8665100", \
+					  "-3.1757516, -3.5245293, -3.8989205, -4.1829244, -4.4158088, -4.6170181, -4.7880857", \
+					  "-3.1067029, -3.4571490, -3.8270029, -4.1085035, -4.3452381, -4.5343957, -4.7126962", \
+					  "-3.0376519, -3.3784093, -3.7608456, -4.0430669, -4.2769869, -4.4746924, -4.6628423", \
+					  "-2.9942799, -3.3344811, -3.7173604, -3.9843886, -4.2060943, -4.4206942, -4.6048238");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486492, 4.3942053, 4.7669360, 5.0509493, 5.2844309, 5.4970582, 5.6557175", \
+					  "3.9166753, 4.2620865, 4.6333162, 4.9188549, 5.1508112, 5.3260323, 5.5222718", \
+					  "3.7985942, 4.1382482, 4.5188900, 4.7979681, 5.0328286, 5.2045464, 5.4058050", \
+					  "3.7054298, 4.0490791, 4.4234516, 4.7111371, 4.9535791, 5.1149869, 5.3197462", \
+					  "3.6320323, 3.9760624, 4.3472673, 4.6328064, 4.8647622, 5.0450584, 5.2497813", \
+					  "3.5818604, 3.9326154, 4.2778970, 4.5656779, 4.8194904, 4.9959977, 5.1745713", \
+					  "3.5127961, 3.8529671, 4.2224311, 4.5188846, 4.7464240, 4.9256561, 5.1183846");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023881;
+			capacitance : 0.024675;
+			rise_capacitance : 0.024952;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9271912, -3.8151657, -3.6970637, -3.6171259, -3.5533793, -3.4865859, -3.4365583", \
+					  "-4.2772982, -4.1606951, -4.0471712, -3.9600693, -3.9228427, -3.8388490, -3.7798593", \
+					  "-4.6485995, -4.5403518, -4.4296102, -4.3374563, -4.2778467, -4.2080496, -4.1519616", \
+					  "-4.9356774, -4.8242647, -4.7055499, -4.6271380, -4.5596444, -4.4935955, -4.4551573", \
+					  "-5.1691768, -5.0510482, -4.9404269, -4.8591120, -4.7923232, -4.7274177, -4.6816250", \
+					  "-5.3786892, -5.2703284, -5.1612343, -5.0743784, -5.0054171, -4.9658079, -4.8896742", \
+					  "-5.5391422, -5.4262143, -5.3105411, -5.2337887, -5.1735711, -5.1054180, -5.0504722");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5401443, -2.4601820, -2.3132948, -2.2287016, -2.1710975, -2.0939341, -2.0323065", \
+					  "-2.8887252, -2.8041862, -2.6740829, -2.5619018, -2.4859556, -2.4356134, -2.3874597", \
+					  "-3.2630798, -3.1785407, -3.0329914, -2.9332010, -2.8909648, -2.8174449, -2.7448843", \
+					  "-3.5471061, -4.8419448, -3.2959421, -3.2152345, -3.1244715, -3.0268156, -2.9901630", \
+					  "-3.7775544, -3.6728997, -4.9235804, -3.4593228, -3.3868934, -3.2876357, -3.1979259", \
+					  "-4.0130057, -3.8944916, -3.7508043, -4.9984596, -4.8893189, -3.5164413, -3.4487104", \
+					  "-4.1467671, -4.0744205, -3.9586785, -3.8398295, -3.7317760, -4.9682762, -4.8795405");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3355491, 4.1937390, 4.0917259, 4.0071762, 3.9295787, 3.8694792, 3.8121025", \
+					  "4.6765257, 4.5385556, 4.4296134, 4.3537798, 4.2766588, 4.2180853, 4.1720901", \
+					  "5.0507876, 4.9113005, 4.8071678, 4.7178339, 4.6478690, 4.5908209, 4.5337714", \
+					  "5.3363272, 5.1968308, 5.0894149, 5.0094767, 4.9508054, 4.8748346, 4.8227744", \
+					  "5.5682834, 5.4318392, 5.3198457, 5.2414653, 5.1638394, 5.1052654, 5.0497418", \
+					  "5.7839589, 5.6444212, 5.5339949, 5.4479535, 5.3779885, 5.3215719, 5.2719969", \
+					  "5.9545878, 5.8120400, 5.7136765, 5.6277492, 5.5526568, 5.4948324, 5.4210390");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0318764, 3.8846173, 3.7430022, 3.6010575, 3.4882619, 3.4009123, 3.2913903", \
+					  "4.3774360, 4.2318374, 4.0868009, 3.9549486, 3.8465385, 3.7479951, 3.6415635", \
+					  "4.7486302, 4.6030320, 4.4638269, 4.3197422, 4.2126318, 4.1131670, 4.0062907", \
+					  "5.0356939, 4.8902534, 4.7390863, 4.6053599, 4.4905153, 4.3987145, 4.3019444", \
+					  "5.2499421, 5.1077008, 4.9772935, 4.8509350, 4.7129396, 4.6366762, 4.5467908", \
+					  "5.4402596, 5.3025813, 5.1551397, 5.0143488, 4.9418663, 4.8145295, 4.7787381", \
+					  "5.6358574, 5.4935574, 5.3401393, 5.2149270, 5.0986489, 4.9940099, 4.9005940");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006319;
+			capacitance : 0.006463;
+			rise_capacitance : 0.006607;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5084860, 3.3846004, 3.2646621, 3.1811625, 3.1182055, 3.0447456, 2.9929430", \
+					  "3.8540405, 3.7417756, 3.6086907, 3.5344439, 3.4674153, 3.3838464, 3.3415571", \
+					  "4.2267761, 4.1007671, 3.9755788, 3.9027864, 3.8356290, 3.7693780, 3.7009423", \
+					  "4.5107898, 4.3842559, 4.2551361, 4.1809465, 4.1217801, 4.0500743, 3.9777517", \
+					  "4.7357405, 4.6155891, 4.4928739, 4.4216518, 4.3538562, 4.2685964, 4.2105082", \
+					  "4.9321700, 4.8309999, 4.6900335, 4.5779929, 4.5460478, 4.4786559, 4.4261837", \
+					  "5.1336285, 5.0076199, 4.8838327, 4.8092026, 4.7278988, 4.6764586, 4.6059683");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2500783, 4.1058373, 3.9543793, 3.8142239, 3.6775687, 3.5362911, 3.4156673", \
+					  "4.5945419, 4.4518267, 4.3012738, 4.1653661, 4.0241559, 3.8829889, 3.7560255", \
+					  "4.9652813, 4.8225662, 4.6720341, 4.5382141, 4.3988393, 4.2551991, 4.1315514", \
+					  "5.2507659, 5.1080507, 4.9583122, 4.8116780, 4.6842826, 4.5394859, 4.4156503", \
+					  "5.4752663, 5.3318272, 5.1819982, 5.0402076, 4.9103622, 4.7645752, 4.6401907", \
+					  "5.6674694, 5.5247543, 5.3742014, 5.2323379, 5.0911886, 4.9646223, 4.8391924", \
+					  "5.8636072, 5.7211420, 5.5730276, 5.4116536, 5.2669205, 5.1464031, 5.0306317");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.3610523, -3.2320215, -3.1268463, -3.0445852, -2.9944975, -2.8885363, -2.8552489", \
+					  "-3.7081078, -3.5790772, -3.4688629, -3.3959755, -3.3422058, -3.2665175, -3.1777163", \
+					  "-4.0824608, -3.9520797, -3.8482628, -3.7687450, -3.7135021, -3.6460003, -3.5517495", \
+					  "-4.3664869, -4.2389818, -4.1333343, -4.0529306, -3.9996217, -3.9298371, -3.8473977", \
+					  "-4.5984605, -4.4694299, -4.3642873, -4.2849832, -4.2026873, -4.1456929, -4.0683405", \
+					  "-4.8140764, -4.6895067, -4.5770967, -4.4903159, -4.4356098, -4.3449925, -4.2842163", \
+					  "-4.9730035, -4.8516024, -4.7307071, -4.6577650, -4.6131638, -4.5107253, -4.4361889");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6021572, -3.4612845, -3.3210423, -3.1595717, -3.0146336, -2.8994082, -2.7980737", \
+					  "-3.9492145, -3.8072169, -3.6681382, -3.5129963, -3.3787956, -3.2514768, -3.1502198", \
+					  "-4.3235604, -4.1821934, -4.0432601, -3.8813141, -3.7515552, -3.6164236, -3.5210374", \
+					  "-4.6075860, -4.4667134, -4.3270349, -4.1683125, -4.0368333, -3.9035008, -3.8012328", \
+					  "-4.8395583, -4.6986856, -4.5602883, -4.4027006, -4.2716006, -4.1333756, -4.0322236", \
+					  "-5.0551778, -4.9138229, -4.7687354, -4.6098154, -4.4752790, -4.3434631, -4.2435106", \
+					  "-5.2393154, -5.0876181, -4.9408084, -4.7963049, -4.6474986, -4.5231407, -4.4125296");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0233292, 0.0264762, 0.0296232, 0.0294936, 0.0293692, 0.0292396, 0.0291099");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0298412, 0.0333617, 0.0368821, 0.0367903, 0.0367021, 0.0366102, 0.0365184");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.016712;
+			capacitance : 0.016055;
+			fall_capacitance : 0.015398;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0131442, -0.0039021, 0.0053400, 0.0189062, 0.0319297, 0.0454959, 0.0590621");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502808, 0.1210702, 0.1918595, 0.5491010, 0.8920528, 1.2492943, 1.6065359");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031627;
+			capacitance : 0.031650;
+			fall_capacitance : 0.031672;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0047521, -0.0046478, -0.0045436, -0.0048012, -0.0050486, -0.0053062, -0.0055638");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0602890, 0.0806912, 0.1010933, 0.1010014, 0.1009131, 0.1008212, 0.1007292");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006848;
+			capacitance : 0.006702;
+			fall_capacitance : 0.006556;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0743436, -0.2057578, -0.3242559, -0.4048866, -0.4750485, -0.5437856, -0.5975305", \
+					  "0.2817302, 0.1574323, 0.0407971, -0.0510955, -0.1207287, -0.1939847, -0.2445786", \
+					  "0.6321204, 0.4909749, 0.3908946, 0.2961513, 0.2214874, 0.1607209, 0.1006531", \
+					  "0.9055595, 0.7585428, 0.6282747, 0.5651427, 0.4919961, 0.4483576, 0.3812602", \
+					  "1.0743779, 0.9524294, 0.8757717, 0.7874527, 0.7242384, 0.6519318, 0.6101409", \
+					  "1.3065749, 1.1914066, 1.0648498, 0.9659859, 0.9253842, 0.8758347, 0.8248885", \
+					  "1.5110338, 1.4028139, 1.2642768, 1.1878524, 1.1077424, 1.0615965, 1.0159302");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3550883, -0.4969314, -0.6562024, -0.7945523, -0.9093608, -1.0738250, -1.1945299", \
+					  "0.0054008, -0.1471064, -0.2961722, -0.4633351, -0.5778790, -0.6967878, -0.7901540", \
+					  "0.3178832, 0.1841480, 0.0314989, -0.1143821, -0.2562140, -0.3929743, -0.5116373", \
+					  "0.5744580, 0.4366187, 0.2994030, 0.1596677, 0.0222178, -0.1149896, -0.2217310", \
+					  "0.7524372, 0.6294449, 0.5219294, 0.4003830, 0.2543973, 0.1455364, 0.0196011", \
+					  "0.9768082, 0.8637236, 0.7290041, 0.6044871, 0.4693987, 0.3231051, 0.2207787", \
+					  "1.2058393, 1.0537643, 0.9008472, 0.7949943, 0.6418396, 0.5176181, 0.4119227");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498410, 0.6842969, 0.8028050, 0.8918952, 0.9627895, 1.0394327, 1.0699017", \
+					  "0.1924028, 0.3225580, 0.4392267, 0.5222896, 0.5852330, 0.6397168, 0.7035117", \
+					  "-0.1344824, -0.0077556, 0.1100886, 0.1888482, 0.2684709, 0.3230493, 0.3886165", \
+					  "-0.3686921, -0.2683561, -0.1570864, -0.0636680, 0.0063887, 0.0795477, 0.1343909", \
+					  "-0.5568767, -0.4762078, -0.3757564, -0.2866633, -0.2365348, -0.1376050, -0.1114517", \
+					  "-0.7798235, -0.6856650, -0.5828747, -0.4937815, -0.4238166, -0.3637170, -0.3052090", \
+					  "-0.9874080, -0.8782143, -0.7604347, -0.6730569, -0.6148582, -0.5394999, -0.5053383");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4582736, 0.5986030, 0.7410776, 0.8718197, 0.9972080, 1.1618094, 1.2671663", \
+					  "0.0855993, 0.2287982, 0.3895545, 0.5391060, 0.6612795, 0.7680382, 0.8528239", \
+					  "-0.2275026, -0.0890104, 0.0656634, 0.2095823, 0.3530497, 0.4751890, 0.5994476", \
+					  "-0.4479180, -0.3451826, -0.2066581, -0.0681805, 0.0866297, 0.2042771, 0.3246377", \
+					  "-0.6974149, -0.5483938, -0.4247915, -0.3048911, -0.1392421, -0.0207956, 0.0821641", \
+					  "-0.8955287, -0.7714430, -0.6265371, -0.5104834, -0.3554115, -0.2235258, -0.1197101", \
+					  "-1.0805026, -0.9548911, -0.8169818, -0.6905944, -0.5387376, -0.4250590, -0.3147207");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235210, 0.0266208, 0.0297205, 0.0295891, 0.0294629, 0.0293315, 0.0292000");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0295875, 0.0330521, 0.0365167, 0.0364189, 0.0363251, 0.0362273, 0.0361296");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014011;
+			capacitance : 0.013941;
+			fall_capacitance : 0.013870;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5060130, -3.3754752, -3.2444631, -3.1757516, -3.1067029, -3.0376519, -2.9942799", \
+					  "-3.8545946, -3.7225307, -3.6016145, -3.5245293, -3.4571490, -3.3784093, -3.3344811", \
+					  "-4.2289471, -4.0968835, -3.9701897, -3.8989205, -3.8270029, -3.7608456, -3.7173604", \
+					  "-4.5129733, -4.3802115, -4.2509558, -4.1829244, -4.1085035, -4.0430669, -3.9843886", \
+					  "-4.7434214, -4.6161077, -4.4813488, -4.4158088, -4.3452381, -4.2769869, -4.2060943", \
+					  "-4.9605628, -4.8286057, -4.7027282, -4.6170181, -4.5343957, -4.4746924, -4.4206942", \
+					  "-5.1194903, -4.9783806, -4.8665100, -4.7880857, -4.7126962, -4.6628423, -4.6048238");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4388891, -3.2900198, -3.2159643, -3.0478888, -2.9293698, -2.8119138, -2.6700113", \
+					  "-3.7874705, -3.6801854, -3.5632343, -3.3774392, -3.2529954, -3.1322127, -3.0204208", \
+					  "-4.1618231, -4.0545382, -3.9363585, -3.7489942, -3.6233365, -3.5065654, -3.3917109", \
+					  "-4.4458496, -4.3248313, -4.2234942, -4.0514256, -3.9335186, -3.7997469, -3.6696876", \
+					  "-4.6778232, -4.5705383, -4.4479848, -4.2761023, -4.1645049, -4.0298038, -3.8998175", \
+					  "-4.8934392, -4.7700632, -4.6587114, -4.4872641, -4.3551506, -4.2533125, -4.1203447", \
+					  "-5.1004474, -4.9410486, -4.8292474, -4.6579378, -4.5336834, -4.4100942, -4.2891368");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486492, 3.9166753, 3.7985942, 3.7054298, 3.6320323, 3.5818604, 3.5127961", \
+					  "4.3942053, 4.2620865, 4.1382482, 4.0490791, 3.9760624, 3.9326154, 3.8529671", \
+					  "4.7669360, 4.6333162, 4.5188900, 4.4234516, 4.3472673, 4.2778970, 4.2224311", \
+					  "5.0509493, 4.9188549, 4.7979681, 4.7111371, 4.6328064, 4.5656779, 4.5188846", \
+					  "5.2844309, 5.1508112, 5.0328286, 4.9535791, 4.8647622, 4.8194904, 4.7464240", \
+					  "5.4970582, 5.3260323, 5.2045464, 5.1149869, 5.0450584, 4.9959977, 4.9256561", \
+					  "5.6557175, 5.5222718, 5.4058050, 5.3197462, 5.2497813, 5.1745713, 5.1183846");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6443049, 3.5046859, 3.3455239, 3.1934098, 3.0521710, 2.9332137, 2.8160279", \
+					  "3.9887261, 3.8414830, 3.6984329, 3.5423631, 3.4029873, 3.2779258, 3.1711301", \
+					  "4.3594766, 4.2198576, 4.0539961, 3.9087114, 3.7610069, 3.6480890, 3.5327253", \
+					  "4.6350797, 4.4969866, 4.3509758, 4.1987079, 4.0480835, 3.9235138, 3.8258390", \
+					  "4.8624454, 4.7298106, 4.5786217, 4.4129116, 4.2689748, 4.1571269, 4.0489241", \
+					  "5.0554412, 4.8988434, 4.7660505, 4.5971944, 4.4587545, 4.3345676, 4.2377229", \
+					  "5.2642950, 5.1214573, 4.9489495, 4.8209883, 4.6697302, 4.5470941, 4.4111717");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234679, 0.0266538, 0.0298397, 0.0297125, 0.0295904, 0.0294632, 0.0293360");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296458, 0.0331720, 0.0366982, 0.0366062, 0.0365177, 0.0364256, 0.0363336");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.892649;
+			max_transition : 1.506745;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.4944182, 0.5009211, 0.5121446, 0.5320206, 0.5673669, 0.6173406, 0.8808679", \
+					  "-0.5484198, -0.5430799, -0.5321020, -0.5141244, -0.4777111, -0.4270270, -0.1638792", \
+					  "-0.3319745, -0.3315714, -0.3311399, -0.3303229, -0.3296027, -0.3389852, -0.1180367", \
+					  "-0.0005349, 4.5712476e-05, -0.0005808, 0.0011466, 0.0020693, 0.0038284, -0.0119867", \
+					  "0.0416127, 0.0430248, 0.0416527, 0.0444260, 0.0460177, 0.0468589, 0.0479458", \
+					  "0.0765098, 0.0764714, 0.0758627, 0.0782664, 0.0790726, 0.0817663, 0.0823880", \
+					  "0.1105683, 0.1110924, 0.1023495, 0.1126390, 0.1119618, 0.1149192, 0.1157375");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.6279115, 0.6338016, 0.6457589, 0.6646120, 0.6928586, 0.7462385, 0.8977950", \
+					  "1.6553584, 1.6662183, 1.6854003, 1.7107216, 1.7402284, 1.7962011, 1.9475584", \
+					  "1.7948374, 1.7935405, 1.7946722, 1.7964862, 1.7987292, 1.8005828, 1.9123251", \
+					  "1.9292983, 1.9189229, 1.9200633, 1.9212540, 1.9233775, 1.9256083, 1.9274153", \
+					  "1.9312887, 1.9202877, 1.9224624, 1.9228983, 1.9263983, 1.9274890, 1.9241809", \
+					  "1.9331299, 1.9223013, 1.9254722, 1.9252245, 1.9286658, 1.9293471, 1.9325034", \
+					  "1.9361689, 1.9240059, 1.9358605, 1.9269258, 1.9400362, 1.9315094, 1.9434066");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.6118300, 0.6180262, 0.6344388, 0.6822645, 0.8176996, 0.8727034, 0.8689176", \
+					  "-0.3316602, -0.3251853, -0.3101809, -0.2631160, -0.1279977, -0.0687922, -0.0728866", \
+					  "-0.0879604, -0.0853784, -0.0761454, -0.0451847, 0.0619665, 0.1075335, 0.1026049", \
+					  "0.3489831, 0.3500559, 0.3503506, 0.3424179, 0.3517476, 0.3465489, 0.2717172", \
+					  "0.5028894, 0.4952266, 0.5032172, 0.4972167, 0.5080368, 0.5009835, 0.5036812", \
+					  "0.6480841, 0.6443061, 0.6491327, 0.6451397, 0.6526971, 0.6484646, 0.6495367", \
+					  "0.7858997, 0.7819274, 0.7885577, 0.7831599, 0.7850915, 0.7877354, 0.7891803");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.9515970, 0.9600466, 0.9776337, 1.0073675, 1.0494239, 1.0907453, 1.1132546", \
+					  "2.0053440, 2.0144906, 2.0319894, 2.0631303, 2.1040144, 2.1453963, 2.1687320", \
+					  "1.9685024, 1.9803575, 1.9988850, 2.0386442, 2.0851649, 2.1284543, 2.1538014", \
+					  "2.0511219, 2.0517925, 2.0647126, 2.0542389, 2.0598473, 2.0580954, 2.1312568", \
+					  "2.0746061, 2.0748843, 2.0885956, 2.0787649, 2.0928133, 2.0844307, 2.0897925", \
+					  "2.0738075, 2.0753729, 2.0878123, 2.0823165, 2.0945329, 2.0872453, 2.0869166", \
+					  "2.0790071, 2.0801544, 2.0970396, 2.0768205, 2.0984321, 2.1013128, 2.0907560");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.5551956, 0.5615150, 0.5775874, 0.6262702, 0.7600609, 0.8154737, 0.8122271", \
+					  "-0.5516609, -0.5453328, -0.5300605, -0.4809540, -0.3476118, -0.2897282, -0.2928837", \
+					  "-0.3114193, -0.3112970, -0.3110486, -0.3096284, -0.2726656, -0.2764883, -0.2844536", \
+					  "-0.1916187, -0.1927444, -0.1916538, -0.1904535, -0.1898164, -0.1849011, -0.2078959", \
+					  "-0.1821988, -0.1921159, -0.1828487, -0.1802328, -0.1796741, -0.1754767, -0.1804324", \
+					  "-0.1718940, -0.1819795, -0.1807068, -0.1684138, -0.1702334, -0.1662300, -0.1682196", \
+					  "-0.1611815, -0.1698424, -0.1689435, -0.1619422, -0.1622383, -0.1542499, -0.1570642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.8841536, 0.8938314, 0.9124152, 0.9438012, 0.9904759, 1.0369953, 1.0650479", \
+					  "1.9408828, 1.9493426, 1.9646682, 1.9963731, 2.0420037, 2.0890685, 2.1154641", \
+					  "1.9326359, 1.9420917, 1.9606699, 1.9935011, 2.0396883, 2.0865636, 2.1158615", \
+					  "1.8823714, 1.8935038, 1.9153782, 1.9524469, 2.0150554, 2.0769896, 2.1123314", \
+					  "2.0110896, 2.0117592, 2.0129920, 2.0147915, 2.0199751, 2.0191459, 2.0824740", \
+					  "2.0430064, 2.0489736, 2.0438768, 2.0454424, 2.0611217, 2.0509913, 2.0532939", \
+					  "2.0485308, 2.0522373, 2.0505776, 2.0526009, 2.0658720, 2.0533328, 2.0710868");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("1.5965433, 1.5966269, 1.5979642, 1.6005716, 1.6023615, 1.6055227, 1.6086807", \
+					  "1.5919716, 1.5946563, 1.6023868, 1.5980596, 1.6047889, 1.5984925, 1.6121140", \
+					  "1.5867977, 1.5874669, 1.5897988, 1.5923185, 1.5995694, 1.5974795, 1.6049756", \
+					  "1.5867492, 1.5881247, 1.5875318, 1.5942314, 1.6001478, 1.6011676, 1.6086264", \
+					  "1.5862806, 1.5897312, 1.5905766, 1.5935557, 1.5968630, 1.5970055, 1.6123253", \
+					  "1.5863217, 1.5902837, 1.5890963, 1.5923471, 1.5988472, 1.5966895, 1.6049312", \
+					  "1.5852810, 1.5894939, 1.5891929, 1.5899454, 1.5994575, 1.5950396, 1.6045450");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.7763507, 0.7808162, 0.7899720, 0.8040693, 0.8249247, 0.8628704, 0.9554215", \
+					  "0.7726367, 0.7786281, 0.7862268, 0.8002086, 0.8211168, 0.8588079, 0.9513406", \
+					  "0.7701406, 0.7733198, 0.7804563, 0.7963713, 0.8175511, 0.8547175, 0.9513042", \
+					  "0.7687366, 0.7729776, 0.7821660, 0.7964127, 0.8175733, 0.8548705, 0.9477892", \
+					  "0.7685513, 0.7733493, 0.7821739, 0.7963679, 0.8172770, 0.8548734, 0.9475629", \
+					  "0.7694024, 0.7730051, 0.7823293, 0.7963215, 0.8174418, 0.8546642, 0.9506378", \
+					  "0.7711577, 0.7731775, 0.7821220, 0.7958152, 0.8172715, 0.8548553, 0.9478032");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("2.4289399, 2.4441058, 2.4745346, 2.5354365, 2.6585405, 2.9132063, 3.4469982", \
+					  "4.5894826, 4.6045852, 4.6351487, 4.6959934, 4.8191993, 5.0737379, 5.6074887", \
+					  "6.2566734, 6.2719643, 6.3023999, 6.3631176, 6.4861791, 6.7414558, 7.2747106", \
+					  "6.6228294, 6.6380634, 6.6686590, 6.7293855, 6.8533086, 7.1074702, 7.6407449", \
+					  "8.7240242, 8.7425036, 8.7701943, 8.8336893, 8.9586525, 9.2080148, 9.7412949", \
+					  "10.4103090, 10.4256760, 10.4599410, 10.5174310, 10.6436130, 10.8961520, 11.4286190", \
+					  "11.6724490, 11.6877410, 11.7171230, 11.7820840, 11.9006130, 12.1553120, 12.6957420", \
+					  "13.4271800, 13.4591060, 13.5020870, 13.5594280, 13.6502390, 13.9329880, 14.4814230", \
+					  "36.2626800, 36.3368930, 36.3671790, 36.4281650, 36.5524180, 36.8125940, 37.2321520", \
+					  "49.4382700, 49.5764210, 49.6071340, 49.6743700, 49.7947890, 50.0490960, 50.6450280", \
+					  "103.1356600, 103.2113100, 103.2772400, 103.2772408, 103.3948000, 103.6415300, 104.1105000", \
+					  "281.3792700, 281.3792878, 281.3793183, 281.4888900, 281.6243200, 281.8850900, 282.2008600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1238284, 0.1404147, 0.1754991, 0.2503139, 0.4123949, 0.7612833, 1.5037700", \
+					  "0.1246869, 0.1411297, 0.1761638, 0.2510081, 0.4135541, 0.7629788, 1.5048702", \
+					  "0.1243321, 0.1409732, 0.1757128, 0.2511549, 0.4131694, 0.7634809, 1.5018369", \
+					  "0.1242262, 0.1407091, 0.1756441, 0.2507434, 0.4124350, 0.7634031, 1.5041899", \
+					  "0.1243540, 0.1409437, 0.1756749, 0.2511392, 0.4120243, 0.7613233, 1.5046004", \
+					  "0.1243517, 0.1409466, 0.1756501, 0.2510960, 0.4132833, 0.7634120, 1.5015380", \
+					  "0.1244193, 0.1409789, 0.1757702, 0.2510994, 0.4129896, 0.7634001, 1.5012211", \
+					  "0.1242717, 0.1409679, 0.1758010, 0.2511534, 0.4129894, 0.7612028, 1.5008629", \
+					  "0.1243697, 0.1409856, 0.1757667, 0.2510682, 0.4125127, 0.7612727, 1.5011450", \
+					  "0.1243951, 0.1409871, 0.1757994, 0.2510823, 0.4125252, 0.7633720, 1.5010603", \
+					  "0.1242679, 0.1407602, 0.1756282, 0.2505017, 0.4129209, 0.7611864, 1.5043818", \
+					  "0.1242654, 0.1407731, 0.1755388, 0.2505708, 0.4127888, 0.7614461, 1.5036113");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("2.0712969, 2.0935746, 2.1341318, 2.2082547, 2.3334135, 2.5449405, 2.9277474", \
+					  "4.1092139, 4.1309449, 4.1721879, 4.2459627, 4.3705106, 4.5821538, 4.9655058", \
+					  "5.5770952, 5.6123515, 5.6536034, 5.7211147, 5.8518594, 6.0635021, 6.4471530", \
+					  "5.8820455, 5.9196466, 5.9593419, 6.0253562, 6.1575514, 6.3699286, 6.7529875", \
+					  "7.6131368, 7.6308710, 7.6739575, 7.7499518, 7.8563342, 8.0858342, 8.4673397", \
+					  "8.9037746, 8.9264702, 8.9599856, 9.0403409, 9.1655856, 9.3763601, 9.7562927", \
+					  "9.8216129, 9.8457615, 9.8742126, 9.9586304, 10.0856410, 10.2979060, 10.6792330", \
+					  "11.0659080, 11.0659090, 11.1121080, 11.1448900, 11.2720340, 11.5420780, 11.8886550", \
+					  "24.2540230, 24.2611660, 24.3119160, 24.3876580, 24.5037520, 24.7517540, 25.1007910", \
+					  "31.0238340, 31.0238352, 31.0881420, 31.1727500, 31.2859250, 31.4754620, 31.8469940", \
+					  "55.7406350, 55.7813030, 55.8172390, 55.9054530, 55.9866570, 56.2073020, 56.6018810", \
+					  "131.9271500, 131.9817600, 132.0228800, 132.0538100, 132.2267900, 132.4452400, 132.7882600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1665532, 0.1827276, 0.2145919, 0.2713884, 0.3754785, 0.5837390, 1.0318011", \
+					  "0.1669848, 0.1837369, 0.2157994, 0.2735588, 0.3775900, 0.5845758, 1.0327723", \
+					  "0.1669624, 0.1841254, 0.2158005, 0.2736623, 0.3783981, 0.5865548, 1.0327833", \
+					  "0.1673214, 0.1839534, 0.2159070, 0.2738453, 0.3786018, 0.5861941, 1.0329191", \
+					  "0.1667746, 0.1838836, 0.2158420, 0.2738567, 0.3783482, 0.5865808, 1.0306772", \
+					  "0.1669603, 0.1833272, 0.2157671, 0.2737173, 0.3786345, 0.5858601, 1.0327632", \
+					  "0.1669063, 0.1832704, 0.2156984, 0.2735024, 0.3785021, 0.5865184, 1.0327331", \
+					  "0.1666611, 0.1839492, 0.2156633, 0.2736256, 0.3783947, 0.5865434, 1.0326802", \
+					  "0.1670427, 0.1840042, 0.2155537, 0.2739130, 0.3786325, 0.5862194, 1.0328177", \
+					  "0.1669886, 0.1838684, 0.2162982, 0.2736385, 0.3789412, 0.5864759, 1.0328698", \
+					  "0.1674178, 0.1840666, 0.2158326, 0.2736965, 0.3789890, 0.5861763, 1.0333177", \
+					  "0.1674077, 0.1844089, 0.2165525, 0.2742293, 0.3777830, 0.5864894, 1.0333143");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("4.1935482, 4.2075907, 4.2355111, 4.2963979, 4.4208477, 4.6746949, 5.2094236", \
+					  "6.2737464, 6.2888059, 6.3188090, 6.3793526, 6.5017818, 6.7556818, 7.2893451", \
+					  "7.8414812, 7.8561229, 7.8864586, 7.9469586, 8.0693860, 8.3232008, 8.8567793", \
+					  "8.1860865, 8.2041582, 8.2311340, 8.2916385, 8.4140650, 8.6685192, 9.2016510", \
+					  "10.1934130, 10.2101370, 10.2410500, 10.2989840, 10.4213930, 10.6763160, 11.2089380", \
+					  "11.8144530, 11.8312290, 11.8697170, 11.9208060, 12.0439420, 12.3060450, 12.8297760", \
+					  "13.0318260, 13.0573860, 13.0880750, 13.1423410, 13.2643790, 13.5246070, 14.0587510", \
+					  "14.8001400, 14.8099940, 14.8293640, 14.9058130, 15.0284530, 15.2700480, 15.8056960", \
+					  "42.5171290, 42.5171299, 42.5171338, 42.5749960, 42.7444460, 42.8121860, 43.3050250", \
+					  "60.8867840, 60.9321380, 60.9959440, 61.0545500, 61.1862990, 61.6471280, 62.1727590", \
+					  "132.1119100, 132.4899300, 132.4899369, 132.5836800, 132.7056200, 132.7056351, 133.4919100", \
+					  "374.5282700, 374.5282746, 374.6068000, 374.6068268, 374.7776100, 375.0572000, 375.5420400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1220232, 0.1386514, 0.1734398, 0.2485452, 0.4110715, 0.7593905, 1.5000999", \
+					  "0.1220390, 0.1386819, 0.1736187, 0.2486202, 0.4115244, 0.7611741, 1.4990761", \
+					  "0.1222921, 0.1388299, 0.1738671, 0.2485941, 0.4116146, 0.7612876, 1.4994721", \
+					  "0.1221596, 0.1388287, 0.1738349, 0.2486419, 0.4115661, 0.7612535, 1.5020498", \
+					  "0.1221060, 0.1386941, 0.1733976, 0.2486887, 0.4113879, 0.7609787, 1.5002486", \
+					  "0.1222003, 0.1386779, 0.1738235, 0.2486477, 0.4106839, 0.7605700, 1.5034295", \
+					  "0.1220651, 0.1389259, 0.1738432, 0.2486929, 0.4115975, 0.7611956, 1.5004441", \
+					  "0.1220633, 0.1387746, 0.1735885, 0.2486836, 0.4114059, 0.7609612, 1.4998643", \
+					  "0.1223749, 0.1386218, 0.1736666, 0.2491186, 0.4112206, 0.7611169, 1.4995324", \
+					  "0.1221169, 0.1386311, 0.1735929, 0.2487294, 0.4115541, 0.7611093, 1.4989411", \
+					  "0.1223455, 0.1386935, 0.1736388, 0.2486776, 0.4114486, 0.7612160, 1.4989079", \
+					  "0.1220689, 0.1387160, 0.1736643, 0.2486687, 0.4115984, 0.7611810, 1.4994405");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("4.2310577, 4.2503125, 4.2890145, 4.3562678, 4.4758517, 4.6803925, 5.0553668", \
+					  "6.4549663, 6.4749548, 6.5133938, 6.5824231, 6.7009225, 6.9052111, 7.2817739", \
+					  "8.5603524, 8.5803089, 8.6187875, 8.6877092, 8.8078540, 9.0104493, 9.3796633", \
+					  "8.9944621, 9.0151859, 9.0525853, 9.1218819, 9.2356922, 9.4445793, 9.8102886", \
+					  "11.4586460, 11.4945880, 11.5165580, 11.5853990, 11.6935870, 11.9084480, 12.2734860", \
+					  "13.3234890, 13.3396400, 13.3787690, 13.4705750, 13.5547250, 13.7513100, 14.1336360", \
+					  "14.6529270, 14.6647380, 14.7247180, 14.7749560, 14.8896730, 15.1011700, 15.4755990", \
+					  "16.4701760, 16.4701777, 16.5044230, 16.5881670, 16.6689880, 16.9110200, 17.2534890", \
+					  "36.6747020, 36.6747036, 36.7314570, 36.7771380, 36.9085880, 37.1211680, 37.4962110", \
+					  "47.6409420, 47.6638110, 47.7034310, 47.7576770, 47.8558630, 48.0930640, 48.4990250", \
+					  "89.7232440, 89.7542380, 89.7813950, 89.8499760, 89.9755080, 90.1716890, 90.5454910", \
+					  "226.7146300, 226.7764800, 226.8168200, 226.8354500, 226.9059000, 227.1761300, 227.5710600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1535231, 0.1696084, 0.1993976, 0.2555081, 0.3593357, 0.5694808, 1.0211950", \
+					  "0.1553522, 0.1701981, 0.2011183, 0.2579005, 0.3619916, 0.5694162, 1.0203908", \
+					  "0.1552420, 0.1707263, 0.2008667, 0.2579279, 0.3617036, 0.5699152, 1.0207448", \
+					  "0.1550095, 0.1708383, 0.2014139, 0.2579251, 0.3619655, 0.5699252, 1.0204654", \
+					  "0.1546509, 0.1698969, 0.2013279, 0.2577077, 0.3619382, 0.5694023, 1.0209412", \
+					  "0.1550714, 0.1708659, 0.2014371, 0.2574511, 0.3618343, 0.5696632, 1.0213801", \
+					  "0.1554310, 0.1706645, 0.2013430, 0.2580997, 0.3627225, 0.5710347, 1.0208152", \
+					  "0.1554055, 0.1710448, 0.2014182, 0.2579087, 0.3619543, 0.5691384, 1.0203950", \
+					  "0.1548171, 0.1702143, 0.2016377, 0.2572613, 0.3619430, 0.5700658, 1.0206505", \
+					  "0.1546919, 0.1701998, 0.2013116, 0.2576496, 0.3619396, 0.5693962, 1.0208138", \
+					  "0.1543532, 0.1707940, 0.2010143, 0.2579212, 0.3615105, 0.5700213, 1.0208306", \
+					  "0.1547503, 0.1702617, 0.2012353, 0.2579926, 0.3610445, 0.5694295, 1.0220751");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("4.1668535, 4.1814641, 4.2113553, 4.2723549, 4.3947530, 4.6484940, 5.1809796", \
+					  "5.9332956, 5.9483822, 5.9784258, 6.0390262, 6.1614456, 6.4149122, 6.9490369", \
+					  "6.9737291, 6.9887385, 7.0188002, 7.0803088, 7.2017565, 7.4557107, 7.9893354", \
+					  "7.1848429, 7.1998731, 7.2299127, 7.2882620, 7.4129050, 7.6667570, 8.2004545", \
+					  "8.2725773, 8.2877936, 8.3179605, 8.3765075, 8.5005567, 8.7499066, 9.2882928", \
+					  "9.0406385, 9.0580201, 9.0872962, 9.1442089, 9.2684607, 9.5181036, 10.0564350", \
+					  "9.5687929, 9.5854977, 9.6101420, 9.6756327, 9.7965165, 10.0482760, 10.5859650", \
+					  "10.2550750, 10.2730800, 10.2975950, 10.3609480, 10.4833530, 10.7373480, 11.2746270", \
+					  "18.5753050, 18.6077160, 18.6196160, 18.6903840, 18.8280090, 19.0649220, 19.5946230", \
+					  "25.4720960, 25.5263490, 25.5536850, 25.5757350, 25.7002830, 25.9715220, 26.4975860", \
+					  "54.6346430, 54.6495720, 54.6798730, 54.7416370, 54.8625570, 55.1161250, 55.6528730", \
+					  "134.3477300, 134.5962300, 134.6259200, 134.6743400, 134.7970300, 134.8976000, 135.4304400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1218678, 0.1387750, 0.1737242, 0.2485377, 0.4106647, 0.7583346, 1.5022765", \
+					  "0.1219672, 0.1386800, 0.1739836, 0.2489890, 0.4111383, 0.7613389, 1.5011948", \
+					  "0.1220528, 0.1387094, 0.1736132, 0.2486871, 0.4114499, 0.7610991, 1.4990003", \
+					  "0.1220818, 0.1387234, 0.1736758, 0.2487514, 0.4114392, 0.7612104, 1.4995872", \
+					  "0.1223705, 0.1388364, 0.1738730, 0.2487146, 0.4113480, 0.7619537, 1.4997161", \
+					  "0.1220996, 0.1387241, 0.1736552, 0.2487090, 0.4115322, 0.7610785, 1.4994549", \
+					  "0.1220781, 0.1387197, 0.1735903, 0.2486248, 0.4115432, 0.7610725, 1.4994479", \
+					  "0.1221398, 0.1386274, 0.1735632, 0.2486545, 0.4116657, 0.7609918, 1.4992380", \
+					  "0.1220539, 0.1386709, 0.1735536, 0.2491932, 0.4121608, 0.7610159, 1.5026715", \
+					  "0.1223309, 0.1387137, 0.1740053, 0.2486232, 0.4119819, 0.7611838, 1.4995534", \
+					  "0.1220786, 0.1387197, 0.1736720, 0.2486887, 0.4114437, 0.7612171, 1.4995644", \
+					  "0.1220882, 0.1387240, 0.1736763, 0.2486928, 0.4114371, 0.7612153, 1.4996423");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("5.8482788, 5.8680603, 5.9050662, 5.9756973, 6.0938277, 6.2984808, 6.6747434", \
+					  "7.7288398, 7.7488114, 7.7868894, 7.8562108, 7.9744256, 8.1789873, 8.5555456", \
+					  "10.1858670, 10.2058690, 10.2442510, 10.3132010, 10.4403850, 10.6361550, 11.0147080", \
+					  "10.7161990, 10.7362190, 10.7744780, 10.8433320, 10.9627310, 11.1664340, 11.5388540", \
+					  "13.6367650, 13.6568070, 13.6925280, 13.7641990, 13.8759930, 14.0869420, 14.4570360", \
+					  "15.8468950, 15.8883800, 15.8922720, 15.9691600, 16.0793370, 16.2970450, 16.6618670", \
+					  "17.4395340, 17.4601950, 17.4872470, 17.5572680, 17.6779140, 17.8898180, 18.2607440", \
+					  "19.6022470, 19.6022482, 19.6422080, 19.7211990, 19.8151660, 20.0319990, 20.3838070", \
+					  "44.0684320, 44.1049790, 44.1238090, 44.2161290, 44.3384710, 44.5197160, 44.9245330", \
+					  "57.6100770, 57.6100789, 57.6406580, 57.7102170, 57.8211360, 58.0266540, 58.4351700", \
+					  "109.8288100, 109.8522900, 109.8942900, 109.9626200, 110.1102400, 110.3187400, 110.6915600", \
+					  "278.0262500, 278.0486200, 278.0718400, 278.1432600, 278.2656200, 278.4763100, 278.8508800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1536093, 0.1695702, 0.1997027, 0.2553673, 0.3591660, 0.5695278, 1.0225721", \
+					  "0.1550604, 0.1708557, 0.2014336, 0.2579065, 0.3623859, 0.5690255, 1.0200779", \
+					  "0.1554086, 0.1701746, 0.2010081, 0.2579056, 0.3619012, 0.5694441, 1.0204583", \
+					  "0.1547479, 0.1709527, 0.2012686, 0.2576170, 0.3620315, 0.5693535, 1.0199651", \
+					  "0.1548673, 0.1710361, 0.2012253, 0.2578876, 0.3620260, 0.5693903, 1.0207554", \
+					  "0.1551295, 0.1708381, 0.2010125, 0.2575949, 0.3619577, 0.5695441, 1.0218941", \
+					  "0.1553479, 0.1702640, 0.2012003, 0.2580203, 0.3620203, 0.5694132, 1.0207937", \
+					  "0.1547095, 0.1702103, 0.2008513, 0.2579187, 0.3623182, 0.5693369, 1.0213941", \
+					  "0.1553681, 0.1703658, 0.2013344, 0.2578987, 0.3619534, 0.5694457, 1.0207140", \
+					  "0.1551128, 0.1702796, 0.2011766, 0.2576116, 0.3620399, 0.5710258, 1.0213799", \
+					  "0.1542278, 0.1708663, 0.2013253, 0.2579879, 0.3623020, 0.5694687, 1.0217260", \
+					  "0.1546472, 0.1701708, 0.2014879, 0.2579179, 0.3620687, 0.5693644, 1.0213267");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("22.8062070, 22.8222220, 22.8510720, 22.9119030, 23.0336020, 23.2870330, 23.8217550", \
+					  "22.9540080, 22.9691660, 23.0010890, 23.0595840, 23.1840250, 23.4372570, 23.9728500", \
+					  "23.1103080, 23.1251160, 23.1553250, 23.2158880, 23.3382260, 23.5919390, 24.1267020", \
+					  "23.2658410, 23.2798300, 23.3108660, 23.3714130, 23.4937810, 23.7472730, 24.2824690", \
+					  "23.3994490, 23.4174940, 23.4445330, 23.5051300, 23.6272490, 23.8836080, 24.4184900", \
+					  "23.5242390, 23.5394140, 23.5692670, 23.6298180, 23.7521680, 24.0059010, 24.5406400", \
+					  "23.6447080, 23.6598780, 23.6897400, 23.7502870, 23.8726350, 24.1263560, 24.6611190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("0.1220486, 0.1386768, 0.1734985, 0.2487675, 0.4113758, 0.7620452, 1.5028551", \
+					  "0.1221285, 0.1386423, 0.1737238, 0.2486867, 0.4112164, 0.7621471, 1.5067454", \
+					  "0.1221894, 0.1386416, 0.1734974, 0.2487671, 0.4114782, 0.7620456, 1.5032204", \
+					  "0.1220720, 0.1386647, 0.1736014, 0.2486218, 0.4113726, 0.7621223, 1.5050010", \
+					  "0.1221943, 0.1386668, 0.1735007, 0.2487528, 0.4114991, 0.7620460, 1.5062002", \
+					  "0.1221905, 0.1386625, 0.1734948, 0.2487692, 0.4114807, 0.7620396, 1.5032033", \
+					  "0.1221868, 0.1386566, 0.1734988, 0.2487644, 0.4114722, 0.7620471, 1.5032607");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("13.7892070, 13.8070360, 13.8473440, 13.9153540, 14.0335410, 14.2377740, 14.6142160", \
+					  "13.9227230, 13.9425730, 13.9816380, 14.0493810, 14.1681190, 14.3710930, 14.7467230", \
+					  "14.0366950, 14.0532400, 14.0935760, 14.1596420, 14.2796590, 14.4811780, 14.8569920", \
+					  "14.1245570, 14.1431450, 14.1806000, 14.2493570, 14.3671650, 14.5711190, 14.9469770", \
+					  "14.1950010, 14.2147440, 14.2516650, 14.3214290, 14.4377960, 14.6427030, 15.0187350", \
+					  "14.2578070, 14.2776550, 14.3158500, 14.3844360, 14.5019480, 14.7058220, 15.0819550", \
+					  "14.3182310, 14.3372430, 14.3762200, 14.4439590, 14.5623470, 14.7655080, 15.1415910");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("0.1538611, 0.1692277, 0.2001940, 0.2567654, 0.3603814, 0.5691417, 1.0187209", \
+					  "0.1540898, 0.1698666, 0.2003012, 0.2565892, 0.3606703, 0.5689992, 1.0188598", \
+					  "0.1537954, 0.1690864, 0.2002436, 0.2568076, 0.3611832, 0.5698794, 1.0183245", \
+					  "0.1537919, 0.1690640, 0.2001928, 0.2554070, 0.3604577, 0.5703926, 1.0188642", \
+					  "0.1538704, 0.1689287, 0.2002406, 0.2567513, 0.3611762, 0.5697795, 1.0180780", \
+					  "0.1545862, 0.1692583, 0.2002363, 0.2566621, 0.3611925, 0.5688304, 1.0181722", \
+					  "0.1537798, 0.1701513, 0.1999966, 0.2565737, 0.3609004, 0.5692764, 1.0183556");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.493460;
+			max_transition : 3.767136;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.8784079, 0.8783958, 0.8831817, 0.8883250, 0.8921219, 0.8941105, 0.8878864", \
+					  "-0.1691315, -0.1669803, -0.1623653, -0.1568023, -0.1507822, -0.1485924, -0.1601734", \
+					  "-0.1220167, -0.1203873, -0.1181214, -0.1124820, -0.1092670, -0.1057950, -0.1210638", \
+					  "-0.0037188, -0.0025659, 0.0001290, -0.0099659, -0.0455550, -0.0573958, -0.0747673", \
+					  "0.0391142, 0.0407814, 0.0438474, 0.0460965, 0.0496118, 0.0142585, -0.0339212", \
+					  "0.0666849, 0.0751605, 0.0796307, 0.0803422, 0.0838977, 0.0890675, -0.0131266", \
+					  "0.1001000, 0.1090188, 0.1081826, 0.1117553, 0.1079005, 0.1156063, 0.0759464");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.9003416, 0.9051028, 0.9122995, 0.9250055, 0.9417065, 0.9519496, 0.9567995", \
+					  "1.9502186, 1.9538017, 1.9628667, 1.9759069, 1.9915074, 2.0020285, 2.0069857", \
+					  "1.9238318, 1.9325498, 1.9467869, 1.9679230, 1.9852126, 1.9976642, 2.0034527", \
+					  "1.9182800, 1.9191684, 1.9174705, 1.9242576, 1.9241542, 1.9548178, 2.0007140", \
+					  "1.9203838, 1.9208309, 1.9243550, 1.9253232, 1.9320372, 1.9371778, 1.9631653", \
+					  "1.9246683, 1.9220495, 1.9360535, 1.9268823, 1.9435937, 1.9362381, 1.9513067", \
+					  "1.9252865, 1.9244606, 1.9363183, 1.9288744, 1.9443145, 1.9513614, 1.9523723");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("0.8093083, 0.8107313, 0.8147332, 0.8189311, 0.8237595, 0.8237968, 0.8164120", \
+					  "-0.2970917, -0.2986923, -0.2959090, -0.2868440, -0.2826880, -0.2807474, -0.2958089", \
+					  "-0.2603330, -0.2643287, -0.2831596, -0.2751605, -0.2742826, -0.2715274, -0.2949700", \
+					  "-0.1916620, -0.1892998, -0.2016710, -0.1956956, -0.1843846, -0.2586785, -0.2645532", \
+					  "-0.1821061, -0.1797931, -0.1920359, -0.1877469, -0.1755247, -0.1721713, -0.2665921", \
+					  "-0.1811398, -0.1708305, -0.1803947, -0.1762081, -0.1737625, -0.1611774, -0.1882108", \
+					  "-0.1674512, -0.1569844, -0.1728393, -0.1655505, -0.1634107, -0.1477674, -0.1600778");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.0007918, 1.0075776, 1.0170141, 1.0350357, 1.0572579, 1.0737287, 1.0828562", \
+					  "2.0602430, 2.0621495, 2.0736256, 2.0864040, 2.1096783, 2.1256022, 2.1363441", \
+					  "2.0510614, 2.0575859, 2.0794391, 2.0978230, 2.1077812, 2.1247936, 2.1339230", \
+					  "2.0324864, 2.0393186, 2.0686816, 2.0912477, 2.1026362, 2.1210694, 2.1316447", \
+					  "2.0120101, 2.0125759, 2.0326165, 2.0295746, 2.0522351, 2.1095092, 2.1330990", \
+					  "2.0413419, 2.0427646, 2.0591903, 2.0631051, 2.0546819, 2.0594930, 2.1277802", \
+					  "2.0484925, 2.0494661, 2.0645887, 2.0698458, 2.0735774, 2.0749789, 2.0762511");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("0.8662532, 0.8682846, 0.8714394, 0.8754746, 0.8811456, 0.8811785, 0.8812911", \
+					  "-0.0797820, -0.0780876, -0.0718977, -0.0668875, -0.0612830, -0.0621761, -0.0745373", \
+					  "0.1030620, 0.1043112, 0.1071952, 0.1004397, 0.1141235, 0.1174593, 0.0994061", \
+					  "0.3500117, 0.3507239, 0.3505897, 0.3441678, 0.3185129, 0.2812451, 0.2648269", \
+					  "0.5016236, 0.4956509, 0.4967096, 0.4970523, 0.5127877, 0.4881039, 0.4355802", \
+					  "0.6457721, 0.6415528, 0.6466486, 0.6414702, 0.6554300, 0.6580013, 0.5605769", \
+					  "0.7860955, 0.7845234, 0.7844139, 0.7803586, 0.7953272, 0.7941608, 0.7759460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.0584382, 1.0643341, 1.0730331, 1.0896634, 1.1075684, 1.1204368, 1.1276196", \
+					  "2.1147344, 2.1207328, 2.1301795, 2.1424631, 2.1638466, 2.1745041, 2.1865424", \
+					  "2.0959113, 2.1029008, 2.1116949, 2.1273452, 2.1472153, 2.1609650, 2.1684687", \
+					  "2.0513242, 2.0640544, 2.0525281, 2.0560079, 2.1005643, 2.1529516, 2.1656166", \
+					  "2.0745124, 2.0888241, 2.0779606, 2.0823746, 2.0887055, 2.0894042, 2.1716233", \
+					  "2.0755773, 2.0895017, 2.0811506, 2.0860739, 2.0999743, 2.0886656, 2.1151418", \
+					  "2.0770026, 2.0927984, 2.0810033, 2.0874587, 2.1033245, 2.0942545, 2.1095465");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.2207017, 1.2350815, 1.2596703, 1.3192654, 1.6040064, 1.6085699, 1.6146329", \
+					  "1.2127255, 1.2274816, 1.2575281, 1.3080545, 1.5969405, 1.6106457, 1.6152383", \
+					  "1.2132280, 1.2271496, 1.2599629, 1.3106468, 1.5935551, 1.6072740, 1.6081528", \
+					  "1.2154439, 1.2258691, 1.2554102, 1.3083149, 1.5926499, 1.6040382, 1.6055048", \
+					  "1.2108410, 1.2270994, 1.2525719, 1.3072528, 1.5922249, 1.6063652, 1.5972760", \
+					  "1.2089671, 1.2261645, 1.2592638, 1.3124603, 1.5923625, 1.6034004, 1.6029167", \
+					  "1.2110321, 1.2261955, 1.2592889, 1.3104159, 1.5921940, 1.6019033, 1.6066355");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.0962457, 1.1017081, 1.1104320, 1.1227091, 1.1536270, 1.1708410, 1.1860397", \
+					  "1.0876441, 1.0937646, 1.1062846, 1.1215926, 1.1442867, 1.1672825, 1.1815452", \
+					  "1.0874963, 1.0940915, 1.0976480, 1.1188628, 1.1406172, 1.1637994, 1.1758366", \
+					  "1.0838900, 1.0982333, 1.1014252, 1.1181939, 1.1425124, 1.1632824, 1.1776779", \
+					  "1.0880112, 1.0875493, 1.1056093, 1.1173650, 1.1394322, 1.1604888, 1.1828738", \
+					  "1.0870530, 1.0869425, 1.1018181, 1.1173995, 1.1412007, 1.1636413, 1.1784424", \
+					  "1.0837568, 1.0873188, 1.0966840, 1.1171930, 1.1423467, 1.1655198, 1.1830410");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.3206701, 5.3650617, 5.4527365, 5.6332672, 5.9704135, 6.6340813, 8.0520675", \
+					  "7.4780342, 7.5213471, 7.6127495, 7.7939442, 8.1317841, 8.7956673, 10.2138740", \
+					  "9.1455972, 9.1908063, 9.2783310, 9.4560448, 9.7957136, 10.4613210, 11.8746370", \
+					  "9.5135739, 9.5568788, 9.6467012, 9.8242730, 10.1632480, 10.8272780, 12.2460240", \
+					  "11.6162030, 11.6616570, 11.7508680, 11.9251800, 12.2690120, 12.9324380, 14.3458240", \
+					  "13.3037310, 13.3478770, 13.4384070, 13.6162630, 13.9530100, 14.6178170, 16.0299930", \
+					  "14.5592600, 14.6113550, 14.6914520, 14.8686450, 15.2118940, 15.8844800, 17.3010190", \
+					  "16.3049840, 16.3854830, 16.4728730, 16.6645280, 16.9857590, 17.6539320, 19.0493360", \
+					  "39.1036710, 39.1946670, 39.3723810, 39.3723813, 39.8651390, 40.5304980, 41.8985520", \
+					  "52.5136340, 52.5136357, 52.6278650, 52.8108930, 53.1030720, 53.8314030, 55.2486770", \
+					  "106.0462700, 106.0918700, 106.1165400, 106.2913400, 106.7641800, 107.3680200, 108.7794500", \
+					  "284.2914700, 284.2914887, 284.4068900, 284.6011000, 284.9423900, 285.4096700, 286.8024800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4905873, 0.5207735, 0.5940365, 0.7458026, 1.0792521, 1.8547512, 3.7423389", \
+					  "0.4844312, 0.5176160, 0.5943286, 0.7459442, 1.0773913, 1.8584826, 3.7496126", \
+					  "0.4870648, 0.5175437, 0.5916225, 0.7445307, 1.0804737, 1.8615314, 3.7399221", \
+					  "0.4880842, 0.5242125, 0.5941341, 0.7404283, 1.0769978, 1.8574537, 3.7296766", \
+					  "0.4845994, 0.5194351, 0.5921734, 0.7432066, 1.0788378, 1.8547562, 3.7362313", \
+					  "0.4860570, 0.5228069, 0.5945638, 0.7446210, 1.0780643, 1.8566155, 3.7419272", \
+					  "0.4873867, 0.5181848, 0.5889997, 0.7425413, 1.0803508, 1.8594755, 3.7515369", \
+					  "0.4856824, 0.5178452, 0.5945948, 0.7455309, 1.0788244, 1.8503216, 3.7495762", \
+					  "0.4854670, 0.5242011, 0.5952607, 0.7468026, 1.0777177, 1.8557093, 3.7421315", \
+					  "0.4856931, 0.5174776, 0.5959271, 0.7458913, 1.0778338, 1.8549632, 3.7406269", \
+					  "0.4870988, 0.5205524, 0.5918258, 0.7468027, 1.0802785, 1.8530992, 3.7483741", \
+					  "0.4846839, 0.5191984, 0.5926505, 0.7462106, 1.0773864, 1.8514406, 3.7505434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.6706807, 4.7050071, 4.7797841, 4.9280815, 5.1995510, 5.6768235, 6.5947350", \
+					  "6.7070167, 6.7412254, 6.8156081, 6.9651147, 7.2365274, 7.7151618, 8.6310319", \
+					  "8.1887315, 8.2235259, 8.2881622, 8.4468912, 8.7176959, 9.1941752, 10.1112970", \
+					  "8.4946281, 8.5293141, 8.6148253, 8.7520107, 9.0240375, 9.5020152, 10.4166220", \
+					  "10.2067890, 10.2387450, 10.3198400, 10.4669050, 10.7374690, 11.2182270, 12.1322240", \
+					  "11.5014560, 11.5061810, 11.6104210, 11.7545080, 12.0217890, 12.5068670, 13.4062410", \
+					  "12.4195140, 12.4564840, 12.5228800, 12.6806880, 12.9397390, 13.4266540, 14.3357790", \
+					  "13.6456230, 13.6717020, 13.7509910, 13.8839580, 14.1715640, 14.6713220, 15.5727320", \
+					  "26.8308720, 26.8841340, 26.9677880, 27.1063010, 27.3682180, 27.8670380, 28.7818320", \
+					  "33.5945990, 33.6175180, 33.7125670, 33.8370190, 34.1540450, 34.6260940, 35.5391560", \
+					  "58.3081880, 58.3725140, 58.4511960, 58.6118390, 58.8605500, 59.3299670, 60.2702170", \
+					  "134.5140700, 134.5524700, 134.6312500, 134.7539200, 135.0759600, 135.5444700, 136.4575300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3824361, 0.4121372, 0.4743439, 0.5940682, 0.8182191, 1.2794913, 2.3469464", \
+					  "0.3850999, 0.4139359, 0.4744014, 0.5936447, 0.8205452, 1.2780355, 2.3482534", \
+					  "0.3838153, 0.4118602, 0.4725623, 0.5943233, 0.8191033, 1.2746367, 2.3499156", \
+					  "0.3822358, 0.4118805, 0.4721145, 0.5951050, 0.8204684, 1.2738790, 2.3456892", \
+					  "0.3848027, 0.4135434, 0.4742105, 0.5929955, 0.8199275, 1.2759480, 2.3499624", \
+					  "0.3846088, 0.4115605, 0.4725325, 0.5935615, 0.8176368, 1.2776069, 2.3466320", \
+					  "0.3821690, 0.4122253, 0.4745371, 0.5938821, 0.8202556, 1.2790252, 2.3458275", \
+					  "0.3846203, 0.4116015, 0.4720465, 0.5955070, 0.8196636, 1.2789311, 2.3513815", \
+					  "0.3839518, 0.4120742, 0.4739657, 0.5939383, 0.8195880, 1.2783689, 2.3501918", \
+					  "0.3830083, 0.4115617, 0.4718023, 0.5949415, 0.8207267, 1.2772841, 2.3436644", \
+					  "0.3825713, 0.4115232, 0.4721341, 0.5943114, 0.8205311, 1.2783159, 2.3501578", \
+					  "0.3848979, 0.4126545, 0.4738618, 0.5947767, 0.8214338, 1.2783030, 2.3504263");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0711284, 5.1149920, 5.2036775, 5.3802527, 5.7173133, 6.3819274, 7.7985511", \
+					  "6.8391901, 6.8819586, 6.9713528, 7.1482438, 7.4858280, 8.1492821, 9.5643440", \
+					  "7.8792510, 7.9233002, 8.0125213, 8.1890530, 8.5268566, 9.1916288, 10.6054370", \
+					  "8.0896774, 8.1328677, 8.2231493, 8.3978069, 8.7362713, 9.3987769, 10.8147300", \
+					  "9.1736405, 9.2180654, 9.3067420, 9.4836352, 9.8177892, 10.4857900, 11.9034250", \
+					  "9.9463220, 9.9894777, 10.0789830, 10.2543030, 10.5891900, 11.2561100, 12.6746430", \
+					  "10.4773560, 10.5178650, 10.6084640, 10.7851340, 11.1188620, 11.7850080, 13.2049160", \
+					  "11.1589930, 11.2082320, 11.2969510, 11.4765820, 11.8078360, 12.4740590, 13.8902820", \
+					  "19.4765930, 19.5504950, 19.6503560, 19.7953160, 20.1507700, 20.8094790, 22.1850910", \
+					  "26.3920050, 26.4489640, 26.5136750, 26.7380640, 27.0385010, 27.7690280, 29.0918290", \
+					  "55.8807830, 55.9237280, 55.9237309, 56.0689100, 56.1853500, 56.8497360, 58.2353720", \
+					  "135.3174600, 135.5121400, 135.5121537, 135.7871300, 135.9698000, 136.6187100, 138.2028500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4844470, 0.5138162, 0.5874848, 0.7355237, 1.0721692, 1.8505913, 3.7474099", \
+					  "0.4846996, 0.5157669, 0.5859075, 0.7394448, 1.0714519, 1.8549062, 3.7462482", \
+					  "0.4842629, 0.5151118, 0.5867495, 0.7374117, 1.0699152, 1.8503801, 3.7266137", \
+					  "0.4842541, 0.5138430, 0.5864859, 0.7378297, 1.0710468, 1.8542833, 3.7333852", \
+					  "0.4818848, 0.5143300, 0.5832514, 0.7379930, 1.0732655, 1.8558891, 3.7504893", \
+					  "0.4838000, 0.5149448, 0.5866923, 0.7391049, 1.0738037, 1.8491025, 3.7489047", \
+					  "0.4838944, 0.5148079, 0.5864325, 0.7387840, 1.0733727, 1.8500077, 3.7376258", \
+					  "0.4817606, 0.5149666, 0.5870246, 0.7400001, 1.0729504, 1.8562567, 3.7419770", \
+					  "0.4841574, 0.5162457, 0.5867014, 0.7370067, 1.0734053, 1.8556829, 3.7479064", \
+					  "0.4837463, 0.5147481, 0.5863185, 0.7375865, 1.0731966, 1.8532069, 3.7500345", \
+					  "0.4838126, 0.5147608, 0.5867642, 0.7386010, 1.0713027, 1.8529023, 3.7428460", \
+					  "0.4842728, 0.5137782, 0.5860708, 0.7401129, 1.0710026, 1.8530582, 3.7499777");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3332246, 6.3698722, 6.4489063, 6.6028436, 6.8917250, 7.3830595, 8.3189402", \
+					  "8.2141494, 8.2508089, 8.3292129, 8.4871727, 8.7722434, 9.2677643, 10.1997640", \
+					  "10.6711480, 10.7074930, 10.7858000, 10.9439320, 11.2289810, 11.7237000, 12.6570730", \
+					  "11.2009120, 11.2373620, 11.3125300, 11.4738240, 11.7588680, 12.2541890, 13.1854060", \
+					  "14.1215470, 14.1579590, 14.2302250, 14.3848280, 14.6794930, 15.1738890, 16.1075330", \
+					  "16.3313900, 16.3877600, 16.4323380, 16.6388790, 16.8735090, 17.3845920, 18.3165360", \
+					  "17.9245740, 17.9547360, 18.0415400, 18.1857340, 18.4689170, 18.9769120, 19.9094480", \
+					  "20.0847920, 20.1213340, 20.1852900, 20.3420430, 20.6438650, 21.1353050, 22.0486790", \
+					  "44.5552790, 44.5955730, 44.6665850, 44.8131420, 45.1232790, 45.6064160, 46.5411210", \
+					  "58.0682310, 58.1274870, 58.2165750, 58.3628430, 58.6280110, 59.1182040, 60.0535800", \
+					  "110.3172200, 110.3541900, 110.4332600, 110.5957700, 110.8766000, 111.3690700, 112.3302800", \
+					  "278.4969700, 278.5357100, 278.6251200, 278.7795400, 279.0586100, 279.5604200, 280.4897600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3937638, 0.4252079, 0.4918666, 0.6208461, 0.8527553, 1.3136748, 2.3756730", \
+					  "0.3941804, 0.4271262, 0.4925025, 0.6199057, 0.8523513, 1.3123038, 2.3772477", \
+					  "0.3947490, 0.4265477, 0.4940925, 0.6214237, 0.8518807, 1.3061173, 2.3724056", \
+					  "0.3947702, 0.4269749, 0.4938512, 0.6196797, 0.8525084, 1.3120308, 2.3722839", \
+					  "0.3945422, 0.4266176, 0.4938018, 0.6210343, 0.8515654, 1.3112610, 2.3669775", \
+					  "0.3939240, 0.4268820, 0.4922504, 0.6208195, 0.8519965, 1.3119342, 2.3773119", \
+					  "0.3945897, 0.4264826, 0.4936573, 0.6205327, 0.8511230, 1.3125312, 2.3757536", \
+					  "0.3949272, 0.4262217, 0.4922231, 0.6210227, 0.8507210, 1.3119140, 2.3772846", \
+					  "0.3948450, 0.4267437, 0.4935437, 0.6210798, 0.8510389, 1.3131340, 2.3764337", \
+					  "0.3948125, 0.4269648, 0.4936678, 0.6201153, 0.8508681, 1.3132154, 2.3781215", \
+					  "0.3951352, 0.4272644, 0.4926807, 0.6214173, 0.8521494, 1.3132904, 2.3781954", \
+					  "0.3956880, 0.4267972, 0.4939987, 0.6213257, 0.8520083, 1.3121120, 2.3784215");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0989151, 5.1418532, 5.2315503, 5.4087739, 5.7460348, 6.4085501, 7.8263502", \
+					  "7.1790138, 7.2223254, 7.3113360, 7.4883282, 7.8257072, 8.4885441, 9.9075397", \
+					  "8.7465715, 8.7888186, 8.8788665, 9.0537622, 9.3893613, 10.0554680, 11.4712790", \
+					  "9.0908996, 9.1334771, 9.2231716, 9.3991650, 9.7377431, 10.4008970, 11.8185730", \
+					  "11.0986070, 11.1418300, 11.2312850, 11.4077540, 11.7476430, 12.4106170, 13.8281380", \
+					  "12.7201920, 12.7632910, 12.8526840, 13.0281060, 13.3756500, 14.0394580, 15.4508050", \
+					  "13.9403610, 13.9814100, 14.0703160, 14.2530740, 14.5952990, 15.2589460, 16.6707410", \
+					  "15.7055490, 15.7319850, 15.8209020, 15.9558640, 16.3380940, 17.0035610, 18.3854350", \
+					  "43.2171240, 43.2549140, 43.3443610, 43.6942360, 44.0749450, 44.5432460, 46.2007960", \
+					  "61.8661100, 62.1189780, 62.1189786, 62.1772500, 62.4697590, 63.2942160, 64.5885760", \
+					  "133.3832000, 133.3832016, 133.3832169, 133.6925700, 133.9365000, 134.3056100, 136.1086700", \
+					  "375.4876200, 375.4876252, 375.4876557, 375.5554600, 376.0860600, 376.3555600, 377.8907600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4835758, 0.5151943, 0.5863185, 0.7387592, 1.0734725, 1.8535862, 3.7492534", \
+					  "0.4834919, 0.5147715, 0.5864545, 0.7392456, 1.0729616, 1.8542395, 3.7471937", \
+					  "0.4836772, 0.5109251, 0.5806039, 0.7344484, 1.0722132, 1.8566652, 3.7262944", \
+					  "0.4852915, 0.5164145, 0.5861435, 0.7380277, 1.0735310, 1.8518211, 3.7338652", \
+					  "0.4822180, 0.5148729, 0.5867602, 0.7380391, 1.0737917, 1.8562659, 3.7442658", \
+					  "0.4841389, 0.5160141, 0.5857191, 0.7379530, 1.0701050, 1.8550838, 3.7440873", \
+					  "0.4851091, 0.5149756, 0.5865207, 0.7341885, 1.0713072, 1.8544212, 3.7481320", \
+					  "0.4826861, 0.5149178, 0.5864635, 0.7376575, 1.0716095, 1.8509029, 3.7458863", \
+					  "0.4842965, 0.5148740, 0.5867446, 0.7385306, 1.0737793, 1.8556880, 3.7485495", \
+					  "0.4838442, 0.5150011, 0.5863317, 0.7364753, 1.0726601, 1.8543258, 3.7454013", \
+					  "0.4840660, 0.5149010, 0.5863943, 0.7396764, 1.0730985, 1.8531131, 3.7481711", \
+					  "0.4820883, 0.5151154, 0.5863760, 0.7401214, 1.0730929, 1.8562681, 3.7416439");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7152668, 4.7516440, 4.8300466, 4.9880318, 5.2730926, 5.7678116, 6.7006090", \
+					  "6.9408159, 6.9771877, 7.0555495, 7.2136377, 7.4870119, 7.9931377, 8.9267855", \
+					  "9.0456558, 9.0821013, 9.1605044, 9.3186365, 9.5922479, 10.0981380, 11.0315700", \
+					  "9.4793897, 9.5160226, 9.5943653, 9.7523163, 10.0376590, 10.5313940, 11.4649610", \
+					  "11.9435140, 11.9799710, 12.0583880, 12.2162460, 12.4887920, 12.9961120, 13.9291720", \
+					  "13.8083760, 13.8446690, 13.9189720, 14.0808850, 14.3495560, 14.8605990, 15.7940750", \
+					  "15.1344200, 15.1878050, 15.2668830, 15.4147610, 15.6778660, 16.2043780, 17.1359900", \
+					  "16.9571490, 16.9832250, 17.0651330, 17.2069810, 17.5193340, 18.0093610, 18.9418350", \
+					  "37.1408500, 37.1760260, 37.2575820, 37.4105160, 37.7105490, 38.1936620, 39.1269060", \
+					  "48.1185540, 48.1674430, 48.2438130, 48.3805140, 48.6879910, 49.1870970, 50.1104260", \
+					  "90.1977590, 90.2229190, 90.3217060, 90.4818260, 90.7491750, 91.2730300, 92.1528880", \
+					  "227.2510900, 227.2510911, 227.3186700, 227.4568800, 227.8202800, 228.2838200, 229.2097900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3944445, 0.4266228, 0.4915614, 0.6206251, 0.8521542, 1.3118560, 2.3775717", \
+					  "0.3946598, 0.4266335, 0.4936709, 0.6205617, 0.8514327, 1.3122710, 2.3751678", \
+					  "0.3951151, 0.4261155, 0.4931010, 0.6201868, 0.8521270, 1.3106246, 2.3670672", \
+					  "0.3939882, 0.4269004, 0.4918634, 0.6189512, 0.8498184, 1.3090058, 2.3715681", \
+					  "0.3949703, 0.4273406, 0.4929833, 0.6209693, 0.8507985, 1.3129428, 2.3779063", \
+					  "0.3948095, 0.4255888, 0.4918644, 0.6209881, 0.8519884, 1.3132082, 2.3768496", \
+					  "0.3946483, 0.4270597, 0.4914795, 0.6207195, 0.8518773, 1.3113973, 2.3752855", \
+					  "0.3946012, 0.4272861, 0.4929650, 0.6203823, 0.8504493, 1.3087463, 2.3756566", \
+					  "0.3950394, 0.4265540, 0.4924521, 0.6198095, 0.8525037, 1.3130420, 2.3779752", \
+					  "0.3947456, 0.4266220, 0.4936510, 0.6211642, 0.8517615, 1.3120047, 2.3719704", \
+					  "0.3952091, 0.4267105, 0.4923887, 0.6202767, 0.8526707, 1.3133591, 2.3781912", \
+					  "0.3950616, 0.4272566, 0.4944152, 0.6218347, 0.8523536, 1.3128564, 2.3776496");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("20.8407800, 20.8842220, 20.9747530, 21.1509050, 21.4899320, 22.1544490, 23.5794570", \
+					  "20.9886190, 21.0353870, 21.1219650, 21.2996230, 21.6383200, 22.3030230, 23.7275110", \
+					  "21.1449010, 21.1879630, 21.2782170, 21.4557860, 21.7935700, 22.4592100, 23.8836080", \
+					  "21.3022060, 21.3458550, 21.4348200, 21.6102960, 21.9481130, 22.6149520, 24.0391050", \
+					  "21.4356050, 21.4789870, 21.5688710, 21.7452260, 22.0858180, 22.7482600, 24.1740420", \
+					  "21.5588680, 21.6019270, 21.6921840, 21.8697540, 22.2095040, 22.8731740, 24.2975830", \
+					  "21.6792180, 21.7223770, 21.8126260, 21.9902070, 22.3319820, 22.9936230, 24.4180390");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("0.4934495, 0.5214477, 0.5946175, 0.7413611, 1.0732573, 1.8524632, 3.7495860", \
+					  "0.4935674, 0.5206405, 0.5929350, 0.7413625, 1.0729452, 1.8524778, 3.7470658", \
+					  "0.4934391, 0.5246516, 0.5929967, 0.7413609, 1.0712965, 1.8528328, 3.7456016", \
+					  "0.4913624, 0.5200972, 0.5933865, 0.7395279, 1.0712977, 1.8554429, 3.7494718", \
+					  "0.4926311, 0.5224228, 0.5925136, 0.7392552, 1.0713164, 1.8524535, 3.7502312", \
+					  "0.4934559, 0.5246556, 0.5929935, 0.7413611, 1.0713255, 1.8528289, 3.7451353", \
+					  "0.4934587, 0.5246575, 0.5929904, 0.7413595, 1.0713508, 1.8528260, 3.7449217");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("17.4744970, 17.5243180, 17.6299120, 17.8466310, 18.2418540, 18.8864320, 19.9817760", \
+					  "17.6074070, 17.6578820, 17.7627160, 17.9792380, 18.3741590, 19.0168450, 20.1196640", \
+					  "17.7186300, 17.7699030, 17.8742190, 18.0904270, 18.4858990, 19.1285190, 20.2314890", \
+					  "17.8081530, 17.8573190, 17.9632970, 18.1803550, 18.5752850, 19.2195270, 20.3187650", \
+					  "17.8803990, 17.9271480, 18.0359990, 18.2522230, 18.6475920, 19.2919640, 20.3886280", \
+					  "17.9431050, 17.9910290, 18.0985480, 18.3149890, 18.7100680, 19.3528110, 20.4525070", \
+					  "18.0025810, 18.0526700, 18.1580920, 18.3744020, 18.7703790, 19.4133940, 20.5141290");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("0.5836524, 0.6238389, 0.7137140, 0.8916316, 1.1818810, 1.6788174, 2.7241138", \
+					  "0.5835819, 0.6244002, 0.7133418, 0.8931332, 1.1806368, 1.6816757, 2.7287328", \
+					  "0.5847176, 0.6232182, 0.7145000, 0.8901689, 1.1767667, 1.6802901, 2.7270675", \
+					  "0.5836860, 0.6236813, 0.7145879, 0.8934341, 1.1817800, 1.6787766, 2.7212892", \
+					  "0.5836767, 0.6233846, 0.7140411, 0.8909276, 1.1818674, 1.6792526, 2.7242884", \
+					  "0.5835651, 0.6233641, 0.7131474, 0.8921864, 1.1813366, 1.6823419, 2.7242311", \
+					  "0.5836879, 0.6234651, 0.7138040, 0.8911092, 1.1816760, 1.6806850, 2.7247522");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.201709;
+			max_capacitance : 551.202000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158777, 0.1158627, 0.1158647, 0.1159178, 0.1158637, 0.1158686, 0.1158829", \
+					  "0.1705320, 0.1705371, 0.1705307, 0.1705319, 0.1705334, 0.1705345, 0.1705380", \
+					  "0.2243396, 0.2243500, 0.2244190, 0.2244160, 0.2243331, 0.2243353, 0.2243331", \
+					  "0.2980576, 0.2980602, 0.2980944, 0.2981243, 0.2981424, 0.2981576, 0.2978823", \
+					  "0.3711508, 0.3711810, 0.3715809, 0.3718215, 0.3714395, 0.3716690, 0.3715357", \
+					  "0.4492502, 0.4487665, 0.4488001, 0.4489921, 0.4489655, 0.4494867, 0.4495272", \
+					  "0.5519500, 0.5519031, 0.5507894, 0.5500418, 0.5503040, 0.5503718, 0.5504914");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.7001227, 5.6986200, 5.6983178, 5.6969287, 5.6514035, 5.4248135, -0.3681003", \
+					  "5.6990209, 5.6991593, 5.6984563, 5.7004330, 5.6595282, 5.4376003, -0.3541512", \
+					  "5.6993306, 5.6986957, 5.6962858, 5.7004946, 5.6528726, 5.3665647, -0.3528181", \
+					  "5.6995605, 5.6981342, 5.6977057, 5.7005242, 5.6533748, 5.4691407, -0.3371529", \
+					  "5.6986354, 5.6981415, 5.6964254, 5.6990262, 5.6570819, 5.3221610, -0.3290033", \
+					  "5.6988341, 5.6979997, 5.6977933, 5.6982191, 5.6500498, 5.4503003, -0.3860097", \
+					  "5.6960994, 5.6976363, 5.6949150, 5.6970868, 5.6515803, 5.2996600, -0.3870618");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6462268, 6.6505293, 6.6453117, 6.6684325, 6.6457016, 5.5611295, -11.4779060", \
+					  "6.6081277, 6.6109791, 6.6121240, 6.6276351, 6.5930555, 5.5374477, -11.2895787", \
+					  "6.5742176, 6.5691648, 6.5801044, 6.5864235, 6.5648388, 5.4883106, -11.4687653", \
+					  "6.5438346, 6.5512180, 6.5574417, 6.5682035, 6.5335771, 5.4585196, -11.4236317", \
+					  "6.5242486, 6.5236302, 6.5302533, 6.5499260, 6.5296679, 5.4252692, -11.4148981", \
+					  "6.5008158, 6.5017773, 6.5097046, 6.5253644, 6.4064191, 5.3753732, -11.5659764", \
+					  "6.4807827, 6.4809766, 6.4814736, 6.5017521, 6.4636293, 5.3257613, -11.6786777");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9979932, 4.0090278, 4.0314331, 4.1643168, 4.6901549, 5.5462431, 6.0323906", \
+					  "3.9495644, 3.9564218, 3.9877188, 4.1118378, 4.6426532, 5.4978099, 5.9738384", \
+					  "3.9079444, 3.8963039, 3.9324322, 4.0539417, 4.5902844, 5.4466398, 5.9249971", \
+					  "3.8953509, 3.9019936, 3.9234352, 4.0524045, 4.5838804, 5.4374177, 5.9181087", \
+					  "3.8868008, 3.8912686, 3.9175584, 4.0439238, 4.5731802, 5.4323683, 5.8982574", \
+					  "3.8799046, 3.8841079, 3.9136077, 4.0362422, 4.5693501, 5.4266565, 5.8926566", \
+					  "3.8734643, 3.8796274, 3.8999709, 4.0347940, 4.5634866, 5.4232034, 5.9012919");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159533, 0.1159145, 0.1159123, 0.1159238, 0.1159144, 0.1159174, 0.1158498", \
+					  "0.1701331, 0.1702200, 0.1702230, 0.1696347, 0.1702236, 0.1702234, 0.1702155", \
+					  "0.2217243, 0.2217251, 0.2217291, 0.2210049, 0.2217296, 0.2217285, 0.2217264", \
+					  "0.2768795, 0.2768824, 0.2768846, 0.2770524, 0.2768198, 0.2768857, 0.2768826", \
+					  "0.3235550, 0.3235539, 0.3234654, 0.3229427, 0.3234086, 0.3234654, 0.3236573", \
+					  "0.3967907, 0.3967908, 0.3967911, 0.3968031, 0.3967818, 0.3967805, 0.3967074", \
+					  "0.4856540, 0.4856590, 0.4856446, 0.4848657, 0.4856306, 0.4856317, 0.4856181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5601865, 2.5567142, 2.5789131, 2.5542429, 2.5622597, 2.5111116, 2.5201265", \
+					  "2.5655528, 2.5660524, 2.5636351, 2.5656300, 2.5563239, 2.5821218, 2.5638875", \
+					  "2.5637887, 2.5625494, 2.5669006, 2.5650672, 2.5720002, 2.5652437, 2.5857505", \
+					  "2.5697681, 2.5711207, 2.5629849, 2.5696363, 2.5714096, 2.5907353, 2.5834796", \
+					  "2.5641955, 2.5653302, 2.5618028, 2.5672531, 2.5987210, 2.5869412, 2.6130798", \
+					  "2.5574589, 2.5644622, 2.5606907, 2.5643781, 2.5658192, 2.5780484, 2.5745722", \
+					  "2.5601805, 2.5630964, 2.5594650, 2.5641901, 2.5699609, 2.5761794, 2.5888366");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4689146, 6.4691579, 6.4691492, 6.4703982, 6.4262929, 6.2307436, 0.3786486", \
+					  "6.4301637, 6.4331691, 6.4323351, 6.4317631, 6.3955820, 6.1793166, 0.3465897", \
+					  "6.3966318, 6.3973144, 6.3968139, 6.3988028, 6.3607030, 6.1404370, 0.3515606", \
+					  "6.3732484, 6.3727232, 6.3729487, 6.3742588, 6.3222713, 6.0883500, 0.2791662", \
+					  "6.3495702, 6.3503001, 6.3500173, 6.3515456, 6.3033372, 6.1087281, 0.2434245", \
+					  "6.3265243, 6.3263352, 6.3266366, 6.3284112, 6.2709715, 6.0731844, 0.2495265", \
+					  "6.3029879, 6.3025694, 6.3023820, 6.3047595, 6.2660972, 6.0342580, 0.1972810");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9043312, 3.9049873, 3.9183877, 3.9755542, 4.0352208, 4.0664771, 4.0673531", \
+					  "3.8558286, 3.8603949, 3.8634029, 3.9170371, 3.9946351, 4.0133758, 4.0489268", \
+					  "3.8015091, 3.8047478, 3.8125480, 3.8648547, 3.9350663, 3.9751714, 3.9675751", \
+					  "3.7943223, 3.7980706, 3.8079651, 3.8584742, 3.9193035, 3.9642840, 3.9556434", \
+					  "3.7847063, 3.7883501, 3.7996475, 3.8509827, 3.9067567, 3.9461110, 3.9705737", \
+					  "3.7841418, 3.7804204, 3.7928740, 3.8434139, 3.9099761, 3.9238270, 3.9628843", \
+					  "3.7735850, 3.7762578, 3.7875599, 3.8387625, 3.9102964, 3.9478983, 3.9441127");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158414, 0.1158727, 0.1159095, 0.1159187, 0.1159110, 0.1159533, 0.1159107", \
+					  "0.1701185, 0.1702185, 0.1702132, 0.1702130, 0.1702275, 0.1700997, 0.1702185", \
+					  "0.2217263, 0.2217262, 0.2217962, 0.2217956, 0.2217428, 0.2215870, 0.2217257", \
+					  "0.2768857, 0.2769271, 0.2768820, 0.2768882, 0.2768817, 0.2768283, 0.2768317", \
+					  "0.3234640, 0.3231916, 0.3240531, 0.3234651, 0.3239464, 0.3235585, 0.3234674", \
+					  "0.3965200, 0.3967890, 0.3968011, 0.3967827, 0.3967924, 0.3969741, 0.3966988", \
+					  "0.4856209, 0.4856490, 0.4848368, 0.4856389, 0.4848531, 0.4856127, 0.4856271");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5757995, 2.5635625, 2.5702835, 2.5624640, 2.6090268, 2.6504101, 2.6189373", \
+					  "2.5634994, 2.5623139, 2.5621200, 2.5650938, 2.5777852, 2.5679860, 2.5796026", \
+					  "2.5638141, 2.5645797, 2.5590577, 2.5698715, 2.5632286, 2.5846847, 2.5783970", \
+					  "2.5628691, 2.5629409, 2.5615076, 2.5651991, 2.5684792, 2.5761081, 2.5781191", \
+					  "2.5633127, 2.5624874, 2.5612905, 2.5647282, 2.5478995, 2.5909397, 2.5922141", \
+					  "2.5613304, 2.5616756, 2.5484930, 2.5647814, 2.5657431, 2.5804145, 2.5889314", \
+					  "2.5591620, 2.5629639, 2.5592004, 2.5502705, 2.5661853, 2.5876017, 2.5657468");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3063564, 3.3149940, 3.3302112, 3.3970057, 3.8554267, 5.1949429, 5.4163409", \
+					  "3.2591709, 3.2604650, 3.2706780, 3.3417988, 3.8039981, 5.1378752, 5.3711491", \
+					  "3.2081003, 3.2093192, 3.2197167, 3.2901404, 3.7499471, 5.0847439, 5.2946758", \
+					  "3.2000815, 3.2023428, 3.2133483, 3.2885991, 3.7456790, 5.0794155, 5.3062822", \
+					  "3.1936760, 3.1954134, 3.2073691, 3.2798122, 3.7386528, 5.0713112, 5.3043579", \
+					  "3.1863683, 3.1882730, 3.2003889, 3.2730617, 3.7315532, 5.0683238, 5.2808222", \
+					  "3.1807721, 3.1831853, 3.1923791, 3.2651742, 3.7242373, 5.0610812, 5.2660747");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1546533, 0.1546563, 0.1546684, 0.1544717, 0.1544806, 0.1544707, 0.1544720", \
+					  "0.1796294, 0.1796406, 0.1796391, 0.1796240, 0.1796142, 0.1796222, 0.1796133", \
+					  "0.2134353, 0.2134347, 0.2134367, 0.2135341, 0.2135231, 0.2134631, 0.2135232", \
+					  "0.2751944, 0.2751159, 0.2751897, 0.2751735, 0.2751749, 0.2751723, 0.2751749", \
+					  "0.3971596, 0.3972171, 0.3972167, 0.3970526, 0.3972500, 0.3971786, 0.3972628", \
+					  "0.6548443, 0.6548488, 0.6548465, 0.6547891, 0.6547640, 0.6547729, 0.6547792", \
+					  "0.7892282, 0.7892233, 0.7892146, 0.7888465, 0.7888191, 0.7885773, 0.7885285");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158518, 0.1158218, 0.1158546, 0.1158638, 0.1158678, 0.1158592, 0.1158714", \
+					  "0.1705239, 0.1704672, 0.1705258, 0.1705329, 0.1705279, 0.1705391, 0.1705321", \
+					  "0.2244145, 0.2243451, 0.2243461, 0.2243434, 0.2244680, 0.2243311, 0.2243299", \
+					  "0.2981443, 0.2980574, 0.2980691, 0.2983365, 0.2985859, 0.2981555, 0.2981572", \
+					  "0.3712263, 0.3711787, 0.3712005, 0.3715635, 0.3719826, 0.3716493, 0.3715338", \
+					  "0.4487570, 0.4487674, 0.4487996, 0.4489558, 0.4492954, 0.4496335, 0.4497318", \
+					  "0.5519706, 0.5519089, 0.5519730, 0.5510157, 0.5496461, 0.5506574, 0.5504693");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8669663, 6.8760957, 6.8987746, 7.0219391, 7.0747324, 6.1292612, -0.1803135", \
+					  "6.8698192, 6.8760920, 6.8974950, 7.0225672, 7.0779465, 6.1980683, -0.0852122", \
+					  "6.8693088, 6.8748352, 6.9000355, 7.0240913, 7.0691472, 6.1315309, -0.0618516", \
+					  "6.8703176, 6.8739150, 6.8991201, 7.0225708, 7.0759725, 6.1424320, -0.4327864", \
+					  "6.8684870, 6.8797484, 6.8986994, 7.0196360, 7.0724957, 6.2171933, -0.0617854", \
+					  "6.8647079, 6.8739458, 6.8995256, 7.0230691, 7.0735478, 6.1705045, -0.0645288", \
+					  "6.8708953, 6.8723885, 6.8976057, 7.0223514, 7.0688684, 6.1345559, -0.4535488");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6391783, 3.6421351, 3.6503022, 3.7097837, 3.8144660, 5.0095114, 5.8746783", \
+					  "3.5867735, 3.5893317, 3.5985735, 3.6549312, 3.7634147, 4.9577714, 5.8049357", \
+					  "3.5349658, 3.5387592, 3.5487543, 3.6055426, 3.7156191, 4.9072062, 5.7367007", \
+					  "3.5283979, 3.5311062, 3.5428451, 3.5913796, 3.7065657, 4.8997341, 5.7534757", \
+					  "3.5206432, 3.5249432, 3.5360311, 3.5926277, 3.6985716, 4.8938425, 5.7228287", \
+					  "3.5142488, 3.5184251, 3.5291396, 3.5873509, 3.6879510, 4.8861262, 5.7422361", \
+					  "3.5076137, 3.5117311, 3.5224760, 3.5791277, 3.6901075, 4.8799413, 5.7010389");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1544758, 0.1544649, 0.1544675, 0.1544655, 0.1544618, 0.1543478, 0.1544861", \
+					  "0.1796125, 0.1796157, 0.1796280, 0.1796121, 0.1796130, 0.1795935, 0.1796663", \
+					  "0.2135670, 0.2134751, 0.2135267, 0.2135100, 0.2134991, 0.2134968, 0.2135354", \
+					  "0.2750403, 0.2750314, 0.2750221, 0.2750156, 0.2750068, 0.2750060, 0.2749984", \
+					  "0.3981579, 0.3981583, 0.3981395, 0.3981723, 0.3982246, 0.3977631, 0.3981900", \
+					  "0.6639754, 0.6639156, 0.6638874, 0.6637797, 0.6637085, 0.6637564, 0.6635677", \
+					  "0.8143477, 0.8143357, 0.8139158, 0.8141925, 0.8132190, 0.8125451, 0.8121478");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5535837, 5.5485318, 5.5500215, 5.5059859, 5.4354855, 4.0733277, -13.0992741", \
+					  "5.5420315, 5.5386618, 5.5354278, 5.5082703, 5.3510623, 4.1418837, -13.1859612", \
+					  "5.5440989, 5.5394373, 5.5396472, 5.5093069, 5.3498355, 4.1262973, -12.7001924", \
+					  "5.5437763, 5.5383306, 5.5356578, 5.5088711, 5.3511730, 4.1755396, -13.0155193", \
+					  "5.5375373, 5.5372008, 5.5344499, 5.5090575, 5.3487864, 4.0843924, -12.8730931", \
+					  "5.5388926, 5.5381935, 5.5319940, 5.5085072, 5.3395755, 4.1838858, -12.8561980", \
+					  "5.5398337, 5.5370755, 5.5347102, 5.5083871, 5.3482756, 4.1200645, -12.9238699");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158539, 0.1158537, 0.1158761, 0.1158534, 0.1158604, 0.1158501, 0.1158755", \
+					  "0.1705271, 0.1705255, 0.1705325, 0.1705273, 0.1705369, 0.1704951, 0.1705664", \
+					  "0.2244231, 0.2246241, 0.2244305, 0.2245630, 0.2243587, 0.2245952, 0.2243958", \
+					  "0.2980671, 0.2978737, 0.2980917, 0.2980774, 0.2980697, 0.2980898, 0.2981202", \
+					  "0.3716644, 0.3717182, 0.3716750, 0.3718159, 0.3710272, 0.3717825, 0.3713383", \
+					  "0.4487694, 0.4487690, 0.4487823, 0.4488175, 0.4488221, 0.4488273, 0.4488980", \
+					  "0.5491896, 0.5491714, 0.5495171, 0.5500201, 0.5499814, 0.5490982, 0.5500366");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3112825, 6.3208594, 6.3072661, 6.2842234, 6.1330405, 4.9776101, -12.2990960", \
+					  "6.2795242, 6.2787978, 6.2719252, 6.2464109, 6.0897906, 4.8984072, -12.2302337", \
+					  "6.2415007, 6.2388452, 6.2360731, 6.2121847, 6.0399900, 4.8362450, -12.3132683", \
+					  "6.2174966, 6.2197279, 6.2104610, 6.1888407, 5.9861739, 4.8224299, -12.2097067", \
+					  "6.1916392, 6.1959327, 6.1883472, 6.1626386, 6.0101251, 4.8151045, -12.2041391", \
+					  "6.1697916, 6.1696415, 6.1647427, 6.1403520, 5.9876786, 4.7706443, -12.4763014", \
+					  "6.1466030, 6.1448951, 6.1464538, 6.1185664, 5.9592169, 4.7811397, -12.5061427");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1294335, 3.1295816, 3.1129111, 3.1492590, 3.1003593, 3.1975942, 3.1640245", \
+					  "3.0769356, 3.0763571, 3.0759167, 3.0460725, 3.0856695, 3.1024684, 3.0960896", \
+					  "3.0244024, 3.0253372, 3.0279944, 3.0121195, 3.0310952, 3.0405618, 3.0312928", \
+					  "3.0173013, 3.0184716, 3.0147016, 3.0020332, 3.0290267, 3.0319292, 3.0237213", \
+					  "3.0154455, 3.0131529, 3.0096223, 3.0296577, 3.0215915, 3.0216576, 3.0230701", \
+					  "3.0039289, 3.0034597, 3.0067879, 3.0126836, 3.0105464, 3.0096578, 3.0232297", \
+					  "3.0020000, 3.0022524, 2.9960917, 2.9943934, 2.9788618, 2.9874521, 3.0253123");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159126, 0.1159139, 0.1159109, 0.1159378, 0.1159242, 0.1159408, 0.1159054", \
+					  "0.1702155, 0.1702199, 0.1702452, 0.1702160, 0.1702137, 0.1702141, 0.1702105", \
+					  "0.2217986, 0.2218036, 0.2217274, 0.2217974, 0.2217262, 0.2217949, 0.2217885", \
+					  "0.2768852, 0.2768886, 0.2768857, 0.2768860, 0.2768832, 0.2768860, 0.2768740", \
+					  "0.3240683, 0.3240737, 0.3234638, 0.3234759, 0.3234733, 0.3240601, 0.3240536", \
+					  "0.3967844, 0.3967881, 0.3967672, 0.3967625, 0.3967559, 0.3967727, 0.3967619", \
+					  "0.4849117, 0.4847290, 0.4854466, 0.4854817, 0.4854491, 0.4847072, 0.4848894");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2307990, 3.2335003, 3.2431484, 3.2985980, 3.3983858, 4.5984376, 5.4359495", \
+					  "3.2267128, 3.2292136, 3.2415199, 3.3001423, 3.4032814, 4.6015433, 5.4537271", \
+					  "3.2248729, 3.2302809, 3.2415287, 3.3001265, 3.4028963, 4.6037656, 5.4344280", \
+					  "3.2266426, 3.2274640, 3.2408808, 3.2982316, 3.4018970, 4.5942719, 5.4596439", \
+					  "3.2240793, 3.2291536, 3.2387355, 3.2987593, 3.4064182, 4.5930237, 5.4501176", \
+					  "3.2252379, 3.2281136, 3.2403250, 3.2972001, 3.4029783, 4.5989656, 5.4532640", \
+					  "3.2247761, 3.2284567, 3.2382308, 3.2966476, 3.4041317, 4.5956983, 5.4199322");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3062630, 6.3136824, 6.3070445, 6.2834063, 6.1326497, 4.9346939, -12.3376110", \
+					  "6.2734982, 6.2740490, 6.2755439, 6.2472310, 6.0961505, 4.8993210, -12.3167097", \
+					  "6.2411221, 6.2389849, 6.2373803, 6.2123664, 6.0622625, 4.8813977, -12.3576543", \
+					  "6.2192938, 6.2197132, 6.2110899, 6.1877028, 6.0363954, 4.7885845, -12.0864077", \
+					  "6.1917173, 6.1933705, 6.1897718, 6.1640216, 6.0100015, 4.8208044, -12.4253791", \
+					  "6.1698501, 6.1696780, 6.1643784, 6.1416093, 5.9875936, 4.7742790, -12.3944214", \
+					  "6.1455834, 6.1446011, 6.1427354, 6.1226434, 5.9746104, 4.7348920, -12.1935217");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1408078, 3.1295391, 3.1382526, 3.1114689, 2.9709658, 3.1602246, 3.1138075", \
+					  "3.0770256, 3.0764297, 3.0941367, 3.0655125, 3.0857593, 3.0724921, 3.0944281", \
+					  "3.0202272, 3.0252937, 3.0277817, 3.0517900, 3.0513360, 3.0405998, 3.0406796", \
+					  "3.0179230, 3.0179815, 3.0145863, 3.0249111, 3.0249391, 3.0356974, 3.0324502", \
+					  "3.0122159, 3.0131273, 3.0116357, 3.0158122, 3.0213176, 3.0298000, 3.0399145", \
+					  "3.0039100, 3.0034386, 3.0045996, 3.0169242, 3.0112614, 3.0262533, 3.0135278", \
+					  "3.0136493, 3.0137488, 2.9960520, 3.0154864, 2.9687180, 2.9651718, 3.0434586");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4050542, 6.4039648, 6.4040386, 6.4016477, 6.3610044, 6.1213159, 0.3214666", \
+					  "6.3686630, 6.3668146, 6.3668966, 6.3679738, 6.3306656, 6.0575473, 0.3322483", \
+					  "6.3321721, 6.3318333, 6.3307747, 6.3343600, 6.2939661, 6.0212151, 0.2942125", \
+					  "6.3078957, 6.3064398, 6.3074065, 6.3096612, 6.2619724, 6.0305628, 0.2336125", \
+					  "6.2840297, 6.2850359, 6.2840354, 6.2855832, 6.2433596, 6.0369036, 0.2075867", \
+					  "6.2613889, 6.2609613, 6.2598779, 6.2621808, 6.2228576, 5.9837303, 0.2203182", \
+					  "6.2384501, 6.2365827, 6.2367644, 6.2400304, 6.2002615, 5.9149636, 0.1741857");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1805604, 0.1805386, 0.1804603, 0.1800401, 0.1796221, 0.1793594, 0.1793000", \
+					  "0.2044642, 0.2044027, 0.2043914, 0.2041153, 0.2034536, 0.2029135, 0.2029162", \
+					  "0.2322863, 0.2322357, 0.2322219, 0.2321360, 0.2312418, 0.2307841, 0.2306275", \
+					  "0.2868794, 0.2866462, 0.2869946, 0.2875270, 0.2867464, 0.2861043, 0.2859130", \
+					  "0.3380963, 0.3381003, 0.3377802, 0.3382599, 0.3387491, 0.3378576, 0.3376605", \
+					  "0.4557537, 0.4556011, 0.4555090, 0.4538717, 0.4517796, 0.4510647, 0.4501948", \
+					  "0.6531753, 0.6530810, 0.6526437, 0.6496777, 0.6432753, 0.6394987, 0.6385637");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9813779, 7.9888537, 8.0209791, 8.1806066, 8.3515983, 7.6030165, 1.0135703", \
+					  "7.9420116, 7.9527834, 7.9842678, 8.1447756, 8.3154230, 7.5697027, 1.3442878", \
+					  "7.9092677, 7.9165457, 7.9492193, 8.1083194, 8.2751140, 7.4914127, 1.3141216", \
+					  "7.8859957, 7.8906526, 7.9225707, 8.0860215, 8.2545499, 7.4567428, 1.2908404", \
+					  "7.8627755, 7.8675504, 7.9023819, 8.0568920, 8.2281682, 7.4212494, 1.2675483", \
+					  "7.8368392, 7.8457929, 7.8759736, 8.0394783, 8.2087465, 7.4124616, 1.0360245", \
+					  "7.8121639, 7.8224092, 7.8535414, 8.0104419, 8.1793953, 7.3833524, 1.1942807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7900814, 4.7955939, 4.8261524, 5.0064795, 5.5921117, 6.4688462, 6.9530444", \
+					  "4.7355377, 4.7441593, 4.7791205, 4.9540404, 5.5407755, 6.4198969, 6.8997614", \
+					  "4.6867138, 4.6955821, 4.7307792, 4.9000379, 5.4920115, 6.3695391, 6.8427509", \
+					  "4.6790374, 4.6863805, 4.7195232, 4.9001218, 5.4875040, 6.3626130, 6.8354531", \
+					  "4.6693368, 4.6804581, 4.7135488, 4.8927136, 5.4737224, 6.3559117, 6.8206536", \
+					  "4.6660596, 4.6699688, 4.7068863, 4.8803244, 5.4665109, 6.3495073, 6.8271790", \
+					  "4.6610049, 4.6658860, 4.6990166, 4.8714742, 5.4602237, 6.3414814, 6.8121479");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159200, 0.1159212, 0.1159137, 0.1156511, 0.1159114, 0.1159187, 0.1158603", \
+					  "0.1702287, 0.1702552, 0.1702798, 0.1701743, 0.1702202, 0.1702202, 0.1702173", \
+					  "0.2217370, 0.2217355, 0.2218193, 0.2217658, 0.2217236, 0.2217978, 0.2217206", \
+					  "0.2769017, 0.2768997, 0.2768889, 0.2768907, 0.2768853, 0.2770644, 0.2769097", \
+					  "0.3234828, 0.3234796, 0.3240105, 0.3234685, 0.3234663, 0.3240599, 0.3234608", \
+					  "0.3967787, 0.3967774, 0.3967818, 0.3967623, 0.3967834, 0.3967764, 0.3967485", \
+					  "0.4855481, 0.4855457, 0.4847576, 0.4855268, 0.4855118, 0.4847411, 0.4855846");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5817751, 2.5828455, 2.5861874, 2.6273158, 2.8369478, 3.8686000, 4.4251817", \
+					  "2.5825551, 2.5811775, 2.5880782, 2.6224028, 2.8336444, 3.8664157, 4.4802424", \
+					  "2.5816418, 2.5821078, 2.5889829, 2.6219765, 2.8348713, 3.8685399, 4.4630852", \
+					  "2.5809037, 2.5818491, 2.5863386, 2.6223328, 2.8319488, 3.8745547, 4.4846218", \
+					  "2.5801439, 2.5811446, 2.5869219, 2.6216642, 2.8343622, 3.8710500, 4.4941892", \
+					  "2.5801235, 2.5810804, 2.5850759, 2.6208201, 2.8338975, 3.8661824, 4.4731509", \
+					  "2.5807697, 2.5792581, 2.5860997, 2.6206079, 2.8305968, 3.8628670, 4.4785997");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159160, 0.1158542, 0.1159169, 0.1159286, 0.1159128, 0.1159400, 0.1159787", \
+					  "0.1702275, 0.1702159, 0.1702689, 0.1701987, 0.1699521, 0.1700656, 0.1700586", \
+					  "0.2217313, 0.2217958, 0.2217992, 0.2217274, 0.2217956, 0.2217943, 0.2217933", \
+					  "0.2769315, 0.2768879, 0.2768858, 0.2768860, 0.2768811, 0.2768320, 0.2768357", \
+					  "0.3234679, 0.3240225, 0.3240536, 0.3234901, 0.3240510, 0.3233252, 0.3239998", \
+					  "0.3967314, 0.3967900, 0.3968030, 0.3967824, 0.3967900, 0.3962441, 0.3967874", \
+					  "0.4856588, 0.4856222, 0.4848747, 0.4856382, 0.4845049, 0.4855118, 0.4848516");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5557040, 2.5559987, 2.5671146, 2.5429945, 2.6085938, 2.6756254, 2.5870452", \
+					  "2.5635130, 2.5650788, 2.5621534, 2.5663979, 2.5665969, 2.5681560, 2.5857717", \
+					  "2.5634606, 2.5626674, 2.5621485, 2.5650789, 2.5649913, 2.6023709, 2.5753003", \
+					  "2.5619386, 2.5630296, 2.5613547, 2.5653450, 2.6303479, 2.5783821, 2.5950339", \
+					  "2.5647380, 2.5618898, 2.5488161, 2.5632967, 2.5586552, 2.5566529, 2.5719852", \
+					  "2.5611168, 2.5612885, 2.5633650, 2.5630716, 2.5678282, 2.5596429, 2.5860738", \
+					  "2.5645316, 2.5627596, 2.5595773, 2.5639403, 2.5815985, 2.5646344, 2.5844543");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9013947, 2.9025552, 2.9132132, 2.9785519, 3.4475878, 4.7705096, 4.9927103", \
+					  "2.9002337, 2.9009874, 2.9121909, 2.9829609, 3.4469528, 4.7747854, 4.9924446", \
+					  "2.8986285, 2.9014578, 2.9125711, 2.9829235, 3.4439546, 4.7745300, 5.0104607", \
+					  "2.8982460, 2.9007204, 2.9107857, 2.9814997, 3.4323277, 4.7784098, 5.0225908", \
+					  "2.8951868, 2.8984238, 2.9111488, 2.9824440, 3.4433193, 4.7809051, 5.0237747", \
+					  "2.8984401, 2.8990490, 2.9101102, 2.9794311, 3.4468848, 4.7831677, 4.9931425", \
+					  "2.8982953, 2.8989701, 2.9121440, 2.9818862, 3.4446213, 4.7830159, 5.0082537");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159194, 0.1158716, 0.1159184, 0.1159370, 0.1158479, 0.1159168, 0.1159175", \
+					  "0.1705925, 0.1702299, 0.1703363, 0.1702210, 0.1702214, 0.1702175, 0.1702196", \
+					  "0.2218062, 0.2216302, 0.2217736, 0.2217266, 0.2217252, 0.2216217, 0.2218094", \
+					  "0.2771801, 0.2768916, 0.2768901, 0.2764221, 0.2768776, 0.2768749, 0.2768776", \
+					  "0.3241099, 0.3234816, 0.3239170, 0.3234663, 0.3234458, 0.3240547, 0.3240570", \
+					  "0.3968184, 0.3968047, 0.3974981, 0.3967877, 0.3970208, 0.3967979, 0.3968000", \
+					  "0.4848712, 0.4856487, 0.4861201, 0.4856267, 0.4853772, 0.4848679, 0.4848137");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159215, 0.1159570, 0.1159173, 0.1159165, 0.1159148, 0.1159173, 0.1159165", \
+					  "0.1701622, 0.1702284, 0.1702212, 0.1702193, 0.1702155, 0.1703924, 0.1702212", \
+					  "0.2215320, 0.2217348, 0.2218033, 0.2217986, 0.2217251, 0.2215470, 0.2217237", \
+					  "0.2768989, 0.2769026, 0.2769112, 0.2768973, 0.2768903, 0.2768904, 0.2768900", \
+					  "0.3240770, 0.3234847, 0.3240706, 0.3239971, 0.3234706, 0.3236523, 0.3234697", \
+					  "0.3967758, 0.3967609, 0.3967692, 0.3967667, 0.3967391, 0.3967362, 0.3967351", \
+					  "0.4847131, 0.4854845, 0.4847057, 0.4846983, 0.4854614, 0.4854558, 0.4854350");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5835428, 2.5800326, 2.5901654, 2.6271406, 2.8174447, 3.9024561, 4.5358618", \
+					  "2.5815563, 2.5821409, 2.5877811, 2.6227833, 2.8361114, 3.8626895, 4.4663576", \
+					  "2.5810676, 2.5828002, 2.5864966, 2.6230349, 2.8361388, 3.8663858, 4.4833389", \
+					  "2.5811459, 2.5811707, 2.5856712, 2.6210335, 2.8337646, 3.8631909, 4.5305607", \
+					  "2.5803345, 2.5809401, 2.5859196, 2.6216937, 2.8366798, 3.8563361, 4.4851825", \
+					  "2.5807263, 2.5803636, 2.5868408, 2.6216083, 2.8417734, 3.8611071, 4.4773225", \
+					  "2.5796018, 2.5810388, 2.5858245, 2.6210550, 2.8344459, 3.8647583, 4.4566936");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158528, 0.1158426, 0.1159233, 0.1159158, 0.1159202, 0.1159100, 0.1158469", \
+					  "0.1702159, 0.1702207, 0.1702128, 0.1702186, 0.1701919, 0.1702029, 0.1701504", \
+					  "0.2216432, 0.2217274, 0.2217735, 0.2217259, 0.2214485, 0.2217965, 0.2217259", \
+					  "0.2768813, 0.2767942, 0.2768870, 0.2768837, 0.2768847, 0.2768809, 0.2768233", \
+					  "0.3234592, 0.3234630, 0.3240027, 0.3234776, 0.3240529, 0.3240523, 0.3234723", \
+					  "0.3967929, 0.3967953, 0.3968115, 0.3967899, 0.3970451, 0.3967954, 0.3967795", \
+					  "0.4856521, 0.4856542, 0.4848754, 0.4856276, 0.4850706, 0.4848556, 0.4856217");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5825139, 2.5590678, 2.5634532, 2.5886802, 2.5710578, 2.6451121, 2.6097220", \
+					  "2.5650851, 2.5657636, 2.5567684, 2.5695440, 2.5710042, 2.5896755, 2.5952324", \
+					  "2.5633806, 2.5695833, 2.5623523, 2.5653278, 2.5720024, 2.5604543, 2.5916247", \
+					  "2.5633438, 2.5645910, 2.5630430, 2.5642760, 2.5855964, 2.5567338, 2.5916558", \
+					  "2.5631906, 2.5644815, 2.5619312, 2.5636592, 2.5699008, 2.6272013, 2.5842532", \
+					  "2.5607683, 2.5615631, 2.5762154, 2.5646853, 2.5475027, 2.5851191, 2.5923542", \
+					  "2.5593017, 2.5651616, 2.5602701, 2.5639906, 2.5700110, 2.5591943, 2.6025362");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5741013, 7.5804582, 7.6035795, 7.7265922, 7.7738695, 6.8655622, 0.3436233", \
+					  "7.5365998, 7.5387032, 7.5652791, 7.6868971, 7.7455247, 6.8780597, 0.5935787", \
+					  "7.5011671, 7.5060174, 7.5323669, 7.6557500, 7.6999482, 6.7709292, 0.5695715", \
+					  "7.4763417, 7.4837264, 7.5085381, 7.6273927, 7.6884125, 6.8040973, 0.1658122", \
+					  "7.4545760, 7.4680184, 7.4814009, 7.6048430, 7.6621114, 6.7353241, 0.1411855", \
+					  "7.4306658, 7.4343989, 7.4605100, 7.5844985, 7.6332997, 6.7078577, 0.4751943", \
+					  "7.4100958, 7.4120864, 7.4400154, 7.5618953, 7.6159329, 6.7354085, 0.4386871");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1804122, 0.1806325, 0.1804486, 0.1801316, 0.1797661, 0.1793578, 0.1794629", \
+					  "0.2044261, 0.2043864, 0.2045755, 0.2040642, 0.2034308, 0.2029259, 0.2028193", \
+					  "0.2322595, 0.2322335, 0.2322397, 0.2321706, 0.2314271, 0.2307095, 0.2306342", \
+					  "0.2869466, 0.2869817, 0.2874496, 0.2871502, 0.2867238, 0.2861098, 0.2859161", \
+					  "0.3380219, 0.3386151, 0.3381506, 0.3386405, 0.3383260, 0.3378563, 0.3376630", \
+					  "0.4557480, 0.4557533, 0.4546114, 0.4536322, 0.4518781, 0.4503789, 0.4506474", \
+					  "0.6526616, 0.6542697, 0.6527122, 0.6499143, 0.6436380, 0.6395531, 0.6386524");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8668531, 6.8779289, 6.8985569, 7.0262668, 7.0754076, 6.1576470, -0.0761981", \
+					  "6.8660015, 6.8744765, 6.8983341, 7.0237538, 7.0759143, 6.2065928, -0.0576683", \
+					  "6.8659955, 6.8732475, 6.8978125, 7.0208070, 7.0736892, 6.2211823, -0.0599789", \
+					  "6.8692932, 6.8755955, 6.8967986, 7.0242769, 7.0763529, 6.1355863, -0.0564051", \
+					  "6.8708011, 6.8727431, 6.8967114, 7.0226427, 7.0677920, 6.1439099, -0.0579045", \
+					  "6.8643861, 6.8735724, 6.9000902, 7.0180748, 7.0729107, 6.2185547, -0.0659337", \
+					  "6.8647479, 6.8711507, 6.8977280, 7.0204146, 7.0704557, 6.1931432, -0.3754946");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1157807, 0.1158543, 0.1158574, 0.1158533, 0.1159118, 0.1158652, 0.1158573", \
+					  "0.1705324, 0.1705243, 0.1705559, 0.1705247, 0.1705244, 0.1705294, 0.1705264", \
+					  "0.2244209, 0.2243551, 0.2243554, 0.2244138, 0.2243336, 0.2243541, 0.2243216", \
+					  "0.2982038, 0.2979928, 0.2979748, 0.2981227, 0.2981358, 0.2979039, 0.2983845", \
+					  "0.3715205, 0.3711680, 0.3711626, 0.3719647, 0.3714320, 0.3716895, 0.3715349", \
+					  "0.4487587, 0.4486359, 0.4486586, 0.4489538, 0.4492881, 0.4495546, 0.4495234", \
+					  "0.5511342, 0.5519360, 0.5515389, 0.5501779, 0.5505719, 0.5504058, 0.5504774");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9277521, 3.9163671, 3.9179783, 3.9628577, 3.9881019, 4.0412317, 4.1570396", \
+					  "3.8530947, 3.8556543, 3.8670133, 3.9185246, 3.9927733, 4.0349692, 4.0130466", \
+					  "3.8046260, 3.8127115, 3.8161276, 3.8617890, 3.9398416, 4.0083831, 3.9842488", \
+					  "3.7928392, 3.7950791, 3.8117502, 3.8659656, 4.0039225, 3.9376231, 3.9585031", \
+					  "3.7876575, 3.7901152, 3.8038008, 3.8563968, 3.9428033, 3.9500554, 3.9367960", \
+					  "3.7833373, 3.7845994, 3.7988831, 3.8478582, 3.9352926, 3.9572343, 3.9392391", \
+					  "3.7759213, 3.7853265, 3.7899036, 3.8363941, 3.9051538, 3.9363641, 3.9745310");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6379929, 7.6456031, 7.6712111, 7.7897057, 7.8504644, 6.9769466, 0.3034625", \
+					  "7.6005695, 7.6062134, 7.6329446, 7.7573642, 7.8087857, 6.8855429, 0.6292429", \
+					  "7.5640734, 7.5737505, 7.5984122, 7.7207423, 7.7663820, 6.8392230, 0.6170759", \
+					  "7.5434729, 7.5496667, 7.5743232, 7.6966117, 7.7490159, 6.8182730, 0.5798561", \
+					  "7.5204808, 7.5243215, 7.5493873, 7.6753569, 7.7262962, 6.7921315, 0.5616045", \
+					  "7.4975984, 7.5019369, 7.5248483, 7.6481359, 7.6947185, 6.8306176, 0.5359028", \
+					  "7.4725640, 7.4776277, 7.5017447, 7.6256855, 7.6754651, 6.7513692, 0.5083858");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8011627, 6.8026420, 6.8105012, 6.8480367, 6.9472689, 6.8252984, 1.1146030", \
+					  "6.7649588, 6.7666567, 6.7730153, 6.8128931, 6.9070700, 6.7044898, 1.1052410", \
+					  "6.7283291, 6.7309264, 6.7367868, 6.7794917, 6.8507663, 6.8255612, 1.0351499", \
+					  "6.7052250, 6.7044934, 6.7147746, 6.7552579, 6.8370111, 6.7429483, 0.9538329", \
+					  "6.6814067, 6.6840891, 6.6910249, 6.7245541, 6.8119152, 6.6678646, 0.9715951", \
+					  "6.6579688, 6.6603714, 6.6685189, 6.7072302, 6.7986553, 6.7793348, 0.9189969", \
+					  "6.6346297, 6.6383247, 6.6406657, 6.6837055, 6.7621956, 6.6569812, 0.9387732");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9254527, 3.9288840, 3.9442667, 4.0262155, 4.2974260, 5.3481775, 5.9965894", \
+					  "3.8714303, 3.8726728, 3.8907369, 3.9743805, 4.2458747, 5.2912321, 5.8939127", \
+					  "3.8201080, 3.8223540, 3.8402646, 3.9212211, 4.1947167, 5.2668231, 5.8641647", \
+					  "3.8141381, 3.8169722, 3.8320122, 3.9165163, 4.1860290, 5.2290852, 5.8745871", \
+					  "3.8066449, 3.8092149, 3.8256423, 3.9097660, 4.1797770, 5.2168836, 5.8549327", \
+					  "3.7994642, 3.8015472, 3.8186537, 3.9008124, 4.1725559, 5.2249364, 5.8430895", \
+					  "3.7915845, 3.7934949, 3.8128375, 3.8947377, 4.1698995, 5.2143900, 5.8455240");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6413383, 6.6401544, 6.6454080, 6.6655789, 6.6107582, 5.5639523, -11.4079770", \
+					  "6.6004962, 6.5983156, 6.6082291, 6.6276485, 6.5813292, 5.5550998, -11.5424217", \
+					  "6.5670207, 6.5785943, 6.5740072, 6.5896926, 6.5675354, 5.4403182, -11.5058833", \
+					  "6.5402914, 6.5481610, 6.5448680, 6.5619125, 6.5294732, 5.4971059, -11.5658667", \
+					  "6.5216886, 6.5212701, 6.5287905, 6.5404028, 6.5094159, 5.4650859, -11.3707191", \
+					  "6.4941758, 6.5011420, 6.5039271, 6.5179319, 6.4922932, 5.4740877, -11.5438284", \
+					  "6.4744683, 6.4747233, 6.4765647, 6.4930164, 6.4615091, 5.4118262, -11.4922607");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1497790, 3.1491028, 3.1527514, 3.1912365, 3.3776952, 4.5680073, 4.8690799", \
+					  "3.0950192, 3.0958404, 3.1077725, 3.1374981, 3.3530852, 4.3823176, 4.9422942", \
+					  "3.0443773, 3.0447596, 3.0503491, 3.0842996, 3.2880899, 4.3369732, 4.9339505", \
+					  "3.0370297, 3.0379864, 3.0453132, 3.0849371, 3.2795941, 4.3205598, 4.9383699", \
+					  "3.0302709, 3.0309639, 3.0385633, 3.0711269, 3.2739814, 4.3164788, 4.9289169", \
+					  "3.0225061, 3.0242766, 3.0292830, 3.0650625, 3.2811614, 4.3158159, 4.9427060", \
+					  "3.0167427, 3.0169418, 3.0225673, 3.0605423, 3.2577637, 4.2720834, 4.8573366");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9600338, 2.9586933, 2.9702254, 3.0133073, 3.2267585, 3.8754839, 4.1806267", \
+					  "3.0133594, 3.0150773, 3.0206549, 3.0696780, 3.2751422, 3.9085219, 4.2322084", \
+					  "3.0661474, 3.0681978, 3.0757081, 3.1227721, 3.3281124, 3.9634572, 4.2871721", \
+					  "3.1401086, 3.1422879, 3.1480745, 3.1962195, 3.4087221, 4.0360756, 4.3740196", \
+					  "3.2127184, 3.2148733, 3.2200357, 3.2690373, 3.4752859, 4.1146145, 4.4436582", \
+					  "3.2885710, 3.2909848, 3.2997219, 3.3461120, 3.5510536, 4.1873534, 4.5132335", \
+					  "3.3907179, 3.3935577, 3.4000006, 3.4454836, 3.6546277, 4.2862393, 4.6114764");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1017856, 6.1059049, 6.1108648, 6.1337093, 6.0805557, 5.2409742, -5.1946543", \
+					  "6.1570442, 6.1584484, 6.1631794, 6.1905734, 6.1296966, 5.3012968, -5.1290361", \
+					  "6.2085208, 6.2091282, 6.2148033, 6.2421925, 6.1784504, 5.3352751, -5.0114684", \
+					  "6.2644107, 6.2636040, 6.2695971, 6.2965886, 6.2335532, 5.4004136, -4.9897529", \
+					  "6.3095269, 6.3105047, 6.3157961, 6.3430176, 6.2805782, 5.4357974, -4.9478131", \
+					  "6.3814653, 6.3834182, 6.3887093, 6.4146792, 6.3525806, 5.5241635, -4.9180605", \
+					  "6.4704210, 6.4716192, 6.4767226, 6.5040694, 6.4411095, 5.5898442, -4.8420695");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.5138072, 11.5208377, 11.5322708, 11.6045942, 11.5902651, 10.3281813, -7.2897096", \
+					  "11.4606424, 11.4642897, 11.4803046, 11.5516381, 11.5371007, 10.2540251, -7.1114126", \
+					  "11.4137508, 11.4181478, 11.4331504, 11.5041962, 11.4847548, 10.1936683, -7.1728034", \
+					  "11.3938884, 11.3994449, 11.4124390, 11.4843421, 11.4581724, 10.1762987, -7.2053865", \
+					  "11.3962417, 11.4014824, 11.4151278, 11.4851648, 11.4716455, 10.1805678, -7.2044563", \
+					  "11.4440731, 11.4484666, 11.4629753, 11.5356269, 11.5036177, 10.2500166, -7.2043696", \
+					  "11.4536508, 11.4563925, 11.4709119, 11.5442559, 11.5267252, 10.2218457, -7.1363888");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2120230, 6.2135640, 6.2305926, 6.3423915, 6.6802194, 7.8266622, 8.3338519", \
+					  "6.1282118, 6.1327527, 6.1573395, 6.2549688, 6.6525872, 7.7193413, 8.2573530", \
+					  "6.0529200, 6.0566062, 6.0785349, 6.1850072, 6.5751128, 7.6563558, 8.1803830", \
+					  "6.0583745, 6.0633354, 6.0833208, 6.1933366, 6.5899857, 7.6450955, 8.1947373", \
+					  "6.0639193, 6.0689538, 6.0898917, 6.2032867, 6.5845716, 7.6516890, 8.1959375", \
+					  "6.0925619, 6.0949785, 6.1185374, 6.2285397, 6.6133028, 7.6816303, 8.2280688", \
+					  "6.1503625, 6.1559671, 6.1707017, 6.2817464, 6.6526103, 7.7180198, 8.2746741");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6305788, 7.6305793, 7.6305798, 7.6305802, 7.6305807, 7.6305812, 7.7047533", \
+					  "7.7628544, 7.7671647, 7.7671650, 7.7671655, 7.7671659, 7.7671664, 7.8883486", \
+					  "7.8667277, 7.8794251, 7.8794253, 7.8794258, 7.8794263, 7.8794268, 8.0155278", \
+					  "7.9592768, 7.9592770, 7.9592774, 7.9592779, 7.9592784, 7.9592789, 7.9987945", \
+					  "8.0276896, 8.0314706, 8.0314708, 8.0314718, 8.0314727, 8.0314737, 8.1148945", \
+					  "8.0790228, 8.0844140, 8.0844141, 8.0844150, 8.0844160, 8.0844169, 8.1188647", \
+					  "8.1313409, 8.1358804, 8.1358810, 8.1358820, 8.1358829, 8.1358839, 8.2377465");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.4675146, 7.4735471, 7.5102982, 7.7243625, 9.1355687, 18.9980510, 82.2032360", \
+					  "7.6033109, 7.6102404, 7.6463129, 7.8603541, 9.2717922, 19.1336170, 82.3391420", \
+					  "7.7136217, 7.7198079, 7.7553599, 7.9694820, 9.3798667, 19.2269210, 82.4469520", \
+					  "7.7972659, 7.8034263, 7.8415141, 8.0548297, 9.4657366, 19.3128710, 82.5323600", \
+					  "7.8666176, 7.8726590, 7.9090912, 8.1223224, 9.5341282, 19.3876380, 82.6009770", \
+					  "7.9277527, 7.9340374, 7.9710576, 8.1842186, 9.5957438, 19.4419180, 82.6625170", \
+					  "7.9824476, 7.9885414, 8.0259488, 8.2401748, 9.6512496, 19.4980900, 82.7167600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.5714451, 1.5842281, 1.6654489, 2.2074427, 6.0505738, 31.6144800, 191.6403000", \
+					  "1.5736227, 1.5818513, 1.6666294, 2.2063393, 6.0492975, 31.6231660, 191.8267400", \
+					  "1.5659787, 1.5786115, 1.6657831, 2.2083264, 6.0507848, 31.6260330, 191.8492400", \
+					  "1.5676089, 1.5801589, 1.6562435, 2.2110607, 6.0459762, 31.6114530, 191.8640200", \
+					  "1.5725858, 1.5852900, 1.6556038, 2.2108486, 6.0503713, 31.6378530, 191.8525100", \
+					  "1.5679653, 1.5810404, 1.6652102, 2.2058559, 6.0492891, 31.6373910, 191.8644200", \
+					  "1.5746202, 1.5865081, 1.6677375, 2.2110227, 6.0494862, 31.6161460, 191.8591600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("113.0552900, 113.0622400, 113.1284200, 113.3887100, 114.9816300, 120.7449300, 154.2969700", \
+					  "113.2250300, 113.2316800, 113.2876100, 113.5541000, 115.1407400, 120.9096800, 154.4424000", \
+					  "113.3683100, 113.3749200, 113.4316500, 113.6990400, 115.3045600, 121.0530800, 154.5873800", \
+					  "113.5049700, 113.5116800, 113.5670400, 113.8349600, 115.4404700, 121.1894700, 154.7228400", \
+					  "113.5884200, 113.6202200, 113.6760900, 113.9436600, 115.5306500, 121.2981900, 154.8303300", \
+					  "113.7136500, 113.7201300, 113.7835900, 114.0493100, 115.6362100, 121.3984600, 154.9377700", \
+					  "113.8044300, 113.8112200, 113.8711000, 114.1369400, 115.7425900, 121.4932300, 155.0257900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("115.2279300, 115.2131000, 115.1700200, 115.0232800, 114.1625100, 111.8315200, 111.1271900", \
+					  "115.2795500, 115.2711200, 115.1446800, 115.0314400, 114.1540600, 111.8412300, 111.1017800", \
+					  "115.2714000, 115.2629500, 115.1344100, 115.0247300, 114.1544700, 111.8307100, 111.0879700", \
+					  "115.2772400, 115.2688800, 115.1359500, 115.0291500, 114.1590400, 111.8382200, 111.0934800", \
+					  "115.2480400, 115.2679900, 115.1370700, 115.0282800, 114.1528300, 111.8360400, 111.0705500", \
+					  "115.2730600, 115.2645100, 115.1446300, 115.0303100, 114.1543100, 111.8321000, 111.1050500", \
+					  "115.2260900, 115.2117300, 115.1413000, 115.0236500, 114.1538100, 111.8364600, 111.0949600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.4932149, 7.4994189, 7.5370581, 7.7516527, 9.1819343, 18.6957100, 78.3465520", \
+					  "7.6299127, 7.6359550, 7.6728623, 7.8884650, 9.3185539, 18.8336440, 78.4834880", \
+					  "7.7385565, 7.7466016, 7.7818907, 7.9977500, 9.4274419, 18.9418740, 78.5932170", \
+					  "7.8242994, 7.8297555, 7.8674915, 8.0824529, 9.5128065, 19.0307430, 78.6822770", \
+					  "7.8919218, 7.8988744, 7.9356131, 8.1514662, 9.5803578, 19.0951180, 78.7472060", \
+					  "7.9538012, 7.9598923, 7.9970466, 8.2126759, 9.6415347, 19.1568130, 78.8118230", \
+					  "8.0091631, 8.0149567, 8.0526679, 8.2676923, 9.6966062, 19.2117840, 78.8648650");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.4913721, 1.5042201, 1.5856835, 2.1355748, 5.9845111, 31.6881920, 191.8346900", \
+					  "1.4964425, 1.5030219, 1.5893609, 2.1365798, 5.9842584, 31.7059450, 191.8672200", \
+					  "1.4904016, 1.5089981, 1.5895215, 2.1237696, 5.9860197, 31.7339680, 191.8574000", \
+					  "1.4948081, 1.5038216, 1.5850850, 2.1339661, 5.9864513, 31.6708330, 191.2153900", \
+					  "1.4850343, 1.5092677, 1.5797781, 2.1248519, 5.9916667, 31.6284630, 191.5692300", \
+					  "1.4944121, 1.5079971, 1.5849092, 2.1289777, 5.9880483, 31.7226570, 191.1642000", \
+					  "1.4954872, 1.5084870, 1.5884621, 2.1282629, 5.9892109, 31.7171710, 191.2080900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("132.8146200, 132.8248400, 132.8808100, 133.1991200, 135.2298000, 145.8348000, 193.3042700", \
+					  "132.9823200, 132.9923700, 133.0454900, 133.3662600, 135.4011500, 146.0024600, 193.4715500", \
+					  "133.1271900, 133.1326000, 133.1886500, 133.5115900, 135.5462900, 146.1477100, 193.6189200", \
+					  "133.2630100, 133.2720700, 133.3281700, 133.6471800, 135.6819400, 146.2833400, 193.7542000", \
+					  "133.3717100, 133.3806700, 133.4367700, 133.7559300, 135.7906100, 146.3920100, 193.8642100", \
+					  "133.4774600, 133.4811200, 133.5434700, 133.8616800, 135.8963900, 146.4978500, 193.9671000", \
+					  "133.5651400, 133.5735900, 133.6295100, 133.9465900, 135.9839400, 146.5856300, 194.0543700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("50.7093250, 50.8354280, 50.8317830, 50.6015890, 50.3210060, 55.2202550, 176.0410600", \
+					  "50.8588900, 50.8571570, 50.8453940, 50.6003240, 50.3575000, 55.2212460, 176.4045500", \
+					  "50.7105110, 50.8366260, 50.8319720, 50.6005970, 50.3633300, 55.2239850, 176.3557700", \
+					  "50.8446260, 50.8428460, 50.8367960, 50.6010700, 50.3612580, 55.2223800, 176.3636800", \
+					  "50.7120250, 50.8416530, 50.8358970, 50.6007470, 50.3596170, 55.2233420, 176.3285000", \
+					  "50.8490600, 50.7067700, 50.8410170, 50.6006400, 50.3624950, 55.2237470, 176.3901200", \
+					  "50.8501220, 50.8542290, 50.8493660, 50.6059620, 50.3624880, 55.2235520, 176.4046800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3052810, 18.5244410, 19.8922280, 28.2459050, 79.1977830, 391.5843400, 2315.6113000", \
+					  "18.4342910, 18.6538130, 20.0212400, 28.3685310, 79.3234630, 391.6990500, 2315.6924000", \
+					  "18.5456180, 18.7623570, 20.1295740, 28.4700850, 79.4331290, 391.8100000, 2315.8216000", \
+					  "18.6215100, 18.8431780, 20.1974530, 28.5396290, 79.5156580, 391.8728200, 2315.6952000", \
+					  "18.6872140, 18.9098940, 20.2676150, 28.6128540, 79.5817460, 391.9473400, 2316.0228000", \
+					  "18.7515000, 18.9692120, 20.3350100, 28.6663560, 79.6419710, 392.0073700, 2315.9778000", \
+					  "18.8041580, 19.0207120, 20.3877180, 28.7201440, 79.6948850, 392.0610300, 2316.3270000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4988310, 16.9266880, 19.5453080, 35.8970100, 137.1147800, 759.8134200, 4601.0532000", \
+					  "16.4988380, 16.9268100, 19.5442150, 35.9011840, 137.1625200, 758.9988500, 4601.1305000", \
+					  "16.4956640, 16.9205470, 19.5629290, 35.8364110, 136.7881500, 758.6328400, 4599.6426000", \
+					  "16.4879670, 16.9265370, 19.5642830, 35.8792390, 136.9469800, 759.5526200, 4600.3365000", \
+					  "16.4888390, 16.9253980, 19.5746190, 35.9477780, 136.9264500, 759.8349600, 4600.6030000", \
+					  "16.4893700, 16.9209160, 19.5627160, 35.8630250, 136.7822200, 758.5267100, 4599.5448000", \
+					  "16.4913950, 16.9184520, 19.5628860, 35.8618730, 136.7841500, 758.4877200, 4599.8854000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8315360, 12.8929440, 13.2683000, 15.2697290, 20.0677570, 40.9701960, 82.2516450", \
+					  "12.9875170, 13.0501900, 13.4269510, 15.4285440, 20.2248080, 41.1252190, 82.4089600", \
+					  "13.1389640, 13.2007820, 13.5783620, 15.5784240, 20.3770070, 41.2488110, 82.5622100", \
+					  "13.2711670, 13.3326430, 13.7092580, 15.7095230, 20.5056190, 41.4039820, 82.6893970", \
+					  "13.3795890, 13.4423470, 13.8195850, 15.8198720, 20.6176340, 41.4904060, 82.8032750", \
+					  "13.4840600, 13.5465430, 13.9231790, 15.9246860, 20.7216660, 41.6082990, 82.9072210", \
+					  "13.5794880, 13.6403380, 14.0169810, 16.0190560, 20.8152570, 41.6836770, 82.9996410");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6870604, 3.7442773, 4.0307957, 4.7964668, 7.9228935, 25.6720480, 56.9652380", \
+					  "3.6910823, 3.7475507, 4.0275432, 4.8038382, 7.9336635, 25.6606270, 56.8854760", \
+					  "3.6905425, 3.7501806, 4.0268167, 4.8002540, 7.9237292, 25.6650720, 56.9344570", \
+					  "3.6903394, 3.7498276, 4.0320902, 4.7995425, 7.9439229, 25.6761990, 56.9556920", \
+					  "3.6914323, 3.7491978, 4.0269339, 4.8018238, 7.9199554, 25.6652480, 56.9433070", \
+					  "3.6904273, 3.7472585, 4.0278398, 4.8038404, 7.9207183, 25.6714700, 56.9258280", \
+					  "3.6880414, 3.7469929, 4.0268842, 4.7907545, 7.9424254, 25.6645800, 56.9625700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7378371, 8.7393195, 8.7393203, 8.7393213, 8.7393222, 8.7393232, 8.7393241", \
+					  "8.8675547, 8.8675552, 8.8675561, 8.8675571, 8.8675581, 8.8675590, 8.8675600", \
+					  "8.9687537, 8.9695851, 8.9695859, 8.9695869, 8.9695879, 8.9695888, 8.9695898", \
+					  "9.0723082, 9.0723091, 9.0723100, 9.0723110, 9.0723119, 9.0723129, 9.0723138", \
+					  "9.1148342, 9.1148344, 9.1148353, 9.1148363, 9.1148372, 9.1148382, 9.1148391", \
+					  "9.1642622, 9.1691090, 9.1691099, 9.1691108, 9.1691118, 9.1691127, 9.1691137", \
+					  "9.2600141, 9.2605754, 9.2605758, 9.2605768, 9.2605777, 9.2605787, 9.2605796");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5706392, 7.5706394, 7.5706399, 7.5706404, 7.5706409, 7.5706413, 7.5706418", \
+					  "7.7061859, 7.7061861, 7.7061866, 7.7061871, 7.7061875, 7.7061880, 7.7061885", \
+					  "7.8006366, 7.8043190, 7.8043192, 7.8043197, 7.8043201, 7.8043206, 7.8043211", \
+					  "7.8831004, 7.8963680, 7.8963683, 7.8963688, 7.8963693, 7.8963697, 7.8963702", \
+					  "7.9667002, 7.9670288, 7.9670289, 7.9670294, 7.9670299, 7.9670303, 7.9670308", \
+					  "8.0265350, 8.0265356, 8.0265365, 8.0265375, 8.0265384, 8.0265394, 8.0265403", \
+					  "8.0676674, 8.0764444, 8.0764452, 8.0764461, 8.0764471, 8.0764480, 8.0764490");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6507930, 10.6982970, 10.9895330, 12.7526090, 23.4676420, 89.4058940, 419.2453700", \
+					  "10.7832600, 10.8355410, 11.1200340, 12.8818280, 23.5980160, 89.5352490, 419.3754500", \
+					  "10.8867800, 10.9343560, 11.2220770, 12.9858390, 23.7023080, 89.6381210, 419.5311500", \
+					  "10.9630460, 11.0105750, 11.3057920, 13.0648730, 23.7837640, 89.7179220, 419.5874800", \
+					  "11.0297650, 11.0761300, 11.3679140, 13.1315160, 23.8472580, 89.7851680, 419.6212700", \
+					  "11.0889810, 11.1366600, 11.4277440, 13.1908890, 23.9063830, 89.8444340, 419.6696500", \
+					  "11.1422760, 11.1897650, 11.4847540, 13.2441380, 23.9581280, 89.8971350, 419.7866000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1714422, 3.2511922, 3.7418424, 6.7301222, 25.4712430, 141.5832600, 385.8979500", \
+					  "3.1705292, 3.2511920, 3.7418535, 6.7302475, 25.4710670, 141.4539000, 385.8963900", \
+					  "3.1714356, 3.2511498, 3.7417980, 6.7298305, 25.4701260, 141.4504600, 385.9854000", \
+					  "3.1713463, 3.2510166, 3.7417269, 6.7296808, 25.4435120, 141.4903000, 385.9205200", \
+					  "3.1715812, 3.2512284, 3.7418331, 6.7302035, 25.4410430, 141.5910900, 385.8814400", \
+					  "3.1715470, 3.2513163, 3.7421430, 6.7301826, 25.4442300, 141.5880000, 385.7925000", \
+					  "3.1713288, 3.2510015, 3.7418015, 6.7296759, 25.4702140, 141.4883100, 385.9354900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.6883470, 20.9052560, 22.2549040, 30.4484790, 80.4629250, 388.4507600, 2285.4008000", \
+					  "20.8327400, 21.0434110, 22.4097770, 30.5982870, 80.5792060, 388.6123400, 2285.5093000", \
+					  "20.9782430, 21.2132440, 22.5636130, 30.7475500, 80.7136050, 388.6151500, 2285.1625000", \
+					  "21.1259610, 21.3381930, 22.6929680, 30.8861240, 80.8718500, 388.8915200, 2285.6868000", \
+					  "21.2357440, 21.4477320, 22.8024460, 30.9963930, 81.0140360, 389.0017400, 2286.1886000", \
+					  "21.3240790, 21.5527010, 22.9067640, 31.0921850, 81.1173850, 389.1020100, 2285.7980000", \
+					  "21.4353020, 21.6555980, 23.0023950, 31.1897850, 81.1941160, 389.1657300, 2286.8389000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6648050, 16.0909700, 18.6923770, 34.8329610, 134.4392200, 748.2056500, 4538.5218000", \
+					  "15.6421240, 16.0752140, 18.6739490, 34.8772780, 134.5199600, 749.3258600, 4537.8720000", \
+					  "15.6547610, 16.0897250, 18.6884250, 34.7736880, 134.3925800, 748.2921700, 4538.4745000", \
+					  "15.6686910, 16.0785660, 18.6773190, 34.8613440, 134.6031800, 749.3202900, 4536.0653000", \
+					  "15.6693950, 16.0786900, 18.6770630, 34.8626940, 134.4724600, 749.3220600, 4537.2517000", \
+					  "15.6549070, 16.0808010, 18.6795290, 34.7772870, 134.4619400, 749.2036500, 4537.8401000", \
+					  "15.6681570, 16.0770190, 18.6829890, 34.8727160, 134.3024400, 748.5654700, 4538.4118000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7268770, 8.7268777, 8.7268787, 8.7268796, 8.7268806, 8.7268816, 8.7268825", \
+					  "8.8589820, 8.8619512, 8.8619514, 8.8619524, 8.8619533, 8.8619543, 8.8619552", \
+					  "8.9519974, 8.9519983, 8.9519992, 8.9520002, 8.9520011, 8.9520021, 8.9520031", \
+					  "9.0623891, 9.0623899, 9.0623909, 9.0623918, 9.0623928, 9.0623937, 9.0623947", \
+					  "9.0718837, 9.1035162, 9.1035171, 9.1035181, 9.1035190, 9.1035200, 9.1035209", \
+					  "9.1377910, 9.1377912, 9.1520453, 9.1520458, 9.1520467, 9.1520477, 9.1520486", \
+					  "9.2388701, 9.2388702, 9.2388711, 9.2388721, 9.2388731, 9.2388740, 9.2388750");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6392324, 7.6392329, 7.6392334, 7.6392339, 7.6392344, 7.6392348, 7.6613329", \
+					  "7.7774978, 7.7774981, 7.7774985, 7.7774990, 7.7774995, 7.7775000, 7.9653244", \
+					  "7.8847835, 7.8871256, 7.8871258, 7.8871263, 7.8871267, 7.8871272, 7.9485734", \
+					  "7.9587803, 7.9587806, 7.9587811, 7.9591747, 7.9591749, 7.9591754, 8.0771931", \
+					  "8.0250775, 8.0268761, 8.0268770, 8.0307103, 8.0307107, 8.0307117, 8.1346112", \
+					  "8.0839415, 8.0978424, 8.0978427, 8.0978437, 8.0978447, 8.0978456, 8.1759826", \
+					  "8.1547409, 8.1547418, 8.1547428, 8.1547437, 8.1547447, 8.1547456, 8.2031075");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.7298220, 12.7923680, 13.1757500, 15.4815450, 29.4650370, 103.5493800, 176.4134700", \
+					  "12.8879490, 12.9492770, 13.3342860, 15.6381950, 29.6220450, 103.7099100, 176.5774400", \
+					  "13.0400690, 13.1013450, 13.4862630, 15.7900540, 29.7741810, 103.8592400, 176.7165700", \
+					  "13.1689980, 13.2324840, 13.6167230, 15.9208270, 29.9048390, 103.9919700, 176.8605800", \
+					  "13.2800490, 13.3421830, 13.7266700, 16.0304890, 30.0146220, 104.1013400, 176.9611800", \
+					  "13.3830820, 13.4455980, 13.8294610, 16.1329880, 30.1182120, 104.2057400, 177.0654400", \
+					  "13.4790590, 13.5406970, 13.9251590, 16.2290180, 30.2132260, 104.2987800, 177.1514100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8193479, 3.9068664, 4.4699449, 8.0121056, 30.3492910, 85.3771520, 73.6249320", \
+					  "3.8181405, 3.9070480, 4.4739719, 8.0064426, 30.3497880, 85.3858920, 73.6217980", \
+					  "3.8180858, 3.9098853, 4.4740420, 8.0060145, 30.3497490, 85.3798310, 73.5689980", \
+					  "3.8193058, 3.9098649, 4.4740203, 8.0063911, 30.3373890, 85.3838670, 73.6477690", \
+					  "3.8181467, 3.9098400, 4.4740149, 8.0066123, 30.3373610, 85.3830500, 73.5562580", \
+					  "3.8181374, 3.9098866, 4.4740216, 8.0061424, 30.3370800, 85.3853020, 73.5882680", \
+					  "3.8179770, 3.9100145, 4.4741211, 8.0055695, 30.3342770, 85.3795400, 73.6293730");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6408861, 7.6408866, 7.6408871, 7.6408875, 7.6408880, 7.6408885, 7.6620665", \
+					  "7.7477269, 7.7631901, 7.7631906, 7.7631910, 7.7631915, 7.7631920, 7.7631925", \
+					  "7.8796152, 7.8894900, 7.8894904, 7.8894909, 7.8894914, 7.8894918, 7.9505851", \
+					  "7.9610381, 7.9769347, 7.9769352, 7.9769357, 7.9769362, 7.9769366, 8.0814093", \
+					  "8.0270306, 8.0299563, 8.0299564, 8.0332336, 8.0332342, 8.0332351, 8.1366638", \
+					  "8.0873583, 8.1001774, 8.1001783, 8.1001792, 8.1001802, 8.1001812, 8.2004883", \
+					  "8.1185245, 8.1519982, 8.1519990, 8.1520000, 8.1520010, 8.1520019, 8.2658715");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.6974340, 12.7582800, 13.1324150, 15.1449180, 20.0091660, 43.4336620, 138.6067400", \
+					  "12.8548990, 12.9154850, 13.2904110, 15.3022790, 20.1660090, 43.5908480, 138.7699800", \
+					  "13.0072180, 13.0674560, 13.4427510, 15.4545340, 20.3182430, 43.7427660, 138.9170700", \
+					  "13.1378610, 13.1984730, 13.5729310, 15.5852630, 20.4495110, 43.8740140, 139.0514700", \
+					  "13.2472410, 13.3085240, 13.6834410, 15.6948070, 20.5593850, 43.9830820, 139.1596200", \
+					  "13.3511380, 13.4119250, 13.7859640, 15.7975180, 20.6617830, 44.0871620, 139.2602700", \
+					  "13.4463030, 13.5068970, 13.8820310, 15.8935660, 20.7577850, 44.1818780, 139.3561900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6963845, 3.7565036, 4.0406105, 4.8353943, 7.9678006, 39.7631620, 89.0083600", \
+					  "3.6966861, 3.7568051, 4.0393022, 4.8361293, 7.9675129, 39.7633140, 89.0183000", \
+					  "3.6966106, 3.7567212, 4.0391335, 4.8359405, 7.9675200, 39.7628530, 89.0117210", \
+					  "3.6966996, 3.7568064, 4.0391765, 4.8362141, 7.9674862, 39.7632220, 89.0155080", \
+					  "3.6966892, 3.7568078, 4.0392427, 4.8361950, 7.9674853, 39.7632220, 89.0122180", \
+					  "3.6966692, 3.7567767, 4.0391761, 4.8361404, 7.9674543, 39.7632400, 89.0092550", \
+					  "3.6965093, 3.7565933, 4.0391548, 4.8356914, 7.9676870, 39.7637370, 89.0190180");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3280410, 18.5387790, 19.8878340, 28.1451150, 78.8266870, 390.9166000, 2314.9300000", \
+					  "18.4832740, 18.6956420, 20.0426750, 28.2981730, 78.9809250, 391.0697600, 2315.3655000", \
+					  "18.6368020, 18.8463240, 20.2025010, 28.4526750, 79.1344800, 391.2234700, 2315.3736000", \
+					  "18.7695120, 18.9857620, 20.3232440, 28.5847950, 79.2690360, 391.3609100, 2315.2454000", \
+					  "18.8802590, 19.0890060, 20.4390870, 28.6900460, 79.3736350, 391.4653600, 2315.3091000", \
+					  "18.9827000, 19.1915530, 20.5437620, 28.7956960, 79.4847140, 391.5669400, 2315.7122000", \
+					  "19.0723280, 19.2953100, 20.6311090, 28.8947670, 79.5699860, 391.6590600, 2315.4194000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4799320, 16.9180580, 19.5482580, 35.8623460, 137.1332000, 758.9989700, 4599.7954000", \
+					  "16.4753970, 16.9205930, 19.5373710, 35.8623030, 137.1331300, 758.9935100, 4600.7090000", \
+					  "16.4754010, 16.9180300, 19.5752210, 35.8624810, 137.1331300, 759.0290400, 4600.5844000", \
+					  "16.4751710, 16.8993510, 19.5483330, 35.8619090, 137.0669500, 758.6014600, 4600.3433000", \
+					  "16.5035750, 16.9206940, 19.5487980, 35.8625240, 137.1331000, 758.9462100, 4600.5857000", \
+					  "16.4753490, 16.9180230, 19.5644280, 35.8624850, 136.9511300, 758.9436600, 4599.4229000", \
+					  "16.4744790, 16.9033780, 19.5468160, 35.8550950, 137.1334500, 758.9558800, 4600.7140000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2124540, 14.2762610, 14.6523160, 16.6670390, 21.4732620, 42.3640860, 83.6685460", \
+					  "14.3680290, 14.4296720, 14.8066900, 16.8215980, 21.6256130, 42.4946160, 83.8193590", \
+					  "14.5218520, 14.5832470, 14.9608650, 16.9735350, 21.7792020, 42.6600910, 83.9794300", \
+					  "14.6526210, 14.7134510, 15.0904920, 17.1020510, 21.9112800, 42.7873100, 84.1086210", \
+					  "14.7576430, 14.8209970, 15.1985570, 17.2140540, 22.0201970, 42.8926010, 84.2190960", \
+					  "14.8652480, 14.9220320, 15.3015910, 17.3176570, 22.1226770, 43.0119870, 84.3190690", \
+					  "14.9559880, 15.0165910, 15.3991970, 17.4115090, 22.2173620, 43.1097080, 84.4110280");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7040826, 3.7580876, 4.0472186, 4.8156874, 7.9256548, 25.6744900, 56.9682110", \
+					  "3.6995522, 3.7589034, 4.0492356, 4.8157913, 7.9210194, 25.6668460, 56.8470280", \
+					  "3.6995556, 3.7607788, 4.0450971, 4.8236846, 7.9489215, 25.6764350, 56.9976850", \
+					  "3.7018089, 3.7607144, 4.0434704, 4.8128235, 7.9297005, 25.6762810, 56.9205090", \
+					  "3.7018251, 3.7589047, 4.0434727, 4.8235851, 7.9204208, 25.6686250, 57.0191720", \
+					  "3.7008998, 3.7589349, 4.0451424, 4.8157731, 7.9489189, 25.6760090, 56.9555030", \
+					  "3.6995840, 3.7598484, 4.0449257, 4.8233604, 7.9320444, 25.6762680, 57.0082080");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.8356330, 18.0522580, 19.3942620, 27.6536730, 78.3348430, 390.4252700, 2314.5158000", \
+					  "17.9621470, 18.1866270, 19.5209640, 27.7853350, 78.4754380, 390.5597900, 2314.1959000", \
+					  "18.0721910, 18.2830070, 19.6267480, 27.8878800, 78.5668990, 390.6451100, 2314.4892000", \
+					  "18.1448700, 18.3610980, 19.7089950, 27.9658910, 78.6553970, 390.7289300, 2314.4071000", \
+					  "18.2116830, 18.4279920, 19.7774080, 28.0325860, 78.7138030, 390.7957200, 2314.4826000", \
+					  "18.2711270, 18.4873080, 19.8316720, 28.0921060, 78.7730060, 390.8526200, 2314.4255000", \
+					  "18.3278010, 18.5421350, 19.8850200, 28.1477010, 78.8294370, 390.9120500, 2314.7857000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4996910, 16.9020900, 19.5522210, 35.8585690, 137.0605800, 759.1896200, 4600.1260000", \
+					  "16.4851150, 16.8965390, 19.5439660, 35.8558270, 136.7522200, 759.7968800, 4600.6498000", \
+					  "16.4832240, 16.9297820, 19.5519960, 35.8580190, 136.8823900, 758.8170100, 4604.5093000", \
+					  "16.4952340, 16.9103470, 19.5513950, 35.8588070, 137.0868100, 759.1945600, 4600.3238000", \
+					  "16.4952920, 16.9103150, 19.5676590, 35.8584950, 137.0625600, 759.1540900, 4599.4379000", \
+					  "16.4953920, 16.9103560, 19.5510420, 35.8585770, 137.0498500, 758.7837000, 4598.6444000", \
+					  "16.4963780, 16.9107710, 19.5345660, 35.8581250, 137.0501500, 759.1469900, 4599.1987000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.0747470, 20.2973550, 21.6079690, 29.7115540, 79.5285120, 387.4549500, 2284.3071000", \
+					  "20.2482920, 20.4591420, 21.7802930, 29.8560960, 79.6791540, 387.3761000, 2284.6800000", \
+					  "20.3972310, 20.6090790, 21.9295090, 30.0200040, 79.8281190, 387.7876500, 2284.2119000", \
+					  "20.5235190, 20.7417620, 22.0637360, 30.1471880, 80.0393990, 387.9264900, 2283.8412000", \
+					  "20.6383210, 20.8494160, 22.1703720, 30.2436550, 80.0738460, 388.0214500, 2284.1746000", \
+					  "20.7447230, 20.9554220, 22.2767070, 30.3668700, 80.2220940, 388.1643200, 2284.0616000", \
+					  "20.8369570, 21.0449810, 22.3678640, 30.4507920, 80.3093560, 388.0875000, 2285.0681000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6789460, 16.0855740, 18.7000810, 34.8572370, 134.2827000, 747.9545200, 4540.1202000", \
+					  "15.6670230, 16.0716360, 18.7062740, 34.7331550, 134.3602900, 749.9237400, 4538.0857000", \
+					  "15.6719030, 16.0738730, 18.7070200, 34.8559760, 134.3534700, 749.1584000, 4538.5777000", \
+					  "15.6681900, 16.1011490, 18.7069670, 34.8970560, 134.5639800, 749.0862100, 4538.6046000", \
+					  "15.6689100, 16.0699440, 18.7067520, 34.7263200, 134.3808100, 749.0751800, 4539.0148000", \
+					  "15.6660550, 16.0727200, 18.7064830, 34.8720270, 134.3318700, 749.1578300, 4536.7237000", \
+					  "15.6484920, 16.0872760, 18.6922210, 34.7992990, 134.6048700, 748.1983200, 4536.7810000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.2882750, 8.3534113, 8.3534122, 8.3534132, 8.3534141, 8.3534151, 8.4044647", \
+					  "8.4185974, 8.4760696, 8.4760700, 8.4760709, 8.4760719, 8.4760728, 8.5487031", \
+					  "8.5551441, 8.5579224, 8.5579229, 8.5579238, 8.5579248, 8.5579258, 8.6072793", \
+					  "8.6101455, 8.6459622, 8.6459623, 8.6652241, 8.6652246, 8.6652256, 8.7211003", \
+					  "8.7081213, 8.7081218, 8.7081228, 8.7098089, 8.7098098, 8.7098108, 8.7693550", \
+					  "8.7962642, 8.7962652, 8.7962661, 8.7962671, 8.7962680, 8.7962690, 8.8278185", \
+					  "8.7951069, 8.7951074, 8.7951084, 8.7981489, 8.7981496, 8.7981506, 8.9203560");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5474853, 7.5565083, 7.5565084, 7.5565088, 7.5565093, 7.5565098, 7.5565103", \
+					  "7.7030125, 7.7030128, 7.7030132, 7.7030137, 7.7030142, 7.7030147, 7.7030151", \
+					  "7.7960562, 7.8012770, 7.8012774, 7.8012779, 7.8012784, 7.8012789, 7.8012794", \
+					  "7.8904430, 7.8925924, 7.8925927, 7.8925932, 7.8925937, 7.8925941, 7.8925946", \
+					  "7.9634805, 7.9646378, 7.9646380, 7.9646385, 7.9646390, 7.9646395, 7.9646400", \
+					  "8.0237390, 8.0237394, 8.0237403, 8.0237413, 8.0237422, 8.0237432, 8.0237442", \
+					  "8.0756548, 8.0756555, 8.0756565, 8.0756574, 8.0756584, 8.0756593, 8.0756603");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2050640, 11.2509160, 11.5477620, 13.3113420, 24.0203310, 89.9656310, 419.8696500", \
+					  "11.3599240, 11.4084440, 11.7006620, 13.4634190, 24.1848320, 90.1166790, 420.0845800", \
+					  "11.5104970, 11.5584560, 11.8522510, 13.6140890, 24.3340490, 90.2734670, 420.1820300", \
+					  "11.6493060, 11.6894930, 11.9876790, 13.7539970, 24.4622420, 90.3984300, 420.3153000", \
+					  "11.7548270, 11.8025830, 12.0931270, 13.8547450, 24.5666100, 90.5116550, 420.4202900", \
+					  "11.8582270, 11.9044130, 12.1951350, 13.9597740, 24.6756430, 90.6056030, 420.5202800", \
+					  "11.9479580, 11.9956930, 12.2845880, 14.0507960, 24.7719600, 90.7109340, 420.6291100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1743534, 3.2541054, 3.7436054, 6.7308319, 25.4702770, 141.4643400, 385.9804300", \
+					  "3.1744836, 3.2545102, 3.7437928, 6.7307662, 25.4881240, 141.4962000, 386.0225500", \
+					  "3.1744578, 3.2545031, 3.7439354, 6.7308816, 25.4423740, 141.5891400, 385.9685800", \
+					  "3.1744698, 3.2542069, 3.7434997, 6.7302031, 25.4429310, 141.1463200, 385.9474600", \
+					  "3.1749012, 3.2545533, 3.7435846, 6.7310300, 25.4873330, 141.5972100, 385.9921900", \
+					  "3.1744636, 3.2543255, 3.7434655, 6.7309833, 25.4427320, 141.4476900, 385.9784400", \
+					  "3.1730019, 3.2543606, 3.7446286, 6.7311907, 25.4449370, 141.5896400, 385.9669300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.5293610, 10.5293613, 10.5293623, 10.5293632, 10.5293642, 10.5293651, 10.5520020", \
+					  "10.6353520, 10.6354910, 10.6704950, 10.6704956, 10.6704965, 10.6704975, 10.6704984", \
+					  "10.7791260, 10.7791267, 10.7791276, 10.7791286, 10.7791296, 10.7791305, 10.8326140", \
+					  "10.8670270, 10.8670278, 10.8670288, 10.8670297, 10.8670307, 10.8670316, 10.9363630", \
+					  "10.9143290, 10.9335490, 10.9335495, 10.9335504, 10.9335514, 10.9335523, 10.9882070", \
+					  "10.9479950, 10.9487450, 10.9699830, 10.9699836, 10.9699846, 10.9699855, 11.0502520", \
+					  "11.0067240, 11.0375680, 11.0474270, 11.0474277, 11.0474287, 11.0474296, 11.1153480");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.8288670, 18.0491550, 19.3942020, 27.6536940, 78.3348500, 390.4142700, 2314.2379000", \
+					  "17.9630340, 18.1866490, 19.5221120, 27.7850860, 78.4749690, 390.5597900, 2314.3098000", \
+					  "18.0722740, 18.2833220, 19.6345750, 27.8857790, 78.5749920, 390.6631900, 2314.4200000", \
+					  "18.1448700, 18.3610920, 19.7061030, 27.9656160, 78.6471830, 390.7267100, 2314.6169000", \
+					  "18.2116280, 18.4280060, 19.7730880, 28.0325130, 78.7138180, 390.7934500, 2314.4794000", \
+					  "18.2711230, 18.4872990, 19.8326120, 28.0908200, 78.7730200, 390.8526200, 2314.7359000", \
+					  "18.3278050, 18.5424140, 19.8894150, 28.1412030, 78.8297920, 390.9120200, 2314.7763000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4898730, 16.9102460, 19.5520900, 35.8586970, 137.0615100, 758.7935300, 4601.1774000", \
+					  "16.4812290, 16.8964980, 19.5330560, 35.8578300, 136.7042400, 759.7320200, 4598.6808000", \
+					  "16.4832090, 16.9297220, 19.5702190, 35.8588610, 136.9345700, 759.8819100, 4599.0373000", \
+					  "16.4952410, 16.9103630, 19.5523460, 35.8580470, 137.0642900, 758.8248500, 4600.6230000", \
+					  "16.4953150, 16.9103260, 19.5522210, 35.8578940, 137.0633800, 758.8581100, 4598.0196000", \
+					  "16.4953920, 16.9103740, 19.5520510, 35.8588820, 137.0508500, 758.7913700, 4600.0641000", \
+					  "16.4963920, 16.9107320, 19.5497860, 35.9511180, 136.9832300, 759.1230000, 4599.3083000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.0746710, 20.2973390, 21.6081460, 29.7114920, 79.5257690, 387.4534400, 2283.7883000", \
+					  "20.2483510, 20.4591630, 21.7801690, 29.8564050, 79.6770440, 387.3797100, 2283.9201000", \
+					  "20.3972110, 20.6090720, 21.9295020, 30.0204520, 79.8276220, 387.7943000, 2285.3585000", \
+					  "20.5314700, 20.7430480, 22.0586090, 30.1473230, 80.0390300, 387.9173100, 2284.6896000", \
+					  "20.6383020, 20.8494980, 22.1703310, 30.2435130, 80.0711600, 387.7862000, 2283.6514000", \
+					  "20.7447110, 20.9554760, 22.2764630, 30.3668630, 80.2223850, 388.1652300, 2283.6471000", \
+					  "20.8369200, 21.0449600, 22.3677490, 30.4512290, 80.3725510, 388.0805700, 2285.5172000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6790070, 16.0855350, 18.7001880, 34.8570030, 134.2891700, 748.4629800, 4537.9938000", \
+					  "15.6676630, 16.0713680, 18.7061710, 34.7350060, 134.3541800, 748.3474200, 4538.3399000", \
+					  "15.6721840, 16.0739600, 18.7070040, 34.8622540, 134.3776100, 749.1917700, 4536.3445000", \
+					  "15.6706040, 16.0717180, 18.7051890, 34.8970990, 134.5709100, 749.1092900, 4536.0453000", \
+					  "15.6689590, 16.0693250, 18.7067060, 34.7241740, 134.3721600, 748.3558900, 4537.8229000", \
+					  "15.6663060, 16.0722210, 18.7058330, 34.8727340, 134.3292400, 749.1446500, 4536.6046000", \
+					  "15.6485150, 16.0872170, 18.6916190, 34.7991640, 134.6052600, 748.1679600, 4539.0420000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6286150, 10.6762700, 10.9676340, 12.7316440, 23.4455870, 89.3851540, 419.3097400", \
+					  "10.7588180, 10.8139110, 11.0986000, 12.8660440, 23.5762930, 89.5115240, 419.4477300", \
+					  "10.8622670, 10.9125180, 11.2026040, 12.9674730, 23.6761930, 89.6155680, 419.5542000", \
+					  "10.9460700, 10.9895430, 11.2810180, 13.0446920, 23.7601300, 89.6994120, 419.6231500", \
+					  "11.0075680, 11.0577250, 11.3484710, 13.1109350, 23.8244060, 89.7646830, 419.6884900", \
+					  "11.0696490, 11.1172210, 11.4052570, 13.1685220, 23.8832510, 89.8236080, 419.7451100", \
+					  "11.1268200, 11.1722070, 11.4642830, 13.2312060, 23.9431030, 89.8862920, 419.8535100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1747338, 3.2541612, 3.7437955, 6.7308683, 25.4699390, 141.4821000, 385.9752800", \
+					  "3.1748502, 3.2532619, 3.7437298, 6.7310029, 25.4851930, 141.2317200, 386.0062600", \
+					  "3.1738563, 3.2542566, 3.7446246, 6.7307950, 25.4643060, 141.1661700, 386.0023100", \
+					  "3.1743663, 3.2544192, 3.7437990, 6.7308883, 25.4449260, 141.5933000, 385.9792600", \
+					  "3.1744682, 3.2543672, 3.7438852, 6.7303287, 25.4699800, 141.5885700, 385.9663900", \
+					  "3.1743219, 3.2542979, 3.7438013, 6.7304402, 25.4700990, 141.4836700, 385.9808800", \
+					  "3.1744520, 3.2544469, 3.7432444, 6.7303678, 25.4879570, 141.5397200, 386.0425900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.0802903, 9.0802904, 9.0802913, 9.0802923, 9.0802932, 9.0802942, 9.1352019", \
+					  "9.2052392, 9.2142098, 9.2148094, 9.2148099, 9.2148109, 9.2148119, 9.2529282", \
+					  "9.3260040, 9.3260046, 9.3260055, 9.3260065, 9.3260074, 9.3260084, 9.3392467", \
+					  "9.4065218, 9.4070494, 9.4108197, 9.4108205, 9.4108215, 9.4108224, 9.4894173", \
+					  "9.4780166, 9.4798462, 9.4798465, 9.4798475, 9.4798484, 9.4798494, 9.5323385", \
+					  "9.5255102, 9.5407131, 9.5407138, 9.5407148, 9.5407157, 9.5407167, 9.5962935", \
+					  "9.5918660, 9.5966968, 9.5966974, 9.5966983, 9.5966993, 9.5967002, 9.6421990");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7818320, 10.8303970, 11.1224520, 12.9293810, 19.5709390, 36.3390380, 132.7982100", \
+					  "10.9142300, 10.9609290, 11.2555190, 13.0633800, 19.7044780, 36.4727480, 132.9308500", \
+					  "11.0163870, 11.0638300, 11.3593860, 13.1667510, 19.8080220, 36.5765340, 133.0324400", \
+					  "11.0975190, 11.1444540, 11.4386020, 13.2459940, 19.8875440, 36.6511370, 133.1130100", \
+					  "11.1628080, 11.2096810, 11.5042970, 13.3118950, 19.9526140, 36.7155940, 133.1784000", \
+					  "11.2220140, 11.2690660, 11.5644230, 13.3718010, 20.0133210, 36.7765840, 133.2382000", \
+					  "11.2757310, 11.3225070, 11.6175550, 13.4251920, 20.0659650, 36.8320130, 133.2942600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2134508, 3.2988969, 3.8231875, 6.1414105, 9.0136227, 27.8636490, 171.2736100", \
+					  "3.2134186, 3.2989298, 3.8231187, 6.1418883, 9.0139896, 27.8636210, 171.2605100", \
+					  "3.2135286, 3.2988006, 3.8232062, 6.1414136, 9.0148555, 27.8348060, 171.2569400", \
+					  "3.2132899, 3.2988234, 3.8232120, 6.1415304, 9.0144621, 27.8140600, 171.2587300", \
+					  "3.2132672, 3.2988354, 3.8232391, 6.1414820, 9.0140047, 27.8448340, 171.2576400", \
+					  "3.2134508, 3.2987637, 3.8232075, 6.1417933, 9.0144407, 27.8151790, 171.4400900", \
+					  "3.2134158, 3.2989265, 3.8231946, 6.1417138, 9.0143679, 27.8394800, 170.8908000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.0577700, 13.1229960, 13.5033090, 15.4430390, 20.1604100, 41.0292100, 82.2750080", \
+					  "13.2138820, 13.2774490, 13.6599750, 15.5996390, 20.3176690, 41.1579890, 82.4362800", \
+					  "13.3673020, 13.4305440, 13.8096500, 15.7527360, 20.4706140, 41.2969040, 82.5854090", \
+					  "13.4967430, 13.5605540, 13.9422570, 15.8828720, 20.6007600, 41.4413250, 82.7160850", \
+					  "13.6063030, 13.6700810, 14.0518240, 15.9923680, 20.7099760, 41.5782150, 82.8277680", \
+					  "13.7109490, 13.7726470, 14.1560310, 16.0978180, 20.8111870, 41.6347770, 82.9308250", \
+					  "13.8062100, 13.8694840, 14.2511740, 16.1932550, 20.9091780, 41.7774260, 83.0276220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.5920431, 3.6446990, 3.8965324, 4.6126973, 7.8792359, 25.6006880, 57.0232250", \
+					  "3.5914658, 3.6438055, 3.8964862, 4.6097499, 7.8712841, 25.6096280, 56.9439070", \
+					  "3.5920396, 3.6447267, 3.8966945, 4.6232982, 7.8707849, 25.6004710, 56.9032930", \
+					  "3.5911829, 3.6430294, 3.8965973, 4.6098214, 7.8766247, 25.6096510, 56.9870020", \
+					  "3.5912040, 3.6429311, 3.8967118, 4.6098338, 7.8793336, 25.6031940, 56.9451120", \
+					  "3.5920000, 3.6456826, 3.8971377, 4.6218109, 7.8721634, 25.5948030, 56.9507360", \
+					  "3.5919492, 3.6445087, 3.8948373, 4.6119948, 7.8799935, 25.6056700, 56.9592090");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.1626271, 9.1631964, 9.1631971, 9.1631980, 9.1631990, 9.1632000, 9.2143999", \
+					  "9.2976675, 9.2976680, 9.2976690, 9.2976699, 9.2976709, 9.2976718, 9.3439523", \
+					  "9.4093915, 9.4093919, 9.4093929, 9.4093938, 9.4093948, 9.4093957, 9.4741033", \
+					  "9.4773780, 9.4837098, 9.4935917, 9.4935918, 9.4935928, 9.4935937, 9.5636317", \
+					  "9.5626644, 9.5626646, 9.5626655, 9.5626665, 9.5626674, 9.5626684, 9.5865156", \
+					  "9.6098205, 9.6186188, 9.6242232, 9.6242233, 9.6242242, 9.6242252, 9.6828607", \
+					  "9.6693151, 9.6793222, 9.6793228, 9.6793237, 9.6793247, 9.6793256, 9.7408606");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.3268583, 8.3311278, 8.3402210, 8.3402219, 8.3402229, 8.3402238, 8.3861051", \
+					  "8.4944949, 8.4944950, 8.4944959, 8.4944969, 8.4944978, 8.4944988, 8.5291401", \
+					  "8.5435357, 8.5534051, 8.5534053, 8.5534063, 8.5534072, 8.5534082, 8.5671052", \
+					  "8.6846601, 8.6846605, 8.6846614, 8.6846624, 8.6846633, 8.6846643, 8.7418091", \
+					  "8.7275867, 8.7506233, 8.7506242, 8.7506252, 8.7506261, 8.7506271, 8.7956584", \
+					  "8.7452667, 8.7518437, 8.7648928, 8.7648931, 8.7648941, 8.7648950, 8.8353591", \
+					  "8.8227381, 8.8676417, 8.8676420, 8.8676429, 8.8676439, 8.8676448, 8.8942240");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5461726, 7.5700965, 7.5700968, 7.5700973, 7.5700977, 7.5700982, 7.5700987", \
+					  "7.7033304, 7.7033308, 7.7033313, 7.7033318, 7.7033322, 7.7033327, 7.7033332", \
+					  "7.7961122, 7.8012139, 7.8012140, 7.8012145, 7.8012150, 7.8012155, 7.8012159", \
+					  "7.8791125, 7.8918259, 7.8918260, 7.8918264, 7.8918269, 7.8918274, 7.8918279", \
+					  "7.9634264, 7.9638065, 7.9638069, 7.9638074, 7.9638079, 7.9638083, 7.9638088", \
+					  "8.0237097, 8.0237098, 8.0237108, 8.0237117, 8.0237127, 8.0237136, 8.0237146", \
+					  "8.0623463, 8.0675875, 8.0675884, 8.0675893, 8.0675903, 8.0675912, 8.0675922");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2020890, 11.2509600, 11.5405960, 13.3239550, 20.0478900, 36.8295580, 133.2784600", \
+					  "11.3562320, 11.4063240, 11.6993830, 13.4817830, 20.2078020, 36.9778910, 133.4396700", \
+					  "11.5155370, 11.5584680, 11.8480330, 13.6319550, 20.3550100, 37.1313610, 133.5860700", \
+					  "11.6443990, 11.6905140, 11.9825650, 13.7640320, 20.4835150, 37.2629360, 133.7171500", \
+					  "11.7494060, 11.8022970, 12.0875800, 13.8719880, 20.5940630, 37.3788750, 133.8326900", \
+					  "11.8563840, 11.8986580, 12.1909000, 13.9791390, 20.6979200, 37.4741660, 133.9286400", \
+					  "11.9504610, 11.9970090, 12.2873240, 14.0679100, 20.7907610, 37.5715570, 134.0232800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2203011, 3.3053438, 3.8275787, 6.2251173, 9.1853298, 27.8248820, 171.2358700", \
+					  "3.2201377, 3.3053440, 3.8276244, 6.2249996, 9.1861629, 27.8528930, 171.4206700", \
+					  "3.2211902, 3.3053431, 3.8278078, 6.2251169, 9.1859871, 27.8268480, 171.2356400", \
+					  "3.2211691, 3.3058314, 3.8276751, 6.2250898, 9.1853449, 27.8531880, 170.9916400", \
+					  "3.2203056, 3.3058392, 3.8278087, 6.2253007, 9.1854409, 27.8450100, 171.1545000", \
+					  "3.2201430, 3.3060388, 3.8278078, 6.2253132, 9.1859871, 27.8263070, 171.2355300", \
+					  "3.2201479, 3.3053420, 3.8276760, 6.2250405, 9.1857331, 27.8439090, 170.8556400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4086010, 21.6301610, 22.9531130, 31.0385570, 80.9229800, 388.8429200, 2286.1609000", \
+					  "21.5687250, 21.7813710, 23.0968860, 31.1947090, 81.0693090, 388.7349200, 2285.9419000", \
+					  "21.7219770, 21.9306870, 23.2524720, 31.3703870, 81.2123770, 388.8981700, 2286.3189000", \
+					  "21.8522870, 22.0619950, 23.3712960, 31.4801980, 81.2954540, 388.9317100, 2286.1850000", \
+					  "21.9603480, 22.1720540, 23.4926090, 31.5890780, 81.4049980, 389.3602200, 2286.9879000", \
+					  "22.0517830, 22.2814320, 23.5977000, 31.6919040, 81.5622700, 389.1733800, 2286.2816000", \
+					  "22.1645670, 22.3729430, 23.6977550, 31.7833230, 81.6448700, 389.3343000, 2286.3342000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6706630, 16.1063000, 18.7023850, 34.7757590, 134.4457800, 749.2122300, 4538.8911000", \
+					  "15.6700180, 16.1059040, 18.6880590, 34.7765370, 134.5679100, 748.6797200, 4538.1198000", \
+					  "15.6706970, 16.0989710, 18.7077860, 34.7881690, 134.4377700, 748.7435000, 4538.8520000", \
+					  "15.6700110, 16.0937020, 18.7038830, 34.8498690, 134.1805400, 748.0462600, 4538.0934000", \
+					  "15.6678080, 16.0930610, 18.6869060, 34.7778020, 134.3695900, 748.1506300, 4538.8365000", \
+					  "15.6842490, 16.0873040, 18.7089060, 34.8474350, 134.5623000, 748.2267400, 4539.1016000", \
+					  "15.6588910, 16.0904300, 18.7093260, 34.8947040, 134.6041600, 748.7237200, 4539.8729000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7258307, 8.7324166, 8.7324167, 8.7324176, 8.7324186, 8.7324195, 8.7324205", \
+					  "8.8591108, 8.8615666, 8.8615671, 8.8615680, 8.8615690, 8.8615699, 8.8615709", \
+					  "8.9527807, 8.9527813, 8.9527822, 8.9527832, 8.9527841, 8.9527851, 8.9527860", \
+					  "9.0621821, 9.0621830, 9.0621839, 9.0621849, 9.0621858, 9.0621868, 9.0621877", \
+					  "9.0721004, 9.0721012, 9.0721021, 9.0721031, 9.0721040, 9.0721050, 9.0721059", \
+					  "9.1358565, 9.1358572, 9.1539309, 9.1539312, 9.1539321, 9.1539331, 9.1539341", \
+					  "9.2391055, 9.2391058, 9.2391067, 9.2391077, 9.2391086, 9.2391096, 9.2391105");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6323365, 7.6323369, 7.6323374, 7.6323379, 7.6323383, 7.6323388, 7.7021216", \
+					  "7.7597555, 7.7645907, 7.7645910, 7.7645915, 7.7645920, 7.7645925, 7.9014297", \
+					  "7.8721261, 7.8757170, 7.8757175, 7.8757179, 7.8757184, 7.8757189, 7.9262170", \
+					  "7.9525853, 7.9525855, 7.9525860, 7.9619804, 7.9619806, 7.9619811, 7.9619816", \
+					  "8.0064204, 8.0286817, 8.0286823, 8.0286832, 8.0286842, 8.0286851, 8.1152631", \
+					  "8.0826750, 8.0826755, 8.0826765, 8.0826774, 8.0826784, 8.0826793, 8.1468929", \
+					  "8.1343750, 8.1343751, 8.1343761, 8.1395095, 8.1395097, 8.1395107, 8.2345251");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.1416170, 14.2032630, 14.5879650, 16.8921020, 30.8766700, 104.9668000, 177.8346200", \
+					  "14.2955430, 14.3571660, 14.7416260, 17.0454760, 31.0322150, 105.1184700, 177.9732500", \
+					  "14.4484900, 14.5108390, 14.8975270, 17.1989940, 31.1835820, 105.2705200, 178.1292600", \
+					  "14.5791120, 14.6421350, 15.0274350, 17.3306100, 31.2527460, 105.4035400, 178.2559600", \
+					  "14.6855760, 14.7483110, 15.1360190, 17.4368660, 31.4221220, 105.5094400, 178.3658400", \
+					  "14.7877570, 14.8555280, 15.2377590, 17.5372500, 31.4764960, 105.6120700, 178.4716500", \
+					  "14.8839200, 14.9462750, 15.3298940, 17.6385080, 31.6202820, 105.7071700, 178.5642600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8181107, 3.9098587, 4.4740092, 8.0063902, 30.3372230, 85.3933530, 73.6220040", \
+					  "3.8180850, 3.9096482, 4.4697455, 8.0064320, 30.3369770, 85.3878390, 73.6007520", \
+					  "3.8193426, 3.9098391, 4.4740389, 8.0060820, 30.3385830, 85.3850680, 73.6212660", \
+					  "3.8182035, 3.9098631, 4.4685677, 8.0063369, 30.3307350, 85.3878390, 73.7457380", \
+					  "3.8186054, 3.9057699, 4.4697028, 8.0133438, 30.3493440, 85.3831850, 73.7431310", \
+					  "3.8181152, 3.9098755, 4.4738488, 8.0134201, 30.3503710, 85.3739760, 73.6187720", \
+					  "3.8183332, 3.9098409, 4.4740549, 8.0122327, 30.3494720, 85.3919890, 73.6236170");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.3117495, 8.3117500, 8.3220000, 8.3220001, 8.3220010, 8.3220020, 8.3368183", \
+					  "8.4278611, 8.4608560, 8.4608570, 8.4608579, 8.4608589, 8.4608598, 8.5305931", \
+					  "8.5243563, 8.5273681, 8.5273691, 8.5273700, 8.5273710, 8.5273719, 8.5748946", \
+					  "8.6672509, 8.6672512, 8.6672521, 8.6672531, 8.6672540, 8.6672550, 8.7005541", \
+					  "8.6872696, 8.7337035, 8.7337041, 8.7337051, 8.7337060, 8.7337070, 8.7337080", \
+					  "8.7353378, 8.7353387, 8.7968788, 8.7968793, 8.7968803, 8.7968812, 8.7968822", \
+					  "8.7754435, 8.7754436, 8.8512122, 8.8512130, 8.8512140, 8.8512149, 8.8763157");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6298656, 7.6298659, 7.6298664, 7.6298669, 7.6298674, 7.6298678, 7.7197795", \
+					  "7.7670617, 7.7691631, 7.7691634, 7.7691639, 7.7691644, 7.7691649, 7.7905575", \
+					  "7.8749460, 7.8782998, 7.8783000, 7.8783005, 7.8783010, 7.8783014, 7.9242408", \
+					  "7.9592555, 7.9592560, 7.9592565, 7.9647293, 7.9647296, 7.9647301, 8.0408453", \
+					  "8.0295663, 8.0331573, 8.0331579, 8.0331588, 8.0331598, 8.0331607, 8.1141316", \
+					  "8.0698994, 8.0846405, 8.0846410, 8.0846420, 8.0846429, 8.0846439, 8.1664098", \
+					  "8.1310310, 8.1362836, 8.1362844, 8.1362854, 8.1362863, 8.1362873, 8.2387963");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7326901, 8.7336280, 8.7336288, 8.7336298, 8.7336307, 8.7336317, 8.7336326", \
+					  "8.8605940, 8.8692627, 8.8692632, 8.8692642, 8.8692651, 8.8692661, 8.8692670", \
+					  "8.9691774, 8.9691778, 8.9691787, 8.9691797, 8.9691806, 8.9691816, 8.9691825", \
+					  "9.0719192, 9.0719200, 9.0719209, 9.0719219, 9.0719228, 9.0719238, 9.0719247", \
+					  "9.1153796, 9.1153799, 9.1153808, 9.1153818, 9.1153827, 9.1153837, 9.1153846", \
+					  "9.1692307, 9.1760457, 9.1760459, 9.1760469, 9.1760479, 9.1760488, 9.1760498", \
+					  "9.2599874, 9.2599884, 9.2599893, 9.2599903, 9.2599912, 9.2599922, 9.2599931");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5467241, 7.5588042, 7.5588043, 7.5588048, 7.5588053, 7.5588058, 7.5588062", \
+					  "7.7066300, 7.7066305, 7.7066310, 7.7066315, 7.7066319, 7.7066324, 7.7066329", \
+					  "7.8007227, 7.8007229, 7.8007234, 7.8007238, 7.8007243, 7.8007248, 7.8007253", \
+					  "7.9002653, 7.9002655, 7.9002660, 7.9002665, 7.9002669, 7.9002674, 7.9002679", \
+					  "7.9667331, 7.9667333, 7.9667337, 7.9667342, 7.9667347, 7.9667352, 7.9667357", \
+					  "8.0265421, 8.0265422, 8.0265432, 8.0265441, 8.0265451, 8.0265461, 8.0265470", \
+					  "8.0665101, 8.0676346, 8.0676351, 8.0676361, 8.0676370, 8.0676380, 8.0676389");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6289120, 10.6760570, 10.9670680, 12.7499390, 19.4728450, 36.2518800, 132.7072400", \
+					  "10.7578320, 10.8071780, 11.0983410, 12.8801710, 19.6037850, 36.3857990, 132.8358100", \
+					  "10.8645530, 10.9090280, 11.2024130, 12.9853060, 19.7069130, 36.4874570, 132.9386700", \
+					  "10.9422480, 10.9889940, 11.2782560, 13.0634370, 19.7874980, 36.5681670, 133.0200700", \
+					  "11.0080020, 11.0532290, 11.3459220, 13.1292160, 19.8532370, 36.6315550, 133.0857900", \
+					  "11.0666510, 11.1127000, 11.4041400, 13.1870690, 19.9105070, 36.6879970, 133.1419100", \
+					  "11.1224580, 11.1710060, 11.4600490, 13.2431700, 19.9680090, 36.7495940, 133.1981300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2210896, 3.3060916, 3.8274530, 6.2250476, 9.1858690, 27.8198190, 171.2619300", \
+					  "3.2202483, 3.3053527, 3.8275911, 6.2252492, 9.1857419, 27.8713370, 171.2453500", \
+					  "3.2210346, 3.3060177, 3.8273202, 6.2252008, 9.1855252, 27.8418180, 170.8375700", \
+					  "3.2211189, 3.3061032, 3.8276329, 6.2250116, 9.1857482, 27.8713020, 171.2474400", \
+					  "3.2211234, 3.3060208, 3.8273056, 6.2250058, 9.1861914, 27.8249740, 171.2551700", \
+					  "3.2211032, 3.3060426, 3.8275347, 6.2253802, 9.1858894, 27.8280710, 170.8895400", \
+					  "3.2200715, 3.3065122, 3.8275956, 6.2254268, 9.1863255, 27.8707510, 170.9254500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.5671060, 10.5681070, 10.5899400, 10.5899406, 10.5899416, 10.5899425, 10.6085770", \
+					  "10.7511200, 10.7511201, 10.7511211, 10.7511220, 10.7511230, 10.7511240, 10.8962790", \
+					  "10.8416390, 10.8597380, 10.8597389, 10.8597398, 10.8597408, 10.8597417, 10.8987440", \
+					  "10.9441850, 10.9441858, 10.9441867, 10.9441877, 10.9441886, 10.9441896, 11.0066110", \
+					  "10.9980090, 11.0000200, 11.0072800, 11.0072809, 11.0072818, 11.0072828, 11.0617930", \
+					  "11.0423270, 11.0423275, 11.0511620, 11.0511623, 11.0511632, 11.0511642, 11.0980500", \
+					  "11.1008850, 11.1008859, 11.1231040, 11.1231046, 11.1231056, 11.1231065, 11.2191150");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2069160, 11.2541520, 11.5456600, 13.3235400, 20.0518690, 36.8277570, 133.2814500", \
+					  "11.3554920, 11.4090560, 11.6936330, 13.4802460, 20.2055810, 36.9875190, 133.4369400", \
+					  "11.5088610, 11.5590900, 11.8513860, 13.6313430, 20.3547130, 37.1309560, 133.5899900", \
+					  "11.6433610, 11.6897480, 11.9795570, 13.7625130, 20.4912250, 37.2653910, 133.7196100", \
+					  "11.7549290, 11.8009840, 12.0920460, 13.8712220, 20.6001100, 37.3691730, 133.8276400", \
+					  "11.8532550, 11.9008450, 12.1933900, 13.9795830, 20.6976780, 37.4754240, 133.9296000", \
+					  "11.9448390, 11.9977130, 12.2843530, 14.0666150, 20.7953550, 37.5747330, 134.0232700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2216654, 3.3071603, 3.8280539, 6.2253291, 9.1859844, 27.8633810, 170.8583400", \
+					  "3.2208187, 3.3066490, 3.8282102, 6.2256982, 9.1866106, 27.8709180, 171.2255900", \
+					  "3.2208574, 3.3059124, 3.8279948, 6.2253296, 9.1860439, 27.8531600, 171.2347200", \
+					  "3.2216791, 3.3065504, 3.8280352, 6.2253291, 9.1862660, 27.8711030, 171.2078400", \
+					  "3.2216965, 3.3071541, 3.8279664, 6.2254943, 9.1854400, 27.8284260, 171.2277100", \
+					  "3.2208103, 3.3059318, 3.8280605, 6.2255414, 9.1860439, 27.8531760, 171.2337600", \
+					  "3.2208105, 3.3059291, 3.8280694, 6.2254251, 9.1856469, 27.8715810, 170.9306200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2128170, 14.2747540, 14.6506930, 16.6668530, 21.4725340, 42.3396860, 83.6657680", \
+					  "14.3666310, 14.4276950, 14.8040260, 16.8205150, 21.6261320, 42.4818540, 83.8216040", \
+					  "14.5208440, 14.5816960, 14.9575800, 16.9742530, 21.7815470, 42.6568790, 83.9728220", \
+					  "14.6526060, 14.7139440, 15.0894300, 17.1055920, 21.9096230, 42.7617300, 84.1068580", \
+					  "14.7612540, 14.8219830, 15.1983630, 17.2136370, 22.0191350, 42.8854180, 84.2132340", \
+					  "14.8635030, 14.9207030, 15.3005220, 17.3155710, 22.1205290, 42.9822330, 84.3123830", \
+					  "14.9563140, 15.0177240, 15.3956460, 17.4084710, 22.2147470, 43.0841320, 84.4090520");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7014991, 3.7587795, 4.0469046, 4.8171342, 7.9293594, 25.6653990, 57.0073300", \
+					  "3.7017662, 3.7613397, 4.0445682, 4.8164948, 7.9279134, 25.6430650, 56.9727730", \
+					  "3.7002226, 3.7620702, 4.0457806, 4.8169291, 7.9266513, 25.6739220, 57.0039060", \
+					  "3.7017271, 3.7584429, 4.0445474, 4.8164925, 7.9296720, 25.6478450, 56.9762480", \
+					  "3.7017340, 3.7592840, 4.0459520, 4.8153765, 7.9296720, 25.6654270, 57.0094760", \
+					  "3.7001375, 3.7626879, 4.0441916, 4.8099609, 7.9296703, 25.6566150, 56.9715720", \
+					  "3.7002770, 3.7613574, 4.0448858, 4.8165445, 7.9291844, 25.6644290, 56.9532940");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2044840, 11.2504890, 11.5443140, 13.3110350, 24.0212220, 89.9660220, 419.9330600", \
+					  "11.3619960, 11.4082840, 11.7012780, 13.4636100, 24.1790000, 90.1177090, 420.0851400", \
+					  "11.5186000, 11.5580940, 11.8519990, 13.6191530, 24.3340920, 90.2736520, 420.1943100", \
+					  "11.6431820, 11.6949890, 11.9824390, 13.7528210, 24.4618710, 90.4007540, 420.3421000", \
+					  "11.7565280, 11.8011810, 12.0916530, 13.8618310, 24.5736620, 90.5117330, 420.4197500", \
+					  "11.8585490, 11.9056050, 12.1949480, 13.9599210, 24.6729070, 90.6227270, 420.5230400", \
+					  "11.9449640, 11.9971850, 12.2896050, 14.0548330, 24.7612770, 90.7090370, 420.6480600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1749452, 3.2547989, 3.7438213, 6.7317960, 25.4455410, 141.4965600, 385.8546100", \
+					  "3.1749476, 3.2549945, 3.7441295, 6.7311783, 25.4451520, 141.5881700, 386.0282900", \
+					  "3.1749223, 3.2550429, 3.7438528, 6.7317760, 25.4806450, 141.5165900, 385.8838200", \
+					  "3.1750191, 3.2549872, 3.7451420, 6.7305099, 25.4426930, 141.5989200, 385.9920000", \
+					  "3.1750398, 3.2548628, 3.7437857, 6.7304744, 25.4392190, 141.4992000, 385.9107700", \
+					  "3.1750416, 3.2550584, 3.7437835, 6.7311863, 25.4806930, 141.5897100, 385.9745200", \
+					  "3.1735403, 3.2549805, 3.7440611, 6.7310797, 25.4704010, 141.5817100, 386.0292600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2279110, 14.2900220, 14.6689720, 16.9784170, 30.9373150, 116.7728000, 489.8300900", \
+					  "14.3822210, 14.4440840, 14.8270110, 17.1267410, 31.0923340, 116.9213100, 489.9819700", \
+					  "14.5356530, 14.6001760, 14.9761550, 17.2808240, 31.2457120, 117.0660100, 490.1219800", \
+					  "14.6639640, 14.7274180, 15.1077120, 17.4043620, 31.3753680, 117.2478900, 490.2401500", \
+					  "14.7750440, 14.8371710, 15.2185660, 17.5242400, 31.4820490, 117.3451300, 490.3699300", \
+					  "14.8766490, 14.9389710, 15.3265950, 17.6220020, 31.5891210, 117.4457400, 490.4748600", \
+					  "14.9693590, 15.0328500, 15.4155200, 17.7146850, 31.6815300, 117.5098100, 490.5700200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8078469, 3.9017158, 4.4584345, 7.9977873, 30.3458520, 168.9814000, 348.8451300", \
+					  "3.8077257, 3.9017065, 4.4597925, 8.0008977, 30.3365370, 168.9695800, 348.7721800", \
+					  "3.8083736, 3.8976546, 4.4580371, 8.0017548, 30.3403560, 168.9504600, 348.7532800", \
+					  "3.8108965, 3.8976187, 4.4597188, 7.9947640, 30.3267630, 168.9058600, 348.8559600", \
+					  "3.8089967, 3.9015347, 4.4591388, 8.0005078, 30.3483500, 168.8673400, 348.8659400", \
+					  "3.8063304, 3.8979651, 4.4569499, 8.0014972, 30.3440400, 168.8434800, 348.7806200", \
+					  "3.8090748, 3.9018015, 4.4593103, 8.0010203, 30.3364800, 168.9828500, 348.7706700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.2827327, 8.2827335, 8.2947196, 8.2947202, 8.2947212, 8.2947221, 8.3559621", \
+					  "8.4083247, 8.4592831, 8.4592834, 8.4626253, 8.4626260, 8.4626270, 8.5244478", \
+					  "8.5663991, 8.5664001, 8.5675378, 8.5675388, 8.5675397, 8.5675407, 8.6116350", \
+					  "8.6035383, 8.6320133, 8.6320138, 8.6480849, 8.6480852, 8.6480861, 8.6612681", \
+					  "8.6969960, 8.6969963, 8.6969972, 8.6969982, 8.6969991, 8.6970001, 8.7461185", \
+					  "8.7756140, 8.7766976, 8.7766977, 8.7766986, 8.7766996, 8.7767005, 8.8187884", \
+					  "8.8000736, 8.8000742, 8.8000751, 8.8000761, 8.8000770, 8.8000780, 8.8836574");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6425737, 7.6425741, 7.6425746, 7.6425751, 7.6425756, 7.6425760, 7.6579143", \
+					  "7.7496338, 7.7592590, 7.7689775, 7.7689779, 7.7689784, 7.7689789, 7.9188487", \
+					  "7.8876425, 7.8901916, 7.8901918, 7.8901923, 7.8901928, 7.8901933, 7.9242266", \
+					  "7.9615559, 7.9756228, 7.9756229, 7.9756234, 7.9756239, 7.9756244, 8.0810461", \
+					  "8.0270244, 8.0301250, 8.0301252, 8.0341653, 8.0341659, 8.0341669, 8.1406162", \
+					  "8.0621145, 8.0980893, 8.0980897, 8.0980907, 8.0980917, 8.0980926, 8.1991409", \
+					  "8.1544096, 8.1544099, 8.1544109, 8.1544118, 8.1544128, 8.1544138, 8.2520728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.6842269, 6.6861485, 6.6861489, 6.6861494, 6.6861499, 6.6861504, 6.6861508", \
+					  "6.8369173, 6.8485098, 6.8485101, 6.8485106, 6.8485110, 6.8485115, 6.8485120", \
+					  "6.9967956, 6.9967959, 6.9967964, 6.9971020, 6.9971021, 6.9971025, 7.0898234", \
+					  "7.1318547, 7.1318548, 7.1318553, 7.1318558, 7.1318563, 7.1318567, 7.1854660", \
+					  "7.2399398, 7.2426976, 7.2426980, 7.2426985, 7.2426990, 7.2426994, 7.3259643", \
+					  "7.3456152, 7.3468427, 7.3468431, 7.3473951, 7.3473952, 7.3473957, 7.4220559", \
+					  "7.4463893, 7.4463895, 7.4463900, 7.4463904, 7.4463909, 7.4463914, 7.5069551");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.1081720, 14.1698080, 14.5444570, 16.5566990, 21.4213500, 44.8452400, 140.0271700", \
+					  "14.2639600, 14.3251820, 14.6986610, 16.7100630, 21.5767280, 44.9985040, 140.1767900", \
+					  "14.4181800, 14.4800990, 14.8520170, 16.8640780, 21.7286370, 45.1533320, 140.3293200", \
+					  "14.5456610, 14.6054380, 14.9820030, 16.9957260, 21.8584080, 45.2777760, 140.4661300", \
+					  "14.6562650, 14.7170720, 15.0911590, 17.1040920, 21.9666380, 45.3883650, 140.5666300", \
+					  "14.7558050, 14.8223800, 15.1978860, 17.2063980, 22.0720720, 45.4949360, 140.6777800", \
+					  "14.8517420, 14.9120540, 15.2864100, 17.2983300, 22.1633960, 45.5879350, 140.7701300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6968109, 3.7568300, 4.0394990, 4.8361977, 7.9675742, 39.7633750, 89.0179810", \
+					  "3.6968164, 3.7569108, 4.0394976, 4.8364917, 7.9675919, 39.7621030, 89.0164600", \
+					  "3.6967425, 3.7568593, 4.0395003, 4.8365463, 7.9674853, 39.7633640, 89.0116710", \
+					  "3.6989010, 3.7577363, 4.0394434, 4.8433306, 7.9615035, 39.7638190, 89.0222860", \
+					  "3.6988979, 3.7577901, 4.0395536, 4.8363171, 7.9674178, 39.7996590, 89.0117210", \
+					  "3.6968169, 3.7569370, 4.0395109, 4.8362283, 7.9675617, 39.7633930, 89.0194580", \
+					  "3.6968240, 3.7568859, 4.0395509, 4.8365529, 7.9675697, 39.7633640, 89.0174900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.6880643, 6.6880843, 6.6896502, 6.6896503, 6.6896508, 6.6896513, 6.6896518", \
+					  "6.8444646, 6.8444651, 6.8447399, 6.8447402, 6.8447407, 6.8447412, 6.8447416", \
+					  "6.9969031, 6.9988286, 6.9988287, 6.9988292, 6.9988297, 6.9988301, 7.0824457", \
+					  "7.1282145, 7.1294219, 7.1294220, 7.1294225, 7.1294230, 7.1294234, 7.1835364", \
+					  "7.2455073, 7.2455075, 7.2455080, 7.2455085, 7.2455090, 7.2455094, 7.3020896", \
+					  "7.3478659, 7.3478663, 7.3495352, 7.3495353, 7.3495358, 7.3495362, 7.4033495", \
+					  "7.4447071, 7.4452320, 7.4452322, 7.4452327, 7.4452332, 7.4452336, 7.4898168");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3292300, 18.5533930, 19.8877230, 28.1447240, 78.8263320, 390.9187300, 2314.9096000", \
+					  "18.4823660, 18.6959780, 20.0411710, 28.2978650, 78.9799730, 391.0690200, 2314.8737000", \
+					  "18.6367880, 18.8469600, 20.1959000, 28.4531490, 79.1340970, 391.2232100, 2314.9364000", \
+					  "18.7709510, 18.9792090, 20.3241570, 28.5817520, 79.2642110, 391.3488600, 2315.3548000", \
+					  "18.8835120, 19.0988580, 20.4338180, 28.6941440, 79.3776990, 391.4592000, 2315.4857000", \
+					  "18.9775500, 19.1890610, 20.5257360, 28.7944440, 79.4770970, 391.5766900, 2315.5937000", \
+					  "19.0740880, 19.2815470, 20.6340350, 28.8850240, 79.5684660, 391.6611600, 2316.1942000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4747630, 16.9288320, 19.5467230, 35.8621790, 137.1331000, 759.2481700, 4599.9718000", \
+					  "16.4747720, 16.9204610, 19.5476010, 35.8599910, 137.1331800, 758.8739100, 4601.0773000", \
+					  "16.4747740, 16.9173690, 19.5465510, 35.8624630, 137.1330300, 758.9050000, 4603.5625000", \
+					  "16.4748660, 16.9174840, 19.5468030, 35.8442410, 137.1332100, 759.9189200, 4600.8363000", \
+					  "16.4899210, 16.9042980, 19.5473500, 35.8629320, 137.0587200, 759.9181200, 4600.7244000", \
+					  "16.4747580, 16.9174830, 19.5653150, 35.8606370, 137.1331700, 758.7883600, 4602.0068000", \
+					  "16.4736670, 16.9174830, 19.5637040, 35.9169190, 137.0594400, 758.8413400, 4602.2947000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4139360, 21.6325270, 22.9499730, 31.0259370, 80.8980260, 388.5095900, 2286.2257000", \
+					  "21.5755660, 21.7863510, 23.1068620, 31.1848010, 81.0614370, 388.6819100, 2286.2173000", \
+					  "21.7258050, 21.9396200, 23.2487260, 31.3526660, 81.1669880, 389.0125100, 2285.9554000", \
+					  "21.8581330, 22.0661070, 23.3879440, 31.4813700, 81.3725690, 389.2884300, 2285.3410000", \
+					  "21.9663040, 22.1744610, 23.5004890, 31.5787540, 81.3892600, 388.9439300, 2287.1022000", \
+					  "22.0557710, 22.2839630, 23.6004620, 31.7271220, 81.5335500, 389.1567200, 2286.1846000", \
+					  "22.1569250, 22.3757260, 23.6989950, 31.7758690, 81.5786830, 389.2941200, 2286.7812000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6736810, 16.0739120, 18.7069650, 34.7549580, 134.5032500, 748.0741100, 4537.1421000", \
+					  "15.6738200, 16.0744910, 18.7100580, 34.7492380, 134.3885600, 748.1947900, 4538.3335000", \
+					  "15.6771320, 16.1051300, 18.7054410, 34.8843760, 134.3780700, 748.2629500, 4538.0602000", \
+					  "15.6532560, 16.0942370, 18.6968020, 34.8714200, 134.5871000, 749.2115500, 4538.5327000", \
+					  "15.6538050, 16.0883150, 18.7090500, 34.7506840, 134.2296100, 748.3002400, 4539.0693000", \
+					  "15.6798880, 16.0740100, 18.7099940, 34.7892950, 134.3284700, 748.0522300, 4537.0462000", \
+					  "15.6712190, 16.1046480, 18.7091660, 34.7436180, 134.3024700, 748.2802300, 4538.5855000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6506470, 10.6968930, 10.9881400, 12.7715300, 19.4820140, 36.2616920, 132.7084200", \
+					  "10.7812620, 10.8296230, 11.1182930, 12.9028450, 19.6120130, 36.3875260, 132.8368900", \
+					  "10.8858800, 10.9337440, 11.2206740, 13.0050980, 19.7177370, 36.4941320, 132.9393900", \
+					  "10.9621050, 11.0100360, 11.3038520, 13.0840210, 19.7977330, 36.5731050, 133.0181500", \
+					  "11.0299480, 11.0764990, 11.3665270, 13.1507760, 19.8605400, 36.6398930, 133.0849100", \
+					  "11.0891140, 11.1356120, 11.4251350, 13.2101290, 19.9182980, 36.6997770, 133.1441700", \
+					  "11.1413860, 11.1890770, 11.4833190, 13.2627600, 19.9767600, 36.7498420, 133.1966800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2195693, 3.3043106, 3.8264201, 6.2144001, 9.1713348, 27.8709060, 171.2333400", \
+					  "3.2187855, 3.3043350, 3.8264178, 6.2146825, 9.1713765, 27.8250330, 171.2427000", \
+					  "3.2193856, 3.3042313, 3.8264134, 6.2143584, 9.1716217, 27.8692230, 171.2327400", \
+					  "3.2193297, 3.3041827, 3.8264361, 6.2143286, 9.1716492, 27.8695730, 171.2332200", \
+					  "3.2196008, 3.3045382, 3.8265751, 6.2143370, 9.1713170, 27.8696500, 171.2331700", \
+					  "3.2196077, 3.3044805, 3.8266701, 6.2141901, 9.1708809, 27.8709620, 171.2422500", \
+					  "3.2195135, 3.3047340, 3.8265981, 6.2146257, 9.1719849, 27.8250120, 170.8860500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.6693720, 20.8980810, 22.2319390, 30.4383290, 80.4622720, 388.4517200, 2286.6491000", \
+					  "20.8414490, 21.0541220, 22.3916090, 30.5963330, 80.6264500, 388.5703000, 2285.0375000", \
+					  "20.9961190, 21.2075690, 22.5365660, 30.7572210, 80.7796000, 388.7610400, 2285.4601000", \
+					  "21.1244820, 21.3394000, 22.6918610, 30.8782400, 80.9111570, 388.8490900, 2286.0324000", \
+					  "21.2336020, 21.4487540, 22.8024270, 30.9874120, 80.9674750, 388.9929000, 2285.8110000", \
+					  "21.3424390, 21.5619910, 22.9008400, 31.0700300, 81.0870450, 389.1009000, 2285.9949000", \
+					  "21.4358130, 21.6432380, 22.9857310, 31.1865090, 81.2100890, 389.2114400, 2286.0013000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6544860, 16.0870500, 18.6706610, 34.8797510, 134.4414600, 749.1904100, 4538.7114000", \
+					  "15.6669020, 16.0741800, 18.6774330, 34.7707290, 134.5827900, 748.6405000, 4539.1394000", \
+					  "15.6678030, 16.0871390, 18.6686030, 34.8222960, 134.5835200, 749.2641900, 4538.6500000", \
+					  "15.6692280, 16.0803670, 18.6787460, 34.7622520, 134.5432300, 748.4750900, 4543.2130000", \
+					  "15.6683930, 16.0800970, 18.6728930, 34.7577010, 134.1686900, 748.2408400, 4537.2103000", \
+					  "15.6670570, 16.0701870, 18.6859240, 34.7614910, 134.2235300, 749.1904100, 4538.4068000", \
+					  "15.6671080, 16.0819540, 18.6824190, 34.8799140, 134.4445900, 749.1996100, 4537.7265000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2059770, 11.2522580, 11.5445190, 13.3059310, 24.0263860, 89.9660360, 419.9332300", \
+					  "11.3609560, 11.4089990, 11.7013170, 13.4638090, 24.1768860, 90.1179220, 420.0852000", \
+					  "11.5122740, 11.5597820, 11.8516590, 13.6191980, 24.3328180, 90.2694100, 420.1949900", \
+					  "11.6496870, 11.6935470, 11.9879850, 13.7471070, 24.4625870, 90.4008390, 420.3531000", \
+					  "11.7538730, 11.8026330, 12.0919590, 13.8562250, 24.5751630, 90.5132540, 420.4197500", \
+					  "11.8556200, 11.9024490, 12.1940990, 13.9592470, 24.6707010, 90.6147900, 420.5200500", \
+					  "11.9472650, 11.9968330, 12.2866760, 14.0553070, 24.7675430, 90.7069480, 420.6713400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1741936, 3.2544774, 3.7434091, 6.7303878, 25.4800520, 141.4927600, 385.8618200", \
+					  "3.1749245, 3.2544882, 3.7436902, 6.7309411, 25.4895890, 141.5943100, 386.0138000", \
+					  "3.1744414, 3.2544729, 3.7435139, 6.7313848, 25.4448590, 141.5954800, 385.8866600", \
+					  "3.1744032, 3.2545564, 3.7434571, 6.7309798, 25.4427430, 141.5935500, 385.9684600", \
+					  "3.1745042, 3.2544252, 3.7435317, 6.7309966, 25.4247750, 141.4835700, 385.9115100", \
+					  "3.1744161, 3.2544698, 3.7434029, 6.7309815, 25.4711610, 141.5941300, 385.9789800", \
+					  "3.1743637, 3.2544023, 3.7438350, 6.7309811, 25.4422420, 141.5897200, 385.9756000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4217350, 21.6310130, 22.9456520, 31.0378070, 80.9137570, 388.8496800, 2285.6239000", \
+					  "21.5685350, 21.7749000, 23.0969540, 31.1913020, 81.0683150, 388.7315100, 2285.1725000", \
+					  "21.7218880, 21.9375900, 23.2506350, 31.3701700, 81.2414170, 389.1771900, 2285.4019000", \
+					  "21.8367260, 22.0612030, 23.3691470, 31.4822090, 81.3680000, 389.2985800, 2286.2760000", \
+					  "21.9484640, 22.1783180, 23.4853610, 31.5928720, 81.4716690, 388.8985700, 2286.9365000", \
+					  "22.0728770, 22.2808240, 23.6034230, 31.6890980, 81.5068690, 389.4868100, 2286.4585000", \
+					  "22.1603870, 22.3614960, 23.6726140, 31.7786190, 81.6570060, 389.3203800, 2286.6282000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6588730, 16.0874740, 18.6780510, 34.9057250, 134.5709100, 749.2502600, 4537.9002000", \
+					  "15.6697090, 16.1025330, 18.6877750, 34.7712760, 134.5616200, 748.7706200, 4539.4231000", \
+					  "15.6702380, 16.1058600, 18.6886450, 34.7881790, 134.5454700, 749.3113100, 4537.6482000", \
+					  "15.6842360, 16.0931450, 18.7039130, 34.7781470, 134.5412000, 749.3102300, 4541.3080000", \
+					  "15.6842180, 16.1057530, 18.6968310, 34.7801930, 134.2953500, 748.5217000, 4539.1776000", \
+					  "15.6598380, 16.1062970, 18.7024000, 34.7767010, 134.1794900, 749.1554500, 4538.3158000", \
+					  "15.6652340, 16.1038080, 18.7003870, 34.9026980, 134.5180900, 748.7648800, 4537.0343000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3348570, 18.5389610, 19.8881340, 28.1417680, 78.8269720, 390.9170800, 2314.6724000", \
+					  "18.4822700, 18.6917180, 20.0420940, 28.2995830, 78.9805060, 391.0674300, 2315.0435000", \
+					  "18.6376180, 18.8462210, 20.1963870, 28.4543590, 79.1345160, 391.2185500, 2315.1449000", \
+					  "18.7742430, 18.9849110, 20.3236290, 28.5836560, 79.2678070, 391.3539500, 2315.0867000", \
+					  "18.8783210, 19.0957760, 20.4354510, 28.6938580, 79.3755820, 391.4642800, 2315.4753000", \
+					  "18.9824170, 19.2020440, 20.5381400, 28.7910920, 79.4766630, 391.5709500, 2315.8650000", \
+					  "19.0776430, 19.2894460, 20.6309970, 28.8847950, 79.5692970, 391.6582300, 2315.3682000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4744640, 16.9179920, 19.5463880, 35.8989890, 137.1328300, 759.3268400, 4601.8822000", \
+					  "16.4754980, 16.9174970, 19.5461890, 35.8623820, 137.1330300, 759.9049400, 4599.8027000", \
+					  "16.4754520, 16.9178640, 19.5367950, 35.8621470, 137.1331400, 759.8618500, 4600.6148000", \
+					  "16.4684000, 16.8996300, 19.5473470, 35.8539540, 137.0715400, 758.7608500, 4599.8395000", \
+					  "16.4754560, 16.8997900, 19.5476520, 35.9124680, 137.1332600, 758.9962900, 4600.6985000", \
+					  "16.4754150, 16.9254780, 19.5476330, 35.9562510, 137.0812000, 758.8534500, 4601.8176000", \
+					  "16.4735990, 16.9097180, 19.5462490, 35.8621010, 137.1338500, 759.0032900, 4600.2460000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2294270, 14.2901130, 14.6727780, 16.9674110, 30.9363450, 116.8230000, 489.8551500", \
+					  "14.3810690, 14.4432290, 14.8309610, 17.1212890, 31.0904870, 116.9773800, 489.9903800", \
+					  "14.5372590, 14.6001810, 14.9853940, 17.2753510, 31.2454280, 117.1222400, 490.1606900", \
+					  "14.6626930, 14.7269730, 15.1145320, 17.4110630, 31.3589690, 117.2538800, 490.3186600", \
+					  "14.7706230, 14.8380290, 15.2256180, 17.5187240, 31.4842160, 117.3709000, 490.4262000", \
+					  "14.8800530, 14.9406760, 15.3230960, 17.6166090, 31.5906800, 117.4736400, 490.5047000", \
+					  "14.9703170, 15.0357660, 15.4185620, 17.7122100, 31.6711810, 117.5573600, 490.6152100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8059129, 3.9004746, 4.4592690, 7.9945028, 30.3289130, 168.8589700, 348.8551400", \
+					  "3.8103325, 3.8995145, 4.4555444, 7.9910700, 30.3287920, 168.8612600, 348.8973700", \
+					  "3.8065626, 3.8994239, 4.4577084, 7.9911224, 30.3273250, 168.8981000, 348.8354400", \
+					  "3.8018881, 3.9014139, 4.4588226, 7.9969693, 30.3502290, 168.5611000, 348.9708400", \
+					  "3.7981183, 3.9004102, 4.4555573, 7.9975946, 30.3275340, 168.8521500, 348.9696800", \
+					  "3.8105497, 3.8911612, 4.4592690, 7.9929112, 30.3257050, 168.8627300, 348.8449900", \
+					  "3.8103440, 3.9002606, 4.4543715, 7.9932070, 30.3275910, 168.9019800, 348.8050300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2019450, 11.2510530, 11.5408170, 13.3239590, 20.0461920, 36.8240410, 133.2774700", \
+					  "11.3608530, 11.4074480, 11.6985000, 13.4801170, 20.2076770, 36.9871740, 133.4385000", \
+					  "11.5152990, 11.5593070, 11.8518050, 13.6369160, 20.3586710, 37.1391840, 133.5859100", \
+					  "11.6434990, 11.6925580, 11.9845350, 13.7628540, 20.4877630, 37.2617850, 133.7236900", \
+					  "11.7542310, 11.7960020, 12.0931680, 13.8710390, 20.5993020, 37.3699400, 133.8223000", \
+					  "11.8523420, 11.9008940, 12.1902510, 13.9746020, 20.6986090, 37.4782050, 133.9298300", \
+					  "11.9510820, 11.9953120, 12.2820400, 14.0703650, 20.7894360, 37.5738760, 134.0266500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2202103, 3.3053331, 3.8274655, 6.2251519, 9.1859640, 27.8266350, 170.8656000", \
+					  "3.2211376, 3.3060459, 3.8274570, 6.2254837, 9.1862562, 27.8714700, 170.8785900", \
+					  "3.2209235, 3.3060039, 3.8274863, 6.2253305, 9.1857224, 27.8371990, 171.2358500", \
+					  "3.2200627, 3.3064438, 3.8273571, 6.2250090, 9.1860057, 27.8291720, 171.2634900", \
+					  "3.2209186, 3.3059584, 3.8273540, 6.2251608, 9.1858148, 27.8558990, 171.2381700", \
+					  "3.2202052, 3.3053544, 3.8276502, 6.2253660, 9.1860208, 27.8712790, 171.2360100", \
+					  "3.2201175, 3.3053182, 3.8276400, 6.2251311, 9.1857402, 27.8380750, 171.2516700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3327520, 18.5389780, 19.8883220, 28.1449460, 78.8262180, 390.9189800, 2315.1970000", \
+					  "18.4840750, 18.6920150, 20.0410040, 28.2979290, 78.9802640, 391.0690500, 2315.1522000", \
+					  "18.6373440, 18.8489920, 20.1952870, 28.4522560, 79.1344380, 391.2250300, 2315.6915000", \
+					  "18.7695260, 18.9831030, 20.3292230, 28.5772060, 79.2717710, 391.3536100, 2315.5678000", \
+					  "18.8855630, 19.0877770, 20.4253380, 28.6931530, 79.3741390, 391.4634600, 2315.5615000", \
+					  "18.9798930, 19.2031370, 20.5379730, 28.7942790, 79.4794770, 391.5664300, 2315.4380000", \
+					  "19.0727910, 19.2822430, 20.6251850, 28.8843050, 79.5735820, 391.6695400, 2315.9550000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4748200, 16.9174610, 19.5409500, 35.8624880, 137.1329900, 759.2623200, 4601.1387000", \
+					  "16.4737890, 16.9170440, 19.5471960, 35.8622860, 137.1269300, 758.9391100, 4601.2742000", \
+					  "16.4748270, 16.9174470, 19.5463560, 35.8623570, 137.1329900, 758.9163700, 4600.1001000", \
+					  "16.4748230, 16.9210510, 19.5475760, 35.9561020, 137.0986200, 759.0009000, 4603.3852000", \
+					  "16.5005290, 16.9174610, 19.5505600, 35.8622290, 137.1332000, 759.9139200, 4602.3315000", \
+					  "16.4748250, 16.9201650, 19.5353320, 35.8623920, 136.9238000, 758.9005100, 4599.7649000", \
+					  "16.4748140, 16.9175570, 19.5509640, 35.9166810, 137.1315500, 759.9360300, 4603.7189000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4137580, 21.6325270, 22.9529440, 31.0385920, 80.8554930, 388.5195100, 2284.8610000", \
+					  "21.5750530, 21.7879420, 23.1067960, 31.1848010, 80.9930260, 389.0275800, 2285.7282000", \
+					  "21.7300240, 21.9266130, 23.2607550, 31.3530500, 81.1686500, 388.9906600, 2285.1043000", \
+					  "21.8539250, 22.0674550, 23.3892440, 31.4704350, 81.2939050, 389.2628200, 2286.3326000", \
+					  "21.9676080, 22.1768150, 23.4989380, 31.5723870, 81.4175110, 389.2324700, 2286.2337000", \
+					  "22.0637770, 22.2822860, 23.5874380, 31.6790220, 81.5481090, 389.4794000, 2286.7112000", \
+					  "22.1620520, 22.3748790, 23.6931240, 31.7775460, 81.5923190, 389.3366900, 2286.9742000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6730700, 16.0739460, 18.7099850, 34.9100060, 134.3608000, 748.2515200, 4537.0671000", \
+					  "15.6742970, 16.0734910, 18.7100450, 34.7487190, 134.2997700, 749.3983400, 4538.5727000", \
+					  "15.6773300, 16.1052240, 18.7090650, 34.8749470, 134.3801200, 748.2425400, 4540.4418000", \
+					  "15.6712210, 16.0855930, 18.6963420, 34.7660500, 134.3629200, 749.1418600, 4539.3008000", \
+					  "15.6716990, 16.0889150, 18.7069120, 34.7341430, 134.2501600, 748.2414600, 4539.1630000", \
+					  "15.6716260, 16.1051120, 18.7036820, 34.7524360, 134.3148200, 749.1394200, 4536.6742000", \
+					  "15.6560170, 16.0745510, 18.7099810, 34.7403810, 134.3507700, 748.3669700, 4537.9052000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7838190, 10.8317460, 11.1276950, 12.9097300, 23.6952750, 89.7073490, 419.5049400", \
+					  "10.9138590, 10.9619540, 11.2568750, 13.0394810, 23.8257400, 89.8371580, 419.6185200", \
+					  "11.0156900, 11.0692360, 11.3607620, 13.1481500, 23.9251290, 89.9312200, 419.7176800", \
+					  "11.0994320, 11.1476460, 11.4426220, 13.2233280, 24.0027200, 90.0141830, 419.8147400", \
+					  "11.1612220, 11.2116880, 11.5073310, 13.2913620, 24.0676350, 90.0746140, 419.8573200", \
+					  "11.2236750, 11.2679230, 11.5652710, 13.3462810, 24.1309570, 90.1361470, 419.9219200", \
+					  "11.2772240, 11.3273670, 11.6222110, 13.4069040, 24.1835490, 90.1875910, 419.9815500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1577982, 3.2384162, 3.7318451, 6.7273200, 25.4754160, 141.5432500, 385.7719500", \
+					  "3.1577625, 3.2383507, 3.7318202, 6.7275163, 25.4854630, 141.5224100, 385.7984400", \
+					  "3.1580942, 3.2387633, 3.7320955, 6.7263892, 25.4878000, 141.3814500, 385.7749100", \
+					  "3.1577689, 3.2387968, 3.7321395, 6.7268955, 25.4712840, 141.5069000, 385.7664700", \
+					  "3.1577865, 3.2389982, 3.7312664, 6.7274106, 25.4861500, 141.1816000, 385.7723800", \
+					  "3.1577785, 3.2382899, 3.7318735, 6.7271722, 25.4502980, 141.5927600, 385.6939700", \
+					  "3.1577794, 3.2388798, 3.7312953, 6.7267747, 25.4889510, 141.1339000, 385.7879000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.0791120, 13.1433680, 13.5253490, 15.8731320, 29.8725990, 115.6030600, 488.5048400", \
+					  "13.2354850, 13.2986040, 13.6899190, 16.0232430, 30.0119540, 115.8990700, 488.6479100", \
+					  "13.3846640, 13.4470670, 13.8440970, 16.1674210, 30.1512950, 116.0979900, 488.7803000", \
+					  "13.5189310, 13.5822110, 13.9715630, 16.3075510, 30.3019970, 116.1485200, 489.0469000", \
+					  "13.6285650, 13.6918800, 14.0810670, 16.4176830, 30.4138400, 116.2460500, 489.0835600", \
+					  "13.7299950, 13.7891310, 14.1849690, 16.5098600, 30.5092020, 116.4422700, 489.2377800", \
+					  "13.8202500, 13.8872770, 14.2782020, 16.6068100, 30.6174000, 116.5448000, 489.2336800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7663455, 3.8577950, 4.4219899, 7.9874454, 30.3689730, 168.7939500, 348.5715200", \
+					  "3.7635859, 3.8577297, 4.4211257, 7.9795823, 30.3638890, 168.9898700, 348.4955200", \
+					  "3.7658654, 3.8570493, 4.4245474, 7.9884286, 30.3769840, 169.0222100, 348.5173500", \
+					  "3.7631400, 3.8572607, 4.4204067, 7.9817681, 30.3523820, 168.8710800, 348.4888100", \
+					  "3.7635708, 3.8570214, 4.4203308, 7.9828544, 30.3466660, 168.8291500, 348.4502700", \
+					  "3.7658068, 3.8523948, 4.4199897, 7.9811331, 30.3725860, 169.0187600, 348.4744600", \
+					  "3.7608325, 3.8504848, 4.4264894, 7.9772207, 30.3237720, 168.7117600, 348.5446900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3058460, 18.5195650, 19.8843700, 28.2292940, 79.2026000, 391.5679700, 2315.8352000", \
+					  "18.4370950, 18.6512990, 20.0188700, 28.3589900, 79.3328070, 391.6978800, 2315.5749000", \
+					  "18.5462560, 18.7634210, 20.1295070, 28.4607270, 79.4359000, 391.7982700, 2315.8277000", \
+					  "18.6175890, 18.8367970, 20.2041020, 28.5512610, 79.5063780, 391.8964600, 2315.8743000", \
+					  "18.6908980, 18.9086880, 20.2693600, 28.6219940, 79.5806730, 391.9637100, 2316.1685000", \
+					  "18.7438170, 18.9629540, 20.3226020, 28.6844500, 79.6362730, 392.0068500, 2316.0585000", \
+					  "18.7972450, 19.0162940, 20.3853360, 28.7385140, 79.6902600, 392.0599500, 2315.9532000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4746850, 16.9252560, 19.5642900, 35.8542320, 136.9321200, 759.8174000, 4600.6535000", \
+					  "16.4782110, 16.9241490, 19.5749140, 35.8561430, 136.9299600, 759.8263200, 4600.2274000", \
+					  "16.4893400, 16.9182850, 19.5628900, 35.8606940, 136.7709600, 758.6976900, 4600.5907000", \
+					  "16.4987190, 16.9271190, 19.5419310, 35.9090890, 137.1577300, 759.7939800, 4599.7090000", \
+					  "16.4671390, 16.9208720, 19.5238240, 35.8523060, 136.7747700, 759.8145000, 4600.4343000", \
+					  "16.4986410, 16.9268760, 19.5609590, 35.8965020, 137.1132300, 758.7995600, 4600.0587000", \
+					  "16.4996280, 16.9279520, 19.5627690, 35.8975290, 137.1164300, 758.6377800, 4601.5448000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8448900, 12.9093160, 13.2887960, 15.5998240, 29.5506180, 115.3245300, 488.4816500", \
+					  "13.0024680, 13.0639190, 13.4515650, 15.7404900, 29.7101050, 115.5953300, 488.5515600", \
+					  "13.1547610, 13.2165980, 13.6009200, 15.8974270, 29.8632440, 115.7144400, 488.6875300", \
+					  "13.2871670, 13.3491930, 13.7334050, 16.0266540, 29.9935540, 115.8726100, 488.8682400", \
+					  "13.3955820, 13.4572860, 13.8426720, 16.1323400, 30.1041860, 115.9654400, 488.9788600", \
+					  "13.4984170, 13.5601060, 13.9428020, 16.2453940, 30.2059320, 116.0896400, 489.0760600", \
+					  "13.5916590, 13.6527260, 14.0401660, 16.3486970, 30.2945510, 116.1923900, 489.1513800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7995025, 3.8919827, 4.4545101, 7.9955766, 30.3432090, 168.6162400, 348.9137200", \
+					  "3.8039807, 3.8932422, 4.4515365, 7.9900078, 30.3257650, 168.8399500, 348.9129800", \
+					  "3.8043750, 3.8951442, 4.4536956, 7.9995353, 30.3275980, 169.0293600, 348.8851500", \
+					  "3.8038097, 3.8955550, 4.4515795, 7.9981790, 30.3243400, 168.8677000, 348.8142100", \
+					  "3.8044279, 3.8945904, 4.4518678, 7.9941005, 30.3218360, 169.0354200, 348.8149500", \
+					  "3.8036045, 3.8930432, 4.4539448, 7.9997147, 30.3263580, 168.8459900, 348.8254700", \
+					  "3.7970631, 3.8887737, 4.4571848, 7.9979037, 30.3269550, 168.6642400, 348.9427600");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1196314, -1.1449817, -1.1703320, -1.1746706, -1.1788358, -1.1831745, -1.1875132");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1265230, 1.1714535, 1.2163839, 1.2200107, 1.2234925, 1.2271193, 1.2307462");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157306;
+			capacitance : 0.156784;
+			fall_capacitance : 0.156262;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0583680, -0.0525590, -0.0467499, -0.0470904, -0.0474172, -0.0477576, -0.0480981");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0519587, 0.0494283, 0.0468980, 0.0472189, 0.0475270, 0.0478479, 0.0481689");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150203;
+			capacitance : 0.149673;
+			fall_capacitance : 0.149143;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0591119, -0.0530893, -0.0470668, -0.0473139, -0.0475511, -0.0477982, -0.0480453");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0522923, 0.0494178, 0.0465432, 0.0469352, 0.0473115, 0.0477035, 0.0480955");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib
new file mode 100644
index 0000000..09ddc0b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib
@@ -0,0 +1,4895 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",3.000000);
+	voltage_map("VDDIO",3.000000);
+	voltage_map("VDDIO_Q",3.000000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",3.000000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.300; 
+			 voh : 2.700; 
+			 vomax : 3.150; 
+			 vomin : -0.150; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.750; 
+			 vih : 2.250; 
+			 vimax : 3.150; 
+			 vimin : -0.150; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_3p00v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 5.854570e+02;
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "539.3650000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "583.6650000";
+		}
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "584.7360000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "208.7380000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "585.4570000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.5740000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "538.2300000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "538.6450000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "534.0870000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "577.8330000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.3180000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "536.3230000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "584.3220000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "533.8900000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.6270000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "582.4150000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "583.4100000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "583.7190000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006406;
+			capacitance : 0.006253;
+			fall_capacitance : 0.006101;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0257693, 3.8799966, 3.7606392, 3.6521431, 3.5726136, 3.4788548, 3.4066572", \
+					  "4.4686977, 4.3244508, 4.1974267, 4.0976367, 4.0022277, 3.9108515, 3.8496076", \
+					  "4.9257356, 4.7810683, 4.6407445, 4.5683682, 4.4500415, 4.3665891, 4.3335427", \
+					  "5.3584988, 5.2304599, 5.0988474, 4.9896636, 4.9037270, 4.8291716, 4.7570381", \
+					  "5.7792631, 5.6365417, 5.4771701, 5.3773633, 5.3229654, 5.1969240, 5.1362326", \
+					  "6.1299577, 5.9811332, 5.8434735, 5.7451508, 5.7100887, 5.5586289, 5.5013579", \
+					  "6.5308082, 6.3850332, 6.2464045, 6.1452366, 6.0745478, 6.0012766, 5.9417509");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7511197, 3.6012156, 3.3985766, 3.2101986, 3.0379713, 2.8191907, 2.6398095", \
+					  "4.1940680, 4.0379431, 3.8421806, 3.6337369, 3.4839791, 3.2652239, 3.0858063", \
+					  "4.6526412, 4.4965159, 4.3006623, 4.0938557, 3.9057364, 3.7120414, 3.5413239", \
+					  "5.1059923, 4.9498670, 4.7569449, 4.5595703, 4.3943598, 4.1723783, 3.9959558", \
+					  "5.5061096, 5.3499847, 5.1551091, 4.9497094, 4.7600566, 4.5713764, 4.3786892", \
+					  "5.8932253, 5.7371004, 5.5510785, 5.3448679, 5.1828504, 4.9527096, 4.7811349", \
+					  "6.2444239, 6.0882986, 5.8967021, 5.6968966, 5.5288443, 5.3038239, 5.1283234");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4770297, -3.3463378, -3.1691105, -3.1143516, -2.9921556, -2.9316733, -2.8809665", \
+					  "-3.9197798, -3.7847252, -3.6637897, -3.5596652, -3.4333711, -3.4117584, -3.3117866", \
+					  "-4.3736843, -4.2429226, -4.1176917, -4.0204921, -3.9115686, -3.8376908, -3.7575140", \
+					  "-4.8229729, -4.6780888, -4.5563746, -4.4640449, -4.3453145, -4.3466263, -4.2210779", \
+					  "-5.2292701, -5.0730582, -4.9581496, -4.8739246, -4.7527153, -4.6824582, -4.6115744", \
+					  "-5.6149380, -5.4716122, -5.3329587, -5.2583037, -5.1846555, -5.0842266, -4.9858288", \
+					  "-5.9829978, -5.8260172, -5.7208546, -5.6151494, -5.5253994, -5.4879640, -5.3561464");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5685912, -3.3401952, -3.1663085, -3.0389970, -2.8544322, -2.6835553, -2.4804216", \
+					  "-4.0098153, -3.7774337, -3.6122898, -3.4782905, -3.3107840, -3.1185096, -2.9160645", \
+					  "-4.4652452, -4.2328636, -4.0413989, -3.9352834, -3.7328267, -3.5767300, -3.3647198", \
+					  "-4.9008546, -4.6633219, -4.4804886, -4.3680350, -4.1402950, -4.0201364, -3.7955760", \
+					  "-5.2996747, -5.0657667, -4.8971060, -4.7659642, -4.5043073, -4.3498500, -4.1893952", \
+					  "-5.6564852, -5.4241950, -5.2523614, -5.1274990, -4.9297994, -4.7567188, -4.5215078", \
+					  "-6.0698737, -5.8461073, -5.7385432, -5.5305473, -5.3251778, -5.1426083, -4.9689334");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296613, 0.0331921, 0.0367229, 0.0366231, 0.0365272, 0.0364273, 0.0363274");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0236188, 0.0267339, 0.0298491, 0.0297410, 0.0296372, 0.0295292, 0.0294211");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004384;
+			capacitance : 0.004491;
+			rise_capacitance : 0.004598;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5167255, -3.3625486, -3.2542020, -3.1387739, -3.0693274, -2.9882865, -2.8915696", \
+					  "-3.9610015, -3.8100933, -3.6881544, -3.5920799, -3.5075022, -3.4390359, -3.3557714", \
+					  "-4.4133796, -4.2575283, -4.1481624, -4.0457821, -3.9735110, -3.8845262, -3.7827272", \
+					  "-4.8459367, -4.6850055, -4.5792096, -4.4798238, -4.4000498, -4.3054087, -4.2196985", \
+					  "-5.2447559, -5.0999513, -4.9810642, -4.8785591, -4.7664967, -4.7119661, -4.6077992", \
+					  "-5.6046183, -5.4582882, -5.3378752, -5.2384245, -5.1600543, -5.0842701, -4.9920518", \
+					  "-6.0135383, -5.8662534, -5.7486120, -5.6484224, -5.5317939, -5.4391360, -5.4053963");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4343279, -3.2784663, -3.1144944, -2.9105122, -2.7140318, -2.5530184, -2.3830524", \
+					  "-3.8755521, -3.7264584, -3.5273397, -3.3965628, -3.1587070, -2.9907347, -2.8240474", \
+					  "-4.3294561, -4.1735945, -4.0080829, -3.8071610, -3.6106862, -3.4569405, -3.2720424", \
+					  "-4.7802710, -4.6244121, -4.4670656, -4.2609438, -4.0767902, -3.9053211, -3.7220204", \
+					  "-5.1850424, -5.0308004, -4.8651422, -4.6718151, -4.4677805, -4.3044490, -4.1154268", \
+					  "-5.5707103, -5.4143398, -5.2492350, -5.0760584, -4.8612032, -4.6893535, -4.5043833", \
+					  "-5.9296148, -5.7804794, -5.5773699, -5.3743691, -5.2279667, -5.0712218, -4.8601271");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9815398, 3.8335928, 3.7056543, 3.5907031, 3.5038592, 3.4300268, 3.3373775", \
+					  "4.4244686, 4.2741835, 4.1490378, 4.0355379, 3.9452617, 3.8752351, 3.7675927", \
+					  "4.8830320, 4.7344042, 4.6072819, 4.4921012, 4.4231510, 4.3269413, 4.2270852", \
+					  "5.3142697, 5.1661273, 5.0388012, 4.9258107, 4.8365892, 4.7673343, 4.6881368", \
+					  "5.7026617, 5.5562411, 5.4282896, 5.3139589, 5.2310847, 5.1557268, 5.0791249", \
+					  "6.0704715, 5.9209991, 5.7992067, 5.6802485, 5.5900136, 5.5185614, 5.4169729", \
+					  "6.4865769, 6.3386299, 6.1989896, 6.0861874, 6.0063623, 5.9279739, 5.8261341");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5969665, 3.5316703, 3.3235759, 3.1127112, 2.9361689, 2.7846268, 2.5965923", \
+					  "4.0409461, 3.9741240, 3.8052457, 3.5629735, 3.3926207, 3.2243197, 3.0526730", \
+					  "4.4962339, 4.4294122, 4.2375799, 4.0304918, 3.8445485, 3.6777395, 3.5006973", \
+					  "4.9096485, 4.8413303, 4.6605487, 4.4561939, 4.2654181, 4.1125164, 3.9303998", \
+					  "5.3598315, 5.2713703, 5.1154945, 4.8748195, 4.7008498, 4.5443887, 4.3527384", \
+					  "5.7229806, 5.6604142, 5.4756575, 5.2649692, 5.0869147, 4.8970315, 4.7196441", \
+					  "6.0794932, 6.0113974, 5.8304804, 5.6196441, 5.4323864, 5.2274294, 5.0884353");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0307861, 0.0367882, 0.0427903, 0.0427011, 0.0426155, 0.0425264, 0.0424372");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0231075, 0.0294252, 0.0357429, 0.0356115, 0.0354854, 0.0353540, 0.0352226");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005993;
+			capacitance : 0.006148;
+			rise_capacitance : 0.006303;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486577, 3.9028881, 3.7804100, 3.6750361, 3.5923600, 3.4941135, 3.4416415", \
+					  "4.4900442, 4.3473268, 4.2233530, 4.1235586, 4.0261175, 3.9334867, 3.8860802", \
+					  "4.9486102, 4.8045068, 4.6819093, 4.5794216, 4.4938291, 4.3849016, 4.3502395", \
+					  "5.3989917, 5.2355762, 5.1276923, 5.0058294, 4.9289162, 4.8283278, 4.7798697", \
+					  "5.7697165, 5.6225298, 5.5000100, 5.3971164, 5.3225738, 5.2151717, 5.1697564", \
+					  "6.1374966, 5.9932542, 5.8662002, 5.7740619, 5.6798542, 5.5805443, 5.5057206", \
+					  "6.5537645, 6.4079935, 6.2810009, 6.1814423, 6.0887951, 6.0031125, 5.9463988");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6473220, 3.5281851, 3.3399228, 3.1717198, 3.0332897, 2.8318561, 2.5968041", \
+					  "4.1048225, 3.9841599, 3.7960024, 3.6114192, 3.4466923, 3.2832533, 3.0524583", \
+					  "4.5523183, 4.4331816, 4.2460353, 4.0639179, 3.9364967, 3.7276971, 3.4957961", \
+					  "4.9640428, 4.8449056, 4.6586702, 4.4884499, 4.3501787, 4.1401529, 3.9135480", \
+					  "5.3998724, 5.2807357, 5.0924112, 4.9228073, 4.7468494, 4.5814999, 4.3555047", \
+					  "5.8025294, 5.6818664, 5.4923273, 5.3130969, 5.1609186, 4.9748559, 4.7489828", \
+					  "6.1843986, 6.0652614, 5.8811927, 5.7054928, 5.5379810, 5.3597773, 5.1273619");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5472203, -3.3948328, -3.2744229, -3.1672682, -3.0867590, -3.0460851, -2.9502038", \
+					  "-3.9930221, -3.8396568, -3.7278764, -3.6086371, -3.6030454, -3.4286331, -3.4180505", \
+					  "-4.4423484, -4.2935426, -4.1832575, -4.0653580, -4.0614931, -3.9246612, -3.8313123", \
+					  "-4.8749055, -4.7276576, -4.6160166, -4.5026744, -4.4929084, -4.3569838, -4.2649250", \
+					  "-5.2752511, -5.1276349, -5.0264279, -4.8958777, -4.8586488, -4.7422614, -4.6941763", \
+					  "-5.6335880, -5.4863262, -5.3805993, -5.2557622, -5.1894988, -5.1385542, -5.0613755", \
+					  "-6.0425071, -5.8967712, -5.7920617, -5.6764772, -5.6472846, -5.4999265, -5.4711530");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5182372, -3.3240026, -3.1647196, -2.9692761, -2.7875768, -2.6045283, -2.4046218", \
+					  "-3.9625127, -3.7637006, -3.5815344, -3.4106726, -3.2298814, -3.0416305, -2.8592157", \
+					  "-4.4148913, -4.2191310, -4.0393504, -3.8671157, -3.6717213, -3.4975233, -3.2997502", \
+					  "-4.8641803, -4.6920896, -4.4805659, -4.3189396, -4.1454242, -3.9446386, -3.7608967", \
+					  "-5.2704776, -5.0747173, -4.9138406, -4.7252071, -4.5347159, -4.3525030, -4.1639010", \
+					  "-5.6561455, -5.4765381, -5.2837403, -5.1136577, -4.9343020, -4.7329475, -4.5425301", \
+					  "-6.0150500, -5.8210061, -5.6562010, -5.4580475, -5.2978197, -5.1022059, -4.8999087");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235663, 0.0267433, 0.0299202, 0.0297978, 0.0296802, 0.0295578, 0.0294353");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296312, 0.0331304, 0.0366296, 0.0365306, 0.0364356, 0.0363367, 0.0362377");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028238;
+			capacitance : 0.028284;
+			fall_capacitance : 0.028330;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0123608, -0.0137661, -0.0151714, -0.0113530, -0.0076873, -0.0038689, -5.0421969e-05");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0980258, 0.0975592, 0.0970926, 0.1009643, 0.1046810, 0.1085527, 0.1124243");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032246;
+			capacitance : 0.032621;
+			rise_capacitance : 0.032997;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0586662, 0.0757681, 0.0928700, 0.0933643, 0.0938388, 0.0943331, 0.0948274");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0738937, 0.0869244, 0.0999551, 0.1000595, 0.1001598, 0.1002642, 0.1003686");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051232;
+			capacitance : 0.051782;
+			rise_capacitance : 0.052331;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0129898, -0.0133499, -0.0137100, -0.0137307, -0.0137506, -0.0137713, -0.0137920");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0134288, 0.0136045, 0.0137803, 0.0137908, 0.0138010, 0.0138116, 0.0138221");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016839;
+			capacitance : 0.016739;
+			fall_capacitance : 0.016640;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0184787, 0.0179389, 0.0173991, 0.0174699, 0.0175378, 0.0176086, 0.0176793");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0218485, 0.0214838, 0.0211192, 0.0211685, 0.0212158, 0.0212652, 0.0213145");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035950;
+			capacitance : 0.036538;
+			rise_capacitance : 0.037125;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7043819, -3.5694831, -3.4562177, -3.3560194, -3.2862366, -3.2196478, -3.1599318", \
+					  "-4.1364505, -4.0091812, -3.8944081, -3.7943734, -3.7192262, -3.6590170, -3.6042078", \
+					  "-4.6010362, -4.4677943, -4.3534587, -4.2498038, -4.1506858, -4.1120931, -4.0565864", \
+					  "-5.0487989, -4.9139001, -4.7958155, -4.7464918, -4.6260761, -4.5644352, -4.5058750", \
+					  "-5.4581483, -5.3232491, -5.2088775, -5.1082607, -5.0338993, -4.9744854, -4.9138153", \
+					  "-5.8407641, -5.7137954, -5.5877806, -5.4924018, -5.4241524, -5.3593349, -5.2890125", \
+					  "-6.1996685, -6.0647698, -5.9586267, -5.8802232, -5.7772895, -5.7019529, -5.6567446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4089106, -2.2999480, -2.1575876, -3.1190623, -1.8561995, -1.7445084, -1.6287131", \
+					  "-2.8501874, -2.7427504, -2.5870032, -2.4198116, -2.3046373, -3.2304567, -3.0604387", \
+					  "-3.3056413, -3.1966787, -3.0503735, -2.9106357, -2.7521389, -2.6417430, -2.5133761", \
+					  "-3.7397860, -4.8347417, -3.4988763, -3.3292202, -3.2041478, -3.0964086, -2.9276439", \
+					  "-4.1432584, -4.0205627, -3.8776717, -4.8441377, -3.6030427, -3.4785132, -3.3035316", \
+					  "-4.5077925, -4.3827082, -4.2359846, -4.1054357, -3.9641283, -4.8626669, -3.6850149", \
+					  "-4.9284858, -4.8027384, -4.6501658, -4.4889663, -4.3769838, -4.2318373, -4.0925931");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9998252, 3.8588220, 3.7455894, 3.6631092, 3.5603165, 3.4834325, 3.4094412", \
+					  "4.4152804, 4.2941133, 4.1806059, 4.1009225, 3.9879787, 3.9145281, 3.8633766", \
+					  "4.8860474, 4.7620399, 4.6238998, 4.5510016, 4.4663751, 4.3727060, 4.3177677", \
+					  "5.3218534, 5.1915310, 5.0719205, 4.9854252, 4.9116576, 4.8176674, 4.7472948", \
+					  "5.7102343, 5.5832310, 5.4877665, 5.3605265, 5.2829330, 5.2304626, 5.1383171", \
+					  "6.1177022, 5.9477075, 5.8876200, 5.7367536, 5.6415719, 5.6262806, 5.5095451", \
+					  "6.5048973, 6.3537134, 6.2512007, 6.1389061, 6.0608108, 5.9879331, 5.9288905");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7389023, 3.5670554, 3.3699868, 3.1740564, 2.9911596, 2.8379888, 2.6749390", \
+					  "4.1995849, 4.0292639, 3.8231962, 3.6283654, 3.4513557, 3.3103467, 3.1264747", \
+					  "4.6459985, 4.4756770, 4.2789202, 4.0811668, 3.8938047, 3.7435515, 3.5865698", \
+					  "5.0700984, 4.9284752, 4.7003439, 4.5020510, 4.3277948, 4.1960851, 4.0244024", \
+					  "5.5127017, 5.3408547, 5.1260723, 4.9407268, 4.7668543, 4.6072029, 4.4283158", \
+					  "5.8976262, 5.7257792, 5.5273775, 5.3280156, 5.1508680, 4.9824370, 4.8256224", \
+					  "6.2357326, 6.0654111, 5.8707699, 5.6592858, 5.4958312, 5.3561731, 5.1578278");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1194649, 0.1433049, 0.1671449, 0.1892459, 0.2104628, 0.2325638, 0.2546647");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1549861, 0.2614569, 0.3679276, 0.3712387, 0.3744174, 0.3777286, 0.3810398");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.011943;
+			capacitance : 0.012109;
+			rise_capacitance : 0.012274;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2272640, 1.5255495, 2.8238349, 3.5973862, 4.3399955, 5.1135468, 5.8870981");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0557500, 1.7098413, 3.3639326, 3.9024472, 4.4194213, 4.9579359, 5.4964505");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006389;
+			capacitance : 0.006543;
+			rise_capacitance : 0.006697;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1463138, 4.0020665, 3.8751218, 3.7767836, 3.6900163, 3.6360970, 3.5550232", \
+					  "4.5892448, 4.4449980, 4.3240774, 4.2170501, 4.1253341, 4.0530115, 4.0010402", \
+					  "5.0478100, 4.9035627, 4.7810882, 4.6797999, 4.5930382, 4.4993691, 4.4699087", \
+					  "5.4821021, 5.3348031, 5.2111345, 5.1095175, 5.0227527, 4.9321354, 4.8912956", \
+					  "5.8689718, 5.7262500, 5.5978580, 5.5009617, 5.4126746, 5.3492204, 5.2925116", \
+					  "6.2352588, 6.0884283, 5.9642094, 5.8672452, 5.7774361, 5.7051291, 5.6685894", \
+					  "6.6513397, 6.5070925, 6.3946224, 6.2818100, 6.1938901, 6.1105288, 6.0674011");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7282313, 3.5319959, 3.3566896, 3.1650611, 3.0079466, 2.7850691, 2.6079277", \
+					  "4.1728748, 3.9829849, 3.8023685, 3.5953003, 3.4541159, 3.2310641, 3.0525478", \
+					  "4.6282456, 4.4383553, 4.2624911, 4.0565389, 3.9133961, 3.6894415, 3.5194217", \
+					  "5.0544580, 4.8660940, 4.6958187, 4.4981221, 4.3387510, 4.1150388, 3.9658857", \
+					  "5.4542897, 5.2613481, 5.0903453, 4.8965785, 4.7117575, 4.5227127, 4.3611157", \
+					  "5.8577563, 5.6667749, 5.4890344, 5.3046010, 5.0880436, 4.9099225, 4.7503995", \
+					  "6.2166768, 6.0267243, 5.8577257, 5.6546916, 5.4861922, 5.2649616, 5.0922353");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6631870, -3.5235554, -3.3964664, -3.3073732, -3.2231273, -3.1666276, -3.0669955", \
+					  "-4.1028853, -3.9647793, -3.8453695, -3.7409677, -3.6669312, -3.5925928, -3.5081562", \
+					  "-4.5583152, -4.4202097, -4.2992243, -4.2040275, -4.1151293, -4.0790892, -3.9776375", \
+					  "-5.0091302, -4.8694983, -4.7565140, -4.6675283, -4.5569763, -4.5217001, -4.4130732", \
+					  "-5.4139015, -5.2757960, -5.1605357, -5.0596138, -4.9676505, -4.8694575, -4.8236714", \
+					  "-5.7995694, -5.6598967, -5.5315216, -5.4452813, -5.3539073, -5.2969315, -5.2001840", \
+					  "-6.1584739, -6.0184512, -5.8904501, -5.8013676, -5.7335476, -5.6240330, -5.5442004");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800902, -3.3240030, -3.1410014, -2.2699051, -2.7936593, -2.6014053, -2.4308857", \
+					  "-3.9228400, -3.7637011, -3.0065170, -3.4171832, -3.2346017, -3.0396640, -2.8631594", \
+					  "-4.3767445, -4.2191314, -4.0377650, -3.9075789, -3.6823686, -3.4914091, -3.3017564", \
+					  "-4.8077757, -4.6544907, -3.8945045, -4.3414865, -4.1131940, -3.9547505, -3.7360534", \
+					  "-5.2323307, -5.0747177, -4.8980239, -4.0298082, -3.9622742, -4.3439898, -4.1723204", \
+					  "-4.8993096, -5.4765336, -5.2979516, -5.1147977, -4.9053461, -4.7290937, -4.5455819", \
+					  "-5.9769027, -5.8210087, -5.6562143, -5.5042763, -5.2833943, -5.0991808, -4.9001169");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235692, 0.0267907, 0.0300122, 0.0298807, 0.0297545, 0.0296230, 0.0294915");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296420, 0.0331854, 0.0367288, 0.0366193, 0.0365142, 0.0364047, 0.0362952");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017546;
+			capacitance : 0.017650;
+			rise_capacitance : 0.017754;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0791336, 0.1860479, 0.2929621, 0.2963502, 0.2996028, 0.3029909, 0.3063790");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0662922, 0.0897340, 0.1131757, 0.1355680, 0.1570646, 0.1794568, 0.2018491");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005048;
+			capacitance : 0.005156;
+			rise_capacitance : 0.005264;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5899558, -3.4475908, -3.3217448, -3.2206821, -3.1603086, -3.0719180, -2.9951370", \
+					  "-4.0311800, -3.8872889, -3.7812808, -3.6593714, -3.6011347, -3.5181635, -3.4409386", \
+					  "-4.4866104, -4.3427392, -4.2257741, -4.1125269, -4.0188037, -3.9337584, -3.8719549", \
+					  "-4.9358990, -4.7935342, -4.6697914, -4.5737402, -4.4961321, -4.3861569, -4.3471837", \
+					  "-5.3421962, -5.1995405, -5.0953386, -4.9683073, -4.9026411, -4.7863755, -4.7412738", \
+					  "-5.7278641, -5.5854994, -5.4686009, -5.3624156, -5.2828410, -5.1906379, -5.1285764", \
+					  "-6.0867686, -5.9444038, -5.8274667, -5.7175995, -5.6417530, -5.5905300, -5.4893816");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4098828, -3.3280622, -3.1486198, -2.9350448, -2.7528033, -2.5781805, -2.4168047", \
+					  "-3.8450032, -3.7799676, -3.5684062, -3.3732354, -3.2349598, -3.0481293, -2.8443201", \
+					  "-4.3050112, -4.2353975, -4.0391030, -3.8331406, -3.6824026, -3.5065128, -3.3087204", \
+					  "-4.7360424, -4.6510308, -4.4377095, -4.2657679, -4.0997008, -3.9246652, -3.7124621", \
+					  "-5.1363879, -5.0713515, -4.8467894, -4.6703572, -4.4573218, -4.3068062, -4.1183951", \
+					  "-5.4962503, -5.4266962, -5.2039339, -5.0292363, -4.8505077, -4.6875390, -4.4970922", \
+					  "-5.9111664, -5.8280373, -5.6273659, -5.4400370, -5.2590236, -5.1172348, -4.9290760");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868147, 3.9397408, 3.8081194, 3.6958932, 3.6156691, 3.5170034, 3.4304682", \
+					  "4.5313653, 4.3858169, 4.2656183, 4.1563458, 4.0569097, 3.9615509, 3.8831390", \
+					  "4.9859626, 4.8395548, 4.6984197, 4.5804492, 4.5129347, 4.4085471, 4.3465461", \
+					  "5.4177128, 5.2691130, 5.1526039, 5.0267917, 4.9404323, 4.8479090, 4.7649092", \
+					  "5.8143848, 5.6627270, 5.5690792, 5.4495953, 5.3412903, 5.2461522, 5.1657796", \
+					  "6.1889887, 6.0373380, 5.9360310, 5.7966288, 5.7084073, 5.6544709, 5.5450616", \
+					  "6.5884718, 6.4429234, 6.3126564, 6.1913521, 6.1142156, 6.0420231, 5.9461314");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6824442, 3.5161523, 3.3170496, 3.1118515, 2.9583771, 2.7616880, 2.5895690", \
+					  "4.1427260, 3.9749082, 3.7681878, 3.5626411, 3.4158223, 3.2402867, 3.0527257", \
+					  "4.5970759, 4.4292581, 4.2394744, 4.0249771, 3.8717805, 3.6747938, 3.5070582", \
+					  "5.0195575, 4.8517397, 4.6535960, 4.4519155, 4.2876465, 4.1198931, 3.9259367", \
+					  "5.3950349, 5.2288274, 5.0343492, 4.8234073, 4.6712388, 4.4939943, 4.3096520", \
+					  "5.8215897, 5.6537715, 5.4584047, 5.2441045, 5.0924845, 4.9139353, 4.7251663", \
+					  "6.1813428, 6.0150509, 5.8328856, 5.6082639, 5.4504102, 5.2712677, 5.0866189");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0231715, 0.0293250, 0.0354785, 0.0353498, 0.0352261, 0.0350974, 0.0349686");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0304949, 0.0359351, 0.0413752, 0.0412780, 0.0411846, 0.0410874, 0.0409901");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005643;
+			capacitance : 0.005371;
+			fall_capacitance : 0.005099;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0295425, -0.0292912, -0.0290398, -0.0289539, -0.0288714, -0.0287855, -0.0286995");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0306509, 0.0306304, 0.0306099, 0.0305395, 0.0304719, 0.0304014, 0.0303310");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0257693, 4.4686977, 4.9257356, 5.3584988, 5.7792631, 6.1299577, 6.5308082", \
+					  "3.8799966, 4.3244508, 4.7810683, 5.2304599, 5.6365417, 5.9811332, 6.3850332", \
+					  "3.7606392, 4.1974267, 4.6407445, 5.0988474, 5.4771701, 5.8434735, 6.2464045", \
+					  "3.6521431, 4.0976367, 4.5683682, 4.9896636, 5.3773633, 5.7451508, 6.1452366", \
+					  "3.5726136, 4.0022277, 4.4500415, 4.9037270, 5.3229654, 5.7100887, 6.0745478", \
+					  "3.4788548, 3.9108515, 4.3665891, 4.8291716, 5.1969240, 5.5586289, 6.0012766", \
+					  "3.4066572, 3.8496076, 4.3335427, 4.7570381, 5.1362326, 5.5013579, 5.9417509");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4770297, -3.9197798, -4.3736843, -4.8229729, -5.2292701, -5.6149380, -5.9829978", \
+					  "-3.3463378, -3.7847252, -4.2429226, -4.6780888, -5.0730582, -5.4716122, -5.8260172", \
+					  "-3.1691105, -3.6637897, -4.1176917, -4.5563746, -4.9581496, -5.3329587, -5.7208546", \
+					  "-3.1143516, -3.5596652, -4.0204921, -4.4640449, -4.8739246, -5.2583037, -5.6151494", \
+					  "-2.9921556, -3.4333711, -3.9115686, -4.3453145, -4.7527153, -5.1846555, -5.5253994", \
+					  "-2.9316733, -3.4117584, -3.8376908, -4.3466263, -4.6824582, -5.0842266, -5.4879640", \
+					  "-2.8809665, -3.3117866, -3.7575140, -4.2210779, -4.6115744, -4.9858288, -5.3561464");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7043819, -4.1364505, -4.6010362, -5.0487989, -5.4581483, -5.8407641, -6.1996685", \
+					  "-3.5694831, -4.0091812, -4.4677943, -4.9139001, -5.3232491, -5.7137954, -6.0647698", \
+					  "-3.4562177, -3.8944081, -4.3534587, -4.7958155, -5.2088775, -5.5877806, -5.9586267", \
+					  "-3.3560194, -3.7943734, -4.2498038, -4.7464918, -5.1082607, -5.4924018, -5.8802232", \
+					  "-3.2862366, -3.7192262, -4.1506858, -4.6260761, -5.0338993, -5.4241524, -5.7772895", \
+					  "-3.2196478, -3.6590170, -4.1120931, -4.5644352, -4.9744854, -5.3593349, -5.7019529", \
+					  "-3.1599318, -3.6042078, -4.0565864, -4.5058750, -4.9138153, -5.2890125, -5.6567446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9998252, 4.4152804, 4.8860474, 5.3218534, 5.7102343, 6.1177022, 6.5048973", \
+					  "3.8588220, 4.2941133, 4.7620399, 5.1915310, 5.5832310, 5.9477075, 6.3537134", \
+					  "3.7455894, 4.1806059, 4.6238998, 5.0719205, 5.4877665, 5.8876200, 6.2512007", \
+					  "3.6631092, 4.1009225, 4.5510016, 4.9854252, 5.3605265, 5.7367536, 6.1389061", \
+					  "3.5603165, 3.9879787, 4.4663751, 4.9116576, 5.2829330, 5.6415719, 6.0608108", \
+					  "3.4834325, 3.9145281, 4.3727060, 4.8176674, 5.2304626, 5.6262806, 5.9879331", \
+					  "3.4094412, 3.8633766, 4.3177677, 4.7472948, 5.1383171, 5.5095451, 5.9288905");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1463138, 4.5892448, 5.0478100, 5.4821021, 5.8689718, 6.2352588, 6.6513397", \
+					  "4.0020665, 4.4449980, 4.9035627, 5.3348031, 5.7262500, 6.0884283, 6.5070925", \
+					  "3.8751218, 4.3240774, 4.7810882, 5.2111345, 5.5978580, 5.9642094, 6.3946224", \
+					  "3.7767836, 4.2170501, 4.6797999, 5.1095175, 5.5009617, 5.8672452, 6.2818100", \
+					  "3.6900163, 4.1253341, 4.5930382, 5.0227527, 5.4126746, 5.7774361, 6.1938901", \
+					  "3.6360970, 4.0530115, 4.4993691, 4.9321354, 5.3492204, 5.7051291, 6.1105288", \
+					  "3.5550232, 4.0010402, 4.4699087, 4.8912956, 5.2925116, 5.6685894, 6.0674011");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6631870, -4.1028853, -4.5583152, -5.0091302, -5.4139015, -5.7995694, -6.1584739", \
+					  "-3.5235554, -3.9647793, -4.4202097, -4.8694983, -5.2757960, -5.6598967, -6.0184512", \
+					  "-3.3964664, -3.8453695, -4.2992243, -4.7565140, -5.1605357, -5.5315216, -5.8904501", \
+					  "-3.3073732, -3.7409677, -4.2040275, -4.6675283, -5.0596138, -5.4452813, -5.8013676", \
+					  "-3.2231273, -3.6669312, -4.1151293, -4.5569763, -4.9676505, -5.3539073, -5.7335476", \
+					  "-3.1666276, -3.5925928, -4.0790892, -4.5217001, -4.8694575, -5.2969315, -5.6240330", \
+					  "-3.0669955, -3.5081562, -3.9776375, -4.4130732, -4.8236714, -5.2001840, -5.5442004");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5899558, -4.0311800, -4.4866104, -4.9358990, -5.3421962, -5.7278641, -6.0867686", \
+					  "-3.4475908, -3.8872889, -4.3427392, -4.7935342, -5.1995405, -5.5854994, -5.9444038", \
+					  "-3.3217448, -3.7812808, -4.2257741, -4.6697914, -5.0953386, -5.4686009, -5.8274667", \
+					  "-3.2206821, -3.6593714, -4.1125269, -4.5737402, -4.9683073, -5.3624156, -5.7175995", \
+					  "-3.1603086, -3.6011347, -4.0188037, -4.4961321, -4.9026411, -5.2828410, -5.6417530", \
+					  "-3.0719180, -3.5181635, -3.9337584, -4.3861569, -4.7863755, -5.1906379, -5.5905300", \
+					  "-2.9951370, -3.4409386, -3.8719549, -4.3471837, -4.7412738, -5.1285764, -5.4893816");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868147, 4.5313653, 4.9859626, 5.4177128, 5.8143848, 6.1889887, 6.5884718", \
+					  "3.9397408, 4.3858169, 4.8395548, 5.2691130, 5.6627270, 6.0373380, 6.4429234", \
+					  "3.8081194, 4.2656183, 4.6984197, 5.1526039, 5.5690792, 5.9360310, 6.3126564", \
+					  "3.6958932, 4.1563458, 4.5804492, 5.0267917, 5.4495953, 5.7966288, 6.1913521", \
+					  "3.6156691, 4.0569097, 4.5129347, 4.9404323, 5.3412903, 5.7084073, 6.1142156", \
+					  "3.5170034, 3.9615509, 4.4085471, 4.8479090, 5.2461522, 5.6544709, 6.0420231", \
+					  "3.4304682, 3.8831390, 4.3465461, 4.7649092, 5.1657796, 5.5450616, 5.9461314");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5167255, -3.9610015, -4.4133796, -4.8459367, -5.2447559, -5.6046183, -6.0135383", \
+					  "-3.3625486, -3.8100933, -4.2575283, -4.6850055, -5.0999513, -5.4582882, -5.8662534", \
+					  "-3.2542020, -3.6881544, -4.1481624, -4.5792096, -4.9810642, -5.3378752, -5.7486120", \
+					  "-3.1387739, -3.5920799, -4.0457821, -4.4798238, -4.8785591, -5.2384245, -5.6484224", \
+					  "-3.0693274, -3.5075022, -3.9735110, -4.4000498, -4.7664967, -5.1600543, -5.5317939", \
+					  "-2.9882865, -3.4390359, -3.8845262, -4.3054087, -4.7119661, -5.0842701, -5.4391360", \
+					  "-2.8915696, -3.3557714, -3.7827272, -4.2196985, -4.6077992, -4.9920518, -5.4053963");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9815398, 4.4244686, 4.8830320, 5.3142697, 5.7026617, 6.0704715, 6.4865769", \
+					  "3.8335928, 4.2741835, 4.7344042, 5.1661273, 5.5562411, 5.9209991, 6.3386299", \
+					  "3.7056543, 4.1490378, 4.6072819, 5.0388012, 5.4282896, 5.7992067, 6.1989896", \
+					  "3.5907031, 4.0355379, 4.4921012, 4.9258107, 5.3139589, 5.6802485, 6.0861874", \
+					  "3.5038592, 3.9452617, 4.4231510, 4.8365892, 5.2310847, 5.5900136, 6.0063623", \
+					  "3.4300268, 3.8752351, 4.3269413, 4.7673343, 5.1557268, 5.5185614, 5.9279739", \
+					  "3.3373775, 3.7675927, 4.2270852, 4.6881368, 5.0791249, 5.4169729, 5.8261341");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425549, -4.1746235, -4.6376833, -5.0869719, -5.4963214, -5.8774116, -6.2378415", \
+					  "-3.5992073, -4.0305570, -4.4899020, -4.9375248, -5.3430995, -5.7241760, -6.0846197", \
+					  "-3.4702567, -3.9161360, -4.3694148, -4.8260122, -5.2265269, -5.6191542, -5.9753875", \
+					  "-3.3867189, -3.8172618, -4.2848993, -4.7358887, -5.1389592, -5.5261530, -5.8850575", \
+					  "-3.3228575, -3.7138514, -4.2164602, -4.6535879, -5.0644171, -5.4592399, -5.8288256", \
+					  "-3.2397171, -3.6762672, -4.1093344, -4.5658510, -4.9911099, -5.3578050, -5.7061651", \
+					  "-3.1812943, -3.6362513, -4.0794743, -4.4967196, -4.9045432, -5.3024178, -5.6704776");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0425754, 4.4534845, 4.9257920, 5.3646854, 5.7485330, 6.1270653, 6.5475095", \
+					  "3.8969719, 4.3307689, 4.7981963, 5.2465479, 5.6550087, 6.0058752, 6.3902681", \
+					  "3.7834236, 4.2218360, 4.6804110, 5.1242715, 5.5046774, 5.9319445, 6.2945820", \
+					  "3.6882648, 4.1190103, 4.5959350, 5.0348177, 5.4094811, 5.7672314, 6.1989043", \
+					  "3.6057879, 4.0322717, 4.5137234, 4.9655394, 5.3318868, 5.7512437, 6.1186565", \
+					  "3.5200534, 3.9522721, 4.4047959, 4.8543705, 5.2397436, 5.6030183, 6.0314929", \
+					  "3.4518799, 3.8963058, 4.3614790, 4.7912170, 5.2195144, 5.6036356, 5.9771897");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6702337, 4.1131701, 4.5732634, 5.0251197, 5.4267673, 5.7592085, 6.1650905", \
+					  "3.5198409, 3.9642883, 4.4228557, 4.8768690, 5.2745486, 5.6088017, 6.0145029", \
+					  "3.3975003, 3.8388246, 4.2959843, 4.7271789, 5.1200931, 5.4876280, 5.8878580", \
+					  "3.3083210, 3.7451720, 4.1945747, 4.6609381, 5.0355767, 5.4504492, 5.8056953", \
+					  "3.2146268, 3.6620960, 4.1320687, 4.5633548, 4.9611198, 5.3594913, 5.7098959", \
+					  "3.1211727, 3.5998307, 4.0599238, 4.5117801, 4.8746805, 5.2236018, 5.6375738", \
+					  "3.0709049, 3.5169021, 3.9556694, 4.4129232, 4.7678495, 5.2190845, 5.5447352");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5029632, -3.9472392, -4.3996173, -4.8489063, -5.2552036, -5.6408715, -5.9936724", \
+					  "-3.3634679, -3.8045053, -4.2600472, -4.7109349, -5.1155215, -5.5029756, -5.8603373", \
+					  "-3.2426701, -3.6667919, -4.1269696, -4.5821921, -4.9959727, -5.3695796, -5.7332048", \
+					  "-3.1428861, -3.1031506, -4.0466148, -4.4981980, -4.9016237, -5.2888611, -5.6551809", \
+					  "-3.0694176, -3.0207734, -3.9689724, -3.9099974, -4.8451791, -5.2381363, -5.5801072", \
+					  "-3.0279989, -3.4256395, -3.9195093, -4.3587060, -4.7694672, -5.1207138, -5.5030595", \
+					  "-2.8999482, -3.3738001, -3.7910832, -4.2861485, -4.6759300, -5.0552253, -5.4354922");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486577, 4.4900442, 4.9486102, 5.3989917, 5.7697165, 6.1374966, 6.5537645", \
+					  "3.9028881, 4.3473268, 4.8045068, 5.2355762, 5.6225298, 5.9932542, 6.4079935", \
+					  "3.7804100, 4.2233530, 4.6819093, 5.1276923, 5.5000100, 5.8662002, 6.2810009", \
+					  "3.6750361, 4.1235586, 4.5794216, 5.0058294, 5.3971164, 5.7740619, 6.1814423", \
+					  "3.5923600, 4.0261175, 4.4938291, 4.9289162, 5.3225738, 5.6798542, 6.0887951", \
+					  "3.4941135, 3.9334867, 4.3849016, 4.8283278, 5.2151717, 5.5805443, 6.0031125", \
+					  "3.4416415, 3.8860802, 4.3502395, 4.7798697, 5.1697564, 5.5057206, 5.9463988");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5472203, -3.9930221, -4.4423484, -4.8749055, -5.2752511, -5.6335880, -6.0425071", \
+					  "-3.3948328, -3.8396568, -4.2935426, -4.7276576, -5.1276349, -5.4863262, -5.8967712", \
+					  "-3.2744229, -3.7278764, -4.1832575, -4.6160166, -5.0264279, -5.3805993, -5.7920617", \
+					  "-3.1672682, -3.6086371, -4.0653580, -4.5026744, -4.8958777, -5.2557622, -5.6764772", \
+					  "-3.0867590, -3.6030454, -4.0614931, -4.4929084, -4.8586488, -5.1894988, -5.6472846", \
+					  "-3.0460851, -3.4286331, -3.9246612, -4.3569838, -4.7422614, -5.1385542, -5.4999265", \
+					  "-2.9502038, -3.4180505, -3.8313123, -4.2649250, -4.6941763, -5.0613755, -5.4711530");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0774063, 0.3661463, 0.8100215, 1.2330517, 1.6375096, 2.0307056, 2.3902809", \
+					  "-0.2179084, 0.2348286, 0.6798310, 1.0977495, 1.4743166, 1.8985833, 2.2737785", \
+					  "-0.3410472, 0.1089237, 0.5683376, 0.9919647, 1.3807624, 1.7442802, 2.1104909", \
+					  "-0.4334523, 0.0112132, 0.4777308, 0.9080393, 1.3032093, 1.6643387, 2.0137639", \
+					  "-0.5171666, -0.0750987, 0.3983915, 0.8305834, 1.2229366, 1.5993514, 1.9664968", \
+					  "-0.5998993, -0.1649495, 0.3179179, 0.7447017, 1.1410475, 1.5238088, 1.8813478", \
+					  "-0.6736859, -0.2375447, 0.2240285, 0.6670371, 1.0862151, 1.4715047, 1.8183169");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4735606, 0.0230268, -0.4160068, -0.8114308, -1.2177282, -1.6079736, -1.9699297", \
+					  "0.6247309, 0.1697260, -0.2765302, -0.6931115, -1.0810984, -1.4362486, -1.7646354", \
+					  "0.7478874, 0.2960048, -0.1554966, -0.5784480, -0.9662728, -1.3412595, -1.6849051", \
+					  "0.8430556, 0.3907732, -0.0633616, -0.4892964, -0.8713668, -1.2506404, -1.5988637", \
+					  "0.9353136, 0.4523912, 0.0010369, -0.4171149, -0.7943160, -1.1656906, -1.5247306", \
+					  "1.0211272, 0.5426262, 0.0802300, -0.3164848, -0.7185361, -1.0812457, -1.4352299", \
+					  "1.0796092, 0.6114209, 0.1594369, -0.2390226, -0.6322401, -1.0642398, -1.3783122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6662346, -4.1059327, -4.5613630, -5.0106516, -5.4169493, -5.8026168, -6.1615212", \
+					  "-3.5235174, -3.9632157, -4.4186456, -4.8694606, -5.2742319, -5.6584188, -6.0170732", \
+					  "-3.3934146, -3.8300729, -4.2904664, -4.7411730, -5.1470985, -5.5404780, -5.8888927", \
+					  "-3.3058473, -3.7394418, -4.2025016, -4.6691115, -5.0580879, -5.4437558, -5.8187590", \
+					  "-3.2231564, -3.6684357, -4.1136096, -4.5554331, -4.9632056, -5.3539934, -5.7334903", \
+					  "-3.1864502, -3.5971705, -4.0806729, -4.5217241, -4.8771167, -5.3003728, -5.6270679", \
+					  "-3.0699307, -3.5253731, -3.9775736, -4.4160344, -4.8343527, -5.2123911, -5.5442017");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0806974, 4.5221394, 4.9807214, 5.4135290, 5.8019765, 6.1683279, 6.5855639", \
+					  "3.9348789, 4.3778468, 4.8365381, 5.2661846, 5.6608290, 6.0301384, 6.4397463", \
+					  "3.8082438, 4.2508435, 4.7078997, 5.1437590, 5.5352574, 5.9000829, 6.3160853", \
+					  "3.7097141, 4.1511948, 4.6082398, 5.0425471, 5.4328176, 5.7944696, 6.2060306", \
+					  "3.6250385, 4.0585142, 4.5229014, 4.9541836, 5.3411038, 5.7431298, 6.1267234", \
+					  "3.5277477, 4.0081394, 4.4462348, 4.8727216, 5.2474849, 5.6340708, 6.0590922", \
+					  "3.4686543, 3.9381456, 4.3721829, 4.8019387, 5.1919112, 5.5860328, 5.9887395");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023840;
+			capacitance : 0.024641;
+			rise_capacitance : 0.024920;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425549, -3.5992073, -3.4702567, -3.3867189, -3.3228575, -3.2397171, -3.1812943", \
+					  "-4.1746235, -4.0305570, -3.9161360, -3.8172618, -3.7138514, -3.6762672, -3.6362513", \
+					  "-4.6376833, -4.4899020, -4.3694148, -4.2848993, -4.2164602, -4.1093344, -4.0794743", \
+					  "-5.0869719, -4.9375248, -4.8260122, -4.7358887, -4.6535879, -4.5658510, -4.4967196", \
+					  "-5.4963214, -5.3430995, -5.2265269, -5.1389592, -5.0644171, -4.9911099, -4.9045432", \
+					  "-5.8774116, -5.7241760, -5.6191542, -5.5261530, -5.4592399, -5.3578050, -5.3024178", \
+					  "-6.2378415, -6.0846197, -5.9753875, -5.8850575, -5.8288256, -5.7061651, -5.6704776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4272388, -2.3075830, -2.1680082, -3.1717672, -1.8639438, -1.7261979, -1.6636713", \
+					  "-2.8730931, -2.7488598, -2.5814594, -2.3936479, -2.3099755, -2.1040242, -3.0936768", \
+					  "-3.3285469, -3.2043137, -3.0912361, -2.8468332, -2.7694220, -2.6376116, -2.5562332", \
+					  "-3.7642176, -4.8667903, -3.4887093, -3.3123901, -3.1818527, -3.0256128, -2.9418094", \
+					  "-4.1631127, -4.0266725, -3.8669767, -4.8937971, -3.6137244, -3.4832015, -3.3661098", \
+					  "-4.5306991, -4.3678076, -4.2353189, -4.1190120, -3.9903065, -4.8794659, -3.7204095", \
+					  "-4.9908315, -4.8635462, -4.6417942, -4.4961845, -4.3708612, -4.2641581, -4.1488175");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0425754, 3.8969719, 3.7834236, 3.6882648, 3.6057879, 3.5200534, 3.4518799", \
+					  "4.4534845, 4.3307689, 4.2218360, 4.1190103, 4.0322717, 3.9522721, 3.8963058", \
+					  "4.9257920, 4.7981963, 4.6804110, 4.5959350, 4.5137234, 4.4047959, 4.3614790", \
+					  "5.3646854, 5.2465479, 5.1242715, 5.0348177, 4.9655394, 4.8543705, 4.7912170", \
+					  "5.7485330, 5.6550087, 5.5046774, 5.4094811, 5.3318868, 5.2397436, 5.2195144", \
+					  "6.1270653, 6.0058752, 5.9319445, 5.7672314, 5.7512437, 5.6030183, 5.6036356", \
+					  "6.5475095, 6.3902681, 6.2945820, 6.1989043, 6.1186565, 6.0314929, 5.9771897");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7816415, 3.6040862, 3.4046404, 3.2194889, 3.0395276, 2.8634859, 2.7034964", \
+					  "4.2169400, 4.0469182, 3.8345960, 3.6505222, 3.4855133, 3.2675103, 3.1494718", \
+					  "4.6816069, 4.5039553, 4.3043887, 4.1204056, 3.9256792, 3.7747932, 3.6050006", \
+					  "5.1143696, 4.9314928, 4.7370226, 4.5634428, 4.3706663, 4.1512005, 4.0362898", \
+					  "5.5042871, 5.3121572, 5.1323291, 4.9903124, 4.7497955, 4.5829974, 4.4205957", \
+					  "5.8705683, 5.6800438, 5.4865142, 5.2985796, 5.1183092, 4.9711642, 4.8017957", \
+					  "6.2765169, 6.1003916, 5.9056682, 5.7341170, 5.5451228, 5.3710870, 5.2071470");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006311;
+			capacitance : 0.006457;
+			rise_capacitance : 0.006603;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6702337, 3.5198409, 3.3975003, 3.3083210, 3.2146268, 3.1211727, 3.0709049", \
+					  "4.1131701, 3.9642883, 3.8388246, 3.7451720, 3.6620960, 3.5998307, 3.5169021", \
+					  "4.5732634, 4.4228557, 4.2959843, 4.1945747, 4.1320687, 4.0599238, 3.9556694", \
+					  "5.0251197, 4.8768690, 4.7271789, 4.6609381, 4.5633548, 4.5117801, 4.4129232", \
+					  "5.4267673, 5.2745486, 5.1200931, 5.0355767, 4.9611198, 4.8746805, 4.7678495", \
+					  "5.7592085, 5.6088017, 5.4876280, 5.4504492, 5.3594913, 5.2236018, 5.2190845", \
+					  "6.1650905, 6.0145029, 5.8878580, 5.8056953, 5.7098959, 5.6375738, 5.5447352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1890445, 4.0170709, 3.8346697, 3.6463932, 3.4744268, 3.2819883, 3.0849455", \
+					  "4.6478233, 4.4773761, 4.2933881, 4.1012219, 3.9290072, 3.7271751, 3.5578023", \
+					  "5.1022780, 4.9327043, 4.7478424, 4.5530191, 4.3951370, 4.1968685, 4.0139095", \
+					  "5.5260594, 5.3540863, 5.1704532, 4.9936777, 4.8181457, 4.5996773, 4.4285893", \
+					  "5.9412158, 5.7295691, 5.5440553, 5.3529588, 5.1904115, 4.9980502, 4.7952871", \
+					  "6.3401509, 6.1697037, 5.9783940, 5.7965231, 5.6242698, 5.4335157, 5.2341627", \
+					  "6.6893977, 6.5158988, 6.3336246, 6.1516479, 5.9734722, 5.7719481, 5.5843319");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5029632, -3.3634679, -3.2426701, -3.1428861, -3.0694176, -3.0279989, -2.8999482", \
+					  "-3.9472392, -3.8045053, -3.6667919, -3.1031506, -3.0207734, -3.4256395, -3.3738001", \
+					  "-4.3996173, -4.2600472, -4.1269696, -4.0466148, -3.9689724, -3.9195093, -3.7910832", \
+					  "-4.8489063, -4.7109349, -4.5821921, -4.4981980, -3.9099974, -4.3587060, -4.2861485", \
+					  "-5.2552036, -5.1155215, -4.9959727, -4.9016237, -4.8451791, -4.7694672, -4.6759300", \
+					  "-5.6408715, -5.5029756, -5.3695796, -5.2888611, -5.2381363, -5.1207138, -5.0552253", \
+					  "-5.9936724, -5.8603373, -5.7332048, -5.6551809, -5.5801072, -5.5030595, -5.4354922");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6784508, -3.5284175, -3.3353782, -3.1470124, -2.9435629, -2.7728439, -2.5948712", \
+					  "-4.1181487, -3.9696417, -3.7799525, -3.5732290, -3.4365530, -3.2156553, -3.0376343", \
+					  "-4.5735790, -4.4235457, -4.2459096, -4.0313008, -3.8479990, -3.6742262, -3.4915570", \
+					  "-5.0061361, -4.8561028, -4.6710269, -4.4668031, -4.2880816, -4.1046204, -3.9485328", \
+					  "-5.4049558, -5.2564483, -5.0683934, -4.8627735, -4.6764048, -4.4949233, -4.3014123", \
+					  "-5.7648177, -5.6149043, -5.4309310, -5.2241084, -5.0586177, -4.8592110, -4.6667352", \
+					  "-6.1782083, -6.0277598, -5.8519946, -5.6459452, -5.4644708, -5.2792088, -5.0901130");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0298457, 0.0333407, 0.0368356, 0.0367761, 0.0367190, 0.0366595, 0.0366000");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0233846, 0.0265286, 0.0296727, 0.0295184, 0.0293702, 0.0292159, 0.0290616");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.017072;
+			capacitance : 0.017916;
+			rise_capacitance : 0.018759;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0198761, 0.0955330, 0.2109420, 0.2143608, 0.2176429, 0.2210618, 0.2244807");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4681640, 3.4186495, 6.3691348, 7.9157093, 9.4004207, 10.9469950, 12.4935700");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033160;
+			capacitance : 0.033140;
+			fall_capacitance : 0.033120;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0145920, -0.0157019, -0.0168118, -0.0109607, -0.0053438, 0.0005073, 0.0063583");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3272860, 0.3357219, 0.3441578, 0.3499163, 0.3554444, 0.3612029, 0.3669614");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006838;
+			capacitance : 0.006696;
+			fall_capacitance : 0.006553;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0774063, -0.2179084, -0.3410472, -0.4334523, -0.5171666, -0.5998993, -0.6736859", \
+					  "0.3661463, 0.2348286, 0.1089237, 0.0112132, -0.0750987, -0.1649495, -0.2375447", \
+					  "0.8100215, 0.6798310, 0.5683376, 0.4777308, 0.3983915, 0.3179179, 0.2240285", \
+					  "1.2330517, 1.0977495, 0.9919647, 0.9080393, 0.8305834, 0.7447017, 0.6670371", \
+					  "1.6375096, 1.4743166, 1.3807624, 1.3032093, 1.2229366, 1.1410475, 1.0862151", \
+					  "2.0307056, 1.8985833, 1.7442802, 1.6643387, 1.5993514, 1.5238088, 1.4715047", \
+					  "2.3902809, 2.2737785, 2.1104909, 2.0137639, 1.9664968, 1.8813478, 1.8183169");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3337404, -0.5047814, -0.6894201, -0.8709674, -1.0385685, -1.2259194, -1.3586933", \
+					  "0.1026319, -0.0726835, -0.2539427, -0.4496022, -0.6248265, -0.7973195, -0.9794963", \
+					  "0.5418514, 0.3785308, 0.2083960, 0.0168109, -0.1710050, -0.3624440, -0.5466349", \
+					  "0.9643008, 0.8079360, 0.6279105, 0.4525999, 0.2778543, 0.0776511, -0.0907839", \
+					  "1.3470207, 1.1782561, 1.0378817, 0.8449287, 0.6714458, 0.4904320, 0.2908434", \
+					  "1.7627210, 1.5380087, 1.4043649, 1.2118081, 1.0440019, 0.8910825, 0.7013185", \
+					  "2.1337665, 1.9425022, 1.7481360, 1.5851616, 1.4199513, 1.2593131, 1.0493598");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4735606, 0.6247309, 0.7478874, 0.8430556, 0.9353136, 1.0211272, 1.0796092", \
+					  "0.0230268, 0.1697260, 0.2960048, 0.3907732, 0.4523912, 0.5426262, 0.6114209", \
+					  "-0.4160068, -0.2765302, -0.1554966, -0.0633616, 0.0010369, 0.0802300, 0.1594369", \
+					  "-0.8114308, -0.6931115, -0.5784480, -0.4892964, -0.4171149, -0.3164848, -0.2390226", \
+					  "-1.2177282, -1.0810984, -0.9662728, -0.8713668, -0.7943160, -0.7185361, -0.6322401", \
+					  "-1.6079736, -1.4362486, -1.3412595, -1.2506404, -1.1656906, -1.0812457, -1.0642398", \
+					  "-1.9699297, -1.7646354, -1.6849051, -1.5988637, -1.5247306, -1.4352299, -1.3783122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4415065, 0.6369806, 0.7896292, 0.9954749, 1.1430934, 1.3024875, 1.5234444", \
+					  "-0.0102981, 0.1719051, 0.3696177, 0.5565603, 0.7029893, 0.8842736, 1.0736562", \
+					  "-0.4328029, -0.2753332, -0.0788555, 0.0937090, 0.2866518, 0.4754247, 0.6529799", \
+					  "-0.8426939, -0.6690556, -0.5074518, -0.3259332, -0.1542790, 0.0412818, 0.2036161", \
+					  "-1.2315603, -1.0643869, -0.8909955, -0.7141967, -0.5360245, -0.3447124, -0.1857252", \
+					  "-1.5737718, -1.4247721, -1.2835794, -1.0831789, -0.9186146, -0.7835795, -0.6017080", \
+					  "-1.9699411, -1.7329334, -1.6195395, -1.4507585, -1.2828433, -1.0820365, -0.9417408");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0236475, 0.0267096, 0.0297717, 0.0296229, 0.0294802, 0.0293314, 0.0291827");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296340, 0.0330707, 0.0365074, 0.0364100, 0.0363165, 0.0362191, 0.0361217");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013998;
+			capacitance : 0.013926;
+			fall_capacitance : 0.013853;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6662346, -3.5235174, -3.3934146, -3.3058473, -3.2231564, -3.1864502, -3.0699307", \
+					  "-4.1059327, -3.9632157, -3.8300729, -3.7394418, -3.6684357, -3.5971705, -3.5253731", \
+					  "-4.5613630, -4.4186456, -4.2904664, -4.2025016, -4.1136096, -4.0806729, -3.9775736", \
+					  "-5.0106516, -4.8694606, -4.7411730, -4.6691115, -4.5554331, -4.5217241, -4.4160344", \
+					  "-5.4169493, -5.2742319, -5.1470985, -5.0580879, -4.9632056, -4.8771167, -4.8343527", \
+					  "-5.8026168, -5.6584188, -5.5404780, -5.4437558, -5.3539934, -5.3003728, -5.2123911", \
+					  "-6.1615212, -6.0170732, -5.8888927, -5.8187590, -5.7334903, -5.6270679, -5.5442017");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5273901, -3.3309342, -3.1347271, -3.0188067, -2.8150812, -2.6167812, -2.4410111", \
+					  "-3.9716658, -3.7651897, -3.5983718, -3.4627929, -3.2277847, -3.0450233, -2.8965661", \
+					  "-4.4091559, -4.2112609, -4.0416650, -3.9030847, -3.6781953, -3.4868825, -3.3212781", \
+					  "-4.8733330, -4.6920150, -4.5148194, -4.3734063, -4.1423724, -3.9512106, -3.7836061", \
+					  "-5.2796302, -5.0762063, -4.9122188, -4.7760224, -4.5328694, -4.3571086, -4.2380887", \
+					  "-5.6652982, -5.4651094, -5.2995010, -5.1628206, -4.9251822, -4.7323311, -4.6179675", \
+					  "-6.0242026, -5.8264257, -5.6691120, -5.5121207, -5.2856126, -5.1096285, -4.9443074");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0806974, 3.9348789, 3.8082438, 3.7097141, 3.6250385, 3.5277477, 3.4686543", \
+					  "4.5221394, 4.3778468, 4.2508435, 4.1511948, 4.0585142, 4.0081394, 3.9381456", \
+					  "4.9807214, 4.8365381, 4.7078997, 4.6082398, 4.5229014, 4.4462348, 4.3721829", \
+					  "5.4135290, 5.2661846, 5.1437590, 5.0425471, 4.9541836, 4.8727216, 4.8019387", \
+					  "5.8019765, 5.6608290, 5.5352574, 5.4328176, 5.3411038, 5.2474849, 5.1919112", \
+					  "6.1683279, 6.0301384, 5.9000829, 5.7944696, 5.7431298, 5.6340708, 5.5860328", \
+					  "6.5855639, 6.4397463, 6.3160853, 6.2060306, 6.1267234, 6.0590922, 5.9887395");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7160133, 3.5771102, 3.3600310, 3.1590442, 2.9905761, 2.8211904, 2.6293406", \
+					  "4.1587603, 4.0351813, 3.8165482, 3.6405810, 3.4535009, 3.2777359, 3.0888705", \
+					  "4.6267699, 4.4878672, 4.2575426, 4.0937656, 3.9202342, 3.7258437, 3.5354344", \
+					  "5.0387439, 4.9311859, 4.6994056, 4.5053244, 4.3151103, 4.1763431, 3.9871558", \
+					  "5.4902454, 5.3280578, 5.1354559, 4.9181608, 4.7608284, 4.5768387, 4.3799722", \
+					  "5.8596832, 5.7207806, 5.5095500, 5.3145206, 5.1326044, 4.9679903, 4.7618472", \
+					  "6.2128514, 6.0765933, 5.8659222, 5.6749146, 5.5193315, 5.3119258, 5.1001126");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234831, 0.0266846, 0.0298862, 0.0297690, 0.0296566, 0.0295395, 0.0294224");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297038, 0.0332301, 0.0367565, 0.0366578, 0.0365631, 0.0364644, 0.0363658");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.508762;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.8993460, 0.9331304, 0.9779217, 1.1050046, 2.1045839, 2.3111203, 2.3084191", \
+					  "-0.7839775, -0.7510942, -0.6962679, -0.5736562, 0.4251300, 0.6189066, 0.6241747", \
+					  "0.7491365, 0.7489570, 0.7357535, 0.7515525, 0.7528162, 0.7238773, 0.6682829", \
+					  "0.8256513, 0.8139590, 0.8081883, 0.8197862, 0.8217580, 0.8234700, 0.8047848", \
+					  "0.8486647, 0.8448484, 0.8342513, 0.8472560, 0.8489410, 0.8499661, 0.8533129", \
+					  "0.8939995, 0.8937272, 0.8776381, 0.8948594, 0.8968333, 0.8979806, 0.9007481", \
+					  "0.9349487, 0.9313421, 0.9174969, 0.9375965, 0.9395124, 0.9407467, 0.9373505");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("1.4002137, 1.4824120, 1.6270752, 1.8088041, 1.9525082, 2.0098165, 2.0093993", \
+					  "3.0660856, 3.1617491, 3.3250362, 3.5160826, 3.6662058, 3.7233142, 3.7288761", \
+					  "3.6134125, 3.6156542, 3.6176890, 3.6189487, 3.6213033, 3.6216692, 3.6786750", \
+					  "3.6168618, 3.6178653, 3.6197471, 3.6194305, 3.6208109, 3.6219487, 3.6241511", \
+					  "3.6217730, 3.6222334, 3.6218261, 3.6226107, 3.6249918, 3.6263726, 3.6310323", \
+					  "3.6147186, 3.6243265, 3.6242359, 3.6221423, 3.6235581, 3.6256068, 3.6281312", \
+					  "3.6073210, 3.6249001, 3.6230036, 3.6346464, 3.6300238, 3.6305494, 3.6307951");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("2.6172292, 2.6432204, 2.6797835, 2.7206203, 2.7439809, 2.7517297, 2.7446895", \
+					  "3.8238158, 3.8245917, 3.8238293, 3.8260003, 3.7562956, 3.5630533, 3.5561018", \
+					  "7.7211267, 7.7227487, 7.7238936, 7.7227894, 7.7226055, 7.7043927, 7.6617193", \
+					  "11.3419780, 11.3418410, 11.3483310, 11.3447860, 11.3426260, 11.2483890, 11.3484460", \
+					  "14.8502320, 14.8623410, 14.8501890, 14.8110270, 14.8723650, 14.8432590, 14.8446340", \
+					  "18.2596730, 18.2659440, 18.3131840, 18.2590400, 18.2870580, 18.2656320, 18.2560030", \
+					  "21.6148400, 21.6519480, 21.7123040, 21.6557220, 21.6258140, 21.6459280, 21.6119450");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("3.1421048, 3.1509033, 3.1650327, 3.1836185, 3.2016028, 3.2115757, 3.2175466", \
+					  "6.8311971, 6.8313619, 6.8327978, 6.8340967, 6.9185408, 7.0647585, 7.0828890", \
+					  "7.4781578, 7.4783842, 7.4804624, 7.4828590, 7.5259781, 7.4840039, 7.4857828", \
+					  "8.1295951, 8.1303219, 8.1311164, 8.1376910, 8.1792481, 8.1543522, 8.1430661", \
+					  "8.8173245, 8.8113614, 8.8290755, 8.8165785, 8.8690834, 8.8299819, 8.8197670", \
+					  "9.5038543, 9.5105226, 9.5202839, 9.5058718, 9.5534414, 9.5463125, 9.5084140", \
+					  "10.1986860, 10.2016090, 10.2397900, 10.2041350, 10.2688810, 10.2446000, 10.2041390");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("2.3030417, 2.3289773, 2.3677176, 2.4077493, 2.4266455, 2.4319853, 2.4303075", \
+					  "-0.2474982, -0.2469531, -0.2461328, -0.2471328, -0.2478780, -0.3337228, -0.5149214", \
+					  "0.5144627, 0.5154068, 0.5176755, 0.5143845, 0.5149827, 0.5096330, 0.5097531", \
+					  "1.4124834, 1.4091410, 1.4177172, 1.4034537, 1.4133861, 1.4133465, 1.4017747", \
+					  "2.3038303, 2.3122582, 2.3119640, 2.3176122, 2.3017859, 2.3043694, 2.2896891", \
+					  "3.1531965, 3.1542581, 3.1420452, 3.1481582, 3.1568231, 3.1548733, 3.1058585", \
+					  "3.9266008, 3.9493213, 3.9478329, 3.9545880, 3.9476044, 3.9500851, 3.9157818");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("3.0257196, 3.0376085, 3.0562265, 3.0823271, 3.1099509, 3.1294014, 3.1431088", \
+					  "6.6878209, 6.7175154, 6.7673358, 6.8366831, 6.8857294, 6.9237702, 6.9427740", \
+					  "7.0917196, 7.0924681, 7.0937687, 7.0966436, 7.0972642, 7.0996594, 7.1601661", \
+					  "7.5124629, 7.5133155, 7.5149687, 7.5171787, 7.5169610, 7.5177555, 7.5194503", \
+					  "7.9315391, 7.9320205, 7.9335219, 7.9349036, 7.9373660, 7.9378656, 7.9387842", \
+					  "8.3550345, 8.3563781, 8.3577216, 8.3626066, 8.3604512, 8.3624592, 8.3601789", \
+					  "8.7842910, 8.7830862, 8.7875020, 8.7951417, 8.7861550, 8.7889088, 8.7889366");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("5.5114708, 5.5149030, 5.5262125, 5.5329311, 5.5538579, 5.5720430, 5.5909385", \
+					  "5.5182714, 5.5132728, 5.5032487, 5.5300866, 5.5475184, 5.5679951, 5.6010056", \
+					  "5.5025068, 5.5128783, 5.5175135, 5.5251385, 5.5452140, 5.5653177, 5.5852167", \
+					  "5.5143811, 5.5103071, 5.5200645, 5.5347576, 5.5466548, 5.5610994, 5.5886520", \
+					  "5.5164704, 5.5061649, 5.5123188, 5.5222050, 5.5434749, 5.5628391, 5.5977422", \
+					  "5.5142110, 5.5064312, 5.5102246, 5.5241254, 5.5380114, 5.5793338, 5.5838827", \
+					  "5.5138264, 5.5044199, 5.5212485, 5.5303167, 5.5420508, 5.5751641, 5.5795521");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("2.7060738, 2.7548924, 2.8652000, 3.1565023, 3.6037526, 3.8744337, 4.0593049", \
+					  "2.7031163, 2.7380215, 2.8609984, 3.1452203, 3.5980985, 3.8789051, 4.0664638", \
+					  "2.7072727, 2.7423692, 2.8566619, 3.1468833, 3.5962693, 3.8777859, 4.0511602", \
+					  "2.6870308, 2.7357941, 2.8582177, 3.1427574, 3.5920397, 3.8825485, 4.0263353", \
+					  "2.6926382, 2.7478234, 2.8559947, 3.1476954, 3.5940046, 3.8570765, 4.0500532", \
+					  "2.6987062, 2.7474488, 2.8583981, 3.1460803, 3.5909597, 3.8522561, 4.0569964", \
+					  "2.6814948, 2.7387244, 2.8565839, 3.1457343, 3.5969999, 3.8852300, 4.0538994");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("2.4330427, 2.4480420, 2.4782978, 2.5392120, 2.6615099, 2.9159215, 3.4501948", \
+					  "4.5927724, 4.6077746, 4.6382818, 4.6991588, 4.8219020, 5.0758224, 5.6087379", \
+					  "6.2541825, 6.2694299, 6.2997976, 6.3603740, 6.4833561, 6.7373751, 7.2701054", \
+					  "6.6149091, 6.6298864, 6.6602852, 6.7211676, 6.8437171, 7.0978370, 7.6306916", \
+					  "8.7440659, 8.7593284, 8.7896961, 8.8503125, 8.9732666, 9.2272927, 9.7600061", \
+					  "10.4341320, 10.4494560, 10.4797380, 10.5404450, 10.6633200, 10.9172930, 11.4500490", \
+					  "11.7081050, 11.7234270, 11.7536620, 11.8144540, 11.9372690, 12.1917940, 12.7240070", \
+					  "13.4732810, 13.4886140, 13.5188860, 13.5796050, 13.7024640, 13.9758290, 14.4891790", \
+					  "36.2401490, 36.2401524, 36.2860570, 36.3394970, 36.4238380, 36.7423530, 37.1841300", \
+					  "49.3683990, 49.4507940, 49.4507962, 49.4801890, 49.6042920, 49.8953230, 50.4958000", \
+					  "102.7672500, 102.7672539, 102.7914000, 102.7914010, 102.9806700, 103.1264100, 103.7618100", \
+					  "281.5901000, 281.5901032, 281.5901337, 281.5901642, 281.9834900, 281.9835053, 282.1292600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("0.1241389, 0.1407635, 0.1754681, 0.2502286, 0.4123544, 0.7586665, 1.4991248", \
+					  "0.1248228, 0.1417265, 0.1762147, 0.2504498, 0.4135399, 0.7586428, 1.4984667", \
+					  "0.1249421, 0.1406996, 0.1760489, 0.2510610, 0.4118755, 0.7589930, 1.4990914", \
+					  "0.1246694, 0.1408196, 0.1763944, 0.2510778, 0.4134123, 0.7585041, 1.4991999", \
+					  "0.1250006, 0.1414395, 0.1759637, 0.2509978, 0.4127883, 0.7588328, 1.4978677", \
+					  "0.1250498, 0.1415284, 0.1758113, 0.2507478, 0.4131050, 0.7588064, 1.4979654", \
+					  "0.1250483, 0.1414521, 0.1760088, 0.2507907, 0.4132194, 0.7586847, 1.4979589", \
+					  "0.1250556, 0.1415353, 0.1758522, 0.2508129, 0.4131091, 0.7589788, 1.4981739", \
+					  "0.1249320, 0.1406526, 0.1760955, 0.2510180, 0.4120134, 0.7586811, 1.4991928", \
+					  "0.1248806, 0.1407316, 0.1765438, 0.2510515, 0.4120160, 0.7589872, 1.4990890", \
+					  "0.1248861, 0.1407973, 0.1761637, 0.2509358, 0.4121348, 0.7586930, 1.4976883", \
+					  "0.1250548, 0.1414598, 0.1762366, 0.2509127, 0.4136467, 0.7587999, 1.4991482");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("2.0951867, 2.1173063, 2.1589082, 2.2330160, 2.3573674, 2.5679874, 2.9511864", \
+					  "4.1170720, 4.1386317, 4.1804080, 4.2540331, 4.3784039, 4.5893849, 4.9721294", \
+					  "5.5942113, 5.6157652, 5.6573120, 5.7311857, 5.8555174, 6.0664953, 6.4491892", \
+					  "5.8965606, 5.9182321, 5.9595120, 6.0336545, 6.1581331, 6.3690733, 6.7516730", \
+					  "7.6007325, 7.6224085, 7.6637043, 7.7376550, 7.8620390, 8.0731049, 8.4556966", \
+					  "8.8847560, 8.9064507, 8.9479206, 9.0218037, 9.1460857, 9.3570716, 9.7397299", \
+					  "9.8009192, 9.8224424, 9.8639923, 9.9421760, 10.0621790, 10.2730950, 10.6557730", \
+					  "11.0327750, 11.0542660, 11.0958660, 11.1347880, 11.2940500, 11.5049030, 11.8875800", \
+					  "24.2781330, 24.2836310, 24.3528060, 24.4236560, 24.5506250, 24.7529640, 25.1338270", \
+					  "31.0583450, 31.0788230, 31.1225430, 31.1949470, 31.3192810, 31.5250900, 31.9080020", \
+					  "55.7627080, 55.8260250, 55.8260251, 55.9378750, 56.0627600, 56.2157890, 56.6168230", \
+					  "131.9320700, 131.9716500, 132.0019200, 132.0566100, 132.2262800, 132.3962900, 132.8329800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("0.1669362, 0.1832913, 0.2150958, 0.2715351, 0.3750494, 0.5826621, 1.0327135", \
+					  "0.1680471, 0.1852887, 0.2166427, 0.2738886, 0.3753580, 0.5844283, 1.0343734", \
+					  "0.1680211, 0.1854591, 0.2165502, 0.2738883, 0.3752756, 0.5851018, 1.0335252", \
+					  "0.1680509, 0.1860842, 0.2170755, 0.2730151, 0.3758591, 0.5852108, 1.0311473", \
+					  "0.1683039, 0.1849338, 0.2165668, 0.2738436, 0.3750972, 0.5858435, 1.0295157", \
+					  "0.1679774, 0.1854758, 0.2164795, 0.2738404, 0.3752309, 0.5850686, 1.0334584", \
+					  "0.1679753, 0.1854323, 0.2164878, 0.2738540, 0.3751511, 0.5850246, 1.0334473", \
+					  "0.1679828, 0.1854755, 0.2164954, 0.2738689, 0.3751587, 0.5850363, 1.0334457", \
+					  "0.1676469, 0.1850447, 0.2166105, 0.2737810, 0.3752299, 0.5858626, 1.0313510", \
+					  "0.1676156, 0.1848492, 0.2165575, 0.2738120, 0.3751693, 0.5856467, 1.0312430", \
+					  "0.1677323, 0.1853642, 0.2167298, 0.2740016, 0.3752232, 0.5858906, 1.0333077", \
+					  "0.1677342, 0.1850399, 0.2167552, 0.2742476, 0.3757609, 0.5859407, 1.0312572");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("1.8122215, 1.8277971, 1.8577354, 1.9177142, 2.0396103, 2.2933260, 2.8250420", \
+					  "3.0145304, 3.0293033, 3.0594212, 3.1195837, 3.2412788, 3.4942009, 4.0261430", \
+					  "3.9074424, 3.9223491, 3.9524437, 4.0122008, 4.1337156, 4.3841668, 4.9186601", \
+					  "4.1004373, 4.1152890, 4.1453863, 4.2059769, 4.3278354, 4.5808641, 5.1121978", \
+					  "5.2741242, 5.2856888, 5.3189755, 5.3802904, 5.5045235, 5.7501994, 6.2858403", \
+					  "6.2211516, 6.2425394, 6.2740626, 6.3331478, 6.4555006, 6.7080572, 7.2327748", \
+					  "6.9403345, 6.9698172, 7.0088655, 7.0606365, 7.1683677, 7.4343860, 7.9520088", \
+					  "7.9875324, 8.0079863, 8.0293390, 8.0925471, 8.2185192, 8.4676479, 8.9985734", \
+					  "22.1625060, 22.1625071, 22.1939620, 22.2651760, 22.3318340, 22.6422050, 23.1448160", \
+					  "31.3155120, 31.3226490, 31.3554410, 31.4204340, 31.5363100, 31.7681350, 32.3457460", \
+					  "71.5304440, 71.5474040, 71.5474053, 71.6280650, 71.7028500, 72.0468310, 72.5431950", \
+					  "224.9043000, 224.9043046, 224.9458600, 224.9458695, 225.1213700, 225.3222800, 225.8613000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1224648, 0.1384234, 0.1730028, 0.2480985, 0.4096917, 0.7592865, 1.5008096", \
+					  "0.1226596, 0.1391950, 0.1738051, 0.2490230, 0.4094715, 0.7626189, 1.4969990", \
+					  "0.1220645, 0.1390242, 0.1738362, 0.2490307, 0.4114654, 0.7599538, 1.4991949", \
+					  "0.1225935, 0.1389320, 0.1737555, 0.2494791, 0.4102795, 0.7625550, 1.5066038", \
+					  "0.1227009, 0.1389750, 0.1737561, 0.2494675, 0.4104405, 0.7620581, 1.4986424", \
+					  "0.1222927, 0.1389755, 0.1737561, 0.2494715, 0.4114568, 0.7626143, 1.4964974", \
+					  "0.1226311, 0.1390204, 0.1738418, 0.2490190, 0.4116947, 0.7618597, 1.4989944", \
+					  "0.1226890, 0.1386693, 0.1737915, 0.2494872, 0.4119562, 0.7626116, 1.4989104", \
+					  "0.1226681, 0.1386642, 0.1737350, 0.2494741, 0.4115063, 0.7620272, 1.5000221", \
+					  "0.1226336, 0.1387449, 0.1738099, 0.2494867, 0.4102146, 0.7626217, 1.4988111", \
+					  "0.1228800, 0.1391094, 0.1737271, 0.2494738, 0.4103707, 0.7604734, 1.4999534", \
+					  "0.1220387, 0.1390310, 0.1738455, 0.2494687, 0.4115391, 0.7624643, 1.4986747");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("2.0954181, 2.1159094, 2.1533728, 2.2222748, 2.3407138, 2.5447349, 2.9205440", \
+					  "3.3226524, 3.3423877, 3.3813403, 3.4497205, 3.5682561, 3.7729082, 4.1488737", \
+					  "4.2753467, 4.2954795, 4.3338808, 4.4025290, 4.5211883, 4.7256625, 5.1015143", \
+					  "4.4903992, 4.5101909, 4.5473660, 4.6174549, 4.7339968, 4.9391504, 5.3169278", \
+					  "5.7666463, 5.7865606, 5.8192615, 5.8937712, 6.0122574, 6.2085777, 6.5929919", \
+					  "6.8241488, 6.8326189, 6.8827091, 6.9512036, 7.0680142, 7.2746706, 7.6504607", \
+					  "7.6299100, 7.6489011, 7.6878486, 7.7568947, 7.8742159, 8.0771976, 8.4556708", \
+					  "8.7661904, 8.7812424, 8.8215488, 8.8934042, 9.0029530, 9.2059107, 9.5925312", \
+					  "24.5235370, 24.5643860, 24.6189130, 24.6878570, 24.8050330, 24.9917990, 25.3842560", \
+					  "34.7418090, 34.7899490, 34.8509840, 34.8693380, 35.0317750, 35.2488210, 35.5930150", \
+					  "79.7966280, 79.8305140, 79.8661470, 79.9130080, 80.0460850, 80.2295830, 80.5775850", \
+					  "251.2280400, 251.2385300, 251.2738000, 251.3479800, 251.4497900, 251.6820800, 252.0309300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1541942, 0.1693655, 0.2000112, 0.2554651, 0.3589704, 0.5675411, 1.0206005", \
+					  "0.1549289, 0.1708891, 0.2025267, 0.2588621, 0.3645049, 0.5727504, 1.0219771", \
+					  "0.1558997, 0.1711085, 0.2025380, 0.2586379, 0.3643392, 0.5693036, 1.0248681", \
+					  "0.1558287, 0.1712370, 0.2021856, 0.2590311, 0.3644937, 0.5731145, 1.0198731", \
+					  "0.1558902, 0.1712686, 0.2021222, 0.2589322, 0.3644463, 0.5725630, 1.0249990", \
+					  "0.1557889, 0.1712698, 0.2025782, 0.2590901, 0.3644525, 0.5722815, 1.0249608", \
+					  "0.1553667, 0.1713072, 0.2021119, 0.2588089, 0.3645003, 0.5725749, 1.0226102", \
+					  "0.1558553, 0.1713115, 0.2021106, 0.2588231, 0.3643618, 0.5726083, 1.0250272", \
+					  "0.1558130, 0.1713152, 0.2024764, 0.2589782, 0.3645218, 0.5721666, 1.0248968", \
+					  "0.1551286, 0.1713174, 0.2021373, 0.2583897, 0.3643313, 0.5726742, 1.0250040", \
+					  "0.1559376, 0.1713164, 0.2025421, 0.2590685, 0.3645366, 0.5725990, 1.0249845", \
+					  "0.1548937, 0.1713222, 0.2013102, 0.2590985, 0.3643039, 0.5732111, 1.0247257");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("1.7991743, 1.8138855, 1.8438703, 1.9043209, 2.0268729, 2.2798323, 2.8118743", \
+					  "2.0539268, 2.0689592, 2.0987314, 2.1589501, 2.2805449, 2.5336109, 3.0658716", \
+					  "1.9052189, 1.9199171, 1.9500159, 2.0100253, 2.1316817, 2.3847924, 2.9167238", \
+					  "1.8676563, 1.8828576, 1.9127699, 1.9728446, 2.0945667, 2.3476949, 2.8796909", \
+					  "1.5877105, 1.6032683, 1.6333842, 1.6932333, 1.8148102, 2.0677240, 2.6029827", \
+					  "1.2685397, 1.2783080, 1.3083770, 1.3682817, 1.4901638, 1.7431925, 2.2752107", \
+					  "0.9610122, 0.9851237, 1.0151284, 1.0751665, 1.1969458, 1.4500495, 1.9813720", \
+					  "0.4824702, 0.5102482, 0.5273407, 0.5875844, 0.7091782, 0.9624214, 1.4945740", \
+					  "-8.1538634, -8.1395184, -8.1101472, -8.0487252, -7.9272588, -7.6742017, -7.1424582", \
+					  "-14.5536930, -14.5348170, -14.5097550, -14.4378210, -14.3161230, -14.0630510, -13.5427360", \
+					  "-44.6103240, -44.5865890, -44.5448370, -44.4964350, -44.3954380, -44.1214990, -43.5855940", \
+					  "-166.2411400, -166.1540900, -166.1540756, -166.0655600, -166.0143900, -165.7094400, -165.1727900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1220222, 0.1386156, 0.1732873, 0.2481873, 0.4100217, 0.7584276, 1.4999818", \
+					  "0.1226728, 0.1387857, 0.1743071, 0.2483837, 0.4094180, 0.7619746, 1.4969386", \
+					  "0.1227658, 0.1392150, 0.1738187, 0.2494713, 0.4101555, 0.7626367, 1.4989237", \
+					  "0.1225403, 0.1385615, 0.1735455, 0.2493732, 0.4095990, 0.7627378, 1.4985820", \
+					  "0.1226839, 0.1389985, 0.1738469, 0.2488781, 0.4112278, 0.7620589, 1.4986852", \
+					  "0.1227658, 0.1392504, 0.1745139, 0.2493637, 0.4103521, 0.7624116, 1.4986299", \
+					  "0.1225755, 0.1385961, 0.1735744, 0.2493779, 0.4098206, 0.7627439, 1.4986606", \
+					  "0.1227639, 0.1392143, 0.1743342, 0.2494338, 0.4117186, 0.7625573, 1.4999030", \
+					  "0.1229750, 0.1392120, 0.1738164, 0.2494196, 0.4101614, 0.7626152, 1.4990068", \
+					  "0.1227654, 0.1391926, 0.1740594, 0.2487719, 0.4118552, 0.7605909, 1.4988096", \
+					  "0.1227643, 0.1390615, 0.1741949, 0.2494837, 0.4116725, 0.7604639, 1.4990402", \
+					  "0.1227655, 0.1391929, 0.1738056, 0.2494426, 0.4102398, 0.7624530, 1.4989052");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("2.4895450, 2.5097786, 2.5477367, 2.6162796, 2.7351539, 2.9383862, 3.3153931", \
+					  "4.0724433, 4.0923527, 4.1307673, 4.1996233, 4.3176955, 4.5219459, 4.8974247", \
+					  "5.3696079, 5.3893987, 5.4278435, 5.4966609, 5.6147953, 5.8199405, 6.1959389", \
+					  "5.6685594, 5.6886118, 5.7267187, 5.7959042, 5.9142078, 6.1185483, 6.4946226", \
+					  "7.4570714, 7.4769071, 7.5153608, 7.5841573, 7.7022548, 7.9073663, 8.2833456", \
+					  "8.9452366, 8.9651273, 9.0035570, 9.0719556, 9.1904928, 9.3955199, 9.7715533", \
+					  "10.0791600, 10.0989230, 10.1369650, 10.2002910, 10.3243290, 10.5294380, 10.9054240", \
+					  "11.6816950, 11.7015440, 11.7450610, 11.8168750, 11.9322760, 12.1321120, 12.5080750", \
+					  "34.2319700, 34.2506150, 34.2881990, 34.3609160, 34.4778430, 34.6816580, 35.0588290", \
+					  "48.9378920, 48.9640610, 49.0039510, 49.0627590, 49.1842020, 49.3880030, 49.7690030", \
+					  "113.8584100, 113.8755900, 113.9147200, 113.9843100, 114.1030000, 114.3054200, 114.6858000", \
+					  "361.9227300, 361.9482500, 361.9858500, 362.0562600, 362.1740900, 362.3735800, 362.7537500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1538848, 0.1695105, 0.1999113, 0.2558584, 0.3588461, 0.5665248, 1.0208920", \
+					  "0.1558912, 0.1712798, 0.2025750, 0.2593408, 0.3635247, 0.5687586, 1.0226628", \
+					  "0.1558326, 0.1712542, 0.2021416, 0.2591021, 0.3641432, 0.5727486, 1.0249679", \
+					  "0.1553170, 0.1708258, 0.2027996, 0.2585358, 0.3620201, 0.5721270, 1.0229111", \
+					  "0.1558176, 0.1712983, 0.2024845, 0.2590574, 0.3641379, 0.5729082, 1.0246781", \
+					  "0.1558702, 0.1713043, 0.2025763, 0.2587400, 0.3644620, 0.5725735, 1.0249420", \
+					  "0.1557840, 0.1711553, 0.2021203, 0.2590993, 0.3640972, 0.5728572, 1.0247835", \
+					  "0.1558386, 0.1713156, 0.2026467, 0.2590921, 0.3644380, 0.5722706, 1.0250153", \
+					  "0.1559473, 0.1711605, 0.2029829, 0.2587266, 0.3645912, 0.5710592, 1.0228152", \
+					  "0.1552962, 0.1718765, 0.2023803, 0.2591307, 0.3641496, 0.5725644, 1.0221173", \
+					  "0.1549492, 0.1712817, 0.2012217, 0.2590816, 0.3641069, 0.5732244, 1.0232265", \
+					  "0.1559848, 0.1713821, 0.2021109, 0.2588118, 0.3625514, 0.5732739, 1.0234219");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("21.5350160, 21.5525040, 21.5846930, 21.6493670, 21.7795030, 22.0388670, 22.5613930", \
+					  "21.6311320, 21.6493490, 21.6781060, 21.7454040, 21.8727650, 22.1348880, 22.6548100", \
+					  "21.7278300, 21.7442690, 21.7772450, 21.8420410, 21.9719070, 22.2316480, 22.7539520", \
+					  "21.8337900, 21.8529160, 21.8824190, 21.9506870, 22.0770810, 22.3376110, 22.8591240", \
+					  "21.9318130, 21.9472900, 21.9817550, 22.0450610, 22.1764170, 22.4356370, 22.9584620", \
+					  "22.0389910, 22.0553000, 22.0890670, 22.1530860, 22.2836970, 22.5427530, 23.0657680", \
+					  "22.1391690, 22.1578860, 22.1904680, 22.2556340, 22.3851300, 22.6429840, 23.1671750", \
+					  "22.2436660, 22.2624920, 22.2919670, 22.3602720, 22.4866280, 22.7474890, 23.2686720", \
+					  "22.3432260, 22.3590500, 22.3930240, 22.4568260, 22.5876830, 22.8470420, 23.3697290", \
+					  "22.4461050, 22.4642100, 22.4952960, 22.5620070, 22.6899580, 22.9500880, 23.4720050", \
+					  "22.5407040, 22.5599410, 22.5911610, 22.6579020, 22.7858180, 23.0445210, 23.5678610", \
+					  "22.6372560, 22.6584560, 22.6863200, 22.7515820, 22.8809790, 23.1410680, 23.6630220");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1386605, 0.1587379, 0.2001442, 0.2837853, 0.4546486, 0.7983536, 1.4978744", \
+					  "0.1386606, 0.1587384, 0.2001455, 0.2837865, 0.4546473, 0.7983588, 1.4978694", \
+					  "0.1386604, 0.1587391, 0.2001442, 0.2837874, 0.4546490, 0.7983609, 1.4978780", \
+					  "0.1386583, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7984410, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001442, 0.2838156, 0.4546490, 0.7984408, 1.4978782", \
+					  "0.1386578, 0.1587391, 0.2001455, 0.2837839, 0.4546491, 0.7983875, 1.4978693", \
+					  "0.1386606, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7983582, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001458, 0.2838149, 0.4546484, 0.7984412, 1.4978796", \
+					  "0.1386605, 0.1587393, 0.2001442, 0.2837856, 0.4546490, 0.7983527, 1.4978790", \
+					  "0.1386597, 0.1587375, 0.2001440, 0.2837877, 0.4546494, 0.7983671, 1.4978836", \
+					  "0.1386606, 0.1587488, 0.2001458, 0.2835736, 0.4546481, 0.7983456, 1.4978747", \
+					  "0.1386603, 0.1587372, 0.2001444, 0.2837883, 0.4546492, 0.7983644, 1.4978819");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("14.9028260, 14.9139830, 14.9381950, 14.9810010, 15.0582850, 15.1841790, 15.3914230", \
+					  "14.9904600, 15.0034690, 15.0276700, 15.0633670, 15.1447940, 15.2731160, 15.4745190", \
+					  "15.0557470, 15.0687440, 15.0929690, 15.1369890, 15.2106220, 15.3382040, 15.5467750", \
+					  "15.1214630, 15.1344860, 15.1586710, 15.2027530, 15.2788720, 15.4043760, 15.6138440", \
+					  "15.1843620, 15.1973210, 15.2214760, 15.2593810, 15.3355070, 15.4655060, 15.6705300", \
+					  "15.2388660, 15.2520730, 15.2761380, 15.3198570, 15.3937200, 15.5205640, 15.7299760", \
+					  "15.2781240, 15.2911250, 15.3153720, 15.3580300, 15.4340030, 15.5611120, 15.7689560", \
+					  "15.3325900, 15.3455970, 15.3698560, 15.4057760, 15.4887680, 15.6130470, 15.8165210", \
+					  "15.3652810, 15.3784400, 15.4025200, 15.4533060, 15.5212640, 15.6471140, 15.8631400", \
+					  "15.4154590, 15.4285670, 15.4527470, 15.4875630, 15.5699380, 15.6969640, 15.8985200", \
+					  "15.4585250, 15.4716990, 15.4959030, 15.5417760, 15.6144960, 15.7403650, 15.9515940", \
+					  "15.4938620, 15.5070200, 15.5311000, 15.5767670, 15.6528830, 15.7756940, 15.9878560");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1159085, 0.1255768, 0.1447270, 0.1809250, 0.2480104, 0.3630184, 0.5663965", \
+					  "0.1158124, 0.1256810, 0.1452476, 0.1810095, 0.2489983, 0.3627330, 0.5663726", \
+					  "0.1158854, 0.1257243, 0.1452266, 0.1805826, 0.2474456, 0.3624151, 0.5669380", \
+					  "0.1163781, 0.1255762, 0.1444503, 0.1809337, 0.2477774, 0.3627393, 0.5663887", \
+					  "0.1175072, 0.1260286, 0.1442827, 0.1809976, 0.2477801, 0.3633141, 0.5663658", \
+					  "0.1160718, 0.1253969, 0.1440108, 0.1816857, 0.2486090, 0.3631893, 0.5668572", \
+					  "0.1164697, 0.1255783, 0.1449660, 0.1809734, 0.2478307, 0.3626987, 0.5667155", \
+					  "0.1164801, 0.1263675, 0.1450277, 0.1809824, 0.2477925, 0.3630187, 0.5668076", \
+					  "0.1159052, 0.1254795, 0.1441581, 0.1805014, 0.2474492, 0.3630453, 0.5669510", \
+					  "0.1156340, 0.1251579, 0.1450615, 0.1815192, 0.2485884, 0.3631700, 0.5667557", \
+					  "0.1158939, 0.1255759, 0.1447629, 0.1805275, 0.2476408, 0.3630359, 0.5669473", \
+					  "0.1159033, 0.1254804, 0.1441599, 0.1809335, 0.2477776, 0.3630444, 0.5663892");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.28175;
+			max_transition : 3.753953;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723945, 0.07419876, 0.2021133, 0.5505456, 1.499656, 4.08498");
+					values("2.2382321, 2.2195527, 2.2069490, 2.1940418, 2.1653323, 2.0902255, 1.9246199", \
+					  "0.5553259, 0.5422993, 0.5255777, 0.5048283, 0.4804416, 0.4093255, 0.2542618", \
+					  "0.7385323, 0.7252163, 0.6913905, 0.6386926, 0.5304435, 0.4585513, 0.3073788", \
+					  "0.8092088, 0.7956606, 0.7632172, 0.7304675, 0.7029995, 0.5210466, 0.4724246", \
+					  "0.8260599, 0.8194174, 0.8126216, 0.7734339, 0.7418652, 0.6980786, 0.4044293", \
+					  "0.8792609, 0.8646276, 0.8423500, 0.8089802, 0.7769780, 0.7466949, 0.4004327", \
+					  "0.9168188, 0.9000355, 0.8772838, 0.8459449, 0.8146965, 0.7891145, 0.4910791");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723945, 0.07419876, 0.2021133, 0.5505456, 1.499656, 4.08498");
+					values("1.8350217, 1.8404292, 1.8619311, 1.9077415, 1.9428419, 1.9479277, 1.9526006", \
+					  "3.5459777, 3.5514276, 3.5742817, 3.6236522, 3.6603927, 3.6671660, 3.6711424", \
+					  "3.6085035, 3.5965302, 3.5814739, 3.5667144, 3.5627636, 3.6508227, 3.6684310", \
+					  "3.6086010, 3.5991650, 3.5826871, 3.5669889, 3.5630270, 3.5640347, 3.6646146", \
+					  "3.6101107, 3.6013558, 3.5860737, 3.5697621, 3.5666641, 3.5663928, 3.5774010", \
+					  "3.6106920, 3.6017693, 3.5854544, 3.5733825, 3.5678554, 3.5692089, 3.5697213", \
+					  "3.6247884, 3.6015605, 3.5900978, 3.5755539, 3.5667192, 3.5707604, 3.5714120");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.3705142, 2.3579463, 2.3364818, 2.3117807, 2.2736936, 2.1934616, 2.0389023", \
+					  "-0.2606165, -0.2773232, -0.3040988, -0.3465987, -0.4034633, -0.7077900, -0.9266900", \
+					  "0.5005079, 0.4860739, 0.4566958, 0.4177408, 0.3809337, 0.3585110, -0.0887937", \
+					  "1.4009751, 1.3896268, 1.3563487, 1.3195842, 1.2835273, 1.2319321, 0.9024717", \
+					  "2.2940335, 2.2804989, 2.2380821, 2.2099861, 2.1696846, 2.1409502, 2.0923627", \
+					  "3.1405722, 3.1265559, 3.0970623, 3.0584233, 3.0126227, 2.9660217, 2.9351784", \
+					  "3.9323306, 3.9182685, 3.8662402, 3.8510696, 3.7753863, 3.7641179, 3.7387966");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.7261307, 2.7403381, 2.8007785, 2.9264301, 3.0371978, 3.0701971, 3.0864267", \
+					  "6.3896115, 6.3781281, 6.3567463, 6.5521191, 6.8087393, 6.8711469, 6.8895380", \
+					  "7.0827567, 7.0754826, 7.0532447, 7.0425532, 7.0342434, 7.0318590, 7.2658971", \
+					  "7.5025897, 7.4975894, 7.4755566, 7.4604489, 7.4557357, 7.4551927, 7.6513041", \
+					  "7.9221144, 7.9551522, 7.8951576, 7.8766516, 7.8736904, 7.8889300, 7.8695826", \
+					  "8.3489283, 8.3792391, 8.3167960, 8.2979370, 8.2968606, 8.3420831, 8.2946297", \
+					  "8.7920678, 8.8083690, 8.7439448, 8.7279246, 8.7221914, 8.7647294, 8.7238298");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.6832254, 2.6714359, 2.6504093, 2.6261581, 2.5928738, 2.5159703, 2.3477003", \
+					  "3.8103301, 3.7946365, 3.7629353, 3.7109244, 3.4185109, 3.3607583, 3.1330528", \
+					  "7.7079532, 7.6925775, 7.6627931, 7.5888740, 7.5947035, 7.3861559, 7.2181384", \
+					  "11.3205360, 11.3033650, 11.2771290, 11.2035760, 11.2153640, 11.1983360, 10.6494180", \
+					  "14.8376220, 14.8195760, 14.7909510, 14.7031550, 14.7156000, 14.6787100, 14.3745090", \
+					  "18.2951240, 18.2631390, 18.2513380, 18.1226650, 18.1784360, 18.1367000, 18.0945730", \
+					  "21.6116410, 21.6983980, 21.5521870, 21.5744560, 21.4865100, 21.4347310, 21.4818810");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.8946903, 2.9045431, 2.9525468, 3.0514959, 3.1299171, 3.1464720, 3.1555498", \
+					  "6.8228548, 6.8115179, 6.7942206, 6.7782039, 6.8190961, 7.0145751, 7.0264094", \
+					  "7.4686177, 7.4649930, 7.4410218, 7.4259366, 7.4195077, 7.4178927, 7.6341182", \
+					  "8.1210212, 8.1513676, 8.0925700, 8.0820437, 8.0902611, 8.0708478, 8.1349007", \
+					  "8.8039237, 8.8303254, 8.7817045, 8.7595313, 8.7691521, 8.7518161, 8.7898907", \
+					  "9.4952006, 9.5215459, 9.4633867, 9.4941737, 9.4812656, 9.4502522, 9.4789038", \
+					  "10.1927230, 10.2178430, 10.1631420, 10.1831730, 10.1790100, 10.1499130, 10.1756690");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("5.0020615, 4.9856232, 4.9896985, 5.0820782, 5.3807872, 5.3642041, 5.2096780", \
+					  "4.9957827, 4.9949383, 4.9934235, 5.0857428, 5.3672408, 5.3470126, 5.1988902", \
+					  "4.9949489, 4.9773193, 4.9878369, 5.0739273, 5.3731468, 5.3563334, 5.1868270", \
+					  "4.9918113, 4.9655619, 4.9912939, 5.0857695, 5.3618937, 5.3485849, 5.1939035", \
+					  "4.9922023, 4.9911111, 4.9881435, 5.0802686, 5.3624630, 5.3429955, 5.1944194", \
+					  "4.9925727, 4.9874223, 4.9878075, 5.0836835, 5.3532647, 5.3473614, 5.1895536", \
+					  "4.9850441, 4.9877082, 4.9863716, 5.0847127, 5.3542952, 5.3430230, 5.1901613");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("3.6842937, 3.6757389, 3.6472643, 3.6546715, 3.7324548, 3.7521088, 3.7321495", \
+					  "3.6829525, 3.6717583, 3.6380140, 3.6559662, 3.7160709, 3.7458019, 3.7230263", \
+					  "3.6701134, 3.6695384, 3.6504811, 3.6447613, 3.7135054, 3.7538746, 3.7317286", \
+					  "3.6858005, 3.6683817, 3.6365013, 3.6601578, 3.7130816, 3.7468276, 3.7158532", \
+					  "3.6887784, 3.6662608, 3.6398076, 3.6440213, 3.7215079, 3.7556052, 3.7270998", \
+					  "3.6878177, 3.6691621, 3.6374613, 3.6572007, 3.7091514, 3.7405815, 3.7205966", \
+					  "3.6809681, 3.6715850, 3.6098253, 3.6488358, 3.7137153, 3.7564498, 3.7313817");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("3.4189851, 3.4379843, 3.4848742, 3.5984553, 3.8489678, 4.4348862, 5.9861955", \
+					  "5.5780895, 5.5972595, 5.6447185, 5.7573422, 6.0074865, 6.5949104, 8.1390423", \
+					  "7.2400161, 7.2592323, 7.3066415, 7.4196991, 7.6711091, 8.2568965, 9.7990949", \
+					  "7.6005611, 7.6194633, 7.6671318, 7.7804554, 8.0306712, 8.6173939, 10.1572980", \
+					  "9.7293293, 9.7485673, 9.7961985, 9.9088631, 10.1602010, 10.7459420, 12.2888540", \
+					  "11.4197440, 11.4444340, 11.4865290, 11.5998450, 11.8500010, 12.4362910, 13.9796300", \
+					  "12.6933670, 12.7199600, 12.7615300, 12.8742310, 13.1254920, 13.7114060, 15.2544410", \
+					  "14.4589950, 14.4935880, 14.5255510, 14.6388490, 14.8890690, 15.4749970, 17.0201910", \
+					  "37.2256750, 37.2256756, 37.2256794, 37.4053960, 37.6048740, 38.1694180, 39.7943400", \
+					  "50.3586190, 50.6023990, 50.6063350, 50.6063366, 51.0036240, 51.4381820, 52.9562920", \
+					  "103.7301200, 103.7301217, 103.8267100, 103.9083300, 104.1111900, 104.7480100, 106.2526800", \
+					  "282.1932700, 282.2925800, 282.2925873, 282.4834000, 282.6896000, 283.2231300, 284.8681200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("0.1955550, 0.2126646, 0.2592456, 0.3727667, 0.6557219, 1.4579247, 3.7486259", \
+					  "0.1955819, 0.2119907, 0.2597987, 0.3718576, 0.6554748, 1.4592568, 3.7497578", \
+					  "0.1954815, 0.2122697, 0.2593390, 0.3724305, 0.6560655, 1.4563112, 3.7376870", \
+					  "0.1982491, 0.2151525, 0.2595143, 0.3723035, 0.6560109, 1.4582693, 3.7385606", \
+					  "0.1949985, 0.2127848, 0.2602722, 0.3718633, 0.6569466, 1.4579912, 3.7513166", \
+					  "0.1981003, 0.2121190, 0.2594850, 0.3720302, 0.6573372, 1.4584495, 3.7479877", \
+					  "0.1968076, 0.2128549, 0.2603078, 0.3718714, 0.6569970, 1.4571192, 3.7476431", \
+					  "0.1982593, 0.2118304, 0.2595163, 0.3724354, 0.6559990, 1.4604929, 3.7521803", \
+					  "0.1952877, 0.2120627, 0.2592885, 0.3724634, 0.6569500, 1.4583980, 3.7497059", \
+					  "0.1954792, 0.2132750, 0.2597931, 0.3724496, 0.6560045, 1.4582939, 3.7481662", \
+					  "0.1955835, 0.2123474, 0.2593286, 0.3724480, 0.6554229, 1.4574385, 3.7478518", \
+					  "0.1951417, 0.2128469, 0.2591832, 0.3726594, 0.6559304, 1.4579309, 3.7504848");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("2.4462135, 2.4642253, 2.5095379, 2.6107996, 2.8255003, 3.3033976, 4.5096211", \
+					  "4.4698374, 4.4877555, 4.5323616, 4.6334891, 4.8492321, 5.3263509, 6.5344121", \
+					  "5.9471854, 5.9651821, 6.0095737, 6.1109917, 6.3267493, 6.8037043, 8.0121412", \
+					  "6.2502798, 6.2681318, 6.3121259, 6.4138757, 6.6291896, 7.1068400, 8.3138900", \
+					  "7.9540516, 7.9718392, 8.0162490, 8.1176106, 8.3336138, 8.8105052, 10.0164290", \
+					  "9.2381454, 9.2558823, 9.3003019, 9.4017043, 9.6174224, 10.0944020, 11.3028240", \
+					  "10.1546680, 10.1724090, 10.2167630, 10.3182480, 10.5339070, 11.0108690, 12.2193680", \
+					  "11.3861550, 11.4039330, 11.4481420, 11.5497170, 11.7653390, 12.2422840, 13.4508160", \
+					  "24.6280440, 24.6498630, 24.6908880, 24.7923780, 24.9960430, 25.4831920, 26.6933550", \
+					  "31.4152860, 31.4254360, 31.4774770, 31.5755460, 31.7937680, 32.2714730, 33.4802340", \
+					  "56.1544020, 56.1544056, 56.2034720, 56.3008020, 56.4847260, 56.9521710, 58.2191970", \
+					  "132.3064000, 132.3319200, 132.3699300, 132.4180100, 132.6330600, 133.1768700, 134.3795000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("0.1597063, 0.1741106, 0.2111846, 0.2986607, 0.5096386, 1.0740577, 2.6991520", \
+					  "0.1594085, 0.1737715, 0.2110081, 0.2985108, 0.5098765, 1.0718759, 2.6993958", \
+					  "0.1603089, 0.1741148, 0.2120475, 0.2982840, 0.5095762, 1.0745210, 2.6970406", \
+					  "0.1604871, 0.1745838, 0.2118817, 0.2988863, 0.5089483, 1.0746463, 2.6957299", \
+					  "0.1602202, 0.1750326, 0.2115756, 0.2987830, 0.5091224, 1.0722726, 2.6977098", \
+					  "0.1603463, 0.1741694, 0.2120521, 0.2982590, 0.5097306, 1.0745101, 2.6928386", \
+					  "0.1603428, 0.1741655, 0.2120520, 0.2982609, 0.5097141, 1.0745127, 2.6937512", \
+					  "0.1603382, 0.1741577, 0.2120518, 0.2982646, 0.5097005, 1.0745129, 2.6935632", \
+					  "0.1601089, 0.1750829, 0.2115938, 0.2987514, 0.5091875, 1.0744491, 2.6947466", \
+					  "0.1598664, 0.1747716, 0.2115984, 0.2987537, 0.5091221, 1.0745240, 2.6975233", \
+					  "0.1603399, 0.1740895, 0.2120012, 0.2982868, 0.5095666, 1.0745336, 2.6938713", \
+					  "0.1598824, 0.1751188, 0.2110148, 0.2983935, 0.5091783, 1.0745517, 2.6929150");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.8482961, 1.8677238, 1.9152067, 2.0277917, 2.2772801, 2.8635716, 4.4092663", \
+					  "2.1022242, 2.1214130, 2.1690909, 2.2817999, 2.5313818, 3.1187215, 4.6579283", \
+					  "1.9536026, 1.9726472, 2.0203914, 2.1331084, 2.3838909, 2.9700007, 4.5186130", \
+					  "1.9162480, 1.9354642, 1.9829600, 2.0958337, 2.3466586, 2.9327518, 4.4758304", \
+					  "1.6364837, 1.6555747, 1.7055621, 1.8160777, 2.0715445, 2.6525251, 4.1976920", \
+					  "1.3122493, 1.3312647, 1.3839420, 1.4917384, 1.7411017, 2.3264475, 3.8718948", \
+					  "1.0186154, 1.0378577, 1.0764936, 1.1982778, 1.4428084, 2.0272211, 3.5782708", \
+					  "0.5326876, 0.5502500, 0.5977327, 0.7237337, 0.9613923, 1.5566878, 3.0909286", \
+					  "-8.1062277, -8.0931821, -8.0387936, -7.9272757, -7.6755651, -7.0902031, -5.5456075", \
+					  "-14.4959940, -14.4796260, -14.4398880, -14.3136470, -14.0765800, -13.4801260, -11.9336560", \
+					  "-44.5532110, -44.5532093, -44.4951350, -44.3632370, -44.1409860, -43.5363280, -41.9933780", \
+					  "-166.1155700, -166.1155624, -166.0548900, -165.9413200, -165.7235900, -165.1242500, -163.5616500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1959194, 0.2136364, 0.2583008, 0.3706364, 0.6569070, 1.4587090, 3.7486059", \
+					  "0.1973301, 0.2140806, 0.2586630, 0.3723721, 0.6556294, 1.4592431, 3.7374175", \
+					  "0.1970065, 0.2143766, 0.2586116, 0.3713391, 0.6566414, 1.4588393, 3.7467047", \
+					  "0.1971662, 0.2141326, 0.2589706, 0.3717417, 0.6564563, 1.4589518, 3.7428873", \
+					  "0.1972853, 0.2139674, 0.2587175, 0.3718319, 0.6566248, 1.4575692, 3.7439372", \
+					  "0.1970035, 0.2143559, 0.2589174, 0.3713771, 0.6563113, 1.4600077, 3.7498022", \
+					  "0.1971702, 0.2141548, 0.2589158, 0.3715289, 0.6566231, 1.4591706, 3.7498564", \
+					  "0.1969079, 0.2141339, 0.2586032, 0.3713815, 0.6575228, 1.4589272, 3.7496894", \
+					  "0.1970050, 0.2143809, 0.2596064, 0.3713400, 0.6566354, 1.4588192, 3.7495762", \
+					  "0.1969429, 0.2137076, 0.2586138, 0.3714103, 0.6566127, 1.4588801, 3.7501944", \
+					  "0.1970263, 0.2140848, 0.2586073, 0.3719036, 0.6566257, 1.4588785, 3.7496233", \
+					  "0.1970020, 0.2143594, 0.2586150, 0.3713485, 0.6566148, 1.4589624, 3.7496952");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.9470563, 1.9653326, 2.0120761, 2.1169750, 2.3383495, 2.8227525, 4.0338359", \
+					  "3.5305057, 3.5490901, 3.5955157, 3.7001595, 3.9220720, 4.4063979, 5.6169802", \
+					  "4.8276090, 4.8479127, 4.8925850, 4.9973483, 5.2191305, 5.7036793, 6.9129933", \
+					  "5.1263056, 5.1449276, 5.1912945, 5.2959597, 5.5177916, 5.9997762, 7.2127722", \
+					  "6.9148594, 6.9341497, 6.9797212, 7.0844144, 7.3062911, 7.7915168, 8.9997068", \
+					  "8.4019272, 8.4199367, 8.4669614, 8.5716900, 8.7936183, 9.2773478, 10.4877590", \
+					  "9.5351949, 9.5470476, 9.6008570, 9.7056203, 9.9275077, 10.4039630, 11.6216610", \
+					  "11.1398690, 11.1615530, 11.2032780, 11.3080130, 11.5299310, 12.0202760, 13.2236820", \
+					  "33.6925260, 33.7100090, 33.7527340, 33.8592830, 34.0811110, 34.5671720, 35.7738270", \
+					  "48.3931220, 48.4123820, 48.4645530, 48.5629990, 48.7848660, 49.2696480, 50.4794500", \
+					  "113.3113300, 113.3301200, 113.3734100, 113.4805600, 113.7027100, 114.1918500, 115.3970900", \
+					  "361.3816100, 361.4004800, 361.4416900, 361.5524800, 361.7684600, 362.2552900, 363.4683300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1645753, 0.1798419, 0.2181413, 0.3060940, 0.5175130, 1.0825136, 2.6935834", \
+					  "0.1650947, 0.1798488, 0.2177133, 0.3082881, 0.5178197, 1.0810371, 2.6937295", \
+					  "0.1647919, 0.1800001, 0.2180078, 0.3081703, 0.5178190, 1.0809929, 2.6977907", \
+					  "0.1651747, 0.1798904, 0.2179619, 0.3075387, 0.5178530, 1.0819480, 2.6926812", \
+					  "0.1651708, 0.1798936, 0.2179612, 0.3085015, 0.5178215, 1.0813378, 2.6917302", \
+					  "0.1652119, 0.1798968, 0.2180212, 0.3085154, 0.5178748, 1.0821791, 2.6909970", \
+					  "0.1647955, 0.1798750, 0.2180019, 0.3086340, 0.5179017, 1.0819937, 2.6973397", \
+					  "0.1651212, 0.1803823, 0.2180133, 0.3085457, 0.5178692, 1.0807767, 2.6932545", \
+					  "0.1648347, 0.1799311, 0.2181851, 0.3080909, 0.5181567, 1.0820531, 2.6926994", \
+					  "0.1648224, 0.1799517, 0.2177542, 0.3083317, 0.5180227, 1.0821697, 2.6937603", \
+					  "0.1648832, 0.1804759, 0.2181387, 0.3082356, 0.5180540, 1.0821276, 2.6905571", \
+					  "0.1649667, 0.1802693, 0.2181877, 0.3088528, 0.5184865, 1.0827218, 2.6925684");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.8617798, 1.8810495, 1.9288016, 2.0413726, 2.2912061, 2.8783700, 4.4287147", \
+					  "3.0624252, 3.0814531, 3.1293002, 3.2422856, 3.4926986, 4.0787915, 5.6206479", \
+					  "3.9553960, 3.9745278, 4.0224317, 4.1332249, 4.3852579, 4.9709117, 6.5180439", \
+					  "4.1489745, 4.1680948, 4.2158872, 4.3306665, 4.5793018, 5.1653553, 6.7162351", \
+					  "5.3223665, 5.3413998, 5.3892379, 5.4985314, 5.7526566, 6.3387482, 7.8835125", \
+					  "6.2774861, 6.2961654, 6.3443686, 6.4476535, 6.7077837, 7.2938695, 8.8368157", \
+					  "6.9885342, 7.0304931, 7.0553150, 7.1887398, 7.4188646, 8.0049380, 9.5645589", \
+					  "8.0351699, 8.0533047, 8.1020444, 8.2134646, 8.4654559, 9.0515444, 10.5960360", \
+					  "22.1443360, 22.1881060, 22.2482690, 22.3885960, 22.6464840, 23.2323010, 24.7677190", \
+					  "31.3387220, 31.3659140, 31.4055770, 31.5354710, 31.7690270, 32.3551390, 33.9139490", \
+					  "71.5331300, 71.5559310, 71.6214640, 71.7166560, 72.0114810, 72.5536180, 74.1418160", \
+					  "224.9346400, 225.0131200, 225.0131302, 225.0935200, 225.3724300, 225.7939000, 227.3712000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1962088, 0.2131469, 0.2583355, 0.3709842, 0.6570502, 1.4559163, 3.7488022", \
+					  "0.1970199, 0.2143850, 0.2586477, 0.3713337, 0.6566873, 1.4567623, 3.7406247", \
+					  "0.1972016, 0.2140406, 0.2593221, 0.3713377, 0.6575565, 1.4587109, 3.7449022", \
+					  "0.1970927, 0.2143239, 0.2588691, 0.3716834, 0.6567051, 1.4598375, 3.7438235", \
+					  "0.1969486, 0.2143743, 0.2586552, 0.3718322, 0.6566581, 1.4588286, 3.7400945", \
+					  "0.1969446, 0.2139635, 0.2586555, 0.3718519, 0.6566667, 1.4588211, 3.7496526", \
+					  "0.1970592, 0.2143723, 0.2587823, 0.3722266, 0.6566431, 1.4588295, 3.7367558", \
+					  "0.1969967, 0.2143811, 0.2586585, 0.3713384, 0.6566810, 1.4588072, 3.7495393", \
+					  "0.1970125, 0.2143779, 0.2595980, 0.3718818, 0.6573603, 1.4575879, 3.7432932", \
+					  "0.1970040, 0.2139549, 0.2586784, 0.3713428, 0.6566485, 1.4588547, 3.7496117", \
+					  "0.1969657, 0.2143784, 0.2595896, 0.3713429, 0.6575107, 1.4588452, 3.7495940", \
+					  "0.1970679, 0.2143602, 0.2593117, 0.3719849, 0.6573710, 1.4588375, 3.7489545");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.5533144, 1.5718138, 1.6184135, 1.7233652, 1.9449475, 2.4294018, 3.6397485", \
+					  "2.7808928, 2.7998137, 2.8458860, 2.9506502, 3.1725331, 3.6569929, 4.8659894", \
+					  "3.7337191, 3.7475165, 3.7987586, 3.9034616, 4.1254249, 4.6097850, 5.8177090", \
+					  "3.9486241, 3.9660888, 4.0137338, 4.1184105, 4.3389710, 4.8247761, 6.0497292", \
+					  "5.2240146, 5.2426583, 5.2890270, 5.4111164, 5.6066822, 6.1002305, 7.3092217", \
+					  "6.2823085, 6.3007617, 6.3472787, 6.4493060, 6.6731074, 7.1634294, 8.3680316", \
+					  "7.0867032, 7.1040058, 7.1516779, 7.2568058, 7.4758901, 7.9500992, 9.1718606", \
+					  "8.2235436, 8.2425862, 8.2885609, 8.3959515, 8.6042018, 9.0994074, 10.2947720", \
+					  "24.0036030, 24.0320620, 24.0462100, 24.1870040, 24.3975470, 24.8875230, 26.1005140", \
+					  "34.1993920, 34.2478010, 34.2627970, 34.4225270, 34.6400030, 35.1292970, 36.3173420", \
+					  "79.2477980, 79.2683040, 79.2766670, 79.4348620, 79.5501190, 80.1437570, 81.3091390", \
+					  "250.6870700, 250.6991400, 250.7520100, 250.8297400, 251.0619800, 251.5338600, 252.7573600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1646162, 0.1795851, 0.2176863, 0.3063402, 0.5173905, 1.0822750, 2.6973386", \
+					  "0.1648404, 0.1798582, 0.2179914, 0.3086854, 0.5179308, 1.0813331, 2.6931821", \
+					  "0.1652076, 0.1798186, 0.2179783, 0.3085216, 0.5180640, 1.0811789, 2.6995151", \
+					  "0.1652551, 0.1803980, 0.2179102, 0.3083731, 0.5178966, 1.0825467, 2.6956639", \
+					  "0.1652585, 0.1799264, 0.2180791, 0.3085904, 0.5178908, 1.0821923, 2.6986586", \
+					  "0.1651391, 0.1799330, 0.2179931, 0.3083810, 0.5179290, 1.0821686, 2.6991431", \
+					  "0.1647106, 0.1799384, 0.2184545, 0.3087714, 0.5179343, 1.0822199, 2.6986955", \
+					  "0.1652593, 0.1799425, 0.2180834, 0.3084605, 0.5179360, 1.0820997, 2.6990783", \
+					  "0.1652566, 0.1803548, 0.2180949, 0.3086817, 0.5181270, 1.0822099, 2.6993805", \
+					  "0.1647130, 0.1799476, 0.2184425, 0.3085013, 0.5179442, 1.0810807, 2.6986822", \
+					  "0.1652850, 0.1799850, 0.2180880, 0.3086013, 0.5179852, 1.0821991, 2.6989917", \
+					  "0.1649342, 0.1805651, 0.2181083, 0.3087228, 0.5180252, 1.0818002, 2.7000824");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("19.7117020, 19.7479900, 19.8242740, 19.9792380, 20.2891590, 20.9349960, 22.3499870", \
+					  "19.8100170, 19.8467200, 19.9229770, 20.0747380, 20.3845140, 21.0328790, 22.4491340", \
+					  "19.9050070, 19.9405670, 20.0168130, 20.1717540, 20.4818850, 21.1276120, 22.5426680", \
+					  "20.0111540, 20.0491160, 20.1253130, 20.2802040, 20.5901380, 21.2360260, 22.6505890", \
+					  "20.1083150, 20.1440230, 20.2202570, 20.3751770, 20.6851120, 21.3310450, 22.7461040", \
+					  "20.2157260, 20.2512320, 20.3274360, 20.4824730, 20.7924020, 21.4383110, 22.8529210", \
+					  "20.3186800, 20.3540740, 20.4302780, 20.5851690, 20.8951350, 21.5409880, 22.9555520", \
+					  "20.4217850, 20.4591210, 20.5353490, 20.6902690, 21.0002080, 21.6460700, 23.0611870", \
+					  "20.5221000, 20.5554650, 20.6317420, 20.7867090, 21.0966360, 21.7425530, 23.1576960", \
+					  "20.6256750, 20.6629260, 20.7391860, 20.8914890, 21.2039610, 21.8496010, 23.2626980", \
+					  "20.7205100, 20.7566460, 20.8328470, 20.9872390, 21.2943440, 21.9431070, 23.3582170", \
+					  "20.8174600, 20.8548750, 20.9329600, 21.0813390, 21.3913310, 22.0420220, 23.4571780");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4200498, 0.4529484, 0.5251278, 0.6790626, 1.0291470, 1.8421012, 3.7293959", \
+					  "0.4172782, 0.4529352, 0.5251082, 0.6788649, 1.0291471, 1.8422085, 3.7295620", \
+					  "0.4200567, 0.4530295, 0.5250550, 0.6789032, 1.0291835, 1.8421187, 3.7323957", \
+					  "0.4200578, 0.4532228, 0.5248732, 0.6785916, 1.0296308, 1.8423063, 3.7199408", \
+					  "0.4200643, 0.4531083, 0.5249829, 0.6787820, 1.0291997, 1.8423019, 3.7308836", \
+					  "0.4201170, 0.4529675, 0.5250096, 0.6790792, 1.0290975, 1.8421029, 3.7264343", \
+					  "0.4200601, 0.4532210, 0.5248729, 0.6785919, 1.0296335, 1.8423069, 3.7199068", \
+					  "0.4200642, 0.4531712, 0.5249249, 0.6786732, 1.0293307, 1.8423101, 3.7313450", \
+					  "0.4201116, 0.4529477, 0.5251275, 0.6790622, 1.0291471, 1.8421009, 3.7294825", \
+					  "0.4201669, 0.4531636, 0.5246720, 0.6773505, 1.0298278, 1.8420981, 3.7291099", \
+					  "0.4201238, 0.4492913, 0.5218485, 0.6780007, 1.0290975, 1.8418246, 3.7312722", \
+					  "0.4201419, 0.4531530, 0.5250170, 0.6788443, 1.0291896, 1.8420975, 3.7322434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("18.6871980, 18.7212290, 18.7894940, 18.9244850, 19.1919960, 19.6751010, 20.4743640", \
+					  "18.7751660, 18.8044980, 18.8762430, 19.0071000, 19.2791400, 19.7573390, 20.5617460", \
+					  "18.8424510, 18.8736690, 18.9452100, 19.0779910, 19.3469080, 19.8290100, 20.6307540", \
+					  "18.9062950, 18.9410780, 19.0091040, 19.1461820, 19.4106580, 19.8960630, 20.6947150", \
+					  "18.9680680, 18.9997650, 19.0701960, 19.2030960, 19.4725500, 19.9533170, 20.7544430", \
+					  "19.0262810, 19.0591130, 19.1291750, 19.2628310, 19.5307770, 20.0131360, 20.8147140", \
+					  "19.0634440, 19.0978580, 19.1651530, 19.3016220, 19.5676350, 20.0515070, 20.8500110", \
+					  "19.1166580, 19.1482440, 19.2190990, 19.3492480, 19.6205580, 20.0990890, 20.9044850", \
+					  "19.1502070, 19.1841140, 19.2532030, 19.3953210, 19.6546480, 20.1456150, 20.9386840", \
+					  "19.2004330, 19.2288900, 19.3023800, 19.4339600, 19.7048010, 20.1837320, 20.9868210", \
+					  "19.2434580, 19.2741400, 19.3464590, 19.4837760, 19.7478940, 20.2341130, 21.0319250", \
+					  "19.2787870, 19.3121360, 19.3817850, 19.5201950, 19.7832290, 20.2700720, 21.0672650");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4804170, 0.5019549, 0.5508025, 0.6621381, 0.9006913, 1.3049970, 2.0055955", \
+					  "0.4768005, 0.5021137, 0.5490564, 0.6646866, 0.9023517, 1.3035829, 2.0115358", \
+					  "0.4804821, 0.5025371, 0.5511553, 0.6647458, 0.9022900, 1.3030903, 2.0013031", \
+					  "0.4803619, 0.5017618, 0.5497886, 0.6628396, 0.9010752, 1.3050586, 2.0045097", \
+					  "0.4766956, 0.5024637, 0.5515417, 0.6646403, 0.9036484, 1.3038127, 2.0097393", \
+					  "0.4805230, 0.5012646, 0.5510536, 0.6646296, 0.9016126, 1.3031647, 2.0021187", \
+					  "0.4783475, 0.5020228, 0.5505798, 0.6626954, 0.9030012, 1.3050325, 2.0115445", \
+					  "0.4781941, 0.5025282, 0.5507863, 0.6623032, 0.9007059, 1.3049186, 2.0094526", \
+					  "0.4805151, 0.5024395, 0.5506948, 0.6646216, 0.9008241, 1.3032648, 2.0038264", \
+					  "0.4765897, 0.5025304, 0.5516747, 0.6642417, 0.9034085, 1.3050283, 2.0111992", \
+					  "0.4805217, 0.5021517, 0.5506903, 0.6645453, 0.9008329, 1.3019003, 2.0038242", \
+					  "0.4805165, 0.5025293, 0.5506927, 0.6628431, 0.9008312, 1.3050446, 2.0038287");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.163007;
+			max_capacitance : 551.163000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.7287541, 21.7239251, 21.7536031, 21.8244681, 21.8773231, 18.6666261, -36.3452999", \
+					  "21.7276771, 21.7017751, 21.6988951, 21.7812801, 21.9992661, 18.6068471, -36.4515289", \
+					  "21.6653631, 21.6792291, 21.6998771, 21.7736651, 21.8538931, 18.5851361, -36.5543439", \
+					  "21.6477881, 21.6786261, 21.6651401, 21.7349131, 21.7917861, 18.7237361, -36.9685299", \
+					  "21.6434742, 21.6342872, 21.6416542, 21.7275212, 21.7032272, 18.5025922, -36.8892128", \
+					  "21.6091622, 21.6097872, 21.6279042, 21.7023032, 21.7803472, 18.4312292, -35.6947798", \
+					  "21.5789743, 21.5631103, 21.6282493, 21.6778833, 21.7310593, 18.2908063, -36.3082237");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.3332079, 14.3628809, 14.5816029, 15.7022669, 19.9152369, 28.9023159, 31.9836559", \
+					  "14.2222561, 14.2384761, 14.4725901, 15.5891971, 19.7941791, 28.8005871, 31.8831081", \
+					  "14.1142354, 14.1523884, 14.3648084, 15.4799334, 19.6888814, 28.6922384, 31.7778864", \
+					  "14.1086613, 14.1451313, 14.3609143, 15.4687193, 19.5959593, 28.6851593, 31.7562183", \
+					  "14.1042766, 14.1354826, 14.3544156, 15.4751456, 19.6773086, 28.6775756, 31.7690196", \
+					  "14.1027884, 14.1348934, 14.3515654, 15.4703794, 19.6726804, 28.6745544, 31.7447604", \
+					  "14.0971712, 14.1299102, 14.3454282, 15.4642002, 19.6688022, 28.6648512, 31.7549312");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7477090, 0.7476010, 0.7475523, 0.7473421, 0.7493293, 0.7474306, 0.7477519", \
+					  "1.4136890, 1.4141301, 1.4137546, 1.4138814, 1.4142852, 1.4177624, 1.4155133", \
+					  "2.9188869, 2.9183878, 2.9194811, 2.9184647, 2.9063030, 2.9014410, 2.9037031", \
+					  "4.9446075, 4.9535686, 5.0044485, 5.2273176, 5.5793489, 5.7308878, 5.7506511", \
+					  "5.9619072, 5.9753661, 6.0522104, 6.3731469, 6.8751034, 7.0813972, 7.1222746", \
+					  "6.1796296, 6.1934870, 6.2724980, 6.6002593, 7.1109831, 7.3194952, 7.3624383", \
+					  "6.2031726, 6.2167936, 6.2962808, 6.6242641, 7.1357461, 7.3471893, 7.3876162");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.2024544, 19.2112044, 19.2282044, 19.3243014, 19.7011314, 17.7991144, -2.7514158", \
+					  "19.2105872, 19.2056812, 19.2229312, 19.3186242, 19.7113602, 17.1623382, -2.8721128", \
+					  "19.2087160, 19.2197310, 19.2370040, 19.3416580, 19.6773370, 17.3956850, -4.2250487", \
+					  "19.2066280, 19.2038810, 19.2347720, 19.3314460, 19.7588450, 17.7886440, -3.9129256", \
+					  "19.2140657, 19.2200557, 19.2341467, 19.3240407, 19.6992597, 17.8467257, -1.3452976", \
+					  "19.2090127, 19.2080347, 19.2366077, 19.3223477, 19.7165797, 17.3882407, -1.1919276", \
+					  "19.2010736, 19.2163836, 19.2345306, 19.3230366, 19.6845986, 17.8410986, -1.4090319");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.8815609, 12.8688609, 12.9173999, 12.8226739, 13.6224279, 11.6387169, 13.7232549", \
+					  "12.7172851, 12.7436581, 12.8275181, 13.2390421, 13.2856051, 13.7542091, 13.6812531", \
+					  "12.5847114, 12.6379644, 12.7043644, 12.9817004, 13.4610584, 19.7908064, 13.4392924", \
+					  "12.6411293, 12.6572053, 12.7016973, 12.9895543, 13.4399163, 13.7878653, 13.6614713", \
+					  "12.6295386, 12.6360886, 12.6661356, 12.9617376, 13.4452516, 13.8643556, 13.6905306", \
+					  "12.6391904, 12.6566834, 12.7107614, 12.9310244, 13.3459194, 18.2986164, 13.4722474", \
+					  "12.5749502, 12.6732882, 12.6919802, 12.5624522, 13.6910602, 10.9478692, 13.7562492");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.3757921, 21.3812101, 21.4044011, 21.4925741, 21.8399621, 19.3297061, -0.1863904", \
+					  "21.3377581, 21.3491331, 21.3755111, 21.4665581, 21.8262291, 19.9921491, 0.7058135", \
+					  "21.3160831, 21.3210661, 21.3490171, 21.4269511, 21.8014171, 19.4298131, 0.5321973", \
+					  "21.2813121, 21.3101151, 21.3244631, 21.4051821, 21.7805901, 20.1796691, 0.6662268", \
+					  "21.2774632, 21.2784122, 21.3030092, 21.3786132, 21.7575982, 19.9319332, 0.7111265", \
+					  "21.2511852, 21.2532662, 21.2835322, 21.3575742, 21.7220362, 20.0040232, -1.5665158", \
+					  "21.2222723, 21.2389993, 21.2439003, 21.3501053, 21.7191813, 19.4756373, -0.9653961");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7480610, 0.7475271, 0.7478405, 0.7475363, 0.7475750, 0.7479284, 0.7476249", \
+					  "1.4182099, 1.4155598, 1.4195920, 1.4155683, 1.4198134, 1.4197736, 1.4182624", \
+					  "2.7869217, 2.7887133, 2.7862367, 2.7862133, 2.7822297, 2.7827350, 2.7814307", \
+					  "3.7968984, 3.7988695, 3.7976734, 3.8012105, 3.8006944, 3.8011762, 3.8012729", \
+					  "3.9332440, 3.9339348, 3.9356414, 3.9415151, 3.9464695, 3.9476509, 3.9480325", \
+					  "3.9360892, 3.9396935, 3.9387229, 3.9499141, 3.9518843, 3.9542188, 3.9543923", \
+					  "3.9423734, 3.9414618, 3.9466356, 3.9528741, 3.9632556, 3.9651265, 3.9655216");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.5411824, 18.5899164, 18.6835344, 18.4485344, 18.0008444, 12.6687504, -44.6173026", \
+					  "18.5413812, 18.5671552, 18.5132182, 18.4073352, 17.9213162, 13.8494592, -43.4073848", \
+					  "18.5835790, 18.5688090, 18.6080040, 18.4165350, 18.0394950, 10.8155360, -43.0783970", \
+					  "18.5431290, 18.5584130, 18.5374820, 18.4200760, 17.8088390, 13.2599900, -43.5466490", \
+					  "18.5367887, 18.5516077, 18.5360717, 18.4387487, 17.9303167, 13.7592427, -43.5299903", \
+					  "18.5696387, 18.5664017, 18.5272237, 18.4513277, 17.9338887, 13.1486117, -43.6486783", \
+					  "18.5611776, 18.5569676, 18.5335986, 18.4451246, 17.9177116, 14.0496736, -43.4674534");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9791079, 15.0858799, 15.8169219, 19.2618149, 26.2984579, 27.2251399, 28.5898659", \
+					  "14.8596921, 15.0001371, 15.7232791, 19.1873331, 26.1774241, 26.9881781, 28.5631231", \
+					  "14.7136494, 14.8368594, 15.5530224, 19.1115654, 26.0775714, 26.9967314, 28.4149604", \
+					  "14.6983443, 14.8109673, 15.6004023, 19.0228903, 26.0673333, 27.0128163, 28.4060643", \
+					  "14.7246036, 14.8297126, 15.5487236, 19.0348136, 26.0755516, 26.9882976, 28.4419516", \
+					  "14.6989944, 14.8316274, 15.5488974, 19.0991394, 26.0667044, 26.9800174, 28.4576464", \
+					  "14.7127232, 14.8278812, 15.6053112, 19.0554492, 26.0636522, 26.9776152, 28.6430962");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7558923, 0.7559933, 0.7559567, 0.7559581, 0.7558853, 0.7561256, 0.7559504", \
+					  "2.0848674, 2.0849045, 2.0848002, 2.0848242, 2.0848982, 2.0848758, 2.0848776", \
+					  "3.1872159, 3.1872305, 3.1872483, 3.1873176, 3.1869846, 3.1861534, 3.1858815", \
+					  "3.9043082, 3.9043303, 3.9041585, 3.9034920, 3.9016419, 3.8998074, 3.8990966", \
+					  "4.9836662, 4.9836094, 4.9832290, 4.9810632, 4.9746261, 4.9677314, 4.9654021", \
+					  "5.7050625, 5.7036768, 5.6961586, 5.6723881, 5.6422338, 5.6258051, 5.6212424", \
+					  "5.7822940, 5.7802631, 5.7711649, 5.7384107, 5.6996591, 5.6803213, 5.6755231");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.0432389, 13.0730269, 13.2275869, 13.9979079, 17.9171829, 28.4056109, 30.3855699", \
+					  "12.9187681, 12.9632131, 13.1170781, 13.8792381, 17.8047171, 28.2850621, 30.2622171", \
+					  "12.8248154, 12.8519944, 13.0203654, 13.7737074, 17.7012834, 28.1459514, 30.1493724", \
+					  "12.8184503, 12.8458243, 13.0009193, 13.7621593, 17.6930993, 28.1193743, 30.1507703", \
+					  "12.8150276, 12.8452076, 13.0041336, 13.7658176, 17.6859556, 28.1802416, 30.2529236", \
+					  "12.8109624, 12.8400394, 13.0040804, 13.7618614, 17.6888194, 28.1611684, 30.1422674", \
+					  "12.8045032, 12.8329062, 12.9994732, 13.7577892, 17.6751832, 28.1818122, 30.2128282");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7557867, 0.7559534, 0.7558206, 0.7557895, 0.7559291, 0.7558620, 0.7558537", \
+					  "2.1072505, 2.1082174, 2.1081942, 2.1069526, 2.1083688, 2.1069517, 2.1089703", \
+					  "3.3402778, 3.3402990, 3.3403549, 3.3403860, 3.3383977, 3.3357438, 3.3340432", \
+					  "4.0904041, 4.0906548, 4.0905476, 4.0900446, 4.0895280, 4.0871081, 4.0855863", \
+					  "5.1696186, 5.1695284, 5.1690175, 5.1666535, 5.1607125, 5.1542186, 5.1511767", \
+					  "5.8921493, 5.8904016, 5.8833621, 5.8583049, 5.8283371, 5.8124275, 5.8072728", \
+					  "5.9670325, 5.9651928, 5.9550213, 5.9228617, 5.8847082, 5.8661778, 5.8603722");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.5941494, 18.5342714, 18.5613174, 18.4498354, 18.1978234, 14.1685734, -42.0431156", \
+					  "18.4990542, 18.5670432, 18.5469942, 18.4032972, 17.6992582, 14.1809542, -41.0068118", \
+					  "18.5964190, 18.5683650, 18.5883160, 18.4727260, 18.0420380, 13.8623540, -40.6742860", \
+					  "18.5980190, 18.5738660, 18.5358390, 18.3539880, 18.2212920, 14.0666130, -41.4250410", \
+					  "18.5611737, 18.5639297, 18.5365297, 18.4389397, 17.9309237, 14.0799037, -41.4200363", \
+					  "18.5628597, 18.5156147, 18.4931397, 18.4473967, 18.1882047, 14.2683637, -41.5552833", \
+					  "18.5598726, 18.5853816, 18.5859106, 18.4375606, 17.9233196, 14.4711396, -40.9230334");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7475766, 0.7474587, 0.7472565, 0.7475993, 0.7471688, 0.7475966, 0.7476997", \
+					  "1.4155191, 1.4148315, 1.4148426, 1.4155355, 1.4155301, 1.4148157, 1.4136985", \
+					  "2.7888004, 2.7869658, 2.7863243, 2.7861908, 2.7845484, 2.7841009, 2.7841334", \
+					  "3.7988101, 3.7971400, 3.7977801, 3.8012868, 3.8025818, 3.8029287, 3.8031291", \
+					  "3.9335810, 3.9339127, 3.9358803, 3.9415529, 3.9463429, 3.9476986, 3.9480954", \
+					  "3.9391974, 3.9397820, 3.9423719, 3.9499254, 3.9566574, 3.9585812, 3.9591151", \
+					  "3.9409197, 3.9414735, 3.9443042, 3.9528919, 3.9605052, 3.9626250, 3.9632252");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7250008, 0.7251310, 0.7247349, 0.7250678, 0.7251171, 0.7249372, 0.7250967", \
+					  "1.2037681, 1.2035199, 1.2035031, 1.2036022, 1.2027537, 1.2033475, 1.2027501", \
+					  "2.1432272, 2.1433721, 2.1433701, 2.1434521, 2.1424006, 2.1433710, 2.1435612", \
+					  "3.7825115, 3.7825280, 3.7825167, 3.7825132, 3.7824815, 3.7822617, 3.7825674", \
+					  "4.1328647, 4.1336254, 4.1331869, 4.1318867, 4.1308398, 4.1293102, 4.1304794", \
+					  "4.2135725, 4.2133405, 4.2120447, 4.2084039, 4.2054978, 4.2049340, 4.2044774", \
+					  "4.2263117, 4.2259465, 4.2243779, 4.2202687, 4.2153655, 4.2139370, 4.2138602");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.6483072, 8.6566312, 8.6033622, 8.4324139, 8.9667504, 8.5733845, 8.3385931", \
+					  "8.5693995, 8.6789160, 8.6068860, 8.6210881, 8.9032843, 8.3999092, 8.6923844", \
+					  "8.5785593, 8.5915212, 8.6033789, 8.6305637, 8.3709745, 5.6792097, 8.3186656", \
+					  "8.5758673, 8.5791009, 8.6287235, 8.5448843, 8.3743619, 5.5869670, 8.1982363", \
+					  "8.5632498, 8.5942484, 8.6100743, 8.6436195, 8.2313365, 10.8150607, 8.6915551", \
+					  "8.5709714, 8.5887211, 8.6045175, 8.6018148, 8.3935664, 8.5276657, 8.5056001", \
+					  "8.6230879, 8.6078483, 8.5936904, 8.6319463, 8.6180451, 5.9116843, 8.3927270");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.7222311, 20.6894901, 20.6782341, 20.6187141, 20.0479411, 16.3271721, -39.3872619", \
+					  "20.6926361, 20.6941521, 20.6576151, 20.5838651, 19.9478741, 16.3239381, -39.3475839", \
+					  "20.6686671, 20.6597201, 20.6468241, 20.5455851, 20.0342891, 16.2409941, -40.0093159", \
+					  "20.6642431, 20.6444381, 20.6052361, 20.5151751, 19.9849461, 16.2228431, -39.8740709", \
+					  "20.6187382, 20.6214762, 20.5970592, 20.5049302, 19.9918652, 16.2677832, -39.0356868", \
+					  "20.6032332, 20.6003012, 20.5741782, 20.5054032, 19.9912832, 16.0783682, -38.9211678", \
+					  "20.5860633, 20.5759173, 20.5734263, 20.4183403, 19.9049293, 15.8680753, -38.1074447");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.4864899, 10.3545759, 10.3738569, 10.4221179, 9.3722132, 5.8731749, 10.4965829", \
+					  "10.2855901, 10.2444701, 10.2897701, 10.2410231, 10.0590611, 9.7331368, 10.2717191", \
+					  "10.1656684, 10.1356604, 10.0649474, 10.1427604, 10.3069474, 4.1572725, 9.7106864", \
+					  "10.0946923, 10.1408033, 10.1299733, 10.2446763, 10.0688353, 9.6184128, 10.0208323", \
+					  "10.2007106, 10.1255936, 10.2074616, 10.1268356, 9.6495816, 5.7126145, 10.2253766", \
+					  "10.1144104, 10.1284164, 10.1093664, 10.1573204, 10.4455674, 7.7137182, 10.3939234", \
+					  "10.0693662, 10.1235992, 10.1201402, 10.0975702, 10.3305182, 11.2258712, 10.2996512");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7469722, 0.7476897, 0.7475143, 0.7477177, 0.7472166, 0.7478218, 0.7465203", \
+					  "1.4182070, 1.4158670, 1.4158668, 1.4152540, 1.4155155, 1.4105148, 1.4154884", \
+					  "2.9224474, 2.9182880, 2.9233972, 2.9182763, 2.9069991, 2.9029687, 2.8984304", \
+					  "4.9562865, 4.9575403, 5.0074561, 5.2332503, 5.5823508, 5.7262227, 5.7544198", \
+					  "5.9753392, 5.9883518, 6.0654347, 6.3861326, 6.8886191, 7.0955811, 7.1365752", \
+					  "6.1913750, 6.2059250, 6.2852665, 6.6153050, 7.1270940, 7.3354121, 7.3747444", \
+					  "6.2126316, 6.2258810, 6.3084712, 6.6387673, 7.1479655, 7.3583679, 7.4012329");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.6227274, 24.6127914, 24.7773764, 25.2348944, 24.9107504, 20.5533474, -0.4316650", \
+					  "24.6235142, 24.6089282, 24.7771722, 25.2337432, 24.9242662, 20.5459252, -0.3805059", \
+					  "24.6244370, 24.6089550, 24.7724890, 25.2363400, 24.9190610, 20.8000330, -1.6166988", \
+					  "24.6099860, 24.5828810, 24.7705570, 25.2345380, 24.9897510, 20.5523170, -0.4554908", \
+					  "24.6245277, 24.6088977, 24.7694537, 25.2318827, 24.9093917, 20.5447707, -0.3644920", \
+					  "24.6208087, 24.6068137, 24.7680437, 25.2375037, 24.9197817, 20.7525787, -1.0621158", \
+					  "24.5917036, 24.6071156, 24.7747696, 25.2307436, 24.9192266, 20.7822826, 0.5363983");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.2013221, 21.1967791, 21.2231471, 21.3268921, 21.6653021, 19.8295601, -0.9241543", \
+					  "21.1726041, 21.1737001, 21.1952541, 21.2720321, 21.6579281, 20.0279241, -0.9724187", \
+					  "21.1452041, 21.1486861, 21.1639261, 21.2554361, 21.6192681, 20.0163241, -0.7822676", \
+					  "21.1185981, 21.1218131, 21.1436251, 21.2454101, 21.6069461, 19.0788051, -1.4687080", \
+					  "21.0885172, 21.0975962, 21.1227712, 21.1935962, 21.5742832, 19.7780892, -1.5274246", \
+					  "21.0736712, 21.0735892, 21.0978942, 21.1966332, 21.5613032, 18.9942562, -0.5479219", \
+					  "21.0469783, 21.0539983, 21.0816583, 21.1756533, 21.5291153, 18.9785783, -1.5712536");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.9793575, 0.9794234, 0.9795503, 0.9795130, 0.9779527, 0.9766595, 0.9763755", \
+					  "1.9882802, 1.9878413, 1.9859264, 1.9765805, 1.9612937, 1.9538429, 1.9521726", \
+					  "3.9706288, 3.9769441, 4.0127631, 4.1596165, 4.3648313, 4.4370027, 4.4516260", \
+					  "5.3382506, 5.3506135, 5.4222563, 5.7270459, 6.2147717, 6.4187192, 6.4553492", \
+					  "6.2699920, 6.2835762, 6.3614848, 6.6868404, 7.1950780, 7.4083145, 7.4438607", \
+					  "7.1514726, 7.1653652, 7.2439299, 7.5715203, 8.0811734, 8.2897808, 8.3310863", \
+					  "7.3948460, 7.4101628, 7.4904571, 7.8143854, 8.3189107, 8.5407445, 8.5712609");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.4864949, 10.3554819, 10.3184169, 10.4306569, 9.5474030, 9.4032396, 9.8026649", \
+					  "10.2373481, 10.2426991, 10.2365711, 10.2853661, 9.9141201, 10.1712331, 10.2672711", \
+					  "10.1648084, 10.1853724, 10.0688334, 10.1427324, 9.8181754, 10.7895294, 10.4420534", \
+					  "10.0951473, 10.1409493, 10.1326473, 10.2435763, 10.1432333, 9.5239311, 10.0470893", \
+					  "10.1562766, 10.1255776, 10.1003936, 10.1189436, 9.9857686, 8.8559760, 10.2374836", \
+					  "10.1135524, 10.1286844, 10.1089174, 10.0494404, 10.4360774, 6.6798208, 10.3585474", \
+					  "10.0796182, 10.1235712, 10.1209632, 10.0982052, 10.4713132, 8.7074844, 10.0027222");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.7197441, 20.7178391, 20.7018941, 20.6094921, 20.1484511, 16.1601201, -39.3261409", \
+					  "20.6924151, 20.6936541, 20.6652691, 20.5568551, 20.0225081, 16.1485101, -38.2216889", \
+					  "20.6721161, 20.6599681, 20.6468621, 20.5429001, 20.0356011, 16.2964491, -39.0735929", \
+					  "20.6792451, 20.6445471, 20.6057151, 20.5224541, 20.0769591, 16.3080561, -39.1409209", \
+					  "20.6187332, 20.6215872, 20.5973202, 20.5051212, 19.9926372, 16.1848872, -39.4856568", \
+					  "20.5944952, 20.6001672, 20.5904062, 20.4660772, 19.9769182, 16.1579622, -38.3225598", \
+					  "20.5816233, 20.5748593, 20.5917603, 20.4870003, 19.7002463, 15.6881693, -40.1594147");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.7898381, 27.8143241, 27.9950181, 28.6681171, 28.9346221, 25.0274471, 4.0990053", \
+					  "27.7621961, 27.7892541, 27.9680241, 28.6340401, 28.8618941, 25.0409771, 3.7530250", \
+					  "27.7371851, 27.7643651, 27.9429321, 28.6097701, 28.8253401, 25.0104861, 3.9070509", \
+					  "27.7151221, 27.7455681, 27.9212331, 28.5864291, 28.8353461, 24.9923641, 4.8212175", \
+					  "27.6970282, 27.7205942, 27.8977142, 28.5686552, 28.8173282, 24.9935082, 3.8568336", \
+					  "27.6667912, 27.6967222, 27.8740492, 28.5443022, 28.7946752, 24.9566252, 4.1816951", \
+					  "27.6341693, 27.6728883, 27.8460293, 28.5223713, 28.7198493, 24.8401693, 3.8282811");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.8504459, 16.9109359, 17.1926509, 18.5695269, 23.1908879, 32.3339419, 35.4360199", \
+					  "16.7624571, 16.8007681, 17.0872771, 18.4661861, 22.9940311, 32.2212611, 35.3362931", \
+					  "16.6539354, 16.7013284, 16.9623004, 18.3556404, 22.9454794, 32.1119484, 35.2240704", \
+					  "16.6273753, 16.6883913, 16.9716523, 18.3462303, 22.8259103, 32.1102963, 35.2185003", \
+					  "16.6228996, 16.6815256, 16.9747566, 18.3434706, 22.9605956, 32.1129566, 35.1861716", \
+					  "16.6189884, 16.6731394, 16.9636724, 18.3380054, 22.8143564, 32.1009334, 35.2095784", \
+					  "16.6292022, 16.6721232, 16.9575322, 18.3321192, 22.9523922, 32.1068582, 35.2083632");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7247319, 0.7251232, 0.7251533, 0.7250775, 0.7251265, 0.7250105, 0.7251444", \
+					  "1.2029590, 1.2029346, 1.2034788, 1.2029269, 1.2034492, 1.2029388, 1.2045062", \
+					  "2.1424134, 2.1434592, 2.1434759, 2.1424379, 2.1436483, 2.1427163, 2.1438146", \
+					  "3.7331339, 3.7355022, 3.7354445, 3.7326039, 3.7322739, 3.7283322, 3.7289467", \
+					  "4.2677308, 4.2677086, 4.2676805, 4.2677086, 4.2682265, 4.2659748, 4.2645983", \
+					  "4.4804025, 4.4794441, 4.4787931, 4.4780654, 4.4726687, 4.4692760, 4.4648984", \
+					  "4.6485858, 4.6478568, 4.6420962, 4.6227463, 4.5971405, 4.5817912, 4.5772250");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7828404, 11.8049614, 11.9598554, 12.7323774, 16.6256424, 27.1539724, 29.1544364", \
+					  "11.7640502, 11.7943492, 11.9585562, 12.7162512, 16.6311432, 27.1265572, 29.0514392", \
+					  "11.7759950, 11.8023680, 11.9582410, 12.7238310, 16.6387210, 27.1417780, 29.1642450", \
+					  "11.7757690, 11.8016790, 11.9570280, 12.7232540, 16.6473430, 27.1308110, 29.1844880", \
+					  "11.7749887, 11.8034567, 11.9553057, 12.7252937, 16.6277807, 27.1233297, 29.1958907", \
+					  "11.7726417, 11.7877377, 11.9552127, 12.7217427, 16.6463877, 27.1174677, 29.2059847", \
+					  "11.7726526, 11.8025226, 11.9572146, 12.7217616, 16.6622436, 27.1789106, 29.1088116");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7251471, 0.7249111, 0.7247191, 0.7251418, 0.7249641, 0.7247958, 0.7251156", \
+					  "1.2028544, 1.2031632, 1.2035280, 1.2034292, 1.2035897, 1.2028050, 1.2031732", \
+					  "2.1470436, 2.1468183, 2.1477509, 2.1473322, 2.1474764, 2.1469855, 2.1469449", \
+					  "3.9904133, 3.9903222, 3.9901652, 3.9888759, 3.9842663, 3.9809495, 3.9768898", \
+					  "4.5331695, 4.5329574, 4.5326317, 4.5293336, 4.5187769, 4.5064599, 4.5026470", \
+					  "4.7114854, 4.7113792, 4.7012089, 4.6728913, 4.6369279, 4.6149047, 4.6088666", \
+					  "4.7510859, 4.7481330, 4.7384714, 4.7074203, 4.6690994, 4.6452699, 4.6384629");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.8326189, 8.8352791, 8.9003183, 9.1933920, 10.8677484, 14.2538184, 18.2171054", \
+					  "8.8276538, 8.8469630, 8.8961606, 9.1877945, 10.8850102, 14.3509492, 18.3453952", \
+					  "8.8330155, 8.8438835, 8.8954655, 9.1780407, 10.8740070, 14.2873310, 18.1717570", \
+					  "8.8311336, 8.8415663, 8.8951432, 9.1760322, 10.8550990, 14.3077650, 18.3275960", \
+					  "8.8352857, 8.8427771, 8.8905713, 9.1808296, 10.8631427, 14.3132037, 18.2305387", \
+					  "8.8332043, 8.8403470, 8.8847031, 9.1756916, 10.8646807, 14.2512597, 18.0704637", \
+					  "8.8408980, 8.8390072, 8.8839365, 9.1781352, 10.8812186, 14.2935206, 18.1955826");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.7095454, 13.8238904, 14.5746684, 18.0046124, 25.0351614, 26.1136044, 27.3911994", \
+					  "13.7041762, 13.8333622, 14.5579252, 18.0601112, 25.0418292, 25.7319572, 27.1885872", \
+					  "13.7061100, 13.8166880, 14.5735160, 17.9907790, 25.0365640, 25.8531370, 27.4097200", \
+					  "13.6955450, 13.8120040, 14.5516950, 17.9901560, 25.0829890, 25.8476940, 27.3781570", \
+					  "13.6943827, 13.8178517, 14.4998747, 17.9908727, 25.0429157, 25.9826967, 27.3610127", \
+					  "13.7023747, 13.8289677, 14.5666497, 18.0535917, 25.0159777, 25.8493347, 27.4075327", \
+					  "13.7018566, 13.8181456, 14.5554386, 17.9990816, 25.0243496, 25.9318266, 27.0520106");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7248339, 0.7251560, 0.7256462, 0.7251163, 0.7249288, 0.7251635, 0.7250085", \
+					  "1.2030070, 1.2035331, 1.2035242, 1.2035302, 1.2029656, 1.2035290, 1.2035131", \
+					  "2.1401984, 2.1401737, 2.1401628, 2.1402125, 2.1402127, 2.1402886, 2.1402593", \
+					  "3.6062310, 3.6062219, 3.6062133, 3.6061395, 3.6053489, 3.6045765, 3.6043692", \
+					  "4.0845249, 4.0845097, 4.0843401, 4.0840951, 4.0831531, 4.0820594, 4.0816344", \
+					  "4.2942229, 4.2941765, 4.2938824, 4.2923437, 4.2871036, 4.2815095, 4.2796022", \
+					  "4.4622401, 4.4612344, 4.4553962, 4.4373898, 4.4118603, 4.3964134, 4.3920163");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7484383, 0.7476583, 0.7476751, 0.7476703, 0.7475150, 0.7494872, 0.7477327", \
+					  "1.4136237, 1.4137795, 1.4139125, 1.4139549, 1.4143008, 1.4145232, 1.4159698", \
+					  "2.9202944, 2.9206342, 2.9203235, 2.9187280, 2.9064052, 2.8998128, 2.8999437", \
+					  "4.9497748, 4.9584792, 5.0045756, 5.2270547, 5.5790241, 5.7221058, 5.7587405", \
+					  "5.9611587, 5.9747698, 6.0515820, 6.3724178, 6.8746073, 7.0811422, 7.1221276", \
+					  "6.1799128, 6.1941159, 6.2722695, 6.6001491, 7.1107793, 7.3204389, 7.3616628", \
+					  "6.2042061, 6.2179520, 6.2959278, 6.6235802, 7.1353935, 7.3455804, 7.3883188");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.2123454, 19.2082064, 19.2440894, 19.3273164, 19.6754504, 17.7675444, -3.8940836", \
+					  "19.2116162, 19.2185792, 19.2265972, 19.3242112, 19.6312002, 17.7260312, -2.8441187", \
+					  "19.2090580, 19.2083310, 19.2455090, 19.3362490, 19.6750180, 17.3940630, -4.1532086", \
+					  "19.2045280, 19.2067470, 19.2303830, 19.3199500, 19.6922230, 17.2002460, -3.1258493", \
+					  "19.2088717, 19.2161647, 19.2196277, 19.3243337, 19.6864227, 17.8449317, -3.4911613", \
+					  "19.2045787, 19.2195007, 19.2222627, 19.3148177, 19.7026027, 17.2100257, -3.1061553", \
+					  "19.2006886, 19.2048676, 19.2347406, 19.3282406, 19.6846896, 18.1030086, -1.3236760");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.5574631, 26.5803511, 26.7294001, 27.2317101, 26.9555681, 22.5072671, 0.6594860", \
+					  "26.5305231, 26.5521121, 26.7053381, 27.2058931, 26.9385011, 22.4972741, 1.3951429", \
+					  "26.5164601, 26.5329001, 26.6758591, 27.1821981, 26.9009611, 22.5113721, 0.9839078", \
+					  "26.4813281, 26.5088871, 26.6542071, 27.1591311, 26.8105281, 22.4658771, 1.1798188", \
+					  "26.4592032, 26.4841802, 26.6303102, 27.1381172, 26.7882012, 22.4617052, 1.0030656", \
+					  "26.4458942, 26.4626972, 26.6125732, 27.1151572, 26.8401002, 22.3435282, 1.1630666", \
+					  "26.4217893, 26.4386323, 26.5880903, 27.0902393, 26.8150363, 22.3373973, 1.4890349");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.9808974, 0.9788764, 0.9809645, 0.9791898, 0.9787849, 0.9771645, 0.9765650", \
+					  "1.9888706, 1.9874653, 1.9853116, 1.9762841, 1.9598600, 1.9526431, 1.9510762", \
+					  "3.9756296, 3.9803142, 4.0154142, 4.1616184, 4.3652459, 4.4377070, 4.4513472", \
+					  "5.3480592, 5.3610193, 5.4316845, 5.7376139, 6.2256484, 6.4261365, 6.4678331", \
+					  "6.2891802, 6.3029388, 6.3794613, 6.7028944, 7.2116784, 7.4191227, 7.4600665", \
+					  "7.1641847, 7.1782680, 7.2567773, 7.5839904, 8.0934969, 8.3076216, 8.3490685", \
+					  "7.4091202, 7.4181182, 7.4963005, 7.8248372, 8.3361374, 8.5486592, 8.5897236");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.6040814, 24.6106154, 24.7652774, 25.2403084, 24.9099494, 20.5426234, -1.0369030", \
+					  "24.5834942, 24.6089932, 24.7780312, 25.2401302, 24.9929012, 20.5850552, -0.3539471", \
+					  "24.6055410, 24.6104590, 24.7743770, 25.2384710, 24.9070900, 20.5200750, -1.1470525", \
+					  "24.5888990, 24.6080600, 24.7703870, 25.2373170, 24.9058500, 20.6489560, -1.4319833", \
+					  "24.5808057, 24.6075377, 24.7695507, 25.2365487, 24.9910437, 20.6201597, -0.3473747", \
+					  "24.5996037, 24.6064647, 24.7683677, 25.2347107, 24.9194447, 20.5552907, -0.7402001", \
+					  "24.5820036, 24.6062536, 24.7690226, 25.2384856, 24.9925346, 20.6262426, -1.6882867");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7477085, 0.7476854, 0.7471703, 0.7476397, 0.7477333, 0.7476989, 0.7475590", \
+					  "1.4160044, 1.4159654, 1.4159105, 1.4159349, 1.4156397, 1.4159088, 1.4160020", \
+					  "2.9212540, 2.9213955, 2.9223165, 2.9213938, 2.9098690, 2.9030192, 2.8985857", \
+					  "4.9564474, 4.9652563, 5.0166263, 5.2398305, 5.5932783, 5.7371892, 5.7568883", \
+					  "5.9749706, 5.9883353, 6.0641423, 6.3859756, 6.8886755, 7.0950277, 7.1363389", \
+					  "6.1945925, 6.2083766, 6.2871565, 6.6152356, 7.1258909, 7.3358085, 7.3777437", \
+					  "6.2157971, 6.2309915, 6.3100389, 6.6386892, 7.1511994, 7.3617992, 7.4035097");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7250263, 0.7249284, 0.7253619, 0.7250097, 0.7249257, 0.7251643, 0.7252109", \
+					  "1.2031039, 1.2037282, 1.2035147, 1.2034816, 1.2028042, 1.2029198, 1.2034663", \
+					  "2.1468994, 2.1468380, 2.1476655, 2.1475612, 2.1472719, 2.1479647, 2.1460154", \
+					  "3.9971132, 3.9937180, 3.9967954, 3.9954939, 3.9874500, 3.9874829, 3.9834402", \
+					  "4.5372153, 4.5369048, 4.5363562, 4.5328945, 4.5220811, 4.5096189, 4.5058029", \
+					  "4.7125553, 4.7108683, 4.7022732, 4.6742041, 4.6386917, 4.6159226, 4.6098385", \
+					  "4.7501821, 4.7484465, 4.7382997, 4.7060303, 4.6693188, 4.6456841, 4.6394252");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.8344508, 8.8434766, 8.8930862, 9.1742354, 10.7924924, 14.2458444, 18.3329744", \
+					  "8.8390423, 8.8358590, 8.8942065, 9.1744112, 10.9037922, 14.3501482, 18.3540862", \
+					  "8.8325350, 8.8423179, 8.8952218, 9.1786600, 10.8740920, 14.2571020, 18.1558080", \
+					  "8.8393831, 8.8407006, 8.8906806, 9.1819173, 10.8822400, 14.3554170, 18.3261790", \
+					  "8.8243682, 8.8502945, 8.8922713, 9.1808079, 10.8497377, 14.2786897, 18.2664177", \
+					  "8.8317758, 8.8423281, 8.8936889, 9.1783197, 10.8771657, 14.2745847, 18.1834027", \
+					  "8.8302434, 8.8438514, 8.8900254, 9.1887561, 10.9009426, 14.2769586, 18.2079966");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7247186, 0.7251129, 0.7251136, 0.7251209, 0.7245720, 0.7249536, 0.7251139", \
+					  "1.2035010, 1.2028855, 1.2029224, 1.2029564, 1.2038705, 1.2029376, 1.2038846", \
+					  "2.1437845, 2.1426938, 2.1427734, 2.1428378, 2.1440209, 2.1439205, 2.1440575", \
+					  "3.7894625, 3.7894629, 3.7894790, 3.7894833, 3.7895501, 3.7895462, 3.7891451", \
+					  "4.1370670, 4.1369712, 4.1365262, 4.1352478, 4.1342421, 4.1339589, 4.1322402", \
+					  "4.2146472, 4.2144017, 4.2131488, 4.2094608, 4.2065703, 4.2057246, 4.2055421", \
+					  "4.2266434, 4.2263043, 4.2245722, 4.2198533, 4.2162385, 4.2143273, 4.2140654");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.5490090, 8.5914603, 8.6001235, 8.6955610, 8.9126357, 8.4365885, 8.2738784", \
+					  "8.5924609, 8.6255655, 8.6897780, 8.6642897, 8.5785597, 8.5970440, 8.4087268", \
+					  "8.6235268, 8.6404447, 8.6845908, 8.5424771, 8.7599220, 8.7654558, 8.3618993", \
+					  "8.6214776, 8.6308659, 8.6856675, 8.5219608, 8.7593707, 8.7572015, 8.8043703", \
+					  "8.6227361, 8.6272455, 8.7047122, 8.5704350, 8.4605238, 8.1689298, 8.7054416", \
+					  "8.6506065, 8.5893889, 8.7392907, 8.7692641, 8.6645701, 8.7452712, 8.7894511", \
+					  "8.6016918, 8.5940694, 8.5992216, 8.5157233, 8.6378591, 8.6719108, 8.5169228");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.7462981, 26.7618451, 26.9099541, 27.4140121, 27.0571811, 22.7352831, 1.6062942", \
+					  "26.7218601, 26.7390261, 26.8833211, 27.3840941, 27.1132201, 22.7145061, 1.1457341", \
+					  "26.6844001, 26.7105881, 26.8526031, 27.3624031, 27.0150181, 22.5888361, 1.3547321", \
+					  "26.6625301, 26.6887471, 26.8336661, 27.3363101, 27.0280411, 22.5131701, 1.2798655", \
+					  "26.6346482, 26.6665832, 26.8203562, 27.3159702, 26.9676652, 22.5410032, 2.2657088", \
+					  "26.6207092, 26.6442562, 26.7929652, 27.2919382, 26.9417512, 22.5211672, 1.2555428", \
+					  "26.6028723, 26.6194043, 26.7672673, 27.2675713, 26.9858473, 22.4964223, 0.9286674");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.8645919, 12.8974519, 12.9506699, 13.0973809, 13.0769629, 17.3624249, 13.3907219", \
+					  "12.7553911, 12.7647301, 12.7744601, 13.1829151, 13.5104711, 14.2285031, 13.5862581", \
+					  "12.6581424, 12.6728514, 12.7693324, 12.9005354, 13.0879964, 13.9587174, 13.6973774", \
+					  "12.6324033, 12.6348623, 12.7155513, 12.8660333, 13.5250673, 15.0301413, 13.5265843", \
+					  "12.7447956, 12.7580796, 12.7847956, 12.9321766, 13.4907346, 13.6367376, 13.6478406", \
+					  "12.6381834, 12.6565714, 12.7364494, 12.8900274, 12.2941574, 15.3258734, 13.6949714", \
+					  "12.6148742, 12.6529852, 12.7396272, 12.9916892, 12.6875442, 13.7930722, 13.6610532");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("22.3701111, 22.3859491, 22.4437421, 22.7099751, 23.6352541, 22.4807261, 2.0422306", \
+					  "22.3481191, 22.3520721, 22.4131031, 22.6945201, 23.5883261, 22.1979841, 2.0664461", \
+					  "22.3215781, 22.3282791, 22.3850581, 22.6584591, 23.5959541, 21.5962001, 1.4914499", \
+					  "22.2990191, 22.3094051, 22.3699291, 22.6445741, 23.5725681, 21.5796671, 1.4264873", \
+					  "22.2769922, 22.2864092, 22.3392442, 22.6145712, 23.5420162, 22.2228012, 1.3715524", \
+					  "22.2522872, 22.2629312, 22.3190412, 22.5955302, 23.5110302, 21.4955732, 1.8465866", \
+					  "22.2317943, 22.2411063, 22.2920763, 22.5719923, 23.4862613, 22.0150043, 1.8146653");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.0857219, 13.1032509, 13.2178459, 13.7683699, 15.8358859, 19.4285729, 23.4048359", \
+					  "12.9701961, 12.9884361, 13.1040291, 13.6536271, 15.7834911, 19.3431131, 23.2932451", \
+					  "12.8670804, 12.8840874, 12.9971704, 13.5418064, 15.6744954, 19.1440134, 23.2451734", \
+					  "12.8650423, 12.8818333, 12.9979023, 13.5410913, 15.6835453, 19.2161603, 23.2957553", \
+					  "12.8613396, 12.8770606, 12.9866736, 13.5446846, 15.5892596, 19.1973436, 23.3060026", \
+					  "12.8545664, 12.8725954, 12.9818074, 13.5303774, 15.6138754, 19.1894114, 23.2659294", \
+					  "12.8570322, 12.8695762, 12.9726002, 13.5246402, 15.6717822, 19.2670712, 23.1619752");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.5661029, 10.5949029, 10.6425239, 10.9461279, 12.5358419, 15.9527279, 19.9142739", \
+					  "10.4713501, 10.4853381, 10.5366481, 10.8256861, 12.5391321, 15.8415911, 19.9767951", \
+					  "10.3582714, 10.3687044, 10.4448144, 10.7081174, 12.3773204, 15.7889274, 19.8762864", \
+					  "10.3684633, 10.3697593, 10.4216103, 10.7129083, 12.4177093, 15.6945753, 19.8515653", \
+					  "10.3539526, 10.3540276, 10.4277806, 10.7037496, 12.4101066, 15.7838156, 19.9143116", \
+					  "10.3574694, 10.3583404, 10.4202404, 10.6839604, 12.4544704, 15.7389484, 19.8384124", \
+					  "10.3487102, 10.3591842, 10.4091462, 10.6975622, 12.4015822, 15.7420842, 19.7330842");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.7285551, 21.6854041, 21.7384951, 21.8049501, 21.7519391, 18.6699671, -35.5097179", \
+					  "21.6733361, 21.6873781, 21.7015531, 21.7787201, 21.8772121, 18.7477071, -35.9523069", \
+					  "21.6560611, 21.6623061, 21.6713601, 21.7555301, 21.8186261, 18.5890221, -37.5331929", \
+					  "21.6474981, 21.6305151, 21.6363511, 21.7253341, 21.6904731, 18.5972281, -36.3338959", \
+					  "21.6159822, 21.6292862, 21.6272132, 21.6888612, 21.9000972, 18.5168362, -37.4036768", \
+					  "21.5854752, 21.5899692, 21.6012542, 21.6818722, 21.7373252, 18.4622892, -36.9049958", \
+					  "21.5776383, 21.5403043, 21.5838353, 21.6524503, 21.6615573, 18.6461743, -35.9118327");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.0211889, 11.0482927, 11.1845833, 11.8908806, 14.2526783, 17.0436896, 19.4551178", \
+					  "11.6785455, 11.7228200, 11.8684433, 12.5372971, 14.9495845, 18.2330645, 20.2149596", \
+					  "13.1350855, 13.1654037, 13.3269930, 14.0104392, 16.2824017, 19.0947119, 21.5753276", \
+					  "14.7652405, 14.7838995, 14.9850881, 15.7865183, 18.4032178, 21.6612072, 23.7337045", \
+					  "15.4195455, 15.4597401, 15.6555205, 16.5221633, 19.0610445, 22.7692948, 24.6586098", \
+					  "15.5654896, 15.5828195, 15.7961189, 16.7162404, 19.3165315, 22.7904763, 24.8425434", \
+					  "15.5674235, 15.6006972, 15.7953001, 16.6853822, 19.3471352, 22.7528912, 24.7381071");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.2940112, 21.2904141, 21.3556904, 21.4658360, 21.2845130, 17.6702391, -17.6836632", \
+					  "21.7580129, 21.7759389, 21.8137824, 21.9432308, 21.7141436, 17.9667049, -16.8538262", \
+					  "22.7287741, 22.7205652, 22.7915943, 22.9029652, 22.7361009, 18.4337824, -16.1352853", \
+					  "24.4247866, 24.4111448, 24.4726772, 24.5713657, 24.4884344, 20.8364506, -14.4622355", \
+					  "24.8748620, 24.8724591, 24.9216130, 25.0479552, 24.8648420, 21.4782788, -13.7107390", \
+					  "25.0210045, 25.0151453, 25.0553702, 25.1753490, 25.0491685, 21.1790497, -13.7649003", \
+					  "25.0681054, 25.0759086, 25.1203696, 25.2261767, 25.0175708, 21.7085875, -13.1810685");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("38.7419647, 38.7415106, 38.8482777, 39.2021088, 39.2375597, 33.8743104, -23.6266908", \
+					  "39.1418738, 39.1542298, 39.2428131, 39.5916058, 39.6710377, 34.4149407, -22.9475863", \
+					  "39.4851019, 39.4991063, 39.5936537, 39.9401003, 40.0042917, 34.5644217, -23.1939084", \
+					  "39.6985661, 39.7129412, 39.7923787, 40.1447416, 40.1948923, 34.7747219, -22.7379711", \
+					  "40.0140108, 40.0322583, 40.1214831, 40.4639456, 40.5283763, 35.2538482, -22.5027987", \
+					  "40.2166860, 40.2312942, 40.3255531, 40.6645807, 40.7212235, 35.1472091, -22.0137558", \
+					  "40.2055836, 40.2107793, 40.3204132, 40.6458132, 40.6395750, 35.0304889, -22.2378369");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.9228696, 21.9275913, 22.1999985, 23.4962578, 27.2115397, 33.0799483, 36.5133978", \
+					  "22.0295808, 22.0745388, 22.3567432, 23.7504131, 27.6305643, 33.8788934, 36.8374220", \
+					  "22.5085961, 22.5640782, 22.8296894, 24.2432890, 28.3115477, 34.7418076, 37.4800220", \
+					  "22.9393365, 23.0045600, 23.3145351, 24.7770831, 28.9835049, 35.2739313, 38.1430056", \
+					  "23.2954322, 23.3258118, 23.6327027, 25.0661850, 29.2294784, 34.6395586, 38.5959232", \
+					  "23.5441272, 23.6041040, 23.9060775, 25.3445078, 29.5012164, 35.9100774, 38.8764065", \
+					  "23.5986862, 23.6822177, 23.9914933, 25.3701499, 29.7114797, 35.4506655, 38.9324898");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3754675, 3.3793361, 3.3793363, 3.3798568, 3.3798570, 3.3798572, 3.4581038", \
+					  "3.5125627, 3.5125629, 3.5125632, 3.5129766, 3.5129768, 3.5129771, 3.5833141", \
+					  "3.6214627, 3.6220897, 3.6220898, 3.6238692, 3.6238693, 3.6238696, 3.7071644", \
+					  "3.7021275, 3.7043679, 3.7043680, 3.7043683, 3.7043685, 3.7043688, 3.7690051", \
+					  "3.7795695, 3.7795696, 3.7795698, 3.7795700, 3.7795703, 3.7795705, 3.8376453", \
+					  "3.8330894, 3.8348404, 3.8348405, 3.8348407, 3.8348409, 3.8348412, 3.8952988", \
+					  "3.8896477, 3.8916310, 3.8916311, 3.8916313, 3.8916315, 3.8916318, 3.9838954");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("3.2385536, 3.2455438, 3.2890755, 3.5668772, 5.3667861, 16.7783460, 87.5607570", \
+					  "3.3750807, 3.3821559, 3.4257157, 3.7034631, 5.5034031, 16.9135430, 87.6520470", \
+					  "3.4861967, 3.4931478, 3.5367653, 3.8144554, 5.6144440, 17.0217140, 87.7452850", \
+					  "3.5690877, 3.5757697, 3.6193899, 3.8975676, 5.6973599, 17.1106330, 87.8575930", \
+					  "3.6390437, 3.6460981, 3.6896803, 3.9673709, 5.7674732, 17.1726670, 87.9701080", \
+					  "3.7013717, 3.7084340, 3.7520129, 4.0297219, 5.8296741, 17.2342640, 88.0223250", \
+					  "3.7568877, 3.7635424, 3.8071870, 4.0854604, 5.8851808, 17.2971170, 88.0431370");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("0.7818926, 0.7976337, 0.9010344, 1.5459558, 5.7094360, 31.5318940, 191.0374900", \
+					  "0.7812317, 0.7975455, 0.9010364, 1.5477559, 5.7092460, 31.5525990, 191.3548600", \
+					  "0.7814210, 0.7982065, 0.9006689, 1.5481932, 5.7211063, 31.5428570, 191.4959000", \
+					  "0.7816081, 0.7979118, 0.8999757, 1.5487341, 5.7243814, 31.5446830, 191.5141800", \
+					  "0.7813326, 0.7976589, 0.9010407, 1.5481385, 5.7216272, 31.5515080, 191.2071600", \
+					  "0.7812953, 0.7976138, 0.9010476, 1.5480683, 5.7163669, 31.5535760, 191.3560500", \
+					  "0.7815188, 0.7977968, 0.9000112, 1.5466851, 5.7108136, 31.5351870, 191.4720500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("119.1969200, 119.2091600, 119.2678700, 119.6433700, 122.0873000, 138.2392700, 205.4775600", \
+					  "119.2112900, 119.2246900, 119.4231900, 119.7975300, 122.2047000, 138.3385100, 205.5751600", \
+					  "119.5262100, 119.5262108, 119.5885300, 119.9520800, 122.3399400, 138.4714500, 205.7266600", \
+					  "119.6931500, 119.7033600, 119.7636100, 120.1350100, 122.3403100, 138.6322500, 205.8840100", \
+					  "119.7469900, 119.7676300, 119.8353800, 120.1988100, 122.5868400, 138.7167700, 205.9721300", \
+					  "119.7132200, 119.8804400, 119.9461400, 120.3062000, 122.6940800, 138.8262700, 206.0818100", \
+					  "119.9668600, 119.9770300, 120.0360100, 120.4511500, 122.8123200, 139.0007500, 206.2418900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("225.6539900, 225.6348100, 225.5197600, 224.6552200, 219.1168100, 211.5707600, 207.5836700", \
+					  "225.5456600, 225.5332400, 225.5526700, 224.6679000, 219.0659400, 211.3742000, 207.5562500", \
+					  "225.7133100, 225.6614500, 225.5171400, 224.6705400, 218.9820400, 211.2794800, 207.5571100", \
+					  "225.7536200, 225.7277500, 225.5989500, 224.7153400, 218.9366900, 211.7043300, 207.5408500", \
+					  "225.6899200, 225.6462700, 225.5169300, 224.6706000, 218.9829800, 211.2868500, 207.5584900", \
+					  "225.5401100, 225.6645200, 225.5174100, 224.6705900, 218.9821800, 211.2811900, 207.5571400", \
+					  "225.6746000, 225.6536900, 225.5175000, 224.7087100, 219.0229400, 211.4717400, 207.5676100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("3.2285097, 3.2355554, 3.2788596, 3.5565153, 5.3573235, 16.9558680, 89.1404210", \
+					  "3.3651388, 3.3723202, 3.4156364, 3.6931944, 5.4938893, 17.0927090, 89.2889100", \
+					  "3.4764367, 3.4834686, 3.5268515, 3.8041952, 5.6048770, 17.2035350, 89.3950440", \
+					  "3.5594181, 3.5664949, 3.6098617, 3.8873122, 5.6879110, 17.2848100, 89.5429300", \
+					  "3.6290686, 3.6362349, 3.6795629, 3.9570951, 5.7578049, 17.3567920, 89.5483300", \
+					  "3.6915133, 3.6986656, 3.7420000, 4.0194865, 5.8202088, 17.4192200, 89.6159090", \
+					  "3.7471821, 3.7543080, 3.7977022, 4.0750314, 5.8757843, 17.4747750, 89.6369700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("0.7838411, 0.8001773, 0.9032902, 1.5500067, 5.7237823, 31.5535190, 191.5001100", \
+					  "0.7833459, 0.7994452, 0.9033893, 1.5500902, 5.7252532, 31.5513340, 191.4653300", \
+					  "0.7839426, 0.8009182, 0.9036952, 1.5500036, 5.7245240, 31.5177720, 191.5643300", \
+					  "0.7834868, 0.7999875, 0.9034744, 1.5497745, 5.7240523, 31.4991380, 191.5609300", \
+					  "0.7832510, 0.7995090, 0.9034357, 1.5500959, 5.7226637, 31.5467010, 191.5822000", \
+					  "0.7830499, 0.7995819, 0.9034453, 1.5501859, 5.7172787, 31.5432940, 191.5619800", \
+					  "0.7831540, 0.7995811, 0.9034700, 1.5501811, 5.7156053, 31.5438410, 191.5881600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("6.2976575, 6.3077481, 6.3710046, 6.7887060, 9.4249684, 25.6832720, 81.9566990", \
+					  "6.4536443, 6.4651835, 6.5354779, 6.9434565, 9.5711776, 25.8449670, 82.1144970", \
+					  "6.6062613, 6.6184604, 6.6818573, 7.0964905, 9.7241895, 25.9986730, 82.2675760", \
+					  "6.7404966, 6.7478103, 6.8212547, 7.2320101, 9.8750066, 26.1338720, 82.3965820", \
+					  "6.8529831, 6.8621731, 6.9254713, 7.3432012, 9.9795905, 26.2381940, 82.5107520", \
+					  "6.9598207, 6.9726545, 7.0360464, 7.4506872, 10.0784060, 26.3528310, 82.6208860", \
+					  "7.0616379, 7.0725039, 7.1357595, 7.5512636, 10.1787240, 26.4507620, 82.7222810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("51.2466190, 51.2534410, 51.2203330, 51.1006740, 50.9128420, 55.7919220, 89.2240810", \
+					  "51.2347390, 51.2052410, 51.1948950, 51.1057080, 50.9092390, 55.7922380, 89.2072480", \
+					  "51.2428570, 51.1954110, 51.1681610, 51.1054060, 50.9092320, 55.7937520, 89.2067360", \
+					  "51.1886280, 51.2290870, 51.1874100, 51.0671720, 50.9079530, 55.8008360, 89.1970590", \
+					  "51.2427820, 51.2548050, 51.2131210, 51.1000380, 50.9108170, 55.7914890, 89.2275910", \
+					  "51.2427110, 51.2007290, 51.2159200, 51.1039740, 50.9079960, 55.7927110, 89.2049740", \
+					  "51.2185460, 51.2084210, 51.1733200, 51.1088450, 50.9111970, 55.7903130, 89.2128750");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.6786220, 11.8892110, 13.1882780, 21.1727190, 70.0717490, 369.4412700, 2214.4341000", \
+					  "11.8145300, 12.0244350, 13.3229810, 21.2962090, 70.1357550, 369.5517100, 2213.7219000", \
+					  "11.9240540, 12.1347760, 13.4336600, 21.4096990, 70.2682780, 370.0373800, 2214.3529000", \
+					  "12.0062580, 12.2168560, 13.5158840, 21.5021720, 70.3896320, 369.8740700, 2214.6403000", \
+					  "12.0759230, 12.2861140, 13.5855530, 21.5574720, 70.4971730, 370.1080100, 2214.3608000", \
+					  "12.1381310, 12.3490880, 13.6476310, 21.6240020, 70.5528860, 370.1607900, 2215.1614000", \
+					  "12.1916710, 12.4033460, 13.7015530, 21.6869440, 70.6008900, 370.2033100, 2214.4129000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2569230, 15.6795870, 18.1723810, 33.8588180, 131.1018400, 728.4046400, 4418.2639000", \
+					  "15.2632220, 15.6646430, 18.2026870, 33.8800560, 130.9548300, 728.6569100, 4419.0028000", \
+					  "15.2656910, 15.6797060, 18.1825150, 33.8727020, 130.9860100, 729.0551600, 4419.1938000", \
+					  "15.2585130, 15.6796250, 18.1708570, 33.8504160, 131.0366400, 729.0408300, 4417.8801000", \
+					  "15.2655010, 15.6768800, 18.1735820, 33.8764930, 131.0710900, 729.1742500, 4418.6322000", \
+					  "15.2655010, 15.6763620, 18.2077380, 33.8748160, 131.0637600, 729.1624200, 4420.1511000", \
+					  "15.2532580, 15.6721520, 18.2015500, 33.8620010, 131.0609900, 729.3534200, 4419.8487000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.0302718, 5.0558886, 5.1894280, 5.7391243, 7.7836138, 14.8527870, 32.1871350", \
+					  "5.1866191, 5.2120921, 5.3461449, 5.8953695, 7.9398497, 15.0085880, 32.3431980", \
+					  "5.3396607, 5.3655080, 5.4990328, 6.0485079, 8.0930054, 15.1621720, 32.4965480", \
+					  "5.4720699, 5.4990199, 5.6325429, 6.1836234, 8.2255367, 15.2928050, 32.6298210", \
+					  "5.5847797, 5.6120975, 5.7456422, 6.2936785, 8.3381080, 15.4088440, 32.7416710", \
+					  "5.6937850, 5.7194178, 5.8528045, 6.4026238, 8.4470955, 15.5162590, 32.8507250", \
+					  "5.7940301, 5.8195666, 5.9530896, 6.5028196, 8.5473220, 15.6151940, 32.9508580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.3992797, 1.4095919, 1.4623466, 1.6664448, 3.6691796, 8.4742382, 25.3440100", \
+					  "1.3993200, 1.4057225, 1.4631992, 1.6661423, 3.6692618, 8.4742187, 25.3457240", \
+					  "1.3992908, 1.4095706, 1.4623790, 1.6664503, 3.6691701, 8.4741600, 25.3486670", \
+					  "1.3964971, 1.4081173, 1.4633448, 1.6695207, 3.6738406, 8.4631786, 25.3406420", \
+					  "1.3992567, 1.4096015, 1.4623254, 1.6660967, 3.6696193, 8.4740170, 25.3462830", \
+					  "1.3991402, 1.4094722, 1.4623498, 1.6663060, 3.6694658, 8.4740082, 25.3459710", \
+					  "1.3993267, 1.4082152, 1.4632907, 1.6663289, 3.6690300, 8.4574099, 25.3440290");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9176836, 4.9182365, 4.9187809, 4.9187811, 4.9187816, 4.9187820, 4.9187825", \
+					  "5.0544045, 5.0544050, 5.0544055, 5.0544060, 5.0544065, 5.0544069, 5.0544074", \
+					  "5.1710982, 5.1710985, 5.1710990, 5.1710995, 5.1711000, 5.1711004, 5.1711009", \
+					  "5.2544853, 5.2544854, 5.2544859, 5.2544864, 5.2544868, 5.2544873, 5.2544878", \
+					  "5.3211577, 5.3211582, 5.3211587, 5.3211592, 5.3211597, 5.3211601, 5.3211606", \
+					  "5.3838307, 5.3838308, 5.3838313, 5.3838318, 5.3838323, 5.3838327, 5.3838332", \
+					  "5.4376255, 5.4376257, 5.4376262, 5.4376267, 5.4376271, 5.4376276, 5.4376281");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4726209, 4.4726213, 4.4726217, 4.4726222, 4.4726227, 4.4726232, 4.4726236", \
+					  "4.5853454, 4.5891277, 4.5986717, 4.5986722, 4.5986727, 4.5986732, 4.5986736", \
+					  "4.6961368, 4.7175823, 4.7175825, 4.7175830, 4.7175835, 4.7175839, 4.7175844", \
+					  "4.7798516, 4.7832578, 4.7832582, 4.7832587, 4.7832592, 4.7832597, 4.7832602", \
+					  "4.8725570, 4.8773519, 4.8773520, 4.8773525, 4.8773530, 4.8773535, 4.8773540", \
+					  "4.9330029, 4.9358850, 4.9358852, 4.9358857, 4.9358862, 4.9358867, 4.9358871", \
+					  "4.9779305, 4.9811217, 4.9811218, 4.9811223, 4.9811228, 4.9811233, 4.9811237");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5027830, 4.5350084, 4.7269393, 5.8854663, 12.9311890, 56.1813880, 147.0881400", \
+					  "4.6384874, 4.6703730, 4.8623350, 6.0209264, 13.0657610, 56.2821330, 147.2414700", \
+					  "4.7483559, 4.7799729, 4.9720805, 6.1307577, 13.1766570, 56.4256800, 147.3442900", \
+					  "4.8308020, 4.8623559, 5.0543534, 6.2130221, 13.2583910, 56.4844420, 147.4342400", \
+					  "4.9005897, 4.9319615, 5.1240461, 6.2827010, 13.3286060, 56.5820950, 147.5127100", \
+					  "4.9628310, 4.9941296, 5.1862750, 6.3449379, 13.3910900, 56.6300570, 147.5601700", \
+					  "5.0177431, 5.0494169, 5.2414704, 6.4006032, 13.4458750, 56.6941050, 147.6253400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1847428, 2.2433608, 2.5899296, 4.7987347, 18.5251210, 74.3201980, 109.2371600", \
+					  "2.1816622, 2.2427966, 2.5899614, 4.8099187, 18.5396300, 74.2742540, 109.1276500", \
+					  "2.1867792, 2.2435818, 2.5903419, 4.8016919, 18.5286830, 74.3497990, 109.0291700", \
+					  "2.1867339, 2.2393123, 2.5899669, 4.8003423, 18.5341200, 74.3007930, 109.0723000", \
+					  "2.1869129, 2.2427176, 2.5906659, 4.8032933, 18.5287090, 74.3130710, 109.0105500", \
+					  "2.1858255, 2.2438360, 2.5903606, 4.8066804, 18.5388910, 74.3206100, 108.9005300", \
+					  "2.1865756, 2.2419047, 2.5904565, 4.7987938, 18.5237800, 74.3422320, 108.8508200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1946530, 12.4138320, 13.7349350, 21.7434500, 70.4203840, 369.2684600, 2211.1035000", \
+					  "12.3523200, 12.5615060, 13.8782110, 21.9027760, 70.5274060, 369.5680800, 2211.2645000", \
+					  "12.5032780, 12.7107990, 14.0258780, 22.0864360, 70.7271330, 369.4444200, 2210.2558000", \
+					  "12.6370590, 12.8421330, 14.1595600, 22.2202350, 70.8634000, 369.2146900, 2209.9382000", \
+					  "12.7499000, 12.9550650, 14.2722590, 22.3325840, 70.9728100, 369.8109500, 2210.6899000", \
+					  "12.8566960, 13.0637940, 14.3790610, 22.4395100, 71.0828090, 369.4266900, 2209.2677000", \
+					  "12.9528660, 13.1750420, 14.4995320, 22.5318150, 71.1746110, 369.8655700, 2210.8150000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.9072560, 15.3062470, 17.8425930, 33.4782870, 130.5004400, 727.0718400, 4401.5756000", \
+					  "14.9074070, 15.3161060, 17.8435240, 33.4253340, 130.1914400, 727.2734000, 4404.0189000", \
+					  "14.9070430, 15.3005660, 17.8514590, 33.4487850, 130.4935300, 727.0440400, 4403.5301000", \
+					  "14.9071440, 15.3027530, 17.8504980, 33.4488280, 130.5012300, 726.2706300, 4408.7978000", \
+					  "14.9072430, 15.3003970, 17.8514430, 33.4484580, 130.4909500, 727.2804500, 4407.8729000", \
+					  "14.9072990, 15.3007540, 17.8502690, 33.4486290, 130.5007200, 726.2503900, 4401.9557000", \
+					  "14.8851240, 15.3051070, 17.8501730, 33.4625870, 130.1047700, 727.0378400, 4404.1208000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9107785, 4.9218229, 4.9218233, 4.9218238, 4.9218243, 4.9218247, 4.9218252", \
+					  "5.0606643, 5.0606645, 5.0606649, 5.0606654, 5.0606659, 5.0606664, 5.0606668", \
+					  "5.1701172, 5.1701177, 5.1701181, 5.1701186, 5.1701191, 5.1701196, 5.1701201", \
+					  "5.2419913, 5.2419918, 5.2531988, 5.2531989, 5.2531994, 5.2531999, 5.2532003", \
+					  "5.3096172, 5.3096173, 5.3096178, 5.3096183, 5.3096188, 5.3096192, 5.3096197", \
+					  "5.3823985, 5.3823989, 5.3823994, 5.3823998, 5.3824003, 5.3824008, 5.3824013", \
+					  "5.4424678, 5.4424680, 5.4424685, 5.4424689, 5.4424694, 5.4424699, 5.4424704");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3717693, 3.3735998, 3.3735999, 3.3736002, 3.3736004, 3.3736007, 3.5077767", \
+					  "3.5015040, 3.5080376, 3.5080377, 3.5080380, 3.5080382, 3.5080385, 3.5843650", \
+					  "3.6166532, 3.6183074, 3.6183075, 3.6183078, 3.6183080, 3.6183082, 3.6842842", \
+					  "3.6927905, 3.6957539, 3.6957540, 3.6957542, 3.6957545, 3.6957547, 3.7883279", \
+					  "3.7595504, 3.7603423, 3.7657197, 3.7677044, 3.7677047, 3.7677049, 3.8370964", \
+					  "3.8211216, 3.8325556, 3.8325557, 3.8325559, 3.8325562, 3.8325564, 3.9118921", \
+					  "3.8813397, 3.8813400, 3.8813402, 3.8813404, 3.8813407, 3.8813409, 3.9644577");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.0266595, 5.0619304, 5.2785558, 6.5794645, 14.4314390, 48.0635660, 158.6961400", \
+					  "5.1818572, 5.2168168, 5.4329030, 6.7301396, 14.5870670, 48.2192560, 158.8502300", \
+					  "5.3355609, 5.3702625, 5.5886007, 6.8832002, 14.7299290, 48.3778460, 158.9936700", \
+					  "5.4701017, 5.5050107, 5.7210996, 7.0228023, 14.8753620, 48.5158050, 159.1365800", \
+					  "5.5831406, 5.6173706, 5.8339360, 7.1322934, 14.9817650, 48.6203680, 159.2288500", \
+					  "5.6900435, 5.7251004, 5.9416303, 7.2368307, 15.0839840, 48.7302860, 159.3473700", \
+					  "5.7904588, 5.8251270, 6.0403491, 7.3385635, 15.1840550, 48.8305110, 159.4828200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3557072, 2.4139857, 2.7953972, 5.1917852, 17.2608860, 57.8235170, 137.6550200", \
+					  "2.3519915, 2.4179307, 2.7991629, 5.1868922, 17.2630140, 57.8222450, 137.6417600", \
+					  "2.3571882, 2.4187772, 2.8018288, 5.1831992, 17.2643020, 57.8336490, 137.6442600", \
+					  "2.3575752, 2.4194378, 2.7955571, 5.1939946, 17.2634140, 57.9864550, 137.6548700", \
+					  "2.3538493, 2.4186046, 2.8016542, 5.1914286, 17.2708390, 57.8348000, 137.6390500", \
+					  "2.3573810, 2.4185465, 2.8015916, 5.1850044, 17.2643090, 57.8343280, 137.6457400", \
+					  "2.3538640, 2.4185682, 2.8011726, 5.1869704, 17.2642030, 57.8344950, 137.6664300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3636929, 3.3763305, 3.3763308, 3.3763310, 3.3763313, 3.3763315, 3.4614704", \
+					  "3.5020127, 3.5092163, 3.5092165, 3.5137977, 3.5137979, 3.5137982, 3.5722785", \
+					  "3.6176115, 3.6194132, 3.6194133, 3.6216894, 3.6216895, 3.6216897, 3.6975289", \
+					  "3.6940306, 3.6969512, 3.6969513, 3.7059011, 3.7059013, 3.7059016, 3.7815013", \
+					  "3.7641130, 3.7641131, 3.7664711, 3.7710257, 3.7710258, 3.7710261, 3.8482151", \
+					  "3.8217896, 3.8333030, 3.8333031, 3.8333034, 3.8333036, 3.8333039, 3.9169241", \
+					  "3.8819552, 3.8819553, 3.8819556, 3.8819558, 3.8819560, 3.8819563, 3.9665196");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9787512, 5.0043463, 5.1399631, 5.6993001, 7.7598852, 18.6885390, 70.1692140", \
+					  "5.1355511, 5.1610298, 5.2961751, 5.8545577, 7.9136351, 18.8449330, 70.3251150", \
+					  "5.2877689, 5.3150728, 5.4498326, 6.0086602, 8.0697777, 18.9994370, 70.4792030", \
+					  "5.4232001, 5.4486837, 5.5833862, 6.1420367, 8.2026403, 19.1320420, 70.6119680", \
+					  "5.5344715, 5.5598957, 5.6964078, 6.2550338, 8.3150988, 19.2438010, 70.7251290", \
+					  "5.6432925, 5.6677423, 5.8036154, 6.3623085, 8.4225578, 19.3537100, 70.8320370", \
+					  "5.7433871, 5.7678258, 5.9041065, 6.4624799, 8.5224956, 19.4511040, 70.9332040");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4079006, 1.4186068, 1.4751029, 1.6865798, 3.7011425, 18.3953330, 67.2099670", \
+					  "1.4039202, 1.4175326, 1.4751053, 1.6853083, 3.7042351, 18.3954720, 67.2106140", \
+					  "1.4078918, 1.4185857, 1.4744556, 1.6854231, 3.7020418, 18.3919460, 67.3144240", \
+					  "1.4080369, 1.4188368, 1.4754824, 1.6847752, 3.7027552, 18.3952760, 67.2635920", \
+					  "1.4078403, 1.4184910, 1.4744773, 1.6854196, 3.7018772, 18.3949530, 67.2078710", \
+					  "1.4089410, 1.4184760, 1.4744475, 1.6854133, 3.7050365, 18.3920490, 67.3152130", \
+					  "1.4088375, 1.4177759, 1.4744673, 1.6854251, 3.7042038, 18.3947990, 67.2903370");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4259220, 11.6319750, 12.9053950, 20.7680110, 69.3356770, 368.4443200, 2213.4207000", \
+					  "11.5788650, 11.7845270, 13.0603480, 20.9206840, 69.4882090, 368.4817800, 2213.1878000", \
+					  "11.7357620, 11.9382600, 13.2131040, 21.0726600, 69.5672360, 368.5945800, 2212.5253000", \
+					  "11.8635930, 12.0710050, 13.3493400, 21.2096240, 69.7741460, 368.6958200, 2212.6783000", \
+					  "11.9790230, 12.1839530, 13.4570990, 21.3184150, 69.8791920, 368.9093000, 2212.9248000", \
+					  "12.0860640, 12.2920820, 13.5616630, 21.4349910, 69.9964600, 368.9730500, 2213.1665000", \
+					  "12.1843280, 12.3915930, 13.6638050, 21.5342610, 70.0490830, 369.2303500, 2213.0628000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2607080, 15.6790440, 18.2081030, 33.8639200, 130.9836400, 728.8647300, 4417.1547000", \
+					  "15.2686170, 15.6631510, 18.2136000, 33.8697850, 130.8713400, 728.7085900, 4418.4621000", \
+					  "15.2668120, 15.6716100, 18.1947930, 33.8756650, 130.9784900, 729.2630400, 4421.2416000", \
+					  "15.2670530, 15.6623250, 18.2083270, 33.8664460, 130.9564800, 730.2446100, 4419.4244000", \
+					  "15.2651070, 15.6674370, 18.1806370, 33.8741870, 131.0866100, 727.9638200, 4418.4753000", \
+					  "15.2510930, 15.6716380, 18.2057960, 33.8483370, 130.9533700, 728.4303400, 4418.5117000", \
+					  "15.2667920, 15.6784110, 18.1953120, 33.8745530, 131.0514500, 729.2706500, 4418.0256000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5241904, 5.5497571, 5.6835159, 6.2376184, 8.2833385, 15.3501530, 32.6895420", \
+					  "5.6783036, 5.7030523, 5.8376393, 6.3897589, 8.4359053, 15.5053570, 32.8442060", \
+					  "5.8310952, 5.8562106, 5.9937606, 6.5458683, 8.5922469, 15.6605520, 32.9959600", \
+					  "5.9647443, 5.9915317, 6.1263070, 6.6787877, 8.7202014, 15.7923430, 33.1277160", \
+					  "6.0779697, 6.1022907, 6.2355294, 6.7870549, 8.8371683, 15.9061490, 33.2400990", \
+					  "6.1842562, 6.2104784, 6.3451275, 6.8966775, 8.9451158, 16.0111270, 33.3479060", \
+					  "6.2808918, 6.3106662, 6.4415593, 6.9983725, 9.0414005, 16.1109060, 33.4519970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4082362, 1.4146029, 1.4711297, 1.6766095, 3.6756094, 8.4643164, 25.3455460", \
+					  "1.4064718, 1.4143677, 1.4715950, 1.6739089, 3.6700967, 8.4756095, 25.3453380", \
+					  "1.4041085, 1.4124488, 1.4715469, 1.6742537, 3.6761445, 8.4794891, 25.3276900", \
+					  "1.4072066, 1.4143878, 1.4685234, 1.6735485, 3.6758345, 8.4800478, 25.3455980", \
+					  "1.4074552, 1.4139834, 1.4722942, 1.6743286, 3.6703556, 8.4586116, 25.3454560", \
+					  "1.4040621, 1.4144520, 1.4716655, 1.6736770, 3.6756918, 8.4805549, 25.3458590", \
+					  "1.4088186, 1.4164366, 1.4720793, 1.6728090, 3.6761170, 8.4837497, 25.3424020");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.3361610, 11.5433520, 12.8178270, 20.6847450, 69.1513410, 368.5118800, 2212.5637000", \
+					  "11.4712920, 11.6784160, 12.9539650, 20.8205630, 69.2962770, 368.3794900, 2212.5569000", \
+					  "11.5803650, 11.7889620, 13.0623950, 20.9298200, 69.4455980, 368.7457600, 2212.4841000", \
+					  "11.6636160, 11.8704980, 13.1452360, 21.0048330, 69.5587450, 368.8030600, 2214.8311000", \
+					  "11.7339700, 11.9393850, 13.2146240, 21.0820180, 69.5834000, 369.0338400, 2213.1605000", \
+					  "11.7946050, 12.0029070, 13.2773370, 21.1440570, 69.6619860, 368.9395100, 2213.0941000", \
+					  "11.8495320, 12.0576790, 13.3315770, 21.1992590, 69.7481400, 368.9827400, 2213.2651000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2372830, 15.6761060, 18.1949580, 33.8546290, 130.9557500, 729.1748700, 4420.8241000", \
+					  "15.2665740, 15.6746120, 18.1739230, 33.8567360, 130.8778900, 727.9976400, 4418.2439000", \
+					  "15.2536900, 15.6595220, 18.1982130, 33.8578050, 131.0307200, 728.3340400, 4416.7778000", \
+					  "15.2583140, 15.6772890, 18.1823920, 33.8727550, 131.0917000, 728.7758900, 4418.8332000", \
+					  "15.2557500, 15.6414900, 18.1981220, 33.8572160, 131.0052400, 730.1492200, 4418.9792000", \
+					  "15.2455350, 15.6681890, 18.2136280, 33.8351920, 131.0360300, 729.1546400, 4417.8059000", \
+					  "15.2542620, 15.6773920, 18.1969230, 33.8340270, 131.0924800, 728.1855100, 4420.8114000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.7030300, 11.9038520, 13.1749720, 21.0656540, 69.3682980, 368.2723100, 2208.6108000", \
+					  "11.8551030, 12.0792860, 13.3597250, 21.2037640, 69.5904490, 368.5029500, 2209.8639000", \
+					  "12.0289620, 12.2314510, 13.4895560, 21.3554350, 69.7479760, 368.1944300, 2208.9030000", \
+					  "12.1579240, 12.3579450, 13.6172860, 21.4552410, 69.8913420, 368.3428000, 2209.3220000", \
+					  "12.2598960, 12.4760700, 13.7348420, 21.6249030, 69.9738220, 368.5608500, 2210.0862000", \
+					  "12.3778510, 12.5726560, 13.8383050, 21.7139000, 70.1115750, 368.4770000, 2209.0871000", \
+					  "12.4702600, 12.6654530, 13.9360690, 21.8179130, 70.2137870, 369.0118700, 2209.2488000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8843590, 15.3103930, 17.8590960, 33.4920220, 130.2463600, 727.3491700, 4405.9002000", \
+					  "14.8769670, 15.3194510, 17.8606870, 33.4207830, 130.5274700, 727.3180200, 4401.3295000", \
+					  "14.8980820, 15.3193970, 17.8531890, 33.4165970, 130.5248100, 726.4383200, 4409.2053000", \
+					  "14.9149740, 15.3141430, 17.8514430, 33.4260800, 130.5315900, 726.0887900, 4402.1122000", \
+					  "14.9054490, 15.3193580, 17.8156800, 33.4840640, 130.4692300, 726.1089100, 4401.3082000", \
+					  "14.9149560, 15.2914550, 17.8527560, 33.4838890, 130.5306700, 726.4391700, 4405.1822000", \
+					  "14.8956030, 15.2954060, 17.8439130, 33.4849690, 130.5314900, 727.3293400, 4407.9607000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4974263, 3.4974264, 3.4981325, 3.5017562, 3.5017564, 3.5017566, 3.5451915", \
+					  "3.6398804, 3.6398806, 3.6398808, 3.6398811, 3.6398813, 3.6398815, 3.6934249", \
+					  "3.7438914, 3.7441374, 3.7441375, 3.7470427, 3.7470428, 3.7470431, 3.7965133", \
+					  "3.8263801, 3.8265320, 3.8299168, 3.8299169, 3.8299171, 3.8299174, 3.8788048", \
+					  "3.8965937, 3.8965940, 3.8966617, 3.8966619, 3.8966622, 3.8966624, 3.9532235", \
+					  "3.9661030, 3.9661032, 3.9661035, 3.9661037, 3.9661040, 3.9661042, 4.0138457", \
+					  "4.0230161, 4.0230163, 4.0265022, 4.0265024, 4.0265029, 4.0265034, 4.0708321");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4462727, 4.4462731, 4.4535398, 4.4535401, 4.4535406, 4.4535411, 4.4535416", \
+					  "4.5862012, 4.5893063, 4.5898219, 4.5970237, 4.5970238, 4.5970243, 4.5970247", \
+					  "4.6957007, 4.7163282, 4.7180575, 4.7180579, 4.7180584, 4.7180589, 4.7180594", \
+					  "4.7789794, 4.7830202, 4.7948254, 4.7948258, 4.7948263, 4.7948268, 4.7948273", \
+					  "4.8722679, 4.8767572, 4.8767574, 4.8767579, 4.8767584, 4.8767589, 4.8767593", \
+					  "4.9325863, 4.9364837, 4.9364841, 4.9364846, 4.9364851, 4.9364856, 4.9364861", \
+					  "4.9772022, 4.9801123, 4.9801124, 4.9801128, 4.9801133, 4.9801138, 4.9801143");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6348561, 4.6663100, 4.8584528, 6.0178595, 13.0640040, 56.2817030, 147.3131600", \
+					  "4.7881281, 4.8208375, 5.0136593, 6.1723147, 13.2171150, 56.4673570, 147.4755700", \
+					  "4.9425202, 4.9728115, 5.1658602, 6.3268311, 13.3700730, 56.6235590, 147.6060400", \
+					  "5.0773181, 5.1078164, 5.3014464, 6.4562795, 13.5016130, 56.7453070, 147.7372100", \
+					  "5.1900848, 5.2203162, 5.4133555, 6.5712520, 13.6176150, 56.8358910, 147.8498000", \
+					  "5.2951492, 5.3254050, 5.5156129, 6.6782233, 13.7240860, 56.9747410, 147.9780600", \
+					  "5.3981899, 5.4262754, 5.6123977, 6.7751587, 13.8261380, 57.0457070, 148.0652700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1868962, 2.2423086, 2.5988243, 4.7949706, 18.5303200, 74.3768070, 108.9592400", \
+					  "2.1813096, 2.2409439, 2.5990563, 4.7895514, 18.4994740, 74.3305220, 108.8331600", \
+					  "2.1841069, 2.2413005, 2.5992315, 4.7878279, 18.5100470, 74.3994380, 109.1890300", \
+					  "2.1841091, 2.2432793, 2.5935936, 4.7928959, 18.5081760, 74.3497000, 109.2165700", \
+					  "2.1868276, 2.2403761, 2.5975251, 4.7903259, 18.5299170, 74.3410310, 109.0331100", \
+					  "2.1859075, 2.2397568, 2.5906415, 4.7949249, 18.5251620, 74.3991040, 109.1534700", \
+					  "2.1868067, 2.2412112, 2.5967060, 4.7902167, 18.5097410, 74.3418480, 109.0594600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.1897521, 4.1898081, 4.1898082, 4.1911523, 4.1911524, 4.1911529, 4.2503121", \
+					  "4.3414814, 4.3415160, 4.3415163, 4.3415168, 4.3415173, 4.3415177, 4.3775117", \
+					  "4.4371857, 4.4374127, 4.4374130, 4.4375410, 4.4375413, 4.4375418, 4.4916173", \
+					  "4.5205582, 4.5319850, 4.5319851, 4.5319856, 4.5319860, 4.5319865, 4.5773034", \
+					  "4.5909450, 4.5909827, 4.5909832, 4.5909837, 4.5909842, 4.5909846, 4.6443662", \
+					  "4.6524695, 4.6526054, 4.6526058, 4.6526063, 4.6582316, 4.6582320, 4.7053903", \
+					  "4.7057487, 4.7057500, 4.7095106, 4.7095111, 4.7095116, 4.7095120, 4.7601474");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.3366950, 11.5438410, 12.8184260, 20.6737440, 69.1529680, 368.2740200, 2212.9598000", \
+					  "11.4713690, 11.6785150, 12.9538600, 20.8095800, 69.2993250, 368.3763100, 2213.2313000", \
+					  "11.5804110, 11.7879470, 13.0624740, 20.9288230, 69.4477440, 368.7429200, 2214.8683000", \
+					  "11.6636710, 11.8705620, 13.1452900, 21.0138660, 69.5490740, 368.5726700, 2213.5121000", \
+					  "11.7340340, 11.9394310, 13.2147040, 21.0826270, 69.5853190, 369.0342700, 2213.2042000", \
+					  "11.7946500, 12.0029550, 13.2773260, 21.1436010, 69.6771990, 368.7702000, 2212.8158000", \
+					  "11.8501630, 12.0577050, 13.3309200, 21.1983750, 69.7502570, 368.8617800, 2212.5955000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2563060, 15.6663140, 18.1847800, 33.8783470, 130.9593200, 728.0618200, 4417.5690000", \
+					  "15.2666790, 15.6752830, 18.1849020, 33.8782410, 130.9913200, 727.9908800, 4419.1715000", \
+					  "15.2542170, 15.6618560, 18.1985470, 33.8543840, 131.0336200, 729.0227000, 4417.8564000", \
+					  "15.2579460, 15.6773240, 18.1831810, 33.8574500, 131.0867600, 728.6606700, 4417.9087000", \
+					  "15.2552970, 15.6424530, 18.1977300, 33.8578520, 131.0084500, 729.0885300, 4418.6845000", \
+					  "15.2462030, 15.6690640, 18.1774360, 33.8299730, 131.0499000, 728.9237900, 4420.8487000", \
+					  "15.2652580, 15.6777150, 18.2101180, 33.8463800, 130.8871000, 728.2624200, 4418.9132000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.7029660, 11.9028840, 13.1749340, 21.0660770, 69.3672040, 368.2648100, 2208.5940000", \
+					  "11.8547850, 12.0788880, 13.3589170, 21.2039420, 69.5448460, 368.5065900, 2209.2381000", \
+					  "12.0289260, 12.2315430, 13.4894540, 21.3558700, 69.7610640, 368.1946300, 2208.9052000", \
+					  "12.1578950, 12.3579590, 13.6172740, 21.4550140, 69.8882090, 368.3606700, 2209.3232000", \
+					  "12.2600290, 12.4761240, 13.7345660, 21.6246010, 69.9914790, 368.5598000, 2210.4725000", \
+					  "12.3778530, 12.5717940, 13.8383210, 21.7138410, 70.1116320, 368.4886300, 2208.7943000", \
+					  "12.4702600, 12.6654370, 13.9360860, 21.8178770, 70.1518700, 369.0268200, 2208.4644000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8839480, 15.3095470, 17.8591740, 33.4916240, 130.2410600, 727.3417900, 4409.1730000", \
+					  "14.8780130, 15.3194470, 17.8600740, 33.4207860, 130.3379500, 727.3145000, 4407.9602000", \
+					  "14.8971640, 15.3194240, 17.8534480, 33.4172970, 130.4835300, 726.4353000, 4403.2254000", \
+					  "14.9149460, 15.3143840, 17.8514310, 33.4271670, 130.5329100, 726.1519400, 4402.3564000", \
+					  "14.9056570, 15.3193830, 17.8168160, 33.4831040, 130.5219000, 726.1157300, 4406.3231000", \
+					  "14.9149580, 15.2888050, 17.8527750, 33.4832930, 130.5310500, 726.4111400, 4403.3077000", \
+					  "14.8955690, 15.2953560, 17.8441000, 33.4843340, 130.0989000, 727.3254700, 4408.0639000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4951700, 4.5268904, 4.7188129, 5.8775638, 12.9239300, 56.1546220, 147.1768200", \
+					  "4.6316742, 4.6633111, 4.8545177, 6.0140919, 13.0603560, 56.2990440, 147.2834400", \
+					  "4.7410280, 4.7706465, 4.9640576, 6.1211156, 13.1697450, 56.4054970, 147.4192100", \
+					  "4.8243796, 4.8563460, 5.0482676, 6.2070957, 13.2527040, 56.5070910, 147.4818300", \
+					  "4.8922932, 4.9242015, 5.1160209, 6.2746026, 13.3208750, 56.5715900, 147.5560900", \
+					  "4.9542508, 4.9860027, 5.1777569, 6.3368359, 13.3817750, 56.6364630, 147.6094000", \
+					  "5.0093054, 5.0410414, 5.2329141, 6.3912582, 13.4367850, 56.6916650, 147.6684300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1866884, 2.2415332, 2.5918336, 4.7929523, 18.5413850, 74.3677550, 108.8827400", \
+					  "2.1866529, 2.2430553, 2.5908997, 4.8066853, 18.5088100, 74.3651610, 109.2424500", \
+					  "2.1844413, 2.2437234, 2.5905000, 4.7970534, 18.5466110, 74.3552280, 109.0426200", \
+					  "2.1844102, 2.2410853, 2.5900309, 4.8042743, 18.5121870, 74.3729700, 108.9309700", \
+					  "2.1867679, 2.2432467, 2.5907627, 4.8025144, 18.5352320, 74.3853260, 109.1713400", \
+					  "2.1847686, 2.2417119, 2.5898121, 4.8009556, 18.5366740, 74.3731260, 109.2678500", \
+					  "2.1868829, 2.2432811, 2.5926414, 4.8105888, 18.5413050, 74.3746970, 108.9241300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.6089511, 3.6091270, 3.6091272, 3.6091303, 3.6091306, 3.6091308, 3.6658105", \
+					  "3.7452286, 3.7452970, 3.7454488, 3.7454490, 3.7454492, 3.7454495, 3.7765098", \
+					  "3.8554346, 3.8554773, 3.8554817, 3.8554990, 3.8554992, 3.8554994, 3.8986907", \
+					  "3.9400172, 3.9402002, 3.9402003, 3.9402005, 3.9402007, 3.9402010, 3.9829375", \
+					  "4.0089310, 4.0090358, 4.0094159, 4.0094164, 4.0094169, 4.0094173, 4.0504129", \
+					  "4.0713952, 4.0714450, 4.0714453, 4.0714458, 4.0714462, 4.0714467, 4.1194914", \
+					  "4.1265258, 4.1265262, 4.1265760, 4.1265762, 4.1265767, 4.1265772, 4.1678727");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5722865, 4.6057913, 4.8111026, 5.7118790, 7.5487439, 14.2193190, 52.6812940", \
+					  "4.7081468, 4.7409556, 4.9465831, 5.8475793, 7.6840623, 14.3549730, 52.7950480", \
+					  "4.8164859, 4.8509929, 5.0565001, 5.9572605, 7.7942115, 14.4649620, 52.8837030", \
+					  "4.9014717, 4.9332356, 5.1388742, 6.0396257, 7.8764311, 14.5469530, 53.0022320", \
+					  "4.9701514, 5.0028910, 5.2083431, 6.1088978, 7.9458218, 14.6177370, 53.0651150", \
+					  "5.0313429, 5.0651288, 5.2705866, 6.1712706, 8.0080742, 14.6804500, 53.1187720", \
+					  "5.0856284, 5.1202598, 5.3255604, 6.2264407, 8.0634184, 14.7337740, 53.1524370");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.8722086, 1.8886028, 1.9731086, 2.2595523, 3.0097369, 12.0744560, 69.7522680", \
+					  "1.8721835, 1.8876318, 1.9727857, 2.2599438, 3.0100853, 12.0900430, 69.8150940", \
+					  "1.8732080, 1.8878854, 1.9730124, 2.2601148, 3.0101719, 12.0904090, 69.7192140", \
+					  "1.8725896, 1.8875586, 1.9727753, 2.2600399, 3.0103426, 12.0754000, 69.7047260", \
+					  "1.8719866, 1.8880293, 1.9727229, 2.2603035, 3.0102720, 12.0984670, 69.8269890", \
+					  "1.8721711, 1.8880377, 1.9727509, 2.2599491, 3.0102445, 12.0764780, 69.7944670", \
+					  "1.8730835, 1.8881232, 1.9736193, 2.2598066, 3.0121119, 12.0694690, 69.7258710");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.1008517, 5.1234670, 5.2500515, 5.7900622, 7.8313125, 14.8755390, 32.2006030", \
+					  "5.2569429, 5.2806493, 5.4065530, 5.9458842, 7.9863280, 15.0284250, 32.3564540", \
+					  "5.4096865, 5.4329936, 5.5595062, 6.1001790, 8.1402254, 15.1818380, 32.5099240", \
+					  "5.5426264, 5.5652380, 5.6917946, 6.2318888, 8.2731964, 15.3175290, 32.6439460", \
+					  "5.6553273, 5.6779443, 5.8061169, 6.3441821, 8.3856611, 15.4300070, 32.7567480", \
+					  "5.7642673, 5.7868834, 5.9134684, 6.4535373, 8.4948342, 15.5391660, 32.8641540", \
+					  "5.8644698, 5.8870522, 6.0137890, 6.5537966, 8.5951166, 15.6393760, 32.9646140");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.3244945, 1.3314985, 1.3797318, 1.5830740, 3.6558223, 8.4503604, 25.3398140", \
+					  "1.3242584, 1.3332196, 1.3813369, 1.5820008, 3.6564018, 8.4386622, 25.3401800", \
+					  "1.3230408, 1.3307720, 1.3804682, 1.5827861, 3.6540897, 8.4387022, 25.3398720", \
+					  "1.3245094, 1.3315191, 1.3797440, 1.5831577, 3.6561050, 8.4504324, 25.3401660", \
+					  "1.3245303, 1.3315303, 1.3813762, 1.5823814, 3.6555599, 8.4503284, 25.3400150", \
+					  "1.3245040, 1.3315329, 1.3797242, 1.5832025, 3.6560968, 8.4504297, 25.3401550", \
+					  "1.3295145, 1.3316093, 1.3797349, 1.5833427, 3.6559957, 8.4503604, 25.3428110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.6459471, 3.6459474, 3.6459476, 3.6459479, 3.6459481, 3.6459483, 3.7257282", \
+					  "3.7829158, 3.7829160, 3.7829162, 3.7829165, 3.7829167, 3.7829170, 3.8345362", \
+					  "3.8929633, 3.8931112, 3.8931114, 3.8931116, 3.8931119, 3.8931121, 3.9462846", \
+					  "3.9777324, 3.9778607, 3.9778609, 3.9778611, 3.9778613, 3.9778616, 4.0355355", \
+					  "4.0466794, 4.0466798, 4.0466803, 4.0466807, 4.0466812, 4.0466817, 4.1010884", \
+					  "4.1135051, 4.1135052, 4.1135057, 4.1135061, 4.1135066, 4.1135071, 4.1607415", \
+					  "4.1641215, 4.1641588, 4.1641591, 4.1641596, 4.1641601, 4.1641605, 4.2228163");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4983025, 3.4983026, 3.4983029, 3.4987437, 3.4987439, 3.4987442, 3.5556245", \
+					  "3.6449477, 3.6449479, 3.6449482, 3.6449484, 3.6449487, 3.6449489, 3.6911796", \
+					  "3.7447796, 3.7449213, 3.7449214, 3.7449216, 3.7449219, 3.7449221, 3.7957810", \
+					  "3.8276116, 3.8277741, 3.8332395, 3.8332397, 3.8332400, 3.8332402, 3.8788408", \
+					  "3.8976276, 3.8976278, 3.8976511, 3.8976514, 3.8976516, 3.8976518, 3.9508157", \
+					  "3.9606127, 3.9606129, 3.9689243, 3.9689245, 3.9689247, 3.9689249, 4.0129122", \
+					  "4.0214507, 4.0214508, 4.0214513, 4.0214518, 4.0214522, 4.0214527, 4.0685437");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4511363, 4.4710329, 4.4710334, 4.4710339, 4.4710343, 4.4710348, 4.4710353", \
+					  "4.5834385, 4.5889363, 4.5898441, 4.5947970, 4.5947974, 4.5947979, 4.5947984", \
+					  "4.6960884, 4.7156825, 4.7180384, 4.7180388, 4.7180393, 4.7180398, 4.7180403", \
+					  "4.7790403, 4.7830109, 4.7940567, 4.7940572, 4.7940577, 4.7940581, 4.7940586", \
+					  "4.8723732, 4.8767470, 4.8767474, 4.8767479, 4.8767484, 4.8767489, 4.8767493", \
+					  "4.9324549, 4.9364361, 4.9364365, 4.9364369, 4.9364374, 4.9364379, 4.9364384", \
+					  "4.9757838, 4.9789555, 4.9789556, 4.9789560, 4.9789565, 4.9789570, 4.9789575");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6460560, 4.6787689, 4.8803139, 5.8079697, 7.6567428, 14.3267040, 52.7857720", \
+					  "4.8004236, 4.8337028, 5.0336322, 5.9620819, 7.8105318, 14.4809240, 52.9321050", \
+					  "4.9560334, 4.9852753, 5.1837405, 6.1176584, 7.9638758, 14.6361310, 53.0836710", \
+					  "5.0854014, 5.1189777, 5.3227240, 6.2470500, 8.0988505, 14.7689380, 53.2138960", \
+					  "5.1999081, 5.2327831, 5.4340159, 6.3613816, 8.2106224, 14.8801020, 53.3306980", \
+					  "5.3068532, 5.3374736, 5.5419007, 6.4709091, 8.3172740, 14.9878470, 53.4388570", \
+					  "5.4062679, 5.4373466, 5.6421072, 6.5677273, 8.4159772, 15.0855790, 53.5220930");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9070614, 1.9247348, 2.0142752, 2.3203115, 3.0434977, 12.0840540, 69.7763060", \
+					  "1.9077906, 1.9235424, 2.0142743, 2.3197630, 3.0363163, 12.0840490, 69.8158260", \
+					  "1.9071202, 1.9251778, 2.0147568, 2.3195699, 3.0432801, 12.0832410, 69.7761140", \
+					  "1.9069430, 1.9247355, 2.0133064, 2.3198405, 3.0433815, 12.0939980, 69.8275780", \
+					  "1.9070676, 1.9234951, 2.0142858, 2.3197657, 3.0433092, 12.0937610, 69.8334330", \
+					  "1.9071080, 1.9244091, 2.0134019, 2.3197531, 3.0368306, 12.0940670, 69.8157480", \
+					  "1.9070920, 1.9235609, 2.0146125, 2.3197679, 3.0432894, 12.0939420, 69.7861610");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1347050, 12.3456780, 13.6120690, 21.4845240, 69.8881880, 368.1189500, 2208.3595000", \
+					  "12.2901340, 12.5135110, 13.7803950, 21.6106620, 70.0231200, 368.6648700, 2210.3691000", \
+					  "12.4651410, 12.6657090, 13.9194790, 21.7820660, 70.1864660, 368.5317700, 2208.7097000", \
+					  "12.5982950, 12.8013450, 14.0853350, 21.9481300, 70.3164740, 368.6521900, 2209.2538000", \
+					  "12.7050570, 12.9101750, 14.1667300, 22.0316780, 70.4197300, 369.3136300, 2208.7809000", \
+					  "12.7972550, 13.0180750, 14.2846950, 22.1312040, 70.5409630, 369.1705800, 2211.4966000", \
+					  "12.9187900, 13.1273340, 14.3893820, 22.2725540, 70.6423510, 369.2881300, 2209.0844000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8930250, 15.3161090, 17.8460910, 33.4746380, 130.4868700, 727.4122100, 4403.5692000", \
+					  "14.9038080, 15.3257350, 17.8319100, 33.4467560, 130.5117600, 727.2708400, 4407.3058000", \
+					  "14.9162660, 15.3192070, 17.8461510, 33.4673690, 130.4993600, 727.1771100, 4409.5677000", \
+					  "14.9162180, 15.3250890, 17.8565200, 33.4853960, 130.5045500, 727.1993900, 4409.2244000", \
+					  "14.9136400, 15.3146600, 17.8512400, 33.4724780, 130.4737800, 727.1349900, 4403.9589000", \
+					  "14.9038360, 15.3187900, 17.8270200, 33.4583100, 130.5071000, 727.2819300, 4402.2254000", \
+					  "14.9105350, 15.3115690, 17.8548250, 33.4939440, 130.4694700, 726.5067000, 4409.5100000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9087463, 4.9145652, 4.9145654, 4.9145659, 4.9145663, 4.9145668, 4.9145673", \
+					  "5.0607185, 5.0607188, 5.0607193, 5.0607198, 5.0607203, 5.0607207, 5.0607212", \
+					  "5.1701052, 5.1701053, 5.1701057, 5.1701062, 5.1701067, 5.1701072, 5.1701077", \
+					  "5.2426157, 5.2426160, 5.2531250, 5.2531255, 5.2531260, 5.2531264, 5.2531269", \
+					  "5.3095839, 5.3095840, 5.3095844, 5.3095849, 5.3095854, 5.3095859, 5.3095863", \
+					  "5.3824207, 5.3824208, 5.3824213, 5.3824218, 5.3824223, 5.3824227, 5.3824232", \
+					  "5.4426961, 5.4426964, 5.4426969, 5.4426973, 5.4426978, 5.4426983, 5.4426988");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3801499, 3.3801500, 3.3801502, 3.3807872, 3.3807873, 3.3807875, 3.4491223", \
+					  "3.5116170, 3.5116171, 3.5116174, 3.5116176, 3.5116178, 3.5116181, 3.6268810", \
+					  "3.6204568, 3.6210963, 3.6215877, 3.6241927, 3.6241929, 3.6241931, 3.6897307", \
+					  "3.7005414, 3.7033099, 3.7110863, 3.7110864, 3.7110867, 3.7110869, 3.7640748", \
+					  "3.7770058, 3.7770061, 3.7770063, 3.7770066, 3.7770068, 3.7770070, 3.8356855", \
+					  "3.8320427, 3.8338026, 3.8338029, 3.8338031, 3.8338033, 3.8338036, 3.9213268", \
+					  "3.8884882, 3.8897139, 3.8897356, 3.8925196, 3.8925199, 3.8925201, 3.9818926");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5282405, 5.5638223, 5.7784106, 7.0812596, 14.9259090, 48.5698340, 159.2239000", \
+					  "5.6804388, 5.7163181, 5.9320704, 7.2272872, 15.0755660, 48.7285660, 159.3541600", \
+					  "5.8344525, 5.8728316, 6.0876535, 7.3881772, 15.2356600, 48.8743520, 159.5320800", \
+					  "5.9690000, 6.0008558, 6.2173617, 7.5227149, 15.3680820, 49.0095040, 159.6278500", \
+					  "6.0809331, 6.1164815, 6.3244308, 7.6356992, 15.4795160, 49.1139180, 159.7435100", \
+					  "6.1879355, 6.2240137, 6.4382180, 7.7335951, 15.5814170, 49.2280740, 159.8777400", \
+					  "6.2891590, 6.3218799, 6.5361614, 7.8368405, 15.6794490, 49.3306120, 159.9490500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3566198, 2.4181619, 2.8013007, 5.1903122, 17.2625790, 57.8263300, 137.6702400", \
+					  "2.3514395, 2.4181652, 2.7989007, 5.1816844, 17.2620440, 57.9729190, 137.6457800", \
+					  "2.3566056, 2.4181639, 2.8013012, 5.1886526, 17.2626390, 57.8116010, 137.6702800", \
+					  "2.3518021, 2.4181745, 2.7975493, 5.1886611, 17.2627050, 57.8116930, 137.6717500", \
+					  "2.3565943, 2.4181821, 2.8019269, 5.1886393, 17.2710950, 57.8789890, 137.6494900", \
+					  "2.3566071, 2.4183906, 2.8013010, 5.1803353, 17.2689860, 57.8115230, 137.6702300", \
+					  "2.3565669, 2.4182027, 2.7981599, 5.1932094, 17.2656020, 57.8263840, 137.6527800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4903667, 3.4942242, 3.4942245, 3.4942247, 3.4942250, 3.4942252, 3.5424941", \
+					  "3.6382368, 3.6382369, 3.6382372, 3.6382374, 3.6382376, 3.6382379, 3.6855361", \
+					  "3.7367425, 3.7370222, 3.7370223, 3.7370226, 3.7370228, 3.7370230, 3.7880352", \
+					  "3.8194616, 3.8195580, 3.8280032, 3.8280033, 3.8280036, 3.8280038, 3.8705603", \
+					  "3.8897356, 3.8897359, 3.8897889, 3.8897890, 3.8897893, 3.8897895, 3.9446788", \
+					  "3.9562260, 3.9562263, 3.9621142, 3.9621143, 3.9621145, 3.9621147, 4.0060462", \
+					  "4.0096144, 4.0096148, 4.0096152, 4.0096157, 4.0096162, 4.0096167, 4.0599955");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3812777, 3.3816208, 3.3816211, 3.3816736, 3.3816737, 3.3816740, 3.4645105", \
+					  "3.5129781, 3.5129782, 3.5130709, 3.5130710, 3.5130712, 3.5130715, 3.5776926", \
+					  "3.6215999, 3.6220427, 3.6223802, 3.6249188, 3.6249189, 3.6249191, 3.6892502", \
+					  "3.6965209, 3.6965210, 3.7104502, 3.7104503, 3.7104506, 3.7104508, 3.7974446", \
+					  "3.7776169, 3.7776171, 3.7776174, 3.7776176, 3.7776179, 3.7776181, 3.8712300", \
+					  "3.8335313, 3.8352814, 3.8352815, 3.8352818, 3.8352820, 3.8352823, 3.9145167", \
+					  "3.8901495, 3.8910524, 3.8910527, 3.8910529, 3.8910531, 3.8910534, 3.9839869");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9173101, 4.9184021, 4.9193205, 4.9193209, 4.9193213, 4.9193218, 4.9193223", \
+					  "5.0544284, 5.0544289, 5.0544293, 5.0544298, 5.0544303, 5.0544308, 5.0544313", \
+					  "5.1711018, 5.1711019, 5.1711023, 5.1711028, 5.1711033, 5.1711038, 5.1711042", \
+					  "5.2546802, 5.2546804, 5.2546809, 5.2546814, 5.2546819, 5.2546824, 5.2546828", \
+					  "5.3212021, 5.3212026, 5.3212030, 5.3212035, 5.3212040, 5.3212045, 5.3212050", \
+					  "5.3838503, 5.3838504, 5.3838509, 5.3838513, 5.3838518, 5.3838523, 5.3838528", \
+					  "5.4376308, 5.4376309, 5.4376314, 5.4376319, 5.4376324, 5.4376328, 5.4376333");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4457420, 4.4733555, 4.4733556, 4.4733561, 4.4733565, 4.4733570, 4.4733575", \
+					  "4.5849649, 4.5894639, 4.5894640, 4.5894645, 4.5894650, 4.5894654, 4.5894659", \
+					  "4.6959290, 4.7175903, 4.7175906, 4.7175911, 4.7175916, 4.7175921, 4.7175925", \
+					  "4.7797895, 4.7832938, 4.7832940, 4.7832945, 4.7832950, 4.7832954, 4.7832959", \
+					  "4.8729065, 4.8807460, 4.8807462, 4.8807467, 4.8807471, 4.8807476, 4.8807481", \
+					  "4.9331845, 4.9364663, 4.9364665, 4.9364670, 4.9364675, 4.9364679, 4.9364684", \
+					  "4.9781788, 4.9809930, 4.9809931, 4.9809936, 4.9809940, 4.9809945, 4.9809950");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5064104, 4.5389981, 4.7409845, 5.6679954, 7.5174009, 14.1855350, 52.6258400", \
+					  "4.6418731, 4.6743414, 4.8768900, 5.8039409, 7.6536928, 14.3209740, 52.7683670", \
+					  "4.7507109, 4.7846198, 4.9849471, 5.9134937, 7.7629414, 14.4277440, 52.8639500", \
+					  "4.8355018, 4.8687334, 5.0702451, 5.9983614, 7.8449354, 14.5142040, 52.9368870", \
+					  "4.9038720, 4.9361057, 5.1383986, 6.0658198, 7.9143145, 14.5817920, 53.0345400", \
+					  "4.9644768, 4.9977698, 5.1992699, 6.1266832, 7.9761087, 14.6437410, 53.0611570", \
+					  "5.0193463, 5.0529039, 5.2542268, 6.1818679, 8.0316935, 14.7004100, 53.1348690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9077415, 1.9247683, 2.0139186, 2.3202602, 3.0434457, 12.0741910, 69.8137010", \
+					  "1.9081479, 1.9247939, 2.0144044, 2.3199029, 3.0367580, 12.0848760, 69.7495250", \
+					  "1.9069575, 1.9236739, 2.0142172, 2.3199660, 3.0386320, 12.0748020, 69.8058070", \
+					  "1.9072139, 1.9236832, 2.0134678, 2.3199647, 3.0397407, 12.0888880, 69.7630680", \
+					  "1.9081967, 1.9248503, 2.0138375, 2.3201887, 3.0396590, 12.0750920, 69.7837520", \
+					  "1.9071122, 1.9236472, 2.0143907, 2.3199089, 3.0352021, 12.0649680, 69.7266240", \
+					  "1.9070925, 1.9236699, 2.0143838, 2.3199551, 3.0340566, 12.0760400, 69.7866010");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.2331711, 4.2332786, 4.2332790, 4.2332795, 4.2332800, 4.2332805, 4.2910395", \
+					  "4.3694968, 4.3694971, 4.3694976, 4.3694981, 4.3694985, 4.3694990, 4.4260591", \
+					  "4.4812136, 4.4812139, 4.4812143, 4.4812148, 4.4812153, 4.4812158, 4.5377595", \
+					  "4.5645345, 4.5647748, 4.5656634, 4.5656636, 4.5656641, 4.5656646, 4.6229531", \
+					  "4.6345532, 4.6345537, 4.6351727, 4.6351731, 4.6351736, 4.6351741, 4.6902215", \
+					  "4.6965654, 4.7056643, 4.7056644, 4.7056649, 4.7056654, 4.7056659, 4.7546580", \
+					  "4.7534128, 4.7534918, 4.7535402, 4.7535403, 4.7535408, 4.7535413, 4.8121493");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6460014, 4.6787418, 4.8808433, 5.8081806, 7.6573645, 14.3265040, 52.7559650", \
+					  "4.7997695, 4.8314805, 5.0337841, 5.9616965, 7.8109021, 14.4802280, 52.9299060", \
+					  "4.9530979, 4.9882320, 5.1905502, 6.1145591, 7.9674125, 14.6361280, 53.0949540", \
+					  "5.0884266, 5.1200968, 5.3205378, 6.2473271, 8.0951894, 14.7680080, 53.2269770", \
+					  "5.1996984, 5.2339706, 5.4346900, 6.3606218, 8.2088576, 14.8778090, 53.3288760", \
+					  "5.3058860, 5.3388898, 5.5405809, 6.4678414, 8.3184402, 14.9874300, 53.4391200", \
+					  "5.4049467, 5.4355898, 5.6413776, 6.5681118, 8.4164400, 15.0900480, 53.5391220");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9072841, 1.9251714, 2.0150135, 2.3198679, 3.0431535, 12.0885240, 69.7936360", \
+					  "1.9072861, 1.9251658, 2.0141311, 2.3203333, 3.0361251, 12.0812700, 69.8140070", \
+					  "1.9071371, 1.9236672, 2.0143340, 2.3198128, 3.0431391, 12.0762590, 69.7908790", \
+					  "1.9076192, 1.9237631, 2.0144417, 2.3199351, 3.0431433, 12.0899260, 69.8143620", \
+					  "1.9079052, 1.9237720, 2.0144997, 2.3199520, 3.0361516, 12.0880750, 69.8148100", \
+					  "1.9072846, 1.9238084, 2.0145150, 2.3199691, 3.0384937, 12.0863670, 69.8331420", \
+					  "1.9084101, 1.9237500, 2.0144442, 2.3202473, 3.0393936, 12.0857960, 69.8311030");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5235079, 5.5498686, 5.6831531, 6.2356773, 8.2816838, 15.3523490, 32.6888060", \
+					  "5.6761036, 5.7018603, 5.8369563, 6.3905206, 8.4342924, 15.5045840, 32.8433250", \
+					  "5.8307101, 5.8581748, 5.9936647, 6.5433041, 8.5883727, 15.6620800, 32.9959600", \
+					  "5.9646386, 5.9907359, 6.1264802, 6.6760446, 8.7204386, 15.7926380, 33.1287420", \
+					  "6.0744352, 6.1013874, 6.2362706, 6.7897448, 8.8364001, 15.9057500, 33.2408660", \
+					  "6.1837326, 6.2040431, 6.3444623, 6.8969452, 8.9445757, 16.0112510, 33.3496430", \
+					  "6.2810797, 6.3105494, 6.4414909, 6.9885258, 9.0409662, 16.1056590, 33.4462450");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4038328, 1.4144319, 1.4713321, 1.6738532, 3.6707946, 8.4749878, 25.3455340", \
+					  "1.4074831, 1.4179169, 1.4712344, 1.6739261, 3.6708125, 8.4751166, 25.3456670", \
+					  "1.4065110, 1.4130888, 1.4693969, 1.6742425, 3.6705445, 8.4751957, 25.3452640", \
+					  "1.4083913, 1.4144107, 1.4716477, 1.6737072, 3.6701000, 8.4584579, 25.3427480", \
+					  "1.4076280, 1.4123424, 1.4686599, 1.6763305, 3.6702528, 8.4750402, 25.3558420", \
+					  "1.4082721, 1.4227524, 1.4719573, 1.6739878, 3.6700660, 8.4580334, 25.3452830", \
+					  "1.4048216, 1.4144214, 1.4710821, 1.6725243, 3.6704535, 8.4643803, 25.3451340");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6351509, 4.6666084, 4.8588888, 6.0174155, 13.0636040, 56.2831740, 147.3012200", \
+					  "4.7888435, 4.8215139, 5.0123337, 6.1711538, 13.2174240, 56.4360970, 147.4547100", \
+					  "4.9444870, 4.9740589, 5.1680540, 6.3266357, 13.3704680, 56.6276020, 147.6201800", \
+					  "5.0764655, 5.1091367, 5.3012048, 6.4597439, 13.5049810, 56.7459750, 147.7240400", \
+					  "5.1904951, 5.2204303, 5.4110800, 6.5709265, 13.6167660, 56.8476540, 147.8698800", \
+					  "5.2950893, 5.3265801, 5.5188063, 6.6788974, 13.7220270, 56.9541850, 147.9538800", \
+					  "5.3939608, 5.4250049, 5.6205693, 6.7719061, 13.8262650, 57.0421080, 148.0594300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1872408, 2.2420061, 2.5906650, 4.7957429, 18.5051120, 74.3709020, 109.0934700", \
+					  "2.1850917, 2.2402347, 2.5901430, 4.7949928, 18.5445510, 74.3700710, 109.1018000", \
+					  "2.1845081, 2.2392446, 2.5991991, 4.7932969, 18.5099540, 74.4002760, 108.9681700", \
+					  "2.1873250, 2.2390567, 2.5926035, 4.7880819, 18.5176600, 74.4016120, 109.1479000", \
+					  "2.1855746, 2.2439859, 2.5997267, 4.7887720, 18.5236200, 74.3535940, 109.0747900", \
+					  "2.1864495, 2.2418833, 2.5997255, 4.7900381, 18.5435840, 74.3639960, 109.1385600", \
+					  "2.1870732, 2.2418480, 2.5992006, 4.7933177, 18.5177460, 74.3291790, 109.1311100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5619140, 5.5963398, 5.8140039, 7.1109714, 14.9729790, 62.9475570, 136.1216700", \
+					  "5.7143836, 5.7520704, 5.9629239, 7.2596147, 15.1291300, 63.1055100, 136.2751500", \
+					  "5.8674190, 5.9029848, 6.1228507, 7.4182607, 15.2737040, 63.2564290, 136.4306600", \
+					  "6.0007448, 6.0372489, 6.2559513, 7.5559727, 15.4087550, 63.3840290, 136.5621400", \
+					  "6.1153096, 6.1504930, 6.3672729, 7.6645961, 15.5597300, 63.4966850, 136.6723600", \
+					  "6.2230057, 6.2517236, 6.4707542, 7.7697031, 15.6247070, 63.6091850, 136.7808800", \
+					  "6.3203442, 6.3514447, 6.5718417, 7.8739379, 15.7581330, 63.6993750, 136.8811600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3418734, 2.4053828, 2.7878759, 5.1784341, 20.1265960, 75.1231250, 75.4351390", \
+					  "2.3424058, 2.4026166, 2.7837777, 5.1779696, 20.1519190, 75.1392260, 75.4019920", \
+					  "2.3419142, 2.4053686, 2.7879008, 5.1772271, 20.1449120, 75.1327040, 75.4493070", \
+					  "2.3424722, 2.4053139, 2.7837204, 5.1816014, 20.1449120, 75.1247600, 75.4651030", \
+					  "2.3420983, 2.4055005, 2.7879197, 5.1818487, 20.1402730, 75.1360860, 75.4646620", \
+					  "2.3427618, 2.4056703, 2.7878342, 5.1782267, 20.1448550, 75.1458700, 75.4053390", \
+					  "2.3418754, 2.4045013, 2.7859772, 5.1815343, 20.1197050, 75.1269980, 75.4311880");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4901162, 3.4905137, 3.4905140, 3.4915697, 3.4915699, 3.4915702, 3.5377594", \
+					  "3.6358407, 3.6358408, 3.6358411, 3.6358413, 3.6358415, 3.6358418, 3.6809535", \
+					  "3.7370627, 3.7370629, 3.7371337, 3.7371339, 3.7371341, 3.7371344, 3.7892742", \
+					  "3.8198742, 3.8201291, 3.8264774, 3.8264775, 3.8264777, 3.8264779, 3.8722185", \
+					  "3.8906314, 3.8906316, 3.8906318, 3.8906321, 3.8906323, 3.8906326, 3.9421106", \
+					  "3.9512780, 3.9609209, 3.9609210, 3.9609212, 3.9609215, 3.9609217, 4.0054551", \
+					  "4.0106238, 4.0106505, 4.0111745, 4.0111750, 4.0111754, 4.0111759, 4.0605910");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3741594, 3.3747942, 3.3747944, 3.3770360, 3.3770363, 3.3770365, 3.5048884", \
+					  "3.5019452, 3.5098013, 3.5098016, 3.5148315, 3.5148317, 3.5148320, 3.5955525", \
+					  "3.6179850, 3.6197267, 3.6207699, 3.6220511, 3.6220512, 3.6220514, 3.6881658", \
+					  "3.6942152, 3.6974075, 3.7006251, 3.7006254, 3.7006256, 3.7006258, 3.7859436", \
+					  "3.7610488, 3.7620804, 3.7675334, 3.7709231, 3.7709233, 3.7709235, 3.8531205", \
+					  "3.8217687, 3.8335397, 3.8335399, 3.8335401, 3.8335404, 3.8335406, 3.9153520", \
+					  "3.8820667, 3.8820669, 3.8830770, 3.8830771, 3.8830773, 3.8830776, 3.9704551");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.9844456, 2.9851315, 2.9930853, 2.9930856, 2.9930858, 2.9930860, 3.0239335", \
+					  "3.1315033, 3.1315035, 3.1315038, 3.1315040, 3.1315042, 3.1315045, 3.2363290", \
+					  "3.2489078, 3.2489080, 3.2489082, 3.2489085, 3.2489087, 3.2489089, 3.3954226", \
+					  "3.4141838, 3.4162011, 3.4162013, 3.4162015, 3.4162018, 3.4162020, 3.5245349", \
+					  "3.5357908, 3.5357909, 3.5357911, 3.5357913, 3.5357916, 3.5357918, 3.6406580", \
+					  "3.6457726, 3.6461780, 3.6461782, 3.6461784, 3.6461787, 3.6461789, 3.7426782", \
+					  "3.7473984, 3.7480139, 3.7480141, 3.7480144, 3.7480146, 3.7480149, 3.8525134");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.4799254, 5.5056764, 5.6423279, 6.2000809, 8.2597627, 19.1922340, 70.6741050", \
+					  "5.6343792, 5.6583511, 5.7943748, 6.3543237, 8.4137630, 19.3434100, 70.8257910", \
+					  "5.7865353, 5.8163772, 5.9508021, 6.5074834, 8.5667144, 19.4989460, 70.9831480", \
+					  "5.9222112, 5.9481380, 6.0790852, 6.6415868, 8.7013063, 19.6324430, 71.1108830", \
+					  "6.0348264, 6.0586336, 6.1921606, 6.7542385, 8.8143679, 19.7419610, 71.2260900", \
+					  "6.1411005, 6.1658563, 6.3022161, 6.8592092, 8.9193932, 19.8530740, 71.3383560", \
+					  "6.2378875, 6.2647492, 6.4005676, 6.9593313, 9.0181418, 19.9493560, 71.4323680");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4107021, 1.4189033, 1.4751579, 1.6846005, 3.7056489, 18.3966920, 67.2270990", \
+					  "1.4044359, 1.4151709, 1.4703652, 1.6849311, 3.7028696, 18.3973090, 67.2440950", \
+					  "1.4084659, 1.4189608, 1.4751639, 1.6850074, 3.7040355, 18.3919140, 67.2249600", \
+					  "1.4085135, 1.4190017, 1.4751438, 1.6850522, 3.7019954, 18.3958400, 67.2224520", \
+					  "1.4084711, 1.4187433, 1.4759399, 1.6851103, 3.7039258, 18.3920520, 67.2141670", \
+					  "1.4090779, 1.4135725, 1.4751635, 1.6850197, 3.7018144, 18.3919510, 67.2674360", \
+					  "1.4081852, 1.4186536, 1.4751633, 1.6855114, 3.7025794, 18.3958360, 67.2311490");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.9939116, 3.0028726, 3.0028729, 3.0028731, 3.0028734, 3.0028736, 3.0599303", \
+					  "3.1298986, 3.1342582, 3.1342584, 3.1342587, 3.1342589, 3.1342592, 3.2311878", \
+					  "3.2783620, 3.2783622, 3.2783624, 3.2783627, 3.2783629, 3.2783632, 3.4074232", \
+					  "3.4195700, 3.4219843, 3.4219844, 3.4219846, 3.4219848, 3.4219851, 3.5436889", \
+					  "3.5295142, 3.5313177, 3.5313179, 3.5313181, 3.5313184, 3.5313186, 3.6468288", \
+					  "3.6447152, 3.6449890, 3.6449892, 3.6449894, 3.6449897, 3.6449899, 3.7522638", \
+					  "3.7615768, 3.7627377, 3.7627379, 3.7627382, 3.7627384, 3.7627386, 3.8540411");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4243220, 11.6325740, 12.9058980, 20.7673860, 69.2766240, 368.5775900, 2212.4548000", \
+					  "11.5796090, 11.7843600, 13.0615360, 20.9215120, 69.4459890, 368.8942100, 2213.6467000", \
+					  "11.7314120, 11.9379860, 13.2160300, 21.0740580, 69.5648270, 368.5676400, 2212.5898000", \
+					  "11.8653790, 12.0738020, 13.3434640, 21.2086330, 69.6760270, 369.0063900, 2212.9586000", \
+					  "11.9780950, 12.1855980, 13.4606900, 21.3224250, 69.8590340, 369.2792100, 2213.9707000", \
+					  "12.0854960, 12.2928500, 13.5665830, 21.4199410, 69.9953450, 369.1122600, 2214.0885000", \
+					  "12.1836660, 12.3893450, 13.6669960, 21.5322210, 70.0768230, 368.9603700, 2214.1869000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2496430, 15.6633940, 18.1975450, 33.8666090, 131.1287400, 728.9734200, 4416.4990000", \
+					  "15.2423120, 15.6611740, 18.2133050, 33.8684390, 131.0639300, 729.1911300, 4416.7491000", \
+					  "15.2325760, 15.6701850, 18.1970990, 33.8665630, 130.9803300, 729.2810600, 4416.2716000", \
+					  "15.2603810, 15.6779110, 18.2023210, 33.8663960, 130.9491900, 729.0390200, 4418.9833000", \
+					  "15.2493410, 15.6672400, 18.1789180, 33.8747090, 130.7281300, 729.1878900, 4417.1384000", \
+					  "15.2369280, 15.6766560, 18.2133150, 33.8682260, 130.9548700, 728.4066900, 4415.9942000", \
+					  "15.2654070, 15.6635430, 18.2042700, 33.8552300, 131.0658700, 728.6130300, 4414.8710000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1578500, 12.3654540, 13.6181220, 21.5022700, 69.8774300, 368.2344000, 2209.1344000", \
+					  "12.3101110, 12.4996200, 13.7660670, 21.6396380, 69.9960690, 368.9365300, 2210.0328000", \
+					  "12.4598840, 12.6623710, 13.9191300, 21.7923920, 70.1595080, 368.6201600, 2209.2947000", \
+					  "12.5787880, 12.7954810, 14.0523400, 21.9253020, 70.2931830, 369.0256000, 2208.2993000", \
+					  "12.6876380, 12.9125150, 14.1806600, 22.0196160, 70.4442580, 368.7994200, 2209.3693000", \
+					  "12.8117080, 13.0044270, 14.2700700, 22.1468500, 70.5107580, 368.9301900, 2208.7272000", \
+					  "12.9153900, 13.1168050, 14.3761070, 22.2265480, 70.6197410, 369.0249500, 2209.9903000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8963950, 15.3208700, 17.8558480, 33.4931940, 130.5343900, 726.9733800, 4409.2494000", \
+					  "14.9095880, 15.2870680, 17.8515100, 33.4822370, 130.0052200, 727.1391400, 4410.9288000", \
+					  "14.9143600, 15.3044310, 17.8411970, 33.4780910, 130.0328300, 727.0186900, 4404.4255000", \
+					  "14.9060820, 15.3057530, 17.8413040, 33.4775730, 130.0404900, 726.9605400, 4403.6533000", \
+					  "14.8917510, 15.3219690, 17.8443500, 33.4694440, 130.5342500, 727.2506100, 4408.8065000", \
+					  "14.9076240, 15.2868100, 17.8408220, 33.4815270, 130.0238900, 727.1190200, 4408.1353000", \
+					  "14.9163080, 15.3217600, 17.8527060, 33.4464440, 130.1099700, 726.5964500, 4405.6287000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5148054, 4.5471951, 4.7492863, 5.6712044, 7.5188584, 14.1882710, 52.6397880", \
+					  "4.6500963, 4.6829620, 4.8846163, 5.8066143, 7.6540134, 14.3235040, 52.7765280", \
+					  "4.7599134, 4.7926885, 4.9947118, 5.9166325, 7.7636226, 14.4326950, 52.8549400", \
+					  "4.8422626, 4.8746940, 5.0766440, 5.9989063, 7.8459328, 14.5149880, 52.9382900", \
+					  "4.9118141, 4.9447033, 5.1466698, 6.0683845, 7.9154479, 14.5847120, 53.0434680", \
+					  "4.9740998, 5.0069495, 5.2085865, 6.1306715, 7.9777784, 14.6468610, 53.0691370", \
+					  "5.0291757, 5.0620184, 5.2636948, 6.1857404, 8.0330684, 14.7018800, 53.1395370");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9013546, 1.9192818, 2.0080833, 2.3136315, 3.0423961, 12.1011980, 69.7442810", \
+					  "1.9014823, 1.9180766, 2.0080368, 2.3131137, 3.0353220, 12.0867680, 69.7828210", \
+					  "1.9028175, 1.9184883, 2.0083279, 2.3136046, 3.0381355, 12.0761920, 69.7344400", \
+					  "1.9014290, 1.9194772, 2.0085316, 2.3135045, 3.0391130, 12.0706940, 69.7176570", \
+					  "1.9023654, 1.9179642, 2.0083257, 2.3135198, 3.0383311, 12.0709280, 69.7254810", \
+					  "1.9014914, 1.9180659, 2.0073943, 2.3130289, 3.0386582, 12.0702980, 69.7340640", \
+					  "1.9015400, 1.9181445, 2.0081292, 2.3131785, 3.0355261, 12.0700450, 69.8102200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1936740, 12.4004490, 13.7193400, 21.7769160, 70.4189130, 369.0459500, 2210.4339000", \
+					  "12.3690710, 12.5690720, 13.8982610, 21.8959570, 70.6009400, 369.3944600, 2208.8107000", \
+					  "12.5027080, 12.7208280, 14.0512770, 22.0793820, 70.7226770, 369.4450800, 2211.1624000", \
+					  "12.6399880, 12.8502290, 14.1771520, 22.1872880, 70.8197520, 369.9125000, 2212.1212000", \
+					  "12.7488340, 12.9676310, 14.2972920, 22.3254060, 70.9530210, 369.7008400, 2212.0273000", \
+					  "12.8593090, 13.0691250, 14.3840950, 22.4065340, 71.0383930, 370.1931300, 2212.4279000", \
+					  "12.9571670, 13.1639430, 14.4797210, 22.5391870, 71.1337760, 370.2917300, 2212.4650000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.9070210, 15.3018260, 17.8110890, 33.4482810, 130.4970000, 726.7507800, 4405.1681000", \
+					  "14.9092490, 15.2943260, 17.8594920, 33.4139580, 130.4531300, 726.8830000, 4405.0485000", \
+					  "14.8744810, 15.3046610, 17.8591580, 33.4648860, 130.5247500, 727.1784200, 4402.7565000", \
+					  "14.9055260, 15.3178870, 17.8419860, 33.4305130, 130.1556800, 727.1361800, 4409.2776000", \
+					  "14.8745010, 15.3049470, 17.8586480, 33.4643890, 129.9819000, 727.1762000, 4407.1294000", \
+					  "14.9064370, 15.3173310, 17.8424260, 33.4308620, 130.1596800, 727.1531800, 4408.9452000", \
+					  "14.9070050, 15.3017940, 17.8515370, 33.4473320, 130.3021400, 727.1482300, 4406.9484000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6348720, 4.6663287, 4.8587463, 6.0180199, 13.0629300, 56.3096450, 147.2932600", \
+					  "4.7880571, 4.8211324, 5.0109845, 6.1718870, 13.2173330, 56.4623480, 147.4755600", \
+					  "4.9450293, 4.9746576, 5.1651337, 6.3266081, 13.3729890, 56.6213210, 147.6040600", \
+					  "5.0751088, 5.1062110, 5.2972937, 6.4559940, 13.5043130, 56.7570810, 147.7319000", \
+					  "5.1870233, 5.2196847, 5.4129581, 6.5686283, 13.6133640, 56.8405700, 147.8477000", \
+					  "5.2954223, 5.3291949, 5.5167990, 6.6761578, 13.7239230, 56.9770900, 147.9584200", \
+					  "5.3929257, 5.4231188, 5.6170846, 6.7795298, 13.8229860, 57.0447090, 148.0700900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1866051, 2.2425914, 2.5898441, 4.7948423, 18.5025380, 74.3796140, 109.1532100", \
+					  "2.1859052, 2.2412368, 2.5990889, 4.7948743, 18.5194630, 74.3877990, 108.8429500", \
+					  "2.1841164, 2.2378344, 2.5932341, 4.7931619, 18.5095190, 74.3993950, 109.1982700", \
+					  "2.1864239, 2.2436204, 2.5941433, 4.7893476, 18.5055370, 74.3954370, 109.1794700", \
+					  "2.1866147, 2.2407443, 2.5990980, 4.7888715, 18.5105320, 74.3413440, 108.9551300", \
+					  "2.1864250, 2.2403313, 2.5990856, 4.7899325, 18.5116850, 74.3689060, 108.8595000", \
+					  "2.1868165, 2.2424111, 2.5965432, 4.7933018, 18.5092310, 74.3412800, 109.1477900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1391380, 12.3464450, 13.6192680, 21.4980180, 69.8856160, 368.5834700, 2208.0096000", \
+					  "12.3112730, 12.5140230, 13.7809630, 21.6098320, 70.0243420, 368.6548700, 2209.7784000", \
+					  "12.4644090, 12.6647040, 13.9239980, 21.7786160, 70.1820540, 368.5457800, 2209.2845000", \
+					  "12.6001160, 12.8055100, 14.0575200, 21.9499920, 70.2887210, 369.0189500, 2208.7766000", \
+					  "12.7051200, 12.9138130, 14.1681220, 22.0195190, 70.3990180, 369.0233000, 2212.0901000", \
+					  "12.8147350, 13.0234270, 14.2869490, 22.1270340, 70.5305330, 369.1775100, 2209.7065000", \
+					  "12.9212160, 13.1165480, 14.3875250, 22.2333330, 70.6477150, 369.2564100, 2208.8238000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8825280, 15.3167770, 17.8139280, 33.4951200, 130.5401000, 726.8367300, 4405.8775000", \
+					  "14.9124570, 15.3257870, 17.8330810, 33.4453070, 130.5116100, 727.1393700, 4408.3636000", \
+					  "14.9164330, 15.3184660, 17.8520660, 33.4590740, 130.4955900, 727.1555100, 4407.0794000", \
+					  "14.9105870, 15.3028200, 17.8522050, 33.4853530, 130.0124100, 726.9296100, 4404.1835000", \
+					  "14.9136350, 15.3257190, 17.8524560, 33.4984090, 130.0182600, 726.3141700, 4410.9406000", \
+					  "14.9105330, 15.3269330, 17.8531820, 33.4984060, 130.5114700, 727.2824400, 4405.3377000", \
+					  "14.8980750, 15.3259290, 17.8531450, 33.4581220, 130.4723900, 727.1208300, 4409.0584000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4257650, 11.6307190, 12.9068080, 20.7648410, 69.3349160, 368.2236800, 2212.5857000", \
+					  "11.5774760, 11.7838490, 13.0599660, 20.9183850, 69.4898860, 368.5253800, 2213.9682000", \
+					  "11.7332510, 11.9376000, 13.2152360, 21.0766120, 69.5689550, 368.8396400, 2213.5598000", \
+					  "11.8643260, 12.0695280, 13.3446310, 21.2044520, 69.6994600, 368.9667700, 2212.9843000", \
+					  "11.9800380, 12.1851450, 13.4615940, 21.3273910, 69.7845830, 368.9140700, 2213.6787000", \
+					  "12.0884290, 12.2919800, 13.5679860, 21.4358970, 69.9977890, 368.9728500, 2212.9393000", \
+					  "12.1842060, 12.3909380, 13.6655750, 21.5308980, 69.9967520, 369.4763100, 2214.0966000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2693160, 15.6657420, 18.1909080, 33.8642110, 130.9426200, 730.6409800, 4419.9151000", \
+					  "15.2512970, 15.6507090, 18.2033710, 33.8739170, 130.9470000, 728.9394300, 4417.5767000", \
+					  "15.2337040, 15.6714070, 18.1940400, 33.8694900, 130.9880000, 729.2234200, 4419.3339000", \
+					  "15.2668150, 15.6662350, 18.2053860, 33.8698460, 130.9712300, 729.2239300, 4417.8732000", \
+					  "15.2661300, 15.6590070, 18.1688710, 33.8744570, 130.9523700, 727.9497800, 4418.5085000", \
+					  "15.2668120, 15.6663220, 18.2087220, 33.8102490, 130.9549300, 728.4301700, 4416.9028000", \
+					  "15.2662500, 15.6775230, 18.2096510, 33.8540570, 130.9500000, 729.1755000, 4418.2761000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5614913, 5.5976277, 5.8139489, 7.1123702, 14.9683390, 62.9480970, 136.1294300", \
+					  "5.7161262, 5.7513998, 5.9643530, 7.2616517, 15.1248470, 63.1047430, 136.2844800", \
+					  "5.8709388, 5.9054410, 6.1230732, 7.4178055, 15.2780140, 63.2614390, 136.4327300", \
+					  "6.0039778, 6.0383765, 6.2556609, 7.5529138, 15.4059200, 63.3848170, 136.5720100", \
+					  "6.1134511, 6.1485914, 6.3611942, 7.6683007, 15.5166480, 63.4951930, 136.6790100", \
+					  "6.2168199, 6.2571583, 6.4755201, 7.7714049, 15.6295630, 63.6011560, 136.7848100", \
+					  "6.3212213, 6.3566645, 6.5736092, 7.8768689, 15.7253980, 63.6996380, 136.8881200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3420199, 2.4016011, 2.7876210, 5.1796705, 20.1448440, 75.1278580, 75.4296750", \
+					  "2.3420852, 2.4025342, 2.7826732, 5.1791638, 20.1520790, 75.1408250, 75.4323890", \
+					  "2.3417968, 2.4048865, 2.7876070, 5.1773772, 20.1453660, 75.1440650, 75.4093110", \
+					  "2.3417930, 2.4051592, 2.7833094, 5.1769939, 20.1454910, 75.1406970, 75.4303070", \
+					  "2.3434947, 2.4049276, 2.7870684, 5.1812865, 20.1444230, 75.1336130, 75.4319910", \
+					  "2.3436235, 2.4051692, 2.7858205, 5.1774074, 20.1452150, 75.1285330, 75.4095590", \
+					  "2.3429008, 2.4043243, 2.7858442, 5.1811266, 20.1459380, 75.1254350, 75.4303500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6456807, 4.6788964, 4.8809747, 5.8071903, 7.6568538, 14.3266560, 52.7773240", \
+					  "4.7990603, 4.8326605, 5.0340616, 5.9614815, 7.8106295, 14.4809010, 52.9314330", \
+					  "4.9533262, 4.9887068, 5.1879541, 6.1173693, 7.9659985, 14.6336470, 53.0912170", \
+					  "5.0880984, 5.1204272, 5.3185869, 6.2490808, 8.0987448, 14.7687660, 53.2150500", \
+					  "5.1953659, 5.2328710, 5.4328613, 6.3611711, 8.2105291, 14.8806870, 53.3325560", \
+					  "5.3060583, 5.3395319, 5.5409148, 6.4693211, 8.3175165, 14.9871580, 53.4204500", \
+					  "5.4078648, 5.4383240, 5.6392269, 6.5712418, 8.4162721, 15.0849200, 53.5469410");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9069892, 1.9235609, 2.0139952, 2.3199334, 3.0429890, 12.0838670, 69.8158050", \
+					  "1.9069970, 1.9235371, 2.0140294, 2.3197859, 3.0392506, 12.0838250, 69.8171550", \
+					  "1.9068969, 1.9234101, 2.0142263, 2.3195819, 3.0432583, 12.0942060, 69.8264560", \
+					  "1.9071147, 1.9252433, 2.0143125, 2.3196240, 3.0362974, 12.0836320, 69.7743300", \
+					  "1.9070601, 1.9234985, 2.0143949, 2.3197790, 3.0431768, 12.0852790, 69.8163450", \
+					  "1.9070179, 1.9235376, 2.0143922, 2.3197422, 3.0396845, 12.0952170, 69.7935650", \
+					  "1.9068365, 1.9235706, 2.0140261, 2.3197786, 3.0395577, 12.0941250, 69.7331400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4254060, 11.6318980, 12.9061610, 20.7668940, 69.3005260, 368.5709100, 2212.4839000", \
+					  "11.5798680, 11.7853410, 13.0606940, 20.9210520, 69.4890900, 368.5827600, 2213.6355000", \
+					  "11.7316810, 11.9382740, 13.2128210, 21.0768130, 69.5516390, 368.5615900, 2212.7438000", \
+					  "11.8657550, 12.0730550, 13.3479280, 21.2071460, 69.7739820, 368.7963800, 2212.8122000", \
+					  "11.9753820, 12.1848400, 13.4603130, 21.3240450, 69.7944880, 368.7480000, 2212.8222000", \
+					  "12.0854160, 12.2915260, 13.5670670, 21.4349000, 69.9602230, 369.0292100, 2212.8454000", \
+					  "12.1838500, 12.3906730, 13.6650690, 21.5319850, 70.0585970, 368.9577300, 2214.1835000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2591130, 15.6779350, 18.2053310, 33.8707730, 131.0602800, 728.6925000, 4416.4517000", \
+					  "15.2603460, 15.6679770, 18.2124200, 33.8700230, 130.8826300, 728.9417000, 4413.1107000", \
+					  "15.2319290, 15.6703630, 18.1966480, 33.8699560, 130.9559100, 729.2815700, 4416.0629000", \
+					  "15.2349720, 15.6704440, 18.1972180, 33.8700910, 130.9563800, 729.1481600, 4416.2111000", \
+					  "15.2325780, 15.6779030, 18.1789620, 33.8503380, 130.9887700, 728.5969400, 4415.7296000", \
+					  "15.2422130, 15.6679880, 18.2133650, 33.8202960, 131.0608700, 728.2185400, 4415.6191000", \
+					  "15.2654710, 15.6700000, 18.1795270, 33.8526220, 131.0607700, 728.6073500, 4414.1798000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1582230, 12.3661880, 13.6194660, 21.4581190, 69.8388900, 368.4985200, 2208.8725000", \
+					  "12.3020390, 12.5204510, 13.7837230, 21.6168190, 70.0251590, 368.6857900, 2208.3939000", \
+					  "12.4626240, 12.6591250, 13.9170090, 21.7971280, 70.1465840, 368.7702300, 2209.3311000", \
+					  "12.5907500, 12.7980470, 14.0519740, 21.9321170, 70.2726200, 368.7910100, 2209.4439000", \
+					  "12.6856900, 12.9080930, 14.2017900, 22.0447110, 70.4261110, 369.0159100, 2211.9093000", \
+					  "12.8114120, 13.0067840, 14.3089990, 22.1136800, 70.5406220, 368.7920900, 2209.7809000", \
+					  "12.9107770, 13.1169830, 14.3749840, 22.2487880, 70.5966560, 369.4344500, 2209.9182000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8911750, 15.3191680, 17.8555820, 33.4276960, 130.0569300, 726.5861700, 4407.5118000", \
+					  "14.9056250, 15.3093560, 17.8535820, 33.4981110, 130.5257900, 726.2557900, 4408.8861000", \
+					  "14.9141870, 15.3041740, 17.8414280, 33.4889270, 130.0726200, 726.4658300, 4407.4550000", \
+					  "14.9145350, 15.3213340, 17.8451030, 33.4882380, 130.1184400, 725.9744700, 4407.6755000", \
+					  "14.8954570, 15.3108440, 17.8317610, 33.4867250, 130.4987100, 727.1083300, 4402.7943000", \
+					  "14.9106790, 15.2872040, 17.8381400, 33.4229280, 130.4330800, 727.3905600, 4405.5982000", \
+					  "14.9159480, 15.3209130, 17.8520700, 33.4878510, 130.0736600, 727.4113600, 4404.1167000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5584994, 4.5905373, 4.7856870, 5.9608758, 13.0592960, 56.3504410, 147.2304800", \
+					  "4.6940700, 4.7263602, 4.9211577, 6.0962098, 13.1966630, 56.4849430, 147.3418500", \
+					  "4.8040434, 4.8345812, 5.0311928, 6.2061258, 13.3042080, 56.6062080, 147.4549500", \
+					  "4.8863389, 4.9196598, 5.1134852, 6.2885195, 13.3891280, 56.6860870, 147.5386900", \
+					  "4.9557745, 4.9880815, 5.1830447, 6.3579475, 13.4576320, 56.7510660, 147.6083200", \
+					  "5.0182654, 5.0493547, 5.2451363, 6.4202634, 13.5198530, 56.8182190, 147.6842500", \
+					  "5.0731392, 5.1039399, 5.3002736, 6.4752124, 13.5745030, 56.8649200, 147.7389000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1844773, 2.2416320, 2.5879376, 4.8081530, 18.5385320, 74.2905470, 108.8140400", \
+					  "2.1800013, 2.2416429, 2.5882201, 4.8039159, 18.5160350, 74.2690320, 109.2244900", \
+					  "2.1846664, 2.2412860, 2.5912854, 4.8086424, 18.5288050, 74.2608820, 108.7876000", \
+					  "2.1791180, 2.2415119, 2.5878095, 4.7902127, 18.5310040, 74.2711280, 108.8079900", \
+					  "2.1845179, 2.2414939, 2.5909637, 4.8067137, 18.5295850, 74.2740340, 108.7905700", \
+					  "2.1809794, 2.2377469, 2.5890801, 4.8098587, 18.5110040, 74.3129720, 109.0703700", \
+					  "2.1846647, 2.2412796, 2.5901652, 4.8096749, 18.5114640, 74.2587430, 109.0701400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.1718563, 5.2077440, 5.4300378, 6.7601111, 14.6738240, 62.6320040, 135.6447700", \
+					  "5.3280740, 5.3637876, 5.5851546, 6.9130621, 14.8116910, 62.7939730, 135.8008600", \
+					  "5.4812164, 5.5169362, 5.7383747, 7.0645174, 14.9673800, 62.9428950, 135.9547400", \
+					  "5.6176064, 5.6536331, 5.8710654, 7.1928516, 15.0986570, 63.0816860, 136.0872500", \
+					  "5.7263509, 5.7621712, 5.9827858, 7.3059607, 15.2095780, 63.1928290, 136.1997400", \
+					  "5.8388161, 5.8745018, 6.0928804, 7.4131314, 15.3178090, 63.3031190, 136.3074500", \
+					  "5.9356373, 5.9718048, 6.1950520, 7.5242950, 15.4414440, 63.3949070, 136.4083600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3281890, 2.3932223, 2.7796669, 5.1769793, 20.1428010, 75.0232730, 75.3446730", \
+					  "2.3295188, 2.3945510, 2.7799762, 5.1711768, 20.1556900, 75.0305630, 75.3606880", \
+					  "2.3294644, 2.3945368, 2.7799645, 5.1749049, 20.1244660, 75.0119970, 75.3559060", \
+					  "2.3332503, 2.3948250, 2.7752609, 5.1763749, 20.1389310, 74.9894650, 75.3698900", \
+					  "2.3287123, 2.3938971, 2.7790852, 5.1744298, 20.1436650, 75.0366520, 75.3631110", \
+					  "2.3330797, 2.3935212, 2.7742844, 5.1750324, 20.1417760, 75.0037540, 75.3661100", \
+					  "2.3305575, 2.3910376, 2.7790386, 5.1774145, 20.1361590, 75.0034060, 75.3803280");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.6786910, 11.8894310, 13.1878650, 21.1621090, 69.9950110, 369.3668300, 2214.2708000", \
+					  "11.8142260, 12.0235760, 13.3238130, 21.3010230, 70.1590610, 369.8687000, 2214.6373000", \
+					  "11.9235400, 12.1344190, 13.4336990, 21.4179630, 70.2974320, 369.6921500, 2214.7913000", \
+					  "12.0063450, 12.2154890, 13.5153070, 21.4909780, 70.3488180, 369.6231400, 2213.9175000", \
+					  "12.0753290, 12.2862240, 13.5854990, 21.5699940, 70.4990270, 370.0820300, 2214.0027000", \
+					  "12.1385050, 12.3477350, 13.6466070, 21.6219420, 70.4672230, 370.3287300, 2214.7779000", \
+					  "12.1928910, 12.4033660, 13.7018290, 21.6799380, 70.5141260, 369.8837600, 2215.0070000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2658700, 15.6784670, 18.1949090, 33.8762120, 130.9249300, 728.4978700, 4419.4776000", \
+					  "15.2653090, 15.6397140, 18.1752960, 33.8724640, 130.9863900, 728.5390200, 4420.5190000", \
+					  "15.2352920, 15.6731590, 18.1803590, 33.8587110, 131.0730200, 728.1211100, 4416.5113000", \
+					  "15.2653710, 15.6499240, 18.1888480, 33.8761770, 130.9776000, 729.7383600, 4418.9956000", \
+					  "15.2352640, 15.6753790, 18.1834210, 33.8553220, 130.9451700, 728.4787700, 4417.7923000", \
+					  "15.2679970, 15.6449560, 18.2032610, 33.8742900, 130.9669700, 729.6232500, 4417.5590000", \
+					  "15.2669720, 15.6719150, 18.1998420, 33.8739310, 130.9548000, 728.7915200, 4419.7327000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.0699360, 5.1053903, 5.3229354, 6.6245263, 14.4996730, 62.4471780, 135.6140900", \
+					  "5.2264788, 5.2628804, 5.4800040, 6.7724577, 14.6416650, 62.6081590, 135.7692800", \
+					  "5.3796296, 5.4179776, 5.6319593, 6.9338904, 14.7950750, 62.7612240, 135.9229300", \
+					  "5.5122911, 5.5478120, 5.7677583, 7.0654331, 14.9523430, 62.8909550, 136.0573900", \
+					  "5.6247620, 5.6648499, 5.8774852, 7.1734507, 15.0343650, 63.0113210, 136.1684100", \
+					  "5.7358234, 5.7702954, 5.9877876, 7.2803288, 15.1386690, 63.1180580, 136.2766000", \
+					  "5.8333871, 5.8683565, 6.0882526, 7.3885249, 15.2659790, 63.2101730, 136.3779600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3386850, 2.4000382, 2.7865639, 5.1780353, 20.1398700, 75.1220810, 75.3989940", \
+					  "2.3385343, 2.4035121, 2.7864446, 5.1735576, 20.1534490, 75.1554270, 75.4485400", \
+					  "2.3383151, 2.4011315, 2.7832490, 5.1777174, 20.1533400, 75.1554620, 75.4236140", \
+					  "2.3427640, 2.4023816, 2.7829019, 5.1807043, 20.1281570, 75.1309840, 75.4306770", \
+					  "2.3379816, 2.4029272, 2.7864053, 5.1798383, 20.1419700, 75.1202260, 75.4385780", \
+					  "2.3405056, 2.4038926, 2.7866283, 5.1756985, 20.1443480, 75.1214910, 75.4542310", \
+					  "2.3399462, 2.4022759, 2.7830396, 5.1813682, 20.1347220, 75.1323550, 75.4303360");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5202518, -3.5958933, -3.6715349, -3.6731216, -3.6746449, -3.6762317, -3.6778185");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5944002, 3.6782095, 3.7620189, 3.7758106, 3.7890507, 3.8028424, 3.8166341");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.155824;
+			capacitance : 0.155138;
+			fall_capacitance : 0.154452;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1565373, 0.1531662, 0.1497951, 0.1498689, 0.1499397, 0.1500134, 0.1500872");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1671435, -0.1582829, -0.1494223, -0.1493514, -0.1492834, -0.1492125, -0.1491417");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.148497;
+			capacitance : 0.148122;
+			fall_capacitance : 0.147747;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1684103, -0.1587953, -0.1491804, -0.1488207, -0.1484754, -0.1481157, -0.1477560");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1577476, 0.1536421, 0.1495365, 0.1495755, 0.1496129, 0.1496519, 0.1496909");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_3p00v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v35_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v35_1v65.lib
new file mode 100644
index 0000000..fe58e3a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v35_1v65.lib
@@ -0,0 +1,4839 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v35_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.350000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.350000);
+	voltage_map("VCCHIB",1.350000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.135; 
+			 voh : 1.215; 
+			 vomax : 1.418; 
+			 vomin : -0.068; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.405; 
+			 vih : 0.945; 
+			 vimax : 1.418; 
+			 vimin : -0.068; 
+		}
+	 operating_conditions ("ss_ss_1p35v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.350000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+		is_macro_cell : true;
+                dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.252160e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2362600";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.8461400";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1822300";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2317500";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2484100";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1655700";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1655800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1700800";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2317600";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.0964700";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1648900";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1653400";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1859800";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1497900";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.0933900";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2159700";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2521600";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2315300";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005899;
+			capacitance : 0.005776;
+			fall_capacitance : 0.005652;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3406567, 2.8165750, 2.3764204, 2.0278364, 1.7463322, 1.3603100, 1.1048066", \
+					  "3.7836008, 3.2610450, 2.8224166, 2.4677290, 2.1108860, 1.8088298, 1.5350884", \
+					  "4.2421718, 3.7173185, 3.2809873, 2.9247740, 2.5974729, 2.2366616, 2.0106306", \
+					  "4.6734268, 4.1691750, 3.7205324, 3.3675976, 3.0231682, 2.7028460, 2.3795903", \
+					  "5.0954097, 4.5805904, 4.1028414, 3.7522259, 3.4094397, 3.1110861, 2.7945475", \
+					  "5.4312029, 4.9633220, 4.5215860, 4.1101600, 3.7677251, 3.5252930, 3.2114734", \
+					  "5.8354964, 5.3205505, 4.8697342, 4.5221447, 4.1412330, 3.8460566, 3.5741770");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.1224581, 2.4093108, 1.7364902, 0.9917020, 0.2638842, -0.4186175, -1.0950238", \
+					  "3.5638515, 2.8499472, 2.1658906, 1.4330603, 0.7129199, -0.0007569, -0.6819805", \
+					  "3.9979964, 3.3309951, 2.6594891, 1.8904225, 1.1657772, 0.4585233, -0.2275436", \
+					  "4.4536373, 3.7541382, 3.0333403, 2.3429852, 1.6196658, 0.8852411, 0.1629669", \
+					  "4.8511713, 4.1593558, 3.4362178, 2.7590048, 2.0268678, 1.2899571, 0.5886506", \
+					  "5.2098089, 4.5382620, 3.8584028, 3.1207797, 2.4140397, 1.6982446, 0.9777628", \
+					  "5.6158272, 4.9077518, 4.1968773, 3.4149437, 2.7766563, 2.0727709, 1.3871142");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8422728, -2.2953718, -1.8943588, -1.5031358, -1.1708304, -0.8130930, -0.5179168", \
+					  "-3.2789193, -2.7362854, -2.3447519, -1.9615116, -1.6253707, -1.2579171, -0.9709312", \
+					  "-3.7389271, -3.1920639, -2.8187512, -2.4115638, -2.0857393, -1.7840994, -1.4812314", \
+					  "-4.1882157, -3.6381739, -3.2348965, -2.8662399, -2.5563282, -2.2416040, -1.8892334", \
+					  "-4.5929875, -4.0521006, -3.6714757, -3.2568905, -2.9506035, -2.6447448, -2.2727597", \
+					  "-4.9801809, -4.4362427, -4.0726206, -3.6716747, -3.3103313, -3.0258131, -2.7077486", \
+					  "-5.3390854, -4.8046200, -4.4148957, -4.0216872, -3.6310887, -3.3427780, -3.0437488");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8773703, -2.2527397, -1.5813620, -0.8717316, -0.0691458, 0.5715879, 1.3242591", \
+					  "-3.3353793, -2.6823328, -1.9914314, -1.2655241, -0.5099531, 0.1788999, 0.8918290", \
+					  "-3.7740248, -3.1375344, -2.4302742, -1.7338347, -0.9447583, -0.2497668, 0.4567529", \
+					  "-4.2630399, -3.5955813, -2.8945363, -2.1914654, -1.4228887, -0.7355235, -0.0007357", \
+					  "-4.6282904, -3.9627592, -3.2529421, -2.5797048, -1.8275553, -1.1373751, -0.4102267", \
+					  "-5.0217223, -4.3325185, -3.6134524, -2.9464291, -2.2266291, -1.5006639, -0.8101563", \
+					  "-5.3725497, -4.7725972, -4.0428529, -3.2689377, -2.5755195, -1.8928199, -1.1794241");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066120, 0.0134110, 0.0202101, 0.0201113, 0.0200113, 0.0199125, 0.0198137");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0080313, 0.0162258, 0.0244203, 0.0243583, 0.0242956, 0.0242335, 0.0241715");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004074;
+			capacitance : 0.003995;
+			fall_capacitance : 0.003917;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.7125801, -2.2045856, -1.7924489, -1.3840167, -1.0075599, -0.6711851, -0.3775347", \
+					  "-3.1522213, -2.6506235, -2.2317778, -1.8364699, -1.4610968, -1.1155501, -0.8259067", \
+					  "-3.6137297, -3.1048284, -2.6900637, -2.3022113, -1.9709549, -1.5768119, -1.2917804", \
+					  "-4.0462204, -3.5328073, -3.1146256, -2.7329780, -2.3750370, -2.0278587, -1.7072014", \
+					  "-4.4449588, -3.9382748, -3.4823923, -3.1216778, -2.7969475, -2.4250181, -2.1372210", \
+					  "-4.8031865, -4.3025265, -3.8685918, -3.4802860, -3.1576985, -2.8183484, -2.5322726", \
+					  "-5.2443882, -4.7055832, -4.2631442, -3.9041581, -3.5316418, -3.1707327, -2.8987903");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.7583146, -2.0455834, -1.3547993, -0.6153840, 0.1093821, 0.7614389, 1.4226329", \
+					  "-3.1842801, -2.4888582, -1.8120119, -1.0375288, -0.3436323, 0.3436948, 1.0305388", \
+					  "-3.5878304, -2.9584856, -2.2655146, -1.5659223, -0.8215849, -0.0987159, 0.5762637", \
+					  "-4.0508518, -3.3959859, -2.7082323, -1.9520107, -1.2300247, -0.5638907, 0.1551474", \
+					  "-4.4922445, -3.7624843, -3.1126885, -2.3798982, -1.7063881, -0.9496599, -0.2313430", \
+					  "-4.8306103, -4.1926442, -3.5154561, -2.7472555, -2.0911310, -1.3313892, -0.6307693", \
+					  "-5.2169804, -4.5353283, -3.8829626, -3.0959852, -2.4423900, -1.7009296, -0.9944751");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.1651882, 2.6290570, 2.1930762, 1.8004783, 1.4693281, 1.1329575, 0.7965825", \
+					  "3.6080979, 3.0750185, 2.6384309, 2.2368432, 1.8878939, 1.5181801, 1.2360385", \
+					  "4.0681785, 3.5305199, 3.0895322, 2.6924003, 2.3491387, 2.0299940, 1.6772825", \
+					  "4.4978686, 3.9733131, 3.5100228, 3.1462622, 2.7849394, 2.4363387, 2.0977773", \
+					  "4.8877607, 4.3501414, 3.9101693, 3.5700268, 3.1946781, 2.8442058, 2.5224627", \
+					  "5.3073655, 4.7731843, 4.2681727, 3.9625541, 3.5767740, 3.2309094, 2.9146905", \
+					  "5.6601042, 5.1137981, 4.6837683, 4.2872144, 3.8971691, 3.5893100, 3.2751049");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.9179424, 2.2526446, 1.5225056, 0.8116719, 0.0853800, -0.6259440, -1.3011062", \
+					  "3.3741193, 2.6926228, 1.9766702, 1.2560841, 0.5238090, -0.2153980, -0.8701039", \
+					  "3.7989223, 3.1471124, 2.4511655, 1.7217966, 0.9978315, 0.2507357, -0.4457467", \
+					  "4.2260669, 3.5571742, 2.8548881, 2.1457709, 1.4387372, 0.6963318, 0.0007876", \
+					  "4.6503160, 4.0030502, 3.3020120, 2.5654426, 1.8224001, 1.0629036, 0.4143389", \
+					  "5.0306122, 4.3678845, 3.6689134, 2.9150340, 2.2321607, 1.5057203, 0.8213131", \
+					  "5.3991982, 4.7461806, 4.0180297, 3.3106114, 2.6013638, 1.8778899, 1.1759193");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0063104, 0.0153348, 0.0243593, 0.0242816, 0.0242030, 0.0241254, 0.0240477");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082163, 0.0184125, 0.0286088, 0.0285580, 0.0285066, 0.0284558, 0.0284050");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005791;
+			capacitance : 0.005668;
+			fall_capacitance : 0.005544;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3696484, 2.8363989, 2.4225644, 2.0354658, 1.7681680, 1.3908271, 1.1322719", \
+					  "3.8125661, 3.2808443, 2.8595939, 2.4783833, 2.1851490, 1.8286271, 1.5534301", \
+					  "4.2711242, 3.7399282, 3.3168262, 2.9308383, 2.6214789, 2.3134124, 2.0279785", \
+					  "4.7008236, 4.1675897, 3.7555002, 3.3788475, 3.0321952, 2.7322751, 2.4019143", \
+					  "5.1258200, 4.5645206, 4.1368782, 3.8118640, 3.4291073, 3.1265060, 2.8204874", \
+					  "5.4569889, 4.9803018, 4.4958040, 4.1984419, 3.7795298, 3.5298176, 3.2257919", \
+					  "5.8747352, 5.3460568, 4.9048834, 4.5138926, 4.1901205, 3.8807182, 3.5833323");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0720404, 2.3910687, 1.7083434, 0.9629354, 0.2105077, -0.4520940, -1.1514770", \
+					  "3.5341202, 2.8470449, 2.1719080, 1.4010168, 0.6802930, -0.0009306, -0.7492929", \
+					  "3.8915864, 3.2914862, 2.6165950, 1.8782156, 1.1240653, 0.4362689, -0.3105676", \
+					  "4.3933371, 3.7013686, 3.0049419, 2.3075908, 1.5931773, 0.8801945, 0.1708196", \
+					  "4.8169595, 4.1199535, 3.4518089, 2.6817262, 2.0085571, 1.2935544, 0.5604244", \
+					  "5.2318228, 4.5339264, 3.8371748, 3.0502203, 2.3976332, 1.7105607, 0.9902949", \
+					  "5.6136920, 4.9090557, 4.1978312, 3.4082511, 2.7569960, 2.1012417, 1.3566981");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8865234, -2.3775450, -1.9619625, -1.5772387, -1.2654348, -0.9107493, -0.5713226", \
+					  "-3.3246958, -2.8224524, -2.4033914, -2.0310109, -1.6973931, -1.3590117, -1.0487509", \
+					  "-3.7831775, -3.2757683, -2.8627982, -2.4795445, -2.1684833, -1.8731565, -1.5192396", \
+					  "-4.2157351, -3.7092780, -3.3179257, -2.9129425, -2.6100224, -2.2812769, -1.9717652", \
+					  "-4.6160801, -4.1003478, -3.6930423, -3.3172074, -3.0215889, -2.6836803, -2.3539208", \
+					  "-4.9744162, -4.4411587, -4.0672165, -3.7264287, -3.4014753, -3.0944777, -2.7458957", \
+					  "-5.3833362, -4.8764057, -4.4727650, -4.1010330, -3.7241674, -3.4213616, -3.1200428");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8376976, -2.2067858, -1.5087946, -0.7725495, -0.0523611, 0.6034181, 1.3347791", \
+					  "-3.3170688, -2.6407971, -1.9571020, -1.2173777, -0.5007978, 0.1926328, 0.9122606", \
+					  "-3.7618177, -3.0935185, -2.4122208, -1.6971141, -0.9447582, -0.2305835, 0.4781061", \
+					  "-4.1958474, -3.5435869, -2.8615718, -2.1551804, -1.3840156, -0.6833074, 0.0185056", \
+					  "-4.6021451, -3.9539436, -3.2662090, -2.5340121, -1.7920788, -1.1262685, -0.3875085", \
+					  "-4.9786577, -4.3350356, -3.6512593, -2.9242575, -2.1830133, -1.5006641, -0.7942894", \
+					  "-5.3482429, -4.6863395, -4.0162675, -3.2617995, -2.5463566, -1.8662209, -1.1506882");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066215, 0.0134213, 0.0202211, 0.0201230, 0.0200238, 0.0199257, 0.0198277");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079839, 0.0161322, 0.0242804, 0.0242371, 0.0241932, 0.0241499, 0.0241065");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026459;
+			capacitance : 0.026502;
+			fall_capacitance : 0.026546;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0031057, -0.0035853, -0.0040649, -0.0041428, -0.0042217, -0.0042997, -0.0043776");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0251366, 0.0256000, 0.0260635, 0.0260073, 0.0259504, 0.0258942, 0.0258380");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032121;
+			capacitance : 0.031741;
+			fall_capacitance : 0.031361;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0181769, 0.0371955, 0.0562140, 0.0562087, 0.0562032, 0.0561978, 0.0561924");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0226421, 0.0452116, 0.0677810, 0.0679984, 0.0682185, 0.0684359, 0.0686533");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.050749;
+			capacitance : 0.050681;
+			fall_capacitance : 0.050613;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0076913, -0.0079113, -0.0081312, -0.0081163, -0.0081012, -0.0080863, -0.0080714");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0077457, 0.0079064, 0.0080671, 0.0080769, 0.0080868, 0.0080966, 0.0081064");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016459;
+			capacitance : 0.016300;
+			fall_capacitance : 0.016142;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0120921, 0.0119192, 0.0117463, 0.0117100, 0.0116732, 0.0116368, 0.0116004");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0138479, 0.0137987, 0.0137495, 0.0137280, 0.0137063, 0.0136849, 0.0136635");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.035436;
+			capacitance : 0.034853;
+			fall_capacitance : 0.034271;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.3778531, -2.8617936, -2.4559918, -2.1167914, -1.7659231, -1.4554881, -1.1877776", \
+					  "-3.8175513, -3.3045144, -2.8881770, -2.5505104, -2.2112438, -1.9583794, -1.6270003", \
+					  "-4.2745071, -3.7584755, -3.3437530, -3.0063502, -2.6662943, -2.3725228, -2.0733548", \
+					  "-4.7344774, -4.2062395, -3.8044836, -3.4492091, -3.0859930, -2.8176101, -2.5242004", \
+					  "-5.1316193, -4.6179626, -4.2014158, -3.8628813, -3.5106009, -3.2130258, -2.9509807", \
+					  "-5.5142355, -4.9980390, -4.5759420, -4.2534573, -3.8642251, -3.6056702, -3.3257296", \
+					  "-5.8731399, -5.3536191, -4.9366109, -4.6091506, -4.2689059, -3.9584711, -3.6846179");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2405220, -1.6001501, -1.0976231, -0.5345135, -0.3834780, 0.2880996, 0.9469935", \
+					  "-2.6426754, -2.0376598, -2.2972817, -1.5498635, -0.8308971, -0.1309139, 0.5228439", \
+					  "-3.0966034, -2.4782380, -2.0018806, -1.4423918, -1.2878792, -0.5754964, 0.0864978", \
+					  "-3.5246448, -2.9226325, -3.1640412, -2.4537361, -1.7280644, -1.0166559, -0.3551711", \
+					  "-4.9596116, -3.3109957, -2.8289364, -2.8274682, -2.1600121, -1.4205757, -0.7499194", \
+					  "-4.2728147, -3.6945859, -3.2310461, -3.1822686, -2.5371662, -1.8237664, -1.1401165", \
+					  "-4.6673360, -5.0749886, -3.5771803, -3.5711833, -2.9059383, -2.2006194, -1.5348732");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6183637, 3.0904886, 2.6731584, 2.3378726, 1.9896536, 1.7214514, 1.3993012", \
+					  "4.0536763, 3.5198374, 3.1191308, 2.7729026, 2.4386409, 2.1283011, 1.8370740", \
+					  "4.5183495, 3.9887372, 3.5744088, 3.2314725, 2.8920369, 2.6050385, 2.3136498", \
+					  "4.9511282, 4.4143700, 4.0067872, 3.6657771, 3.3346875, 3.0420811, 2.7422549", \
+					  "5.3779410, 4.8230342, 4.4000886, 4.0419812, 3.7503106, 3.4746133, 3.1814820", \
+					  "5.7058454, 5.2326803, 4.8072913, 4.4311752, 4.0917312, 3.8285391, 3.5464045", \
+					  "6.1116821, 5.5768566, 5.1679033, 4.8141240, 4.4937159, 4.1741253, 3.9165340");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2841690, 2.5892438, 1.8615762, 1.1549940, 0.4287022, -0.2547347, -0.8995333", \
+					  "3.7463768, 3.0480525, 2.3237199, 1.6130596, 0.8732380, 0.1735394, -0.4867407", \
+					  "4.2019475, 3.4993926, 2.7889569, 2.0651790, 1.3372474, 0.6423995, -0.0245624", \
+					  "4.6153661, 3.9249744, 3.2110999, 2.4877527, 1.7896569, 1.0861555, 0.4248624", \
+					  "5.0438034, 4.3579969, 3.6157260, 2.8917758, 2.1916840, 1.4486847, 0.7929559", \
+					  "5.4428953, 4.7313947, 4.0250543, 3.2370647, 2.5749781, 1.8731388, 1.1796451", \
+					  "5.7714218, 5.0990925, 4.3586883, 3.5881476, 2.9571894, 2.2580251, 1.5895009");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0350767, 0.0418251, 0.0485736, 0.0377933, 0.0268831, 0.0161028, 0.0053225");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0433619, 0.1181549, 0.1929480, 0.1929612, 0.1929746, 0.1929878, 0.1930010");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010134;
+			capacitance : 0.009933;
+			fall_capacitance : 0.009732;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0040165, 0.4686743, 0.9413650, 1.0644155, 1.1889484, 1.3119988, 1.4350492");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0352497, 0.1864805, 0.3377113, 0.6334989, 0.9328502, 1.2286378, 1.5244253");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006191;
+			capacitance : 0.006060;
+			fall_capacitance : 0.005929;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4749339, 2.9367487, 2.5084786, 2.1666238, 1.7937359, 1.5098457, 1.1917813", \
+					  "3.9193846, 3.3827244, 2.9539933, 2.5913059, 2.2454530, 1.9223248, 1.6123153", \
+					  "4.3764044, 3.8353012, 3.4099659, 3.0407122, 2.7049365, 2.3920240, 2.0806191", \
+					  "4.8061278, 4.2725155, 3.8666895, 3.5079391, 3.1399326, 2.8412662, 2.5344638", \
+					  "5.1960416, 4.6640268, 4.2302782, 3.8717459, 3.5372214, 3.2459817, 2.9272747", \
+					  "5.5623162, 5.0822842, 4.6164835, 4.2543234, 3.9069031, 3.6196813, 3.3185675", \
+					  "5.9799888, 5.4391023, 5.0287408, 4.6664995, 4.2704878, 3.9722384, 3.6718333");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0308965, 2.3634519, 1.6740528, 0.9489889, 0.2333782, -0.4562841, -1.1451093", \
+					  "3.4755401, 2.8110519, 2.1368241, 1.4147497, 0.6667248, -0.0343352, -0.7552317", \
+					  "3.9324373, 3.2907144, 2.5841316, 1.8583792, 1.1039704, 0.4237876, -0.2540951", \
+					  "4.3678051, 3.7205046, 3.0130068, 2.2969165, 1.5833902, 0.8444702, 0.1302822", \
+					  "4.7767908, 4.0815940, 3.3918544, 2.6799456, 1.9672728, 1.2239554, 0.5444874", \
+					  "5.1623442, 4.5188305, 3.8131954, 3.0700767, 2.3591081, 1.6463276, 0.9624901", \
+					  "5.5208676, 4.8630893, 4.1644855, 3.3959107, 2.7244913, 2.0199038, 1.2977159");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0055420, -2.4764237, -2.0534642, -1.6709420, -1.3456055, -0.9870433, -0.6598235", \
+					  "-3.4452403, -2.9215783, -2.4863349, -2.1263237, -1.8003648, -1.4392604, -1.1326744", \
+					  "-3.9006702, -3.3762070, -2.9364337, -2.5883002, -2.2446498, -1.8937416, -1.6023840", \
+					  "-4.3514851, -3.8248979, -3.3972527, -3.0203537, -2.6724797, -2.3697779, -2.0418735", \
+					  "-4.7562565, -4.2324297, -3.7877960, -3.4303400, -3.0757254, -2.7774962, -2.4475566", \
+					  "-5.1434503, -4.6098247, -4.1747700, -3.8309167, -3.4797038, -3.1809552, -2.8328708", \
+					  "-5.5023547, -4.9765949, -4.5667854, -4.1849561, -3.8019869, -3.5037590, -3.2176990");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8376976, -2.2116986, -1.5042435, -0.7801789, -0.0386282, 0.6235099, 1.3409869", \
+					  "-3.3201204, -2.6701423, -1.9177508, -1.2597792, -0.5084273, 0.2017881, 0.9064195", \
+					  "-3.7587659, -3.1118212, -2.4078721, -1.6924667, -0.9447576, -0.2305794, 0.4686599", \
+					  "-4.1714336, -3.5821246, -2.8916624, -2.1582322, -1.3932243, -0.6833047, 0.0140764", \
+					  "-4.5945156, -3.9903198, -3.2662097, -2.5340121, -1.8199259, -1.0949599, -0.3923991", \
+					  "-4.9801836, -4.3726757, -3.6497336, -2.9273092, -2.1830133, -1.4872438, -0.8016989", \
+					  "-5.3390880, -4.7162556, -4.0284742, -3.2633269, -2.5463566, -1.8571790, -1.1537985");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066229, 0.0134440, 0.0202650, 0.0201663, 0.0200663, 0.0199675, 0.0198687");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079826, 0.0161761, 0.0243696, 0.0243171, 0.0242640, 0.0242115, 0.0241591");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017336;
+			capacitance : 0.017142;
+			fall_capacitance : 0.016947;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0171252, 0.0145747, 0.0120243, 0.0014472, -0.0092573, -0.0198344, -0.0304115");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0217940, 0.0824943, 0.1431946, 0.1433026, 0.1434118, 0.1435198, 0.1436278");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004755;
+			capacitance : 0.004675;
+			fall_capacitance : 0.004596;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8331241, -2.2846016, -1.8339491, -1.4522972, -1.1021658, -0.7383250, -0.4004241", \
+					  "-3.2682447, -2.7357010, -2.2750308, -1.9065722, -1.5518962, -1.1817857, -0.8946372", \
+					  "-3.7282526, -3.1813152, -2.7550097, -2.3845936, -2.0156321, -1.6708033, -1.3402751", \
+					  "-4.1790670, -3.6412257, -3.1779385, -2.7624802, -2.4604862, -2.1005763, -1.7820440", \
+					  "-4.5838388, -4.0521006, -3.5890779, -3.2145461, -2.8544731, -2.4938569, -2.1871142", \
+					  "-4.9710322, -4.4270873, -3.9871344, -3.6139904, -3.2584515, -2.9113722, -2.5780491", \
+					  "-5.3299367, -4.7844659, -4.3331198, -3.9698073, -3.5853122, -3.2442815, -2.9571627");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.7613980, -2.0903732, -1.4114907, -0.6871003, 0.0698256, 0.7223511, 1.4346681", \
+					  "-3.2163549, -2.5632220, -1.8353562, -1.1247576, -0.3710981, 0.3238584, 1.0127227", \
+					  "-3.5863359, -3.0073861, -2.3113475, -1.6034709, -0.8525728, -0.1278359, 0.5711637", \
+					  "-4.0188912, -3.4265532, -2.7082833, -2.0451245, -1.2887578, -0.5805651, 0.1198300", \
+					  "-4.4177086, -3.8419034, -3.1407032, -2.4202679, -1.7063328, -0.9681657, -0.3075817", \
+					  "-4.8569111, -4.1623045, -3.4977201, -2.8189242, -2.1140191, -1.3698293, -0.6359770", \
+					  "-5.2398025, -4.6210877, -3.8228249, -3.1107130, -2.4515451, -1.7268935, -1.0201058");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2765757, 2.7333416, 2.3078566, 1.9334028, 1.5794919, 1.2855417, 0.9476408", \
+					  "3.7195085, 3.1793264, 2.7537406, 2.3755827, 2.0610389, 1.6516300, 1.3825492", \
+					  "4.1796000, 3.6348405, 3.2000991, 2.8220140, 2.4636496, 2.1066258, 1.8396363", \
+					  "4.6093168, 4.0660824, 3.6313417, 3.2598480, 2.9048127, 2.5506278, 2.2367957", \
+					  "4.9992408, 4.4461419, 4.0212658, 3.6489057, 3.3223318, 2.9676535, 2.6516181", \
+					  "5.3807899, 4.8316829, 4.3829787, 4.0227673, 3.6805319, 3.3667038, 3.0352350", \
+					  "5.7729664, 5.2347960, 4.7990423, 4.3670670, 4.0003501, 3.7234836, 3.3831558");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.8798238, 2.2474236, 1.5547526, 0.8254013, 0.1082647, -0.6037469, -1.2674849", \
+					  "3.3721484, 2.7054768, 2.0176865, 1.2663221, 0.5272804, -0.1569950, -0.8534774", \
+					  "3.8219177, 3.1369354, 2.4584895, 1.7439381, 0.9930617, 0.2930019, -0.3965684", \
+					  "4.2489828, 3.5983903, 2.8890732, 2.1934299, 1.4631037, 0.7451271, 0.0073793", \
+					  "4.5893647, 4.0027166, 3.2878765, 2.5689256, 1.8468141, 1.1192251, 0.4339147", \
+					  "5.0356246, 4.3834938, 3.7070465, 2.9403225, 2.2110978, 1.5015410, 0.8347000", \
+					  "5.4122935, 4.7545801, 4.0725523, 3.2972452, 2.5921397, 1.9027840, 1.1875948");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0062330, 0.0151809, 0.0241288, 0.0240412, 0.0239525, 0.0238649, 0.0237773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082140, 0.0179026, 0.0275911, 0.0275410, 0.0274903, 0.0274401, 0.0273900");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004855;
+			capacitance : 0.004655;
+			fall_capacitance : 0.004455;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0074630, -0.0073709, -0.0072789, -0.0072865, -0.0072943, -0.0073020, -0.0073097");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0074083, 0.0073978, 0.0073872, 0.0073508, 0.0073140, 0.0072776, 0.0072411");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.3406567, 3.7836008, 4.2421718, 4.6734268, 5.0954097, 5.4312029, 5.8354964", \
+					  "2.8165750, 3.2610450, 3.7173185, 4.1691750, 4.5805904, 4.9633220, 5.3205505", \
+					  "2.3764204, 2.8224166, 3.2809873, 3.7205324, 4.1028414, 4.5215860, 4.8697342", \
+					  "2.0278364, 2.4677290, 2.9247740, 3.3675976, 3.7522259, 4.1101600, 4.5221447", \
+					  "1.7463322, 2.1108860, 2.5974729, 3.0231682, 3.4094397, 3.7677251, 4.1412330", \
+					  "1.3603100, 1.8088298, 2.2366616, 2.7028460, 3.1110861, 3.5252930, 3.8460566", \
+					  "1.1048066, 1.5350884, 2.0106306, 2.3795903, 2.7945475, 3.2114734, 3.5741770");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8422728, -3.2789193, -3.7389271, -4.1882157, -4.5929875, -4.9801809, -5.3390854", \
+					  "-2.2953718, -2.7362854, -3.1920639, -3.6381739, -4.0521006, -4.4362427, -4.8046200", \
+					  "-1.8943588, -2.3447519, -2.8187512, -3.2348965, -3.6714757, -4.0726206, -4.4148957", \
+					  "-1.5031358, -1.9615116, -2.4115638, -2.8662399, -3.2568905, -3.6716747, -4.0216872", \
+					  "-1.1708304, -1.6253707, -2.0857393, -2.5563282, -2.9506035, -3.3103313, -3.6310887", \
+					  "-0.8130930, -1.2579171, -1.7840994, -2.2416040, -2.6447448, -3.0258131, -3.3427780", \
+					  "-0.5179168, -0.9709312, -1.4812314, -1.8892334, -2.2727597, -2.7077486, -3.0437488");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3778531, -3.8175513, -4.2745071, -4.7344774, -5.1316193, -5.5142355, -5.8731399", \
+					  "-2.8617936, -3.3045144, -3.7584755, -4.2062395, -4.6179626, -4.9980390, -5.3536191", \
+					  "-2.4559918, -2.8881770, -3.3437530, -3.8044836, -4.2014158, -4.5759420, -4.9366109", \
+					  "-2.1167914, -2.5505104, -3.0063502, -3.4492091, -3.8628813, -4.2534573, -4.6091506", \
+					  "-1.7659231, -2.2112438, -2.6662943, -3.0859930, -3.5106009, -3.8642251, -4.2689059", \
+					  "-1.4554881, -1.9583794, -2.3725228, -2.8176101, -3.2130258, -3.6056702, -3.9584711", \
+					  "-1.1877776, -1.6270003, -2.0733548, -2.5242004, -2.9509807, -3.3257296, -3.6846179");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6183637, 4.0536763, 4.5183495, 4.9511282, 5.3779410, 5.7058454, 6.1116821", \
+					  "3.0904886, 3.5198374, 3.9887372, 4.4143700, 4.8230342, 5.2326803, 5.5768566", \
+					  "2.6731584, 3.1191308, 3.5744088, 4.0067872, 4.4000886, 4.8072913, 5.1679033", \
+					  "2.3378726, 2.7729026, 3.2314725, 3.6657771, 4.0419812, 4.4311752, 4.8141240", \
+					  "1.9896536, 2.4386409, 2.8920369, 3.3346875, 3.7503106, 4.0917312, 4.4937159", \
+					  "1.7214514, 2.1283011, 2.6050385, 3.0420811, 3.4746133, 3.8285391, 4.1741253", \
+					  "1.3993012, 1.8370740, 2.3136498, 2.7422549, 3.1814820, 3.5464045, 3.9165340");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.4749339, 3.9193846, 4.3764044, 4.8061278, 5.1960416, 5.5623162, 5.9799888", \
+					  "2.9367487, 3.3827244, 3.8353012, 4.2725155, 4.6640268, 5.0822842, 5.4391023", \
+					  "2.5084786, 2.9539933, 3.4099659, 3.8666895, 4.2302782, 4.6164835, 5.0287408", \
+					  "2.1666238, 2.5913059, 3.0407122, 3.5079391, 3.8717459, 4.2543234, 4.6664995", \
+					  "1.7937359, 2.2454530, 2.7049365, 3.1399326, 3.5372214, 3.9069031, 4.2704878", \
+					  "1.5098457, 1.9223248, 2.3920240, 2.8412662, 3.2459817, 3.6196813, 3.9722384", \
+					  "1.1917813, 1.6123153, 2.0806191, 2.5344638, 2.9272747, 3.3185675, 3.6718333");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0055420, -3.4452403, -3.9006702, -4.3514851, -4.7562565, -5.1434503, -5.5023547", \
+					  "-2.4764237, -2.9215783, -3.3762070, -3.8248979, -4.2324297, -4.6098247, -4.9765949", \
+					  "-2.0534642, -2.4863349, -2.9364337, -3.3972527, -3.7877960, -4.1747700, -4.5667854", \
+					  "-1.6709420, -2.1263237, -2.5883002, -3.0203537, -3.4303400, -3.8309167, -4.1849561", \
+					  "-1.3456055, -1.8003648, -2.2446498, -2.6724797, -3.0757254, -3.4797038, -3.8019869", \
+					  "-0.9870433, -1.4392604, -1.8937416, -2.3697779, -2.7774962, -3.1809552, -3.5037590", \
+					  "-0.6598235, -1.1326744, -1.6023840, -2.0418735, -2.4475566, -2.8328708, -3.2176990");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8331241, -3.2682447, -3.7282526, -4.1790670, -4.5838388, -4.9710322, -5.3299367", \
+					  "-2.2846016, -2.7357010, -3.1813152, -3.6412257, -4.0521006, -4.4270873, -4.7844659", \
+					  "-1.8339491, -2.2750308, -2.7550097, -3.1779385, -3.5890779, -3.9871344, -4.3331198", \
+					  "-1.4522972, -1.9065722, -2.3845936, -2.7624802, -3.2145461, -3.6139904, -3.9698073", \
+					  "-1.1021658, -1.5518962, -2.0156321, -2.4604862, -2.8544731, -3.2584515, -3.5853122", \
+					  "-0.7383250, -1.1817857, -1.6708033, -2.1005763, -2.4938569, -2.9113722, -3.2442815", \
+					  "-0.4004241, -0.8946372, -1.3402751, -1.7820440, -2.1871142, -2.5780491, -2.9571627");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2765757, 3.7195085, 4.1796000, 4.6093168, 4.9992408, 5.3807899, 5.7729664", \
+					  "2.7333416, 3.1793264, 3.6348405, 4.0660824, 4.4461419, 4.8316829, 5.2347960", \
+					  "2.3078566, 2.7537406, 3.2000991, 3.6313417, 4.0212658, 4.3829787, 4.7990423", \
+					  "1.9334028, 2.3755827, 2.8220140, 3.2598480, 3.6489057, 4.0227673, 4.3670670", \
+					  "1.5794919, 2.0610389, 2.4636496, 2.9048127, 3.3223318, 3.6805319, 4.0003501", \
+					  "1.2855417, 1.6516300, 2.1066258, 2.5506278, 2.9676535, 3.3667038, 3.7234836", \
+					  "0.9476408, 1.3825492, 1.8396363, 2.2367957, 2.6516181, 3.0352350, 3.3831558");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.7125801, -3.1522213, -3.6137297, -4.0462204, -4.4449588, -4.8031865, -5.2443882", \
+					  "-2.2045856, -2.6506235, -3.1048284, -3.5328073, -3.9382748, -4.3025265, -4.7055832", \
+					  "-1.7924489, -2.2317778, -2.6900637, -3.1146256, -3.4823923, -3.8685918, -4.2631442", \
+					  "-1.3840167, -1.8364699, -2.3022113, -2.7329780, -3.1216778, -3.4802860, -3.9041581", \
+					  "-1.0075599, -1.4610968, -1.9709549, -2.3750370, -2.7969475, -3.1576985, -3.5316418", \
+					  "-0.6711851, -1.1155501, -1.5768119, -2.0278587, -2.4250181, -2.8183484, -3.1707327", \
+					  "-0.3775347, -0.8259067, -1.2917804, -1.7072014, -2.1372210, -2.5322726, -2.8987903");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.1651882, 3.6080979, 4.0681785, 4.4978686, 4.8877607, 5.3073655, 5.6601042", \
+					  "2.6290570, 3.0750185, 3.5305199, 3.9733131, 4.3501414, 4.7731843, 5.1137981", \
+					  "2.1930762, 2.6384309, 3.0895322, 3.5100228, 3.9101693, 4.2681727, 4.6837683", \
+					  "1.8004783, 2.2368432, 2.6924003, 3.1462622, 3.5700268, 3.9625541, 4.2872144", \
+					  "1.4693281, 1.8878939, 2.3491387, 2.7849394, 3.1946781, 3.5767740, 3.8971691", \
+					  "1.1329575, 1.5181801, 2.0299940, 2.4363387, 2.8442058, 3.2309094, 3.5893100", \
+					  "0.7965825, 1.2360385, 1.6772825, 2.0977773, 2.5224627, 2.9146905, 3.2751049");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3656626, -3.8053611, -4.2623169, -4.7222867, -5.1194291, -5.5020452, -5.8609492", \
+					  "-2.8468374, -3.2880729, -3.7435115, -4.1912791, -4.5982366, -4.9813049, -5.3414119", \
+					  "-2.4237865, -2.8827784, -3.3368159, -3.7694507, -4.1806267, -4.5580408, -4.9232651", \
+					  "-2.0802946, -2.5215187, -2.9771163, -3.4277634, -3.8641923, -4.2485452, -4.5877881", \
+					  "-1.7476126, -2.2004138, -2.6498485, -3.0829412, -3.4861869, -3.8428631, -4.2521215", \
+					  "-1.4554881, -1.9163370, -2.3507620, -2.8031275, -3.1900027, -3.5919371, -3.9447380", \
+					  "-1.1770965, -1.5938752, -2.0624755, -2.4989246, -2.9406965, -3.3135225, -3.6724110");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6534765, 4.0900659, 4.5515745, 4.9840645, 5.3828020, 5.7379824, 6.1593453", \
+					  "3.1213528, 3.5684438, 4.0103017, 4.4563627, 4.8653373, 5.2212903, 5.6217296", \
+					  "2.7090777, 3.1547965, 3.6010757, 4.0369173, 4.4286688, 4.8014275, 5.2088279", \
+					  "2.3707776, 2.8092753, 3.2499199, 3.6910671, 4.0810442, 4.4434605, 4.8510252", \
+					  "2.0323570, 2.4661067, 2.9232612, 3.3686885, 3.7869031, 4.1138668, 4.5277234", \
+					  "1.6842520, 2.1640492, 2.6432446, 3.0772081, 3.5095329, 3.8734685, 4.2276918", \
+					  "1.4542276, 1.8827631, 2.3315104, 2.7866094, 3.2056287, 3.5723445, 3.9617503");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.0202219, 3.4631767, 3.9217525, 4.3759079, 4.7612845, 5.1123544, 5.5135126", \
+					  "2.4866374, 2.9269381, 3.3812882, 3.8171358, 4.2093163, 4.5768005, 4.9798652", \
+					  "2.0392588, 2.4922087, 2.9422720, 3.3850909, 3.7681239, 4.1689139, 4.5723210", \
+					  "1.6787124, 2.1363363, 2.5852390, 3.0255574, 3.4220471, 3.8244921, 4.1854340", \
+					  "1.3503107, 1.7693971, 2.2502454, 2.6989200, 3.0869157, 3.4870842, 3.8100943", \
+					  "1.0154616, 1.4668801, 1.9302966, 2.3737779, 2.7875998, 3.1659797, 3.5189205", \
+					  "0.7080783, 1.1262262, 1.6112735, 2.0409512, 2.4547604, 2.8429743, 3.2247507");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8743156, -3.3094362, -3.7694440, -4.2202585, -4.6250303, -5.0106978, -5.3696023", \
+					  "-2.3434401, -2.7869189, -3.2400941, -3.6903657, -4.0967000, -4.4698673, -4.8333526", \
+					  "-1.8988608, -2.3487392, -2.8035700, -3.2716991, -3.6714753, -4.0709686, -4.4162817", \
+					  "-1.5370148, -1.9892465, -2.4484698, -2.9211717, -3.2975293, -3.7131315, -4.0659375", \
+					  "-1.1998221, -1.6524162, -2.1063169, -2.5678434, -2.9582327, -3.3454266, -3.6890721", \
+					  "-0.8573435, -1.3223578, -1.8024233, -2.2496984, -2.6294859, -3.0517530, -3.3771112", \
+					  "-0.5362273, -1.0106040, -1.4873131, -1.9302370, -2.3264092, -2.7169040, -3.1047839");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.3696484, 3.8125661, 4.2711242, 4.7008236, 5.1258200, 5.4569889, 5.8747352", \
+					  "2.8363989, 3.2808443, 3.7399282, 4.1675897, 4.5645206, 4.9803018, 5.3460568", \
+					  "2.4225644, 2.8595939, 3.3168262, 3.7555002, 4.1368782, 4.4958040, 4.9048834", \
+					  "2.0354658, 2.4783833, 2.9308383, 3.3788475, 3.8118640, 4.1984419, 4.5138926", \
+					  "1.7681680, 2.1851490, 2.6214789, 3.0321952, 3.4291073, 3.7795298, 4.1901205", \
+					  "1.3908271, 1.8286271, 2.3134124, 2.7322751, 3.1265060, 3.5298176, 3.8807182", \
+					  "1.1322719, 1.5534301, 2.0279785, 2.4019143, 2.8204874, 3.2257919, 3.5833323");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8865234, -3.3246958, -3.7831775, -4.2157351, -4.6160801, -4.9744162, -5.3833362", \
+					  "-2.3775450, -2.8224524, -3.2757683, -3.7092780, -4.1003478, -4.4411587, -4.8764057", \
+					  "-1.9619625, -2.4033914, -2.8627982, -3.3179257, -3.6930423, -4.0672165, -4.4727650", \
+					  "-1.5772387, -2.0310109, -2.4795445, -2.9129425, -3.3172074, -3.7264287, -4.1010330", \
+					  "-1.2654348, -1.6973931, -2.1684833, -2.6100224, -3.0215889, -3.4014753, -3.7241674", \
+					  "-0.9107493, -1.3590117, -1.8731565, -2.2812769, -2.6836803, -3.0944777, -3.4213616", \
+					  "-0.5713226, -1.0487509, -1.5192396, -1.9717652, -2.3539208, -2.7458957, -3.1200428");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7304500, -0.2738342, 0.1832918, 0.5932952, 0.9955260, 1.3370294, 1.7416496", \
+					  "-1.2697236, -0.8006800, -0.3559573, 0.0856517, 0.4903539, 0.8533627, 1.2177811", \
+					  "-1.7038148, -1.2430265, -0.7986208, -0.3480805, 0.0529886, 0.4425681, 0.8149064", \
+					  "-2.0514443, -1.5597538, -1.1676577, -0.7227927, -0.3037227, 0.0656856, 0.4367809", \
+					  "-2.3795216, -1.9355523, -1.4656145, -1.0606114, -0.6670976, -0.2839778, 0.1036711", \
+					  "-2.6928705, -2.2529829, -1.7606154, -1.4197024, -0.9873976, -0.6456391, -0.2363788", \
+					  "-3.0030205, -2.5405746, -2.0829931, -1.6901947, -1.3597729, -0.8600598, -0.5480713");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.1159234, 0.6742781, 0.2217780, -0.1949902, -0.6012875, -0.9716966, -1.3107646", \
+					  "1.6673405, 1.2030906, 0.7550345, 0.3379210, -0.0622392, -0.4372046, -0.7830257", \
+					  "2.0786131, 1.6322937, 1.1828450, 0.7588923, 0.3489414, -0.0224633, -0.3810288", \
+					  "2.4275402, 1.9832807, 1.5145595, 1.0997657, 0.7274015, 0.3297656, -0.0189995", \
+					  "2.7618830, 2.3008287, 1.8585811, 1.4757952, 1.0765446, 0.6584153, 0.2938948", \
+					  "3.0825283, 2.6169307, 2.1517606, 1.7381378, 1.3658413, 1.0589556, 0.6788216", \
+					  "3.3925174, 2.9038534, 2.4358098, 2.0571552, 1.7527956, 1.2957824, 0.9419322");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0238522, -3.4650764, -3.9189804, -4.3713213, -4.7745667, -5.1617605, -5.5206650", \
+					  "-2.4903716, -2.9392830, -3.3865554, -3.8337673, -4.2405619, -4.6233912, -4.9802315", \
+					  "-2.0520845, -2.4826709, -2.9410114, -3.3957035, -3.7971992, -4.1844534, -4.5712946", \
+					  "-1.6826172, -2.1382207, -2.5882147, -3.0432685, -3.4373324, -3.8402057, -4.1910597", \
+					  "-1.3561409, -1.8120344, -2.2537232, -2.6907904, -3.0864066, -3.4873333, -3.8187717", \
+					  "-0.9961985, -1.4745293, -1.9371453, -2.3713038, -2.7790221, -3.1838147, -3.5068108", \
+					  "-0.7269622, -1.1448814, -1.6116102, -2.0418231, -2.4898732, -2.8466036, -3.2329579");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.4093213, 3.8537638, 4.3107960, 4.7481231, 5.1319209, 5.5317513, 5.9144119", \
+					  "2.8713630, 3.3173289, 3.7793278, 4.2131838, 4.6400650, 4.9787346, 5.3940646", \
+					  "2.4557883, 2.9017569, 3.3572616, 3.7924795, 4.1705162, 4.5887758, 4.9414453", \
+					  "2.0858200, 2.5287417, 2.9796668, 3.4261476, 3.8690152, 4.2264590, 4.5852986", \
+					  "1.7681946, 2.1850326, 2.6390652, 3.0745431, 3.4807759, 3.8846884, 4.2031412", \
+					  "1.4747504, 1.8872708, 2.3297258, 2.7817675, 3.1889059, 3.5709247, 3.9219191", \
+					  "1.1795740, 1.5777629, 2.0679801, 2.4819038, 2.8891521, 3.2534358, 3.6138499");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.022545;
+			capacitance : 0.023321;
+			rise_capacitance : 0.023592;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.3656626, -2.8468374, -2.4237865, -2.0802946, -1.7476126, -1.4554881, -1.1770965", \
+					  "-3.8053611, -3.2880729, -2.8827784, -2.5215187, -2.2004138, -1.9163370, -1.5938752", \
+					  "-4.2623169, -3.7435115, -3.3368159, -2.9771163, -2.6498485, -2.3507620, -2.0624755", \
+					  "-4.7222867, -4.1912791, -3.7694507, -3.4277634, -3.0829412, -2.8031275, -2.4989246", \
+					  "-5.1194291, -4.5982366, -4.1806267, -3.8641923, -3.4861869, -3.1900027, -2.9406965", \
+					  "-5.5020452, -4.9813049, -4.5580408, -4.2485452, -3.8428631, -3.5919371, -3.3135225", \
+					  "-5.8609492, -5.3414119, -4.9232651, -4.5877881, -4.2521215, -3.9447380, -3.6724110");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.1525643, -1.6371591, -1.0234970, -1.1372551, -0.3987562, 0.2671922, 0.9027357", \
+					  "-2.5958275, -2.0801671, -2.2761679, -1.5722390, -0.8751811, -0.1612746, 0.4869939", \
+					  "-3.0536158, -2.5359285, -1.9414947, -2.0459698, -1.3161835, -0.5789517, 0.0661134", \
+					  "-3.4954423, -2.9864026, -3.2013007, -2.4786504, -1.7703002, -1.0627853, -0.4063744", \
+					  "-3.8920593, -3.3730656, -2.8988393, -2.8890003, -2.1754558, -1.4195725, -0.7470947", \
+					  "-4.2939778, -3.7837624, -3.2260632, -3.2283578, -2.5749082, -1.8577469, -1.1581354", \
+					  "-4.6309940, -5.0585342, -4.3264683, -3.6279350, -2.9115789, -2.2311370, -1.5729413");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6534765, 3.1213528, 2.7090777, 2.3707776, 2.0323570, 1.6842520, 1.4542276", \
+					  "4.0900659, 3.5684438, 3.1547965, 2.8092753, 2.4661067, 2.1640492, 1.8827631", \
+					  "4.5515745, 4.0103017, 3.6010757, 3.2499199, 2.9232612, 2.6432446, 2.3315104", \
+					  "4.9840645, 4.4563627, 4.0369173, 3.6910671, 3.3686885, 3.0772081, 2.7866094", \
+					  "5.3828020, 4.8653373, 4.4286688, 4.0810442, 3.7869031, 3.5095329, 3.2056287", \
+					  "5.7379824, 5.2212903, 4.8014275, 4.4434605, 4.1138668, 3.8734685, 3.5723445", \
+					  "6.1593453, 5.6217296, 5.2088279, 4.8510252, 4.5277234, 4.2276918, 3.9617503");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3360832, 2.6309688, 1.8904045, 1.1915923, 0.4576711, -0.2264833, -0.8532637", \
+					  "3.7790147, 3.0769547, 2.3624567, 1.6281551, 0.9046418, 0.1976212, -0.4344623", \
+					  "4.2375484, 3.5233223, 2.8054630, 2.0897844, 1.3679971, 0.6607002, -0.0093518", \
+					  "4.6688207, 3.9929902, 3.2370660, 2.5343392, 1.8251001, 1.0656930, 0.4482903", \
+					  "5.0877336, 4.3900277, 3.6370460, 2.9156957, 2.2313249, 1.4811608, 0.8420504", \
+					  "5.4219778, 4.7188185, 4.0060606, 3.2844581, 2.6124039, 1.8918867, 1.2002981", \
+					  "5.8294252, 5.1339766, 4.3815971, 3.6527568, 2.9734398, 2.2381888, 1.6254895");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005857;
+			capacitance : 0.005971;
+			rise_capacitance : 0.006085;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0202219, 2.4866374, 2.0392588, 1.6787124, 1.3503107, 1.0154616, 0.7080783", \
+					  "3.4631767, 2.9269381, 2.4922087, 2.1363363, 1.7693971, 1.4668801, 1.1262262", \
+					  "3.9217525, 3.3812882, 2.9422720, 2.5852390, 2.2502454, 1.9302966, 1.6112735", \
+					  "4.3759079, 3.8171358, 3.3850909, 3.0255574, 2.6989200, 2.3737779, 2.0409512", \
+					  "4.7612845, 4.2093163, 3.7681239, 3.4220471, 3.0869157, 2.7875998, 2.4547604", \
+					  "5.1123544, 4.5768005, 4.1689139, 3.8244921, 3.4870842, 3.1659797, 2.8429743", \
+					  "5.5135126, 4.9798652, 4.5723210, 4.1854340, 3.8100943, 3.5189205, 3.2247507");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4718620, 2.8336940, 2.1269527, 1.3960804, 0.6621591, -0.0281013, -0.7194892", \
+					  "3.9321620, 3.2787939, 2.5823288, 1.8593010, 1.1202497, 0.4142245, -0.3057078", \
+					  "4.3850686, 3.7354416, 3.0472687, 2.3177427, 1.5877002, 0.8665835, 0.1618846", \
+					  "4.8088975, 4.1647564, 3.4629591, 2.7339347, 2.0296107, 1.2909345, 0.5979220", \
+					  "5.1935348, 4.5581270, 3.8275186, 3.1500492, 2.4571656, 1.7268404, 0.9725105", \
+					  "5.6185899, 4.9646179, 4.2717978, 3.5450809, 2.8196070, 2.1036047, 1.3683007", \
+					  "5.9707046, 5.3016616, 4.6342017, 3.9076487, 3.1854544, 2.4884328, 1.7624059");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8743156, -2.3434401, -1.8988608, -1.5370148, -1.1998221, -0.8573435, -0.5362273", \
+					  "-3.3094362, -2.7869189, -2.3487392, -1.9892465, -1.6524162, -1.3223578, -1.0106040", \
+					  "-3.7694440, -3.2400941, -2.8035700, -2.4484698, -2.1063169, -1.8024233, -1.4873131", \
+					  "-4.2202585, -3.6903657, -3.2716991, -2.9211717, -2.5678434, -2.2496984, -1.9302370", \
+					  "-4.6250303, -4.0967000, -3.6714753, -3.2975293, -2.9582327, -2.6294859, -2.3264092", \
+					  "-5.0106978, -4.4698673, -4.0709686, -3.7131315, -3.3454266, -3.0517530, -2.7169040", \
+					  "-5.3696023, -4.8333526, -4.4162817, -4.0659375, -3.6890721, -3.3771112, -3.1047839");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.9750268, -2.3723676, -1.6669429, -0.9342926, -0.2080008, 0.4912109, 1.1955923", \
+					  "-3.4788119, -2.8051627, -2.0996004, -1.3878614, -0.6411787, 0.0583555, 0.7670449", \
+					  "-3.8716809, -3.2582958, -2.5608630, -1.8513274, -1.1114078, -0.3724925, 0.3412784", \
+					  "-4.3042385, -3.6906195, -2.9753144, -2.2991844, -1.5351835, -0.8289698, -0.1013821", \
+					  "-4.7030581, -4.0894232, -3.3775089, -2.6601227, -1.9419963, -1.2214426, -0.5307895", \
+					  "-5.0629200, -4.4243802, -3.7470615, -3.0375773, -2.3306940, -1.6398508, -0.9247111", \
+					  "-5.4763102, -4.8396737, -4.1718000, -3.3924834, -2.7078253, -2.0041544, -1.2800589");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066056, 0.0133877, 0.0201698, 0.0200673, 0.0199635, 0.0198610, 0.0197584");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079699, 0.0161303, 0.0242908, 0.0242663, 0.0242415, 0.0242170, 0.0241925");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.015657;
+			capacitance : 0.015189;
+			fall_capacitance : 0.014720;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0116098, 0.0223550, 0.0563198, 0.0560133, 0.0557032, 0.0553967, 0.0550903");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0439318, 0.7820937, 1.5202556, 2.0184357, 2.5226179, 3.0207980, 3.5189781");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031234;
+			capacitance : 0.031240;
+			fall_capacitance : 0.031247;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0043881, -0.0045767, -0.0047652, -0.0049040, -0.0050443, -0.0051830, -0.0053217");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0528634, 0.0761803, 0.0994972, 0.0993997, 0.0993009, 0.0992033, 0.0991058");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006322;
+			capacitance : 0.006214;
+			fall_capacitance : 0.006106;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.7304500, -1.2697236, -1.7038148, -2.0514443, -2.3795216, -2.6928705, -3.0030205", \
+					  "-0.2738342, -0.8006800, -1.2430265, -1.5597538, -1.9355523, -2.2529829, -2.5405746", \
+					  "0.1832918, -0.3559573, -0.7986208, -1.1676577, -1.4656145, -1.7606154, -2.0829931", \
+					  "0.5932952, 0.0856517, -0.3480805, -0.7227927, -1.0606114, -1.4197024, -1.6901947", \
+					  "0.9955260, 0.4903539, 0.0529886, -0.3037227, -0.6670976, -0.9873976, -1.3597729", \
+					  "1.3370294, 0.8533627, 0.4425681, 0.0656856, -0.2839778, -0.6456391, -0.8600598", \
+					  "1.7416496, 1.2177811, 0.8149064, 0.4367809, 0.1036711, -0.2363788, -0.5480713");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.9730594, -1.6398562, -2.3344848, -3.0403359, -3.7639844, -4.4515522, -5.1243043", \
+					  "-0.5449261, -1.1969719, -1.9056409, -2.6098256, -3.3397524, -4.0441368, -4.7229924", \
+					  "-0.0911274, -0.7739496, -1.4631446, -2.1634056, -2.8564184, -3.6412553, -4.2501768", \
+					  "0.3239325, -0.3322492, -1.0535333, -1.7966914, -2.4980559, -3.1894069, -3.8641025", \
+					  "0.7225388, 0.0679914, -0.6572012, -1.3880757, -2.1174193, -2.8157030, -3.5087288", \
+					  "1.0883074, 0.4597395, -0.2480496, -0.9858670, -1.7317732, -2.4312840, -3.1579969", \
+					  "1.4288394, 0.8091904, 0.1265182, -0.6377440, -1.3680047, -2.0869313, -2.7978932");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1159234, 1.6673405, 2.0786131, 2.4275402, 2.7618830, 3.0825283, 3.3925174", \
+					  "0.6742781, 1.2030906, 1.6322937, 1.9832807, 2.3008287, 2.6169307, 2.9038534", \
+					  "0.2217780, 0.7550345, 1.1828450, 1.5145595, 1.8585811, 2.1517606, 2.4358098", \
+					  "-0.1949902, 0.3379210, 0.7588923, 1.0997657, 1.4757952, 1.7381378, 2.0571552", \
+					  "-0.6012875, -0.0622392, 0.3489414, 0.7274015, 1.0765446, 1.3658413, 1.7527956", \
+					  "-0.9716966, -0.4372046, -0.0224633, 0.3297656, 0.6584153, 1.0589556, 1.2957824", \
+					  "-1.3107646, -0.7830257, -0.3810288, -0.0189995, 0.2938948, 0.6788216, 0.9419322");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1037105, 1.7611779, 2.4465352, 3.1531713, 3.8731900, 4.5081976, 5.1762948", \
+					  "0.6548339, 1.3079382, 1.9990821, 2.6905818, 3.4139028, 4.1234645, 4.7843154", \
+					  "0.2049902, 0.8742098, 1.5595492, 2.2909701, 3.0026622, 3.5928501, 4.3146533", \
+					  "-0.2260412, 0.4497446, 1.1472079, 1.8851818, 2.5873995, 3.2511319, 3.9118751", \
+					  "-0.5992164, 0.0497850, 0.7472669, 1.4909245, 2.1892135, 2.8970240, 3.5966104", \
+					  "-0.9930619, -0.3344057, 0.3460266, 1.1173763, 1.8099641, 2.5253342, 3.2008141", \
+					  "-1.3336558, -0.6888318, -0.0103928, 0.7172462, 1.4593902, 2.1693483, 2.8581564");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066502, 0.0133974, 0.0201447, 0.0200431, 0.0199402, 0.0198386, 0.0197369");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079531, 0.0160636, 0.0241741, 0.0241437, 0.0241130, 0.0240826, 0.0240522");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013493;
+			capacitance : 0.013450;
+			fall_capacitance : 0.013408;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0238522, -2.4903716, -2.0520845, -1.6826172, -1.3561409, -0.9961985, -0.7269622", \
+					  "-3.4650764, -2.9392830, -2.4826709, -2.1382207, -1.8120344, -1.4745293, -1.1448814", \
+					  "-3.9189804, -3.3865554, -2.9410114, -2.5882147, -2.2537232, -1.9371453, -1.6116102", \
+					  "-4.3713213, -3.8337673, -3.3957035, -3.0432685, -2.6907904, -2.3713038, -2.0418231", \
+					  "-4.7745667, -4.2405619, -3.7971992, -3.4373324, -3.0864066, -2.7790221, -2.4898732", \
+					  "-5.1617605, -4.6233912, -4.1844534, -3.8402057, -3.4873333, -3.1838147, -2.8466036", \
+					  "-5.5206650, -4.9802315, -4.5712946, -4.1910597, -3.8187717, -3.5068108, -3.2329579");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8499047, -2.2342476, -1.5331507, -0.7954377, -0.0676199, 0.6073516, 1.3411070", \
+					  "-3.2636631, -2.6777335, -1.9179824, -1.2613244, -0.5084272, 0.1788999, 0.9005831", \
+					  "-3.8060681, -3.1316218, -2.4121333, -1.6986755, -0.9447582, -0.2318473, 0.4659084", \
+					  "-4.2050565, -3.5756666, -2.8410371, -2.1718482, -1.3932143, -0.6825524, 0.0096904", \
+					  "-4.6448696, -3.9915348, -3.3007396, -2.5385895, -1.8275553, -1.1262461, -0.3967124", \
+					  "-5.0030719, -4.3807435, -3.6375049, -2.9351168, -2.1964166, -1.4872095, -0.8048239", \
+					  "-5.3818125, -4.7164523, -4.0116898, -3.2633253, -2.5463566, -1.8662789, -1.1586835");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4093213, 2.8713630, 2.4557883, 2.0858200, 1.7681946, 1.4747504, 1.1795740", \
+					  "3.8537638, 3.3173289, 2.9017569, 2.5287417, 2.1850326, 1.8872708, 1.5777629", \
+					  "4.3107960, 3.7793278, 3.3572616, 2.9796668, 2.6390652, 2.3297258, 2.0679801", \
+					  "4.7481231, 4.2131838, 3.7924795, 3.4261476, 3.0745431, 2.7817675, 2.4819038", \
+					  "5.1319209, 4.6400650, 4.1705162, 3.8690152, 3.4807759, 3.1889059, 2.8891521", \
+					  "5.5317513, 4.9787346, 4.5887758, 4.2264590, 3.8846884, 3.5709247, 3.2534358", \
+					  "5.9144119, 5.3940646, 4.9414453, 4.5852986, 4.2031412, 3.9219191, 3.6138499");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0857815, 2.3798153, 1.6917985, 0.9581584, 0.2394959, -0.4491959, -1.1383442", \
+					  "3.5438513, 2.8378851, 2.1736344, 1.4010168, 0.6776925, -0.0279452, -0.7595228", \
+					  "3.9919630, 3.2859969, 2.6142315, 1.8751638, 1.1533313, 0.4622100, -0.2819303", \
+					  "4.4069888, 3.7100061, 3.0208960, 2.2946510, 1.5809197, 0.8798482, 0.1902443", \
+					  "4.8584912, 4.1568347, 3.4503325, 2.6820664, 2.0097942, 1.2814177, 0.5495570", \
+					  "5.2279295, 4.5192399, 3.8542181, 3.0541015, 2.3972551, 1.6921771, 0.9834486", \
+					  "5.5852912, 4.8864124, 4.1862223, 3.4149701, 2.7475158, 2.0937197, 1.3575412");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066111, 0.0134091, 0.0202070, 0.0201135, 0.0200189, 0.0199254, 0.0198319");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079695, 0.0161348, 0.0243002, 0.0242669, 0.0242333, 0.0242001, 0.0241668");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.046000;
+			max_transition : 5.084199;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02169851, 0.04708255, 0.1021621, 0.2216766, 0.4810053, 1.04371");
+					values("0.3924573, 0.4041816, 0.4245443, 0.4732215, 0.6367074, 0.7764504, 0.7728992", \
+					  "0.4592476, 0.4687225, 0.4895269, 0.5383990, 0.7017163, 0.8398281, 0.8318075", \
+					  "-0.4253463, -0.4153925, -0.3945101, -0.3478687, -0.1819169, -0.0354636, -0.0475809", \
+					  "-0.3923864, -0.3830077, -0.3617295, -0.3218109, -0.1510752, -0.0106284, -0.0122401", \
+					  "-0.3593832, -0.3523616, -0.3365885, -0.2953852, -0.1267462, 0.0122063, 0.0095703", \
+					  "-0.3096074, -0.3068021, -0.2866622, -0.2553099, -0.1042112, 0.0348499, 0.0353169", \
+					  "-0.2563316, -0.2641690, -0.2465474, -0.2135792, -0.0704495, 0.0547246, 0.0533517");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02169851, 0.04708255, 0.1021621, 0.2216766, 0.4810053, 1.04371");
+					values("0.5062109, 0.5109128, 0.5207666, 0.5399218, 0.5719611, 0.6382662, 0.7419871", \
+					  "0.4135465, 0.4194870, 0.4287563, 0.4487683, 0.4795433, 0.5461760, 0.6505590", \
+					  "1.3486921, 1.3522950, 1.3622124, 1.3819534, 1.4147365, 1.4805181, 1.5835449", \
+					  "1.3544566, 1.3600330, 1.3690988, 1.3872500, 1.4212271, 1.4915105, 1.5912567", \
+					  "1.3458016, 1.3516846, 1.3610458, 1.3807008, 1.4118521, 1.4783303, 1.5825046", \
+					  "1.3455724, 1.3504367, 1.3607722, 1.3799987, 1.4116512, 1.4777226, 1.5814635", \
+					  "1.3432405, 1.3466793, 1.3597636, 1.3769015, 1.4085551, 1.4747430, 1.5786696");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.7343946, 0.7493859, 0.7594988, 0.7638168, 0.7653156, 0.7637900, 0.7574114", \
+					  "1.0120139, 1.0295116, 1.0385107, 1.0423726, 1.0431664, 1.0435514, 1.0431934", \
+					  "0.0562611, 0.0741511, 0.0830158, 0.0765933, 0.0880936, 0.0875203, 0.0869165", \
+					  "0.3054692, 0.3208540, 0.3193653, 0.2903317, 0.2678399, 0.2648021, 0.2612259", \
+					  "0.4944173, 0.5051503, 0.5052228, 0.4941939, 0.4997977, 0.4317690, 0.4251618", \
+					  "0.6491896, 0.6608397, 0.6591795, 0.6513803, 0.6499497, 0.6398293, 0.5823048", \
+					  "0.7969885, 0.8098705, 0.8135157, 0.7931517, 0.7956775, 0.8071362, 0.7267803");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.9609917, 0.9639145, 0.9690549, 0.9781071, 0.9900047, 0.9982575, 1.0015584", \
+					  "0.7890513, 0.7921126, 0.7985183, 0.8070213, 0.8186606, 0.8270415, 0.8306354", \
+					  "1.9651941, 1.9689031, 1.9735704, 1.9832655, 1.9947240, 2.0052441, 2.0064445", \
+					  "1.9598045, 1.9654751, 1.9679718, 1.9773209, 1.9888247, 2.0096811, 2.0012937", \
+					  "1.9533221, 1.9680460, 1.9610633, 1.9708601, 1.9832558, 2.0047299, 1.9973144", \
+					  "1.9117479, 1.9238970, 1.9214294, 1.9354774, 1.9537677, 1.9895162, 1.9950886", \
+					  "1.9226114, 1.9240681, 1.9116826, 1.9143040, 1.9240471, 1.9547528, 1.9721824");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.6769039, 0.6912846, 0.7012952, 0.7056745, 0.7078884, 0.7068978, 0.7099544", \
+					  "0.7639942, 0.7808626, 0.7911637, 0.7943143, 0.7967590, 0.7995527, 0.7936279", \
+					  "-0.3686088, -0.3542636, -0.3461619, -0.3431230, -0.3432437, -0.3459811, -0.3456381", \
+					  "-0.2565718, -0.2661773, -0.2567855, -0.2582506, -0.2931898, -0.3301607, -0.3317497", \
+					  "-0.2422905, -0.2545376, -0.2415667, -0.2434405, -0.2412689, -0.2752189, -0.3243769", \
+					  "-0.2287369, -0.2371722, -0.2259778, -0.2414155, -0.2304061, -0.2268739, -0.2991317", \
+					  "-0.2143880, -0.2259842, -0.2130163, -0.2240552, -0.2156244, -0.2100825, -0.2443021");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.9158735, 0.9187063, 0.9245371, 0.9342386, 0.9464645, 0.9556375, 0.9598990", \
+					  "0.7607441, 0.7626852, 0.7692017, 0.7789424, 0.7909577, 0.8008459, 0.8045747", \
+					  "1.9298014, 1.9342596, 1.9386177, 1.9491080, 1.9614139, 1.9707172, 1.9769819", \
+					  "1.9274534, 1.9426602, 1.9355227, 1.9455063, 1.9575166, 1.9676309, 1.9838534", \
+					  "1.9229154, 1.9376193, 1.9324939, 1.9426407, 1.9563522, 1.9645041, 1.9807493", \
+					  "1.9191137, 1.9339816, 1.9276770, 1.9386526, 1.9534715, 1.9626451, 1.9797946", \
+					  "1.8952693, 1.9112565, 1.9078688, 1.9212271, 1.9413843, 1.9600302, 1.9794095");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("1.4215482, 1.4183519, 1.4213803, 1.4209923, 1.4136325, 1.4234567, 1.4162454", \
+					  "1.4102094, 1.4135513, 1.4121985, 1.4117023, 1.4158500, 1.4142664, 1.3946262", \
+					  "1.3999469, 1.4053409, 1.4043892, 1.4035056, 1.4027577, 1.4053610, 1.3892244", \
+					  "1.4039318, 1.4010555, 1.4011181, 1.4027751, 1.4007282, 1.4046302, 1.3969558", \
+					  "1.3998017, 1.4060593, 1.4041770, 1.4033497, 1.4038283, 1.4055223, 1.3987222", \
+					  "1.4027825, 1.4060525, 1.4039029, 1.4034842, 1.4026640, 1.4052645, 1.3872683", \
+					  "1.4037356, 1.4009880, 1.4040528, 1.4030264, 1.4069820, 1.4055148, 1.3984749");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.7321791, 0.7353765, 0.7424891, 0.7556158, 0.7789913, 0.8209574, 0.9276817", \
+					  "0.7246545, 0.7281441, 0.7351373, 0.7491670, 0.7717829, 0.8128827, 0.9210765", \
+					  "0.7176804, 0.7207932, 0.7282958, 0.7421948, 0.7647887, 0.8067450, 0.9122248", \
+					  "0.7173531, 0.7217540, 0.7284599, 0.7425366, 0.7641893, 0.8064116, 0.9130535", \
+					  "0.7166385, 0.7210871, 0.7286442, 0.7415505, 0.7643045, 0.8049415, 0.9136077", \
+					  "0.7177258, 0.7206237, 0.7273727, 0.7412492, 0.7648875, 0.8066110, 0.9102229", \
+					  "0.7174779, 0.7210285, 0.7247612, 0.7420458, 0.7646841, 0.8061684, 0.9153106");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("4.3115858, 4.3535073, 4.4449910, 4.6424669, 5.0644720, 5.9756906, 7.9462197", \
+					  "7.6692004, 7.7106028, 7.8021571, 7.9986453, 8.4220240, 9.3329424, 11.3038550", \
+					  "11.0366530, 11.0808620, 11.1723690, 11.3693910, 11.7913710, 12.7003210, 14.6712710", \
+					  "11.8070650, 11.8440880, 11.9352870, 12.1321280, 12.5548100, 13.4709650, 15.4418200", \
+					  "16.3792690, 16.4188680, 16.5104660, 16.7380920, 17.1234560, 18.0429500, 20.0139820", \
+					  "20.1398030, 20.1806340, 20.2870820, 20.4961720, 20.8951080, 21.8095440, 23.7743870", \
+					  "23.0114030, 23.0386000, 23.1422740, 23.3226860, 23.7584210, 24.6799630, 26.6459760", \
+					  "27.0552200, 27.0944000, 27.2161280, 27.3849530, 27.8256420, 28.7676510, 30.6896870", \
+					  "82.7231120, 82.7424600, 82.8864020, 83.0549350, 83.5172780, 84.4582360, 86.3770990", \
+					  "118.1523900, 118.1857700, 118.1857720, 118.3986700, 118.8105800, 119.8055300, 121.8151500", \
+					  "269.1400000, 269.1400300, 269.1400605, 269.2588900, 269.6529600, 270.6975400, 272.7573500", \
+					  "810.5658900, 810.5659485, 811.1376200, 811.1376648, 811.1377259, 812.1440400, 814.0427800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("0.3020442, 0.3548244, 0.4709664, 0.7200976, 1.2599406, 2.4300353, 4.9926783", \
+					  "0.3030684, 0.3562064, 0.4719740, 0.7239733, 1.2592705, 2.4303171, 4.9895279", \
+					  "0.3024414, 0.3560365, 0.4714826, 0.7231314, 1.2603308, 2.4303142, 4.9888369", \
+					  "0.3031614, 0.3556119, 0.4737579, 0.7224046, 1.2585252, 2.4303015, 4.9912736", \
+					  "0.3024092, 0.3563257, 0.4711889, 0.7217650, 1.2592832, 2.4303235, 5.0015174", \
+					  "0.3033364, 0.3560477, 0.4718105, 0.7236112, 1.2592404, 2.4303575, 4.9955293", \
+					  "0.3030798, 0.3559379, 0.4718150, 0.7239388, 1.2592892, 2.4301019, 4.9937743", \
+					  "0.3031080, 0.3562555, 0.4715868, 0.7240377, 1.2590843, 2.4300855, 4.9915090", \
+					  "0.3032523, 0.3559372, 0.4733338, 0.7241823, 1.2591536, 2.4302313, 4.9912736", \
+					  "0.3030514, 0.3556473, 0.4720017, 0.7197012, 1.2605077, 2.4304776, 5.0080504", \
+					  "0.3021639, 0.3559467, 0.4719848, 0.7240316, 1.2590951, 2.4306546, 5.0010174", \
+					  "0.3025245, 0.3562802, 0.4730882, 0.7236004, 1.2586996, 2.4299924, 4.9913380");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("5.7770628, 5.8039724, 5.8544596, 5.9485390, 6.1212324, 6.4283836, 6.9419772", \
+					  "9.0433234, 9.0699439, 9.1219885, 9.2159054, 9.3878025, 9.6969570, 10.2111980", \
+					  "12.7480920, 12.7747390, 12.8258750, 12.9198410, 13.0918590, 13.4002080, 13.9153410", \
+					  "13.6340960, 13.6633850, 13.7133100, 13.8060200, 13.9775990, 14.2868370, 14.8018150", \
+					  "19.1458620, 19.1717500, 19.2234420, 19.3185930, 19.4891520, 19.7984830, 20.3133470", \
+					  "23.7399180, 23.7633840, 23.8139870, 23.9157100, 24.0834780, 24.3908660, 24.9065850", \
+					  "27.2068750, 27.2332330, 27.2863050, 27.3791160, 27.5204850, 27.8427500, 28.3452460", \
+					  "32.0856440, 32.1122760, 32.1636550, 32.2533000, 32.4364250, 32.7327850, 33.2616170", \
+					  "97.1964270, 97.2145950, 97.2596300, 97.3690670, 97.5325560, 97.8453580, 98.3368480", \
+					  "137.4394900, 137.4545300, 137.5256900, 137.6188100, 137.7901100, 138.0919900, 138.6031700", \
+					  "303.9197100, 303.9855000, 304.0102300, 304.1086500, 304.2818000, 304.5756500, 305.1244200", \
+					  "868.4471600, 868.4471741, 868.4737600, 868.5960900, 868.7829300, 869.0763600, 869.6291000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("0.2167632, 0.2334866, 0.2684318, 0.3431022, 0.4963438, 0.7627886, 1.2375001", \
+					  "0.2181962, 0.2391653, 0.2716547, 0.3455432, 0.4969628, 0.7642458, 1.2381870", \
+					  "0.2205661, 0.2365665, 0.2709955, 0.3456720, 0.4977473, 0.7653531, 1.2372441", \
+					  "0.2226093, 0.2388457, 0.2703536, 0.3478965, 0.4969122, 0.7630292, 1.2382930", \
+					  "0.2214752, 0.2360528, 0.2729035, 0.3472801, 0.4983762, 0.7650621, 1.2374823", \
+					  "0.2207188, 0.2366476, 0.2718344, 0.3460437, 0.4973043, 0.7652958, 1.2373975", \
+					  "0.2180912, 0.2372718, 0.2725410, 0.3455749, 0.4986441, 0.7654045, 1.2365191", \
+					  "0.2199448, 0.2359273, 0.2729363, 0.3474246, 0.4977589, 0.7651754, 1.2379171", \
+					  "0.2213268, 0.2375134, 0.2734531, 0.3473375, 0.4979309, 0.7640498, 1.2373436", \
+					  "0.2234561, 0.2361524, 0.2735891, 0.3464067, 0.4970488, 0.7644073, 1.2365073", \
+					  "0.2201812, 0.2388022, 0.2719586, 0.3466648, 0.4990090, 0.7640638, 1.2374629", \
+					  "0.2229085, 0.2366134, 0.2731658, 0.3479585, 0.4973511, 0.7650545, 1.2368991");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("4.6924913, 4.7348263, 4.8277302, 5.0237396, 5.4465419, 6.3580186, 8.3346992", \
+					  "7.2386972, 7.2814230, 7.3725954, 7.5683442, 7.9904687, 8.9033660, 10.8803450", \
+					  "9.3565209, 9.3991588, 9.4901349, 9.6854409, 10.1077040, 11.0202540, 13.0035630", \
+					  "9.8345510, 9.8786472, 9.9695194, 10.1655340, 10.5870220, 11.4998920, 13.4818860", \
+					  "12.6993950, 12.7402210, 12.8310890, 13.0289290, 13.4500090, 14.3606850, 16.3415110", \
+					  "15.0699490, 15.1131550, 15.2040160, 15.3994360, 15.8217230, 16.7307160, 18.7141480", \
+					  "16.8700770, 16.9167900, 17.0076360, 17.1998450, 17.6223120, 18.5309650, 20.5174700", \
+					  "19.4174370, 19.4633390, 19.5542910, 19.7304200, 20.1692030, 21.0781770, 23.0783340", \
+					  "54.6734250, 54.6829960, 54.8044170, 54.9464370, 55.3498400, 56.1766600, 58.3510910", \
+					  "77.1025060, 77.1753220, 77.2580930, 77.4181500, 77.8511830, 78.5806900, 80.5876820", \
+					  "172.2313200, 172.3851300, 172.4285300, 172.5765800, 173.2138900, 174.1268100, 175.9710100", \
+					  "510.2320400, 510.7033300, 510.7033539, 510.7033844, 510.9719200, 511.9037500, 513.9502800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2997937, 0.3528008, 0.4673580, 0.7165251, 1.2616723, 2.4388105, 5.0092397", \
+					  "0.3044401, 0.3563477, 0.4699761, 0.7172971, 1.2624901, 2.4407667, 5.0841988", \
+					  "0.3041001, 0.3543906, 0.4699282, 0.7175819, 1.2603456, 2.4490985, 5.0549316", \
+					  "0.3002436, 0.3549006, 0.4681733, 0.7171344, 1.2625109, 2.4461022, 5.0406355", \
+					  "0.3002630, 0.3548048, 0.4698662, 0.7183967, 1.2627462, 2.4553706, 5.0063198", \
+					  "0.3002032, 0.3546388, 0.4698977, 0.7183170, 1.2627623, 2.4466671, 5.0154427", \
+					  "0.3002504, 0.3550465, 0.4697996, 0.7184277, 1.2625134, 2.4494551, 4.9997202", \
+					  "0.3002341, 0.3547982, 0.4698678, 0.7184315, 1.2624948, 2.4491136, 4.9937849", \
+					  "0.3002181, 0.3549465, 0.4698678, 0.7184130, 1.2625445, 2.4494278, 4.9952331", \
+					  "0.3002602, 0.3549169, 0.4698574, 0.7184329, 1.2625555, 2.4495359, 4.9931890", \
+					  "0.3002537, 0.3548043, 0.4698663, 0.7183770, 1.2625000, 2.4494198, 4.9973337", \
+					  "0.3003712, 0.3548873, 0.4698427, 0.7184130, 1.2625582, 2.4492928, 4.9959290");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("6.2509162, 6.2730869, 6.3202878, 6.4086465, 6.5736008, 6.8654931, 7.3638597", \
+					  "8.9866674, 9.0118855, 9.0620489, 9.1525152, 9.3134416, 9.6052446, 10.1006700", \
+					  "12.1712190, 12.1972170, 12.2432640, 12.3371490, 12.4982900, 12.7959770, 13.2816880", \
+					  "12.8917140, 12.9176460, 12.9674660, 13.0573380, 13.2184770, 13.5044780, 14.0067160", \
+					  "17.0873040, 17.1180720, 17.1718110, 17.2603690, 17.4220580, 17.7107500, 18.2078870", \
+					  "20.4414890, 20.4792980, 20.5338610, 20.6220590, 20.7815900, 21.0754120, 21.5705980", \
+					  "22.9721310, 22.9975170, 23.0396480, 23.1218030, 23.2804190, 23.5816500, 24.0658340", \
+					  "26.4408670, 26.4792470, 26.5511450, 26.5959890, 26.7410430, 27.0630360, 27.5506090", \
+					  "71.0347480, 71.0576630, 71.1089000, 71.2076300, 71.3650220, 71.6355830, 72.1858980", \
+					  "97.8229620, 97.8620630, 97.9415230, 97.9736610, 98.1523410, 98.4095580, 98.9417970", \
+					  "206.0906000, 206.1347700, 206.1441600, 206.2456000, 206.4422500, 206.6921900, 207.2331900", \
+					  "563.0109300, 563.0478800, 563.1945900, 563.1946106, 563.3040700, 563.6179000, 564.1167000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2113987, 0.2265832, 0.2593076, 0.3276663, 0.4680725, 0.7253035, 1.2077324", \
+					  "0.2134638, 0.2280958, 0.2624864, 0.3297316, 0.4691126, 0.7284243, 1.2203371", \
+					  "0.2128005, 0.2286668, 0.2620099, 0.3294971, 0.4697044, 0.7318693, 1.2200918", \
+					  "0.2136272, 0.2281112, 0.2625962, 0.3289980, 0.4709095, 0.7306924, 1.2178529", \
+					  "0.2147284, 0.2299806, 0.2628059, 0.3341252, 0.4709766, 0.7304287, 1.2183333", \
+					  "0.2145250, 0.2287648, 0.2631284, 0.3290220, 0.4706459, 0.7283770, 1.2186835", \
+					  "0.2152586, 0.2280207, 0.2623086, 0.3297399, 0.4716413, 0.7283937, 1.2201671", \
+					  "0.2117095, 0.2281196, 0.2621198, 0.3297277, 0.4691419, 0.7305584, 1.2203045", \
+					  "0.2130574, 0.2286181, 0.2625568, 0.3297966, 0.4709698, 0.7287682, 1.2188530", \
+					  "0.2126637, 0.2280637, 0.2622693, 0.3297578, 0.4708823, 0.7302608, 1.2197335", \
+					  "0.2128713, 0.2283473, 0.2620833, 0.3296447, 0.4691989, 0.7288871, 1.2202055", \
+					  "0.2130994, 0.2283769, 0.2621600, 0.3298669, 0.4692023, 0.7311099, 1.2191915");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("4.6731117, 4.7164423, 4.8073110, 5.0028164, 5.4261018, 6.3387575, 8.3140961", \
+					  "6.9321393, 6.9740755, 7.0656525, 7.2614408, 7.6838438, 8.5971443, 10.5777510", \
+					  "8.6068725, 8.6511207, 8.7403960, 8.9362588, 9.3589092, 10.2716570, 12.2500210", \
+					  "8.9700727, 9.0143777, 9.1035197, 9.2994137, 9.7219308, 10.6348090, 12.6132040", \
+					  "11.0744970, 11.1155090, 11.2084420, 11.4058260, 11.8259870, 12.7389800, 14.7159060", \
+					  "12.7520120, 12.7977640, 12.8857120, 13.0793450, 13.5043860, 14.4172150, 16.3974060", \
+					  "14.0014520, 14.0431980, 14.1339920, 14.3316080, 14.7585970, 15.6657210, 17.6516900", \
+					  "15.7390030, 15.7864570, 15.8704290, 16.0730130, 16.4959900, 17.4014900, 19.3854090", \
+					  "38.3350350, 38.3356810, 38.4725920, 38.6683890, 39.0370370, 40.0145160, 41.9883150", \
+					  "52.1920360, 52.2183680, 52.2960910, 52.4989400, 52.9271100, 53.8394640, 55.8196330", \
+					  "109.4845700, 109.5287600, 109.6963200, 109.8277200, 110.3923000, 111.1945000, 113.1889800", \
+					  "308.0347700, 308.1867700, 308.3279400, 308.5185100, 308.9311600, 309.9691200, 311.7082800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.3003555, 0.3531626, 0.4677099, 0.7165172, 1.2603126, 2.4414661, 5.0275322", \
+					  "0.3012512, 0.3565366, 0.4691023, 0.7183214, 1.2647458, 2.4517437, 5.0015272", \
+					  "0.3002277, 0.3550144, 0.4687894, 0.7164481, 1.2603553, 2.4494438, 5.0439319", \
+					  "0.3002452, 0.3549463, 0.4698262, 0.7183778, 1.2598701, 2.4439186, 5.0128319", \
+					  "0.3002052, 0.3549606, 0.4698814, 0.7174304, 1.2607031, 2.4410138, 4.9944977", \
+					  "0.3008277, 0.3566046, 0.4697899, 0.7188397, 1.2608454, 2.4484528, 5.0661995", \
+					  "0.3002448, 0.3549360, 0.4698648, 0.7172563, 1.2647186, 2.4522890, 5.0539284", \
+					  "0.3002357, 0.3550438, 0.4698659, 0.7184173, 1.2643337, 2.4449656, 5.0029842", \
+					  "0.3012210, 0.3550744, 0.4689895, 0.7184248, 1.2645753, 2.4470665, 4.9950715", \
+					  "0.3003081, 0.3552232, 0.4698492, 0.7184208, 1.2619797, 2.4464353, 4.9958686", \
+					  "0.3002295, 0.3549390, 0.4698599, 0.7183956, 1.2619603, 2.4484779, 4.9960120", \
+					  "0.3002335, 0.3549859, 0.4698582, 0.7187753, 1.2610691, 2.4494700, 4.9949991");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("8.4866834, 8.5109448, 8.5581968, 8.6500629, 8.8116661, 9.1035783, 9.5988950", \
+					  "10.8237890, 10.8474070, 10.8990400, 10.9894380, 11.1489240, 11.4421240, 11.9362720", \
+					  "13.9611740, 14.0063920, 14.0369420, 14.1273610, 14.2920080, 14.5799750, 15.0789870", \
+					  "14.7133790, 14.7419060, 14.7894310, 14.8797270, 15.0421420, 15.3324660, 15.8271330", \
+					  "19.3404560, 19.3665190, 19.4165630, 19.5068440, 19.6674610, 19.9596070, 20.4545340", \
+					  "23.0517170, 23.0792060, 23.1274320, 23.2185420, 23.3765180, 23.6629520, 24.1551350", \
+					  "25.8025570, 25.8313570, 25.8779560, 25.9684100, 26.1165740, 26.4239470, 26.9158470", \
+					  "29.6100030, 29.6333410, 29.6858640, 29.7762330, 29.9312540, 30.2171270, 30.7292290", \
+					  "77.4520430, 77.4520455, 77.5279430, 77.6189570, 77.7792410, 78.0592300, 78.5715030", \
+					  "105.9325500, 105.9594800, 106.0032800, 106.0981400, 106.2551900, 106.5564700, 107.0452900", \
+					  "220.6230800, 220.6265200, 220.7180100, 220.7893700, 220.9025100, 221.2259900, 221.7425500", \
+					  "598.1273100, 598.1273499, 598.1948400, 598.2558400, 598.3683300, 598.7698700, 599.1643700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2114237, 0.2276187, 0.2602142, 0.3269373, 0.4678274, 0.7248398, 1.2107293", \
+					  "0.2136574, 0.2282271, 0.2625615, 0.3295876, 0.4689321, 0.7287014, 1.2206113", \
+					  "0.2132157, 0.2282934, 0.2624375, 0.3298688, 0.4692160, 0.7287775, 1.2201060", \
+					  "0.2126773, 0.2284120, 0.2619561, 0.3297464, 0.4691408, 0.7311248, 1.2199110", \
+					  "0.2125702, 0.2280979, 0.2618411, 0.3297058, 0.4690954, 0.7306504, 1.2200487", \
+					  "0.2132119, 0.2305447, 0.2617086, 0.3306478, 0.4716362, 0.7306164, 1.2190331", \
+					  "0.2135336, 0.2280512, 0.2624996, 0.3296893, 0.4692400, 0.7284087, 1.2104139", \
+					  "0.2130460, 0.2281094, 0.2621525, 0.3297914, 0.4708857, 0.7313866, 1.2204341", \
+					  "0.2125108, 0.2282887, 0.2626948, 0.3296835, 0.4689435, 0.7294194, 1.2204125", \
+					  "0.2136607, 0.2287554, 0.2626676, 0.3294419, 0.4685986, 0.7286758, 1.2203822", \
+					  "0.2136708, 0.2283793, 0.2631259, 0.3295518, 0.4692269, 0.7307825, 1.2187591", \
+					  "0.2120681, 0.2280945, 0.2622868, 0.3298886, 0.4692182, 0.7310312, 1.2204493");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("24.2191830, 24.2614390, 24.3533190, 24.5496390, 24.9731240, 25.8873350, 27.8613330", \
+					  "24.8985310, 24.9408070, 25.0308630, 25.2277030, 25.6524970, 26.5638070, 28.5400010", \
+					  "25.6093460, 25.6516500, 25.7395540, 25.9360050, 26.3633200, 27.2733300, 29.2508490", \
+					  "26.3240540, 26.3663280, 26.4555680, 26.6535980, 27.0780070, 27.9871020, 29.9661880", \
+					  "27.0498650, 27.0921560, 27.1811840, 27.3778530, 27.8038410, 28.7128990, 30.6917510", \
+					  "27.7679990, 27.8103050, 27.8894740, 28.0975630, 28.5219790, 29.4382230, 31.4095670", \
+					  "28.4712890, 28.5135440, 28.6041470, 28.8006310, 29.2252280, 30.1396170, 32.1134340");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.3003635, 0.3565784, 0.4732963, 0.7181588, 1.2595033, 2.4402833, 4.9946141", \
+					  "0.3001899, 0.3563443, 0.4723555, 0.7185049, 1.2590068, 2.4414948, 5.0031366", \
+					  "0.3001906, 0.3563451, 0.4741936, 0.7221164, 1.2590097, 2.4412534, 5.0031121", \
+					  "0.3003634, 0.3565771, 0.4674110, 0.7220061, 1.2595031, 2.4417413, 4.9946705", \
+					  "0.3001918, 0.3563469, 0.4744432, 0.7202687, 1.2590151, 2.4411695, 4.9946265", \
+					  "0.3001824, 0.3563275, 0.4723768, 0.7185333, 1.2589841, 2.4412294, 5.0036340", \
+					  "0.3003640, 0.3565794, 0.4742623, 0.7219724, 1.2595041, 2.4414288, 4.9946238");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("16.8342100, 16.8548780, 16.9049430, 16.9909240, 17.1474030, 17.4323670, 17.9244580", \
+					  "17.3662990, 17.3915350, 17.4496100, 17.5256270, 17.6816640, 17.9664320, 18.4633130", \
+					  "17.7944570, 17.8208290, 17.8691200, 17.9537880, 18.1098230, 18.3956990, 18.8889510", \
+					  "18.1651050, 18.1898900, 18.2312850, 18.3245790, 18.4758520, 18.7651010, 19.2541310", \
+					  "18.4803550, 18.5054000, 18.5558450, 18.6391930, 18.7953010, 19.0800660, 19.5756920", \
+					  "18.8145960, 18.8391170, 18.8801900, 18.9734860, 19.1297680, 19.4141450, 19.9074500", \
+					  "19.0991470, 19.1239610, 19.1731820, 19.2584370, 19.4144880, 19.6988240, 20.1932820");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2006729, 0.2175601, 0.2492707, 0.3203088, 0.4563458, 0.7167819, 1.2199952", \
+					  "0.2004249, 0.2175614, 0.2488767, 0.3174391, 0.4547794, 0.7181682, 1.2208996", \
+					  "0.2004267, 0.2168586, 0.2492748, 0.3174247, 0.4547651, 0.7155511, 1.2099082", \
+					  "0.2012353, 0.2177877, 0.2503030, 0.3170435, 0.4556930, 0.7187209, 1.2104375", \
+					  "0.2009029, 0.2169401, 0.2493496, 0.3152271, 0.4556811, 0.7178972, 1.2105066", \
+					  "0.2019875, 0.2172647, 0.2512882, 0.3187384, 0.4554249, 0.7170504, 1.2208904", \
+					  "0.2004907, 0.2175603, 0.2496236, 0.3175247, 0.4548519, 0.7169377, 1.2111045");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.282320;
+			max_transition : 3.787332;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247102, 0.05049469, 0.1134667, 0.2549714, 0.5729467, 1.28747");
+					values("0.7654616, 0.7689470, 0.7711293, 0.7746348, 0.7753852, 0.7829277, 0.7677205", \
+					  "0.8328402, 0.8345073, 0.8376639, 0.8398689, 0.8431260, 0.8437538, 0.8376165", \
+					  "-0.0503483, -0.0497082, -0.0535197, -0.0442655, -0.0438799, -0.0364408, -0.0442010", \
+					  "-0.0202704, -0.0170588, -0.0147066, -0.0123836, -0.0112505, -0.0041679, -0.0089849", \
+					  "0.0035418, 0.0057800, 0.0084328, 0.0112268, 0.0156258, 0.0133487, 0.0048027", \
+					  "0.0208745, 0.0275480, 0.0313238, 0.0333247, 0.0374576, 0.0299037, 0.0473412", \
+					  "0.0502955, 0.0528369, 0.0575492, 0.0551208, 0.0545758, 0.0526268, 0.0609903");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247102, 0.05049469, 0.1134667, 0.2549714, 0.5729467, 1.28747");
+					values("0.7065965, 0.7121064, 0.7188155, 0.7302672, 0.7435660, 0.7546221, 0.7591897", \
+					  "0.6165039, 0.6206057, 0.6282105, 0.6376512, 0.6527314, 0.6630948, 0.6677613", \
+					  "1.5512664, 1.5536926, 1.5619830, 1.5713629, 1.5857449, 1.5973243, 1.6049549", \
+					  "1.5511043, 1.5613651, 1.5680724, 1.5794005, 1.5931208, 1.6043007, 1.6086578", \
+					  "1.5552336, 1.5529536, 1.5601832, 1.5707273, 1.5851134, 1.5957975, 1.6074448", \
+					  "1.5561908, 1.5520734, 1.5591602, 1.5700049, 1.5840433, 1.5949475, 1.6065880", \
+					  "1.5550010, 1.5483338, 1.5562174, 1.5660317, 1.5805731, 1.5941638, 1.6058441");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.7001416, 0.7005730, 0.7024292, 0.7048801, 0.7064692, 0.7059524, 0.7137439", \
+					  "0.7888822, 0.7898824, 0.7918450, 0.7944494, 0.7966311, 0.8001294, 0.7898916", \
+					  "-0.3471019, -0.3559063, -0.3452551, -0.3433490, -0.3409698, -0.3369014, -0.3428310", \
+					  "-0.2568282, -0.2660756, -0.2554400, -0.2550166, -0.2816266, -0.3223277, -0.3308229", \
+					  "-0.2439757, -0.2533890, -0.2393470, -0.2373668, -0.2343606, -0.2427928, -0.3128917", \
+					  "-0.2273362, -0.2405319, -0.2278176, -0.2248088, -0.2226716, -0.2261384, -0.2904007", \
+					  "-0.2131521, -0.2251927, -0.2124753, -0.2119839, -0.2103954, -0.2126747, -0.2156786");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.8559583, 0.8619841, 0.8750565, 0.9023533, 0.9338673, 0.9591214, 0.9679295", \
+					  "0.6989638, 0.7064212, 0.7183429, 0.7475233, 0.7790309, 0.8034241, 0.8126873", \
+					  "1.8693664, 1.8768966, 1.8886954, 1.9191632, 1.9514900, 1.9737291, 1.9831088", \
+					  "1.8642605, 1.8714633, 1.8859974, 1.9121573, 1.9449750, 1.9704655, 1.9792098", \
+					  "1.8584791, 1.8678175, 1.8846784, 1.9084686, 1.9418349, 1.9674661, 1.9767504", \
+					  "1.8591370, 1.8631420, 1.8873453, 1.9052993, 1.9377716, 1.9642905, 1.9741975", \
+					  "1.8333735, 1.8274971, 1.8570577, 1.8792661, 1.9193989, 1.9598164, 1.9750733");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.7573714, 0.7583171, 0.7605731, 0.7623124, 0.7648303, 0.7701386, 0.7564404", \
+					  "1.0368603, 1.0376819, 1.0388059, 1.0419338, 1.0450399, 1.0439724, 1.0447582", \
+					  "0.0810794, 0.0820864, 0.0844288, 0.0867585, 0.0879792, 0.0855925, 0.0825174", \
+					  "0.3184231, 0.3170429, 0.3118002, 0.2977179, 0.2690051, 0.2598314, 0.2699850", \
+					  "0.5017529, 0.5043173, 0.5064097, 0.5046969, 0.5041597, 0.4465664, 0.4222596", \
+					  "0.6497260, 0.6591519, 0.6619253, 0.6609708, 0.6552101, 0.6533512, 0.5925611", \
+					  "0.7988893, 0.8079422, 0.8103434, 0.8054975, 0.8030334, 0.8059421, 0.7618052");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.9034644, 0.9094008, 0.9224570, 0.9482267, 0.9782309, 1.0018583, 1.0094347", \
+					  "0.7320981, 0.7386166, 0.7498851, 0.7752425, 0.8157394, 0.8302529, 0.8452693", \
+					  "1.9073705, 1.9145271, 1.9290862, 1.9518653, 1.9949012, 2.0065609, 2.0242731", \
+					  "1.9027722, 1.9062111, 1.9302515, 1.9468637, 1.9857675, 2.0009482, 2.0220553", \
+					  "1.8781079, 1.8868149, 1.9127516, 1.9452333, 1.9853609, 1.9960442, 2.0203277", \
+					  "1.8382481, 1.8440249, 1.8719030, 1.9021416, 1.9468364, 1.9764344, 2.0124969", \
+					  "1.9143511, 1.9123856, 1.9272418, 1.9276230, 1.9314129, 1.9419100, 1.9985105");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("1.1322030, 1.1332957, 1.1399108, 1.1510710, 1.1809201, 1.4197831, 1.4086245", \
+					  "1.1228788, 1.1221826, 1.1308745, 1.1417078, 1.1714058, 1.4109532, 1.3971787", \
+					  "1.1155569, 1.1137831, 1.1222913, 1.1340469, 1.1628014, 1.4015718, 1.3892334", \
+					  "1.1145856, 1.1173418, 1.1222410, 1.1331661, 1.1639848, 1.4029777, 1.3910853", \
+					  "1.1149213, 1.1176050, 1.1211726, 1.1339339, 1.1718109, 1.4029871, 1.3986449", \
+					  "1.1148463, 1.1156123, 1.1226107, 1.1334804, 1.1651508, 1.4019683, 1.3886998", \
+					  "1.1149787, 1.1148932, 1.1225481, 1.1336578, 1.1704902, 1.4024237, 1.3878939");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.9212408, 0.9241242, 0.9300832, 0.9458373, 0.9695840, 1.0084780, 1.0232822", \
+					  "0.9150744, 0.9169602, 0.9229992, 0.9378216, 0.9627798, 1.0010680, 1.0159546", \
+					  "0.9083834, 0.9100358, 0.9165691, 0.9316604, 0.9557815, 0.9940633, 1.0090628", \
+					  "0.9065628, 0.9096006, 0.9158510, 0.9309605, 0.9554541, 0.9940671, 1.0091006", \
+					  "0.9039908, 0.9096375, 0.9170106, 0.9287978, 0.9555924, 0.9935054, 1.0086332", \
+					  "0.9078354, 0.9094192, 0.9166773, 0.9316076, 0.9543811, 0.9940096, 1.0092420", \
+					  "0.9046842, 0.9097965, 0.9157234, 0.9309427, 0.9554591, 0.9939159, 1.0085077");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("7.1268120, 7.1628108, 7.2406703, 7.3952942, 7.7062934, 8.3507565, 9.7649329", \
+					  "10.4854710, 10.5219740, 10.5992520, 10.7542090, 11.0648070, 11.7109180, 13.1153810", \
+					  "13.8541460, 13.8906840, 13.9672800, 14.1230700, 14.4334140, 15.0780850, 16.4907150", \
+					  "14.6271980, 14.6608400, 14.7373940, 14.8923780, 15.2029750, 15.8490550, 17.2512760", \
+					  "19.1944220, 19.2321090, 19.3085000, 19.4646250, 19.7750880, 20.4195350, 21.8246430", \
+					  "22.9832120, 22.9950370, 23.0686810, 23.2236740, 23.5343460, 24.1776310, 25.5965280", \
+					  "25.8083120, 25.8637010, 25.9407410, 26.0957070, 26.4063190, 27.0499980, 28.4500210", \
+					  "29.8821730, 29.9287490, 29.9842710, 30.1392760, 30.4468630, 31.1337410, 32.5251010", \
+					  "85.5780510, 85.6851370, 85.6851388, 85.8415920, 86.1567740, 86.8024440, 88.2432970", \
+					  "120.8937200, 120.9839800, 121.1096400, 121.2700800, 121.5391700, 122.2021700, 123.5157200", \
+					  "271.8951700, 271.9668300, 271.9975500, 272.2106300, 272.5047600, 273.0296000, 274.4223500", \
+					  "813.2771500, 813.3658900, 813.3972100, 813.3972474, 813.8479200, 814.5756300, 815.6237600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("0.4236087, 0.4562142, 0.5280668, 0.6828173, 1.0381116, 1.8458408, 3.7424841", \
+					  "0.4229998, 0.4561979, 0.5282189, 0.6833067, 1.0380246, 1.8563537, 3.7182548", \
+					  "0.4233362, 0.4562271, 0.5281556, 0.6834578, 1.0391011, 1.8496008, 3.7488697", \
+					  "0.4231393, 0.4563776, 0.5282301, 0.6833686, 1.0381083, 1.8564870, 3.7730605", \
+					  "0.4237020, 0.4561652, 0.5279008, 0.6845606, 1.0385652, 1.8549940, 3.7156427", \
+					  "0.4237820, 0.4564656, 0.5283398, 0.6826293, 1.0351116, 1.8577532, 3.7496761", \
+					  "0.4231915, 0.4563835, 0.5282056, 0.6832191, 1.0347176, 1.8461538, 3.7245447", \
+					  "0.4231874, 0.4565758, 0.5281974, 0.6829112, 1.0365531, 1.8566113, 3.7123169", \
+					  "0.4200441, 0.4539323, 0.5240417, 0.6832425, 1.0375710, 1.8481773, 3.7457513", \
+					  "0.4237179, 0.4565138, 0.5279447, 0.6844282, 1.0387288, 1.8535365, 3.7249852", \
+					  "0.4201211, 0.4563935, 0.5281130, 0.6832659, 1.0390391, 1.8562953, 3.7235135", \
+					  "0.4210179, 0.4555665, 0.5281562, 0.6849769, 1.0363070, 1.8535748, 3.7473145");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("7.7682287, 7.7975706, 7.8492164, 7.9500628, 8.1535418, 8.5095762, 9.1554018", \
+					  "11.0367190, 11.0633890, 11.1151230, 11.2180840, 11.4216650, 11.7761840, 12.4236310", \
+					  "14.7507980, 14.7684340, 14.8186210, 14.9232200, 15.1249470, 15.4808220, 16.1268580", \
+					  "15.6324090, 15.6535780, 15.7057550, 15.8090700, 16.0112760, 16.3692970, 17.0136670", \
+					  "21.1399880, 21.1658660, 21.2185360, 21.3260470, 21.5235490, 21.8812030, 22.5254380", \
+					  "25.7308520, 25.7593630, 25.8075710, 25.9140020, 26.1170530, 26.4702060, 27.1193570", \
+					  "29.2022440, 29.2281510, 29.2767140, 29.3800730, 29.5556570, 29.9393970, 30.5600520", \
+					  "34.0812710, 34.0946470, 34.1560910, 34.2641040, 34.4742190, 34.8216990, 35.4769580", \
+					  "99.2032550, 99.2156260, 99.2665360, 99.3759100, 99.5637000, 99.9285210, 100.5763100", \
+					  "139.4347600, 139.4347611, 139.5099500, 139.6282300, 139.8325200, 140.1857600, 140.8363200", \
+					  "305.8963800, 305.9843200, 305.9920200, 306.1049400, 306.3266500, 306.6565500, 307.3363100", \
+					  "870.4030300, 870.5229700, 870.5600900, 870.6454700, 870.8848900, 871.2538600, 871.8614600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("0.3236851, 0.3405219, 0.3835272, 0.4766245, 0.6496717, 0.9756851, 1.6610290", \
+					  "0.3237543, 0.3424696, 0.3859653, 0.4739980, 0.6494275, 0.9770841, 1.6632518", \
+					  "0.3247721, 0.3426049, 0.3847930, 0.4744858, 0.6511914, 0.9744070, 1.6615836", \
+					  "0.3246663, 0.3426907, 0.3843210, 0.4746617, 0.6512160, 0.9726726, 1.6628062", \
+					  "0.3242065, 0.3427922, 0.3838229, 0.4743254, 0.6506336, 0.9729205, 1.6638325", \
+					  "0.3252174, 0.3428016, 0.3842519, 0.4744187, 0.6512557, 0.9730853, 1.6633074", \
+					  "0.3238238, 0.3424706, 0.3843417, 0.4746424, 0.6504835, 0.9727526, 1.6593222", \
+					  "0.3216359, 0.3416442, 0.3840575, 0.4725222, 0.6499848, 0.9733201, 1.6585769", \
+					  "0.3252658, 0.3422376, 0.3859775, 0.4747066, 0.6512405, 0.9726746, 1.6630101", \
+					  "0.3252992, 0.3428552, 0.3839091, 0.4747164, 0.6512799, 0.9727586, 1.6630617", \
+					  "0.3236347, 0.3428850, 0.3843998, 0.4749257, 0.6513987, 0.9734729, 1.6562411", \
+					  "0.3235148, 0.3422205, 0.3832798, 0.4753628, 0.6516695, 0.9777070, 1.6555797");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("4.9071898, 4.9422133, 5.0179074, 5.1733053, 5.4831641, 6.1305161, 7.5421784", \
+					  "7.1641670, 7.1996915, 7.2753989, 7.4291404, 7.7398630, 8.3870022, 9.7957953", \
+					  "8.8405860, 8.8761762, 8.9518455, 9.1057935, 9.4161487, 10.0625690, 11.4735640", \
+					  "9.2035384, 9.2393631, 9.3149914, 9.4692840, 9.7790718, 10.4249200, 11.8355470", \
+					  "11.3082390, 11.3448180, 11.4194440, 11.5738260, 11.8843580, 12.5309050, 13.9371110", \
+					  "12.9850200, 13.0239250, 13.0950350, 13.2519960, 13.5584620, 14.2065070, 15.6229540", \
+					  "14.2342290, 14.2714650, 14.3436750, 14.5022060, 14.8120090, 15.4551930, 16.8680640", \
+					  "15.9703720, 16.0098650, 16.0839400, 16.2325990, 16.5476410, 17.1928130, 18.6026770", \
+					  "38.5766410, 38.6111480, 38.6250110, 38.8362230, 39.1483500, 39.7918140, 41.1641810", \
+					  "52.4090670, 52.4367780, 52.4932740, 52.6685400, 52.9763820, 53.6491880, 55.0576400", \
+					  "109.8255600, 109.8255654, 109.8309700, 110.1505800, 110.2821800, 111.1118700, 112.3747100", \
+					  "308.8061900, 308.8061982, 308.8062287, 308.8062592, 309.2552300, 309.5639200, 310.9998700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.4132998, 0.4459758, 0.5185944, 0.6753620, 1.0360722, 1.8473593, 3.7434242", \
+					  "0.4138313, 0.4461380, 0.5179835, 0.6800236, 1.0371261, 1.8563434, 3.7262078", \
+					  "0.4130082, 0.4465829, 0.5191324, 0.6808835, 1.0374346, 1.8611147, 3.7154491", \
+					  "0.4132839, 0.4475214, 0.5185564, 0.6806384, 1.0293952, 1.8528850, 3.7198977", \
+					  "0.4142005, 0.4467764, 0.5182943, 0.6811827, 1.0374096, 1.8567534, 3.7761856", \
+					  "0.4134127, 0.4461861, 0.5186371, 0.6806332, 1.0372986, 1.8544478, 3.7466341", \
+					  "0.4134941, 0.4475089, 0.5180680, 0.6790255, 1.0362751, 1.8577105, 3.7762242", \
+					  "0.4133465, 0.4472254, 0.5186159, 0.6806726, 1.0374388, 1.8419711, 3.7388088", \
+					  "0.4134706, 0.4476948, 0.5190433, 0.6804894, 1.0346450, 1.8576515, 3.7284531", \
+					  "0.4132610, 0.4476551, 0.5186727, 0.6805023, 1.0345073, 1.8580472, 3.7530334", \
+					  "0.4133667, 0.4475970, 0.5185790, 0.6806801, 1.0355909, 1.8580477, 3.7284544", \
+					  "0.4138497, 0.4477015, 0.5181859, 0.6800894, 1.0346564, 1.8582540, 3.7506731");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("7.7001232, 7.7253883, 7.7785574, 7.8876008, 8.0984739, 8.4700158, 9.1299714", \
+					  "10.0365150, 10.0625550, 10.1155910, 10.2237820, 10.4353810, 10.8064020, 11.4625990", \
+					  "13.1757720, 13.2016280, 13.2548240, 13.3630320, 13.5746360, 13.9449550, 14.6048520", \
+					  "13.9292070, 13.9581590, 14.0089870, 14.1172020, 14.3285460, 14.7010960, 15.3619390", \
+					  "18.5506440, 18.5804230, 18.6344880, 18.7319580, 18.9542200, 19.3154040, 19.9724910", \
+					  "22.2602980, 22.2887790, 22.3462140, 22.4342380, 22.6656810, 23.0242510, 23.6861750", \
+					  "25.0169310, 25.0430450, 25.0962260, 25.2075050, 25.4218180, 25.7880790, 26.4460120", \
+					  "28.8184180, 28.8494900, 28.8909360, 29.0071980, 29.2164910, 29.5982350, 30.2569450", \
+					  "76.6789090, 76.6789132, 76.7488470, 76.8575320, 77.0697570, 77.4388700, 78.0887250", \
+					  "105.1487500, 105.1642800, 105.2303500, 105.3383800, 105.5515000, 105.9181100, 106.5786700", \
+					  "219.8140800, 219.8373300, 219.9014400, 220.0077500, 220.2368800, 220.5755400, 221.2466900", \
+					  "597.2869400, 597.2914900, 597.3616900, 597.4382000, 597.6648900, 597.9968600, 598.6847200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.3249036, 0.3447701, 0.3879338, 0.4849761, 0.6701879, 0.9972785, 1.6794531", \
+					  "0.3245064, 0.3446919, 0.3906993, 0.4866332, 0.6719129, 0.9977178, 1.6766948", \
+					  "0.3243877, 0.3447468, 0.3906255, 0.4865513, 0.6718593, 0.9976375, 1.6790965", \
+					  "0.3233158, 0.3455650, 0.3902554, 0.4871599, 0.6714186, 0.9982541, 1.6760932", \
+					  "0.3243865, 0.3447034, 0.3906223, 0.4867334, 0.6717982, 0.9976037, 1.6788352", \
+					  "0.3234852, 0.3448655, 0.3883496, 0.4859162, 0.6728003, 0.9976353, 1.6792542", \
+					  "0.3242338, 0.3438337, 0.3904817, 0.4865931, 0.6724720, 0.9973701, 1.6764993", \
+					  "0.3238929, 0.3447675, 0.3904730, 0.4869919, 0.6711132, 0.9973480, 1.6784819", \
+					  "0.3243464, 0.3449480, 0.3905870, 0.4864957, 0.6712421, 0.9974947, 1.6794802", \
+					  "0.3240262, 0.3440052, 0.3903715, 0.4857035, 0.6705425, 0.9978270, 1.6798104", \
+					  "0.3237107, 0.3459387, 0.3885262, 0.4867399, 0.6716883, 0.9978573, 1.6772207", \
+					  "0.3256166, 0.3463574, 0.3913865, 0.4871532, 0.6733389, 0.9991915, 1.6801318");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("4.9252313, 4.9618047, 5.0369686, 5.1908633, 5.5005849, 6.1490715, 7.5633375", \
+					  "7.4717104, 7.5068796, 7.5834450, 7.7382429, 8.0473042, 8.6935152, 10.1086570", \
+					  "9.5889972, 9.6253245, 9.7007513, 9.8554791, 10.1650180, 10.8117320, 12.2196920", \
+					  "10.0685720, 10.1055540, 10.1801980, 10.3350630, 10.6445090, 11.2905450, 12.7050470", \
+					  "12.9300020, 12.9689420, 13.0389120, 13.2002890, 13.5048680, 14.1479790, 15.5620890", \
+					  "15.3027050, 15.3395070, 15.4056860, 15.5722670, 15.8684990, 16.5261190, 17.9341480", \
+					  "17.1044230, 17.1319120, 17.2170330, 17.3741550, 17.6671730, 18.3284020, 19.7353370", \
+					  "19.6508130, 19.6829040, 19.7626710, 19.9123690, 20.2125000, 20.8738270, 22.2810270", \
+					  "54.8419440, 54.8937000, 54.9434600, 55.1266620, 55.4510290, 56.0555090, 57.4602840", \
+					  "77.2780170, 77.3998110, 77.3998147, 77.4185760, 77.7150650, 78.3519600, 79.9347150", \
+					  "172.5163700, 172.7308600, 172.8067400, 172.8111300, 173.2719900, 173.7642500, 175.3129900", \
+					  "509.3800700, 510.4805600, 510.6527400, 510.6527558, 510.6644500, 511.4397900, 513.0630100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.4131220, 0.4454351, 0.5182135, 0.6784253, 1.0359361, 1.8616936, 3.7310937", \
+					  "0.4139234, 0.4463764, 0.5193066, 0.6771705, 1.0373894, 1.8504266, 3.7455790", \
+					  "0.4141409, 0.4463808, 0.5188128, 0.6803676, 1.0378611, 1.8426626, 3.7311279", \
+					  "0.4140145, 0.4472033, 0.5196016, 0.6809428, 1.0368446, 1.8578798, 3.7165997", \
+					  "0.4138830, 0.4475417, 0.5185546, 0.6806395, 1.0348781, 1.8578737, 3.7110468", \
+					  "0.4136124, 0.4473933, 0.5186165, 0.6805596, 1.0354841, 1.8573111, 3.7509276", \
+					  "0.4133725, 0.4475076, 0.5188019, 0.6800261, 1.0373048, 1.8583360, 3.7284345", \
+					  "0.4134753, 0.4474796, 0.5185358, 0.6806269, 1.0349315, 1.8579391, 3.7283310", \
+					  "0.4134746, 0.4475548, 0.5186193, 0.6806278, 1.0345235, 1.8580687, 3.7284411", \
+					  "0.4133971, 0.4474965, 0.5185303, 0.6806486, 1.0357011, 1.8579207, 3.7497312", \
+					  "0.4134468, 0.4474767, 0.5186047, 0.6800388, 1.0354791, 1.8579405, 3.7283643", \
+					  "0.4132584, 0.4475961, 0.5186417, 0.6806495, 1.0341967, 1.8583495, 3.7284242");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("5.4598837, 5.4872915, 5.5413434, 5.6492864, 5.8590826, 6.2294729, 6.8894330", \
+					  "8.2001339, 8.2276834, 8.2708151, 8.3873974, 8.5986631, 8.9701340, 9.6287840", \
+					  "11.3815100, 11.4051290, 11.4680340, 11.5674160, 11.7784280, 12.1514980, 12.8079920", \
+					  "12.1059950, 12.1199800, 12.1876730, 12.2928660, 12.5046120, 12.8762580, 13.5341870", \
+					  "16.3069720, 16.3303180, 16.3857030, 16.4933310, 16.7064070, 17.0790030, 17.7363300", \
+					  "19.6698760, 19.6969890, 19.7428670, 19.8568520, 20.0508610, 20.4317010, 21.0967760", \
+					  "22.1619450, 22.2082650, 22.2348310, 22.3569020, 22.5461780, 22.9376820, 23.6018420", \
+					  "25.6462600, 25.6998210, 25.7651090, 25.8319780, 26.0394300, 26.4375540, 27.0828000", \
+					  "70.2644270, 70.2933040, 70.3335130, 70.4526220, 70.6768970, 71.0506640, 71.6674360", \
+					  "97.0651470, 97.0651513, 97.1112830, 97.2021820, 97.4373440, 97.8177250, 98.4683410", \
+					  "205.2648300, 205.3430300, 205.4022600, 205.5042200, 205.6442400, 206.0976800, 206.7251300", \
+					  "562.2636600, 562.2637024, 562.2637635, 562.4027600, 562.6502600, 563.0406600, 563.6367700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.3239620, 0.3442964, 0.3898426, 0.4845191, 0.6697982, 0.9972123, 1.6782165", \
+					  "0.3245947, 0.3447150, 0.3901401, 0.4865227, 0.6724072, 0.9972486, 1.6782120", \
+					  "0.3245895, 0.3446611, 0.3904505, 0.4850209, 0.6715377, 0.9971826, 1.6797018", \
+					  "0.3246790, 0.3441253, 0.3904532, 0.4864820, 0.6714995, 0.9963835, 1.6789709", \
+					  "0.3245963, 0.3446896, 0.3903698, 0.4855721, 0.6725418, 0.9972495, 1.6759858", \
+					  "0.3248170, 0.3435999, 0.3904579, 0.4864880, 0.6715535, 0.9972623, 1.6793023", \
+					  "0.3237471, 0.3443936, 0.3904266, 0.4862711, 0.6715473, 0.9971855, 1.6788338", \
+					  "0.3238503, 0.3454442, 0.3893244, 0.4864842, 0.6711831, 0.9967923, 1.6797936", \
+					  "0.3239921, 0.3445175, 0.3877887, 0.4866267, 0.6708673, 0.9969953, 1.6794860", \
+					  "0.3235410, 0.3450736, 0.3904030, 0.4867526, 0.6726735, 0.9974712, 1.6771522", \
+					  "0.3252348, 0.3459631, 0.3895921, 0.4868844, 0.6728493, 0.9973857, 1.6776623", \
+					  "0.3246739, 0.3460584, 0.3912419, 0.4869633, 0.6733286, 0.9987094, 1.6800396");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("20.4014420, 20.4360580, 20.5128790, 20.6689470, 20.9786500, 21.6269240, 23.0375910", \
+					  "21.0778200, 21.1157830, 21.1905300, 21.3455800, 21.6580780, 22.3040150, 23.7171920", \
+					  "21.7866260, 21.8264980, 21.8992150, 22.0538500, 22.3688430, 23.0147030, 24.4278840", \
+					  "22.5030360, 22.5414110, 22.6161920, 22.7714350, 23.0840860, 23.7175510, 25.1428110", \
+					  "23.2328170, 23.2667720, 23.3411640, 23.4957780, 23.8089760, 24.4546690, 25.8681520", \
+					  "23.9373140, 23.9857570, 24.0612690, 24.2162910, 24.5281480, 25.1803640, 26.5871590", \
+					  "24.6511260, 24.6870840, 24.7443130, 24.9182950, 25.2302430, 25.8794730, 27.2893100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4200771, 0.4530539, 0.5250216, 0.6830269, 1.0355520, 1.8425452, 3.7090586", \
+					  "0.4200754, 0.4531276, 0.5250526, 0.6819572, 1.0355177, 1.8419708, 3.7088037", \
+					  "0.4167834, 0.4531305, 0.5244500, 0.6832952, 1.0355148, 1.8419521, 3.7088013", \
+					  "0.4200776, 0.4530445, 0.5249663, 0.6821729, 1.0355505, 1.8419226, 3.7090351", \
+					  "0.4200754, 0.4531866, 0.5247635, 0.6830462, 1.0355139, 1.8419329, 3.7089469", \
+					  "0.4200782, 0.4531032, 0.5250826, 0.6818921, 1.0355445, 1.8426063, 3.7088133", \
+					  "0.4168032, 0.4531049, 0.5252142, 0.6831829, 1.0354981, 1.8436948, 3.7087855");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("19.3140010, 19.3469560, 19.4204330, 19.5532990, 19.8164970, 20.3023520, 21.1010780", \
+					  "19.8515680, 19.8838190, 19.9533510, 20.0901070, 20.3559910, 20.8367970, 21.6366280", \
+					  "20.2781860, 20.3119440, 20.3786230, 20.5183760, 20.7816340, 21.2650240, 22.0633270", \
+					  "20.6437020, 20.6793940, 20.7456700, 20.8846330, 21.1463680, 21.6298070, 22.4301150", \
+					  "20.9664480, 21.0118380, 21.0690470, 21.2057310, 21.4894060, 21.9513240, 22.7674700", \
+					  "21.2964850, 21.3298160, 21.3988740, 21.5387730, 21.8046830, 22.2832210, 23.0872120", \
+					  "21.5848190, 21.6169780, 21.6849120, 21.8239280, 22.0918930, 22.5691340, 23.3802200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4792749, 0.5036879, 0.5511732, 0.6662183, 0.9061271, 1.3046662, 1.9918780", \
+					  "0.4808143, 0.5037101, 0.5538959, 0.6655587, 0.9029096, 1.3021190, 1.9911155", \
+					  "0.4793524, 0.5036490, 0.5511314, 0.6666887, 0.9024530, 1.3022151, 1.9918842", \
+					  "0.4795721, 0.5003858, 0.5533643, 0.6662057, 0.9055355, 1.3048941, 1.9919828", \
+					  "0.4795094, 0.5033957, 0.5527767, 0.6661521, 0.9054450, 1.3036363, 1.9922743", \
+					  "0.4795390, 0.5014346, 0.5505539, 0.6675553, 0.9033984, 1.3033352, 1.9919992", \
+					  "0.4778121, 0.5039751, 0.5533388, 0.6662227, 0.9028658, 1.3051926, 1.9930468");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195245;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699672, 0.0699636, 0.0699722, 0.0699701, 0.0699676, 0.0699709, 0.0699709", \
+					  "0.2200152, 0.2201550, 0.2201540, 0.2200037, 0.2199873, 0.2199795, 0.2199785", \
+					  "0.4144722, 0.4144205, 0.4144570, 0.4146993, 0.4151198, 0.4153657, 0.4154227", \
+					  "0.8818501, 0.8827910, 0.8846049, 0.8886305, 0.8879034, 0.8851637, 0.8845251", \
+					  "1.4893794, 1.4918014, 1.5051709, 1.5531536, 1.6080315, 1.6245812, 1.6274903", \
+					  "1.6917371, 1.6946444, 1.7105342, 1.7663932, 1.8290491, 1.8480577, 1.8514344", \
+					  "1.7008554, 1.7037942, 1.7198821, 1.7764484, 1.8396274, 1.8588967, 1.8623150");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5699705, 5.5682492, 5.5695125, 5.5739625, 5.5730409, 5.2841015, -0.5078048", \
+					  "5.5549518, 5.5547536, 5.5560520, 5.5588493, 5.5547675, 5.3410435, -0.5549345", \
+					  "5.5397472, 5.5411566, 5.5426780, 5.5448581, 5.5412663, 5.3482749, -0.5279056", \
+					  "5.5402694, 5.5410877, 5.5419317, 5.5449353, 5.5361260, 5.3549809, -0.5294649", \
+					  "5.5401462, 5.5402719, 5.5401674, 5.5459063, 5.5435826, 5.3220931, -0.5310959", \
+					  "5.5357288, 5.5395799, 5.5391228, 5.5485324, 5.5438582, 5.3452042, -0.5262845", \
+					  "5.5375976, 5.5391324, 5.5404126, 5.5433738, 5.5377958, 5.3486455, -0.7738895");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3465455, 6.3474762, 6.3495024, 6.3465234, 6.2742522, 5.2384844, -11.0926307", \
+					  "6.3260841, 6.3259896, 6.3251183, 6.3419369, 6.2478746, 5.2361951, -11.1033631", \
+					  "6.3074667, 6.3088085, 6.3048984, 6.3116369, 6.2291669, 5.2766753, -11.1537966", \
+					  "6.3057461, 6.3091904, 6.3057053, 6.3075316, 6.2124522, 5.2767589, -11.1106353", \
+					  "6.3084862, 6.3102959, 6.3102227, 6.3119071, 6.2284829, 5.2413116, -11.3200921", \
+					  "6.3100265, 6.3106454, 6.3065085, 6.3111029, 6.2498489, 5.2442293, -10.8684518", \
+					  "6.3121111, 6.3128206, 6.3152856, 6.3033399, 6.2319738, 5.2569551, -11.1261765");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8082277, 3.8117557, 3.8325702, 3.9421531, 4.2659024, 5.2062683, 5.6233994", \
+					  "3.7361947, 3.7389936, 3.7534521, 3.8652824, 4.1898717, 5.1294287, 5.5379110", \
+					  "3.6562633, 3.6594704, 3.6830991, 3.7914820, 4.1149615, 5.0541716, 5.4651991", \
+					  "3.6561777, 3.6593600, 3.6834645, 3.7898616, 4.1144847, 5.0549798, 5.4688818", \
+					  "3.6561248, 3.6587976, 3.6821500, 3.7922733, 4.1125392, 5.0556230, 5.4714431", \
+					  "3.6552356, 3.6581785, 3.6807091, 3.7838228, 4.1139307, 5.0531096, 5.4702746", \
+					  "3.6541295, 3.6574016, 3.6812719, 3.7858831, 4.1165487, 5.0503117, 5.4681854");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699939, 0.0699976, 0.0700023, 0.0699997, 0.0699951, 0.0700057, 0.0699939", \
+					  "0.2147891, 0.2147873, 0.2147883, 0.2149299, 0.2149256, 0.2149297, 0.2147864", \
+					  "0.3743705, 0.3743708, 0.3743696, 0.3743284, 0.3743252, 0.3743241, 0.3743599", \
+					  "0.8784747, 0.8784760, 0.8784915, 0.8793029, 0.8793206, 0.8793168, 0.8785915", \
+					  "1.0736638, 1.0735294, 1.0728309, 1.0708605, 1.0692095, 1.0686427, 1.0686708", \
+					  "1.1061813, 1.1059777, 1.1049834, 1.1021405, 1.0997323, 1.0990763, 1.0988767", \
+					  "1.1085448, 1.1083370, 1.1073178, 1.1041949, 1.1018098, 1.1009956, 1.1011027");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4375736, 2.4359659, 2.4381118, 2.4407867, 2.4446506, 2.4534415, 2.4437099", \
+					  "2.4238186, 2.4233689, 2.4241354, 2.4265543, 2.4338308, 2.4452895, 2.4402183", \
+					  "2.4105948, 2.4098767, 2.4101514, 2.4101763, 2.4177820, 2.4147011, 2.4178273", \
+					  "2.4103614, 2.4099123, 2.4173063, 2.4091572, 2.4113365, 2.4189194, 2.4117179", \
+					  "2.4102963, 2.4099077, 2.4145854, 2.4088107, 2.4090043, 2.4227986, 2.4182023", \
+					  "2.4096403, 2.4093612, 2.4214533, 2.4079697, 2.4168790, 2.4195032, 2.4283143", \
+					  "2.4089514, 2.4078715, 2.4080734, 2.4085470, 2.4109795, 2.4278647, 2.4036308");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1766064, 6.1768267, 6.1762482, 6.1829442, 6.1847132, 6.0380362, -0.1193566", \
+					  "6.1564776, 6.1571876, 6.1555365, 6.1606236, 6.1509924, 5.8971204, 0.0791678", \
+					  "6.1389235, 6.1385237, 6.1400060, 6.1443120, 6.1391170, 5.8924514, 0.0594436", \
+					  "6.1380313, 6.1369475, 6.1379129, 6.1424540, 6.1416764, 5.8907291, 0.0600941", \
+					  "6.1398212, 6.1400966, 6.1413647, 6.1435530, 6.1367416, 5.8813071, 0.0643081", \
+					  "6.1411078, 6.1395730, 6.1414651, 6.1454685, 6.1428894, 5.9078261, 0.0633767", \
+					  "6.1426481, 6.1425462, 6.1446569, 6.1462702, 6.1457454, 5.8910351, 0.0736134");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7660444, 3.7648514, 3.7833735, 3.8080855, 3.8440394, 3.8650239, 3.8675553", \
+					  "3.6915446, 3.6751944, 3.6978122, 3.7313982, 3.7617753, 3.7897295, 3.7862150", \
+					  "3.6084795, 3.6148164, 3.6260262, 3.6548985, 3.6811236, 3.7053304, 3.7089586", \
+					  "3.6138166, 3.6141276, 3.6229178, 3.6581991, 3.6974329, 3.7174802, 3.6958695", \
+					  "3.6122931, 3.6073808, 3.6223472, 3.6579480, 3.6950429, 3.7064583, 3.6995363", \
+					  "3.6124178, 3.6125584, 3.6245969, 3.6537815, 3.6782168, 3.7068198, 3.7124619", \
+					  "3.6112142, 3.6101950, 3.6186878, 3.6548663, 3.6550832, 3.7190103, 3.6970405");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699111, 0.0699159, 0.0699868, 0.0699272, 0.0699045, 0.0699958, 0.0699941", \
+					  "0.2147873, 0.2147893, 0.2147884, 0.2149281, 0.2147872, 0.2147873, 0.2149266", \
+					  "0.3743666, 0.3743657, 0.3743641, 0.3743230, 0.3743560, 0.3743547, 0.3743175", \
+					  "0.8774245, 0.8774410, 0.8774453, 0.8782151, 0.8775211, 0.8775314, 0.8782562", \
+					  "1.0722121, 1.0720875, 1.0713320, 1.0694001, 1.0677762, 1.0673176, 1.0671192", \
+					  "1.1060440, 1.1058676, 1.1051156, 1.1021231, 1.0996336, 1.0988967, 1.0987702", \
+					  "1.1085552, 1.1083599, 1.1073920, 1.1044739, 1.1019762, 1.1012456, 1.1011201");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4387933, 2.4381606, 2.4383037, 2.4406425, 2.4561592, 2.4437791, 2.4474921", \
+					  "2.4224851, 2.4220924, 2.4238039, 2.4310594, 2.4333978, 2.4344948, 2.4317420", \
+					  "2.4148332, 2.4103997, 2.4100167, 2.4120351, 2.4168173, 2.4402148, 2.4238277", \
+					  "2.4112242, 2.4097009, 2.4119070, 2.4111428, 2.4254667, 2.5162124, 2.4215332", \
+					  "2.4145446, 2.4103661, 2.4096065, 2.4118781, 2.4169006, 2.4901666, 2.4172161", \
+					  "2.4089952, 2.4093751, 2.4089540, 2.4113626, 2.4156281, 2.3978799, 2.4225470", \
+					  "2.4107328, 2.4084126, 2.4106757, 2.4127591, 2.4239160, 2.4547576, 2.4036403");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1605550, 3.1600960, 3.1662430, 3.2080652, 3.4087348, 4.7918420, 5.0750004", \
+					  "3.0839918, 3.0855851, 3.0914663, 3.1371214, 3.3447294, 4.7140474, 5.0008441", \
+					  "3.0056100, 3.0106435, 3.0137391, 3.0528777, 3.2722912, 4.6396416, 4.9218907", \
+					  "3.0082257, 3.0071686, 3.0168117, 3.0606135, 3.2950941, 4.6401016, 4.9234717", \
+					  "3.0070110, 3.0081063, 3.0165687, 3.0510830, 3.2784319, 4.6387039, 4.9224920", \
+					  "3.0074406, 3.0078481, 3.0155999, 3.0544664, 3.2819602, 4.6382631, 4.9208560", \
+					  "3.0068222, 3.0082252, 3.0146226, 3.0569581, 3.2695068, 4.6373907, 4.9199503");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0873792, 0.0873800, 0.0873905, 0.0873982, 0.0873991, 0.0873930, 0.0873838", \
+					  "0.2151752, 0.2150947, 0.2150944, 0.2150928, 0.2150908, 0.2150950, 0.2150941", \
+					  "0.6325539, 0.6334207, 0.6334245, 0.6333968, 0.6333687, 0.6333805, 0.6333877", \
+					  "0.9563377, 0.9565847, 0.9565878, 0.9566221, 0.9567502, 0.9566803, 0.9565700", \
+					  "1.2471997, 1.2463502, 1.2463470, 1.2463274, 1.2463114, 1.2463795, 1.2463370", \
+					  "1.5102201, 1.5099854, 1.5087226, 1.5045621, 1.4991706, 1.4962470, 1.4954901", \
+					  "1.5696377, 1.5685273, 1.5628861, 1.5453785, 1.5281281, 1.5218081, 1.5203667");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4793645, 3.4743010, 3.4923643, 3.5510888, 3.6264456, 3.8898040, 5.4926208", \
+					  "3.4025781, 3.4057932, 3.4149846, 3.4745656, 3.5493372, 3.8166928, 5.3882343", \
+					  "3.3228750, 3.3299993, 3.3415428, 3.3990422, 3.4780851, 3.7416451, 5.3270232", \
+					  "3.3272952, 3.3262580, 3.3407967, 3.3989913, 3.4740283, 3.7398946, 5.3414260", \
+					  "3.3265590, 3.3287574, 3.3411728, 3.3910233, 3.4738908, 3.7388495, 5.3412044", \
+					  "3.3228188, 3.3254582, 3.3418038, 3.3997462, 3.4727982, 3.7400336, 5.3391789", \
+					  "3.3201892, 3.3231024, 3.3392022, 3.3958500, 3.4707367, 3.7374773, 5.3312459");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0873742, 0.0873856, 0.0873767, 0.0873740, 0.0873897, 0.0873776, 0.0873819", \
+					  "0.2151537, 0.2151531, 0.2150740, 0.2150741, 0.2150719, 0.2150698, 0.2150704", \
+					  "0.6387102, 0.6387152, 0.6395247, 0.6394700, 0.6394327, 0.6394564, 0.6394732", \
+					  "0.9949057, 0.9949537, 0.9951503, 0.9952058, 0.9956609, 0.9954271, 0.9950849", \
+					  "1.2855960, 1.2856050, 1.2847321, 1.2847733, 1.2847416, 1.2849409, 1.2848178", \
+					  "1.5501766, 1.5499057, 1.5484542, 1.5437369, 1.5378223, 1.5349766, 1.5341468", \
+					  "1.6078434, 1.6067595, 1.6010657, 1.5838513, 1.5665810, 1.5602835, 1.5588225");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4155545, 5.4159144, 5.4106405, 5.3820479, 5.2281779, 4.1259697, -12.3361972", \
+					  "5.4011261, 5.4016079, 5.3935219, 5.3685102, 5.2156290, 4.0962415, -12.3184797", \
+					  "5.3895622, 5.3872650, 5.3803430, 5.3579629, 5.1919312, 4.0856083, -12.4438241", \
+					  "5.3876237, 5.3870733, 5.3816363, 5.3438067, 5.2018508, 4.0941861, -12.7772369", \
+					  "5.3881857, 5.3852609, 5.3798425, 5.3548773, 5.1896119, 4.1353324, -12.2424685", \
+					  "5.3871337, 5.3848586, 5.3825844, 5.3550457, 5.1934757, 4.1065938, -12.4556922", \
+					  "5.3879647, 5.3857772, 5.3790348, 5.3519232, 5.1933695, 4.0692427, -12.4602300");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699671, 0.0699744, 0.0699670, 0.0698778, 0.0698817, 0.0699637, 0.0699687", \
+					  "0.2200294, 0.2200295, 0.2200297, 0.2201685, 0.2200272, 0.2201689, 0.2199406", \
+					  "0.4145932, 0.4145947, 0.4146018, 0.4145618, 0.4146366, 0.4145865, 0.4145919", \
+					  "0.8186558, 0.8186383, 0.8184352, 0.8185955, 0.8179473, 0.8182090, 0.8182004", \
+					  "1.0133739, 1.0135425, 1.0144270, 1.0168384, 1.0189697, 1.0195844, 1.0199410", \
+					  "1.0192335, 1.0194434, 1.0205096, 1.0237761, 1.0262285, 1.0274682, 1.0276701", \
+					  "1.0199261, 1.0201897, 1.0213527, 1.0245665, 1.0272783, 1.0282225, 1.0283976");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0227802, 6.0205420, 6.0159649, 5.9900664, 5.8344330, 4.7130727, -11.9040497", \
+					  "6.0031415, 6.0023969, 5.9924929, 5.9696570, 5.8284566, 4.7210633, -11.6866161", \
+					  "5.9859730, 5.9831024, 5.9776753, 5.9515253, 5.8002999, 4.6360975, -11.6369466", \
+					  "5.9849749, 5.9848192, 5.9760676, 5.9577202, 5.7954334, 4.6795378, -11.5391963", \
+					  "5.9865827, 5.9836254, 5.9792066, 5.9514302, 5.7924472, 4.7286514, -11.8915061", \
+					  "5.9891534, 5.9866784, 5.9845030, 5.9516257, 5.7895522, 4.6859515, -11.9560438", \
+					  "5.9893497, 5.9888891, 5.9842761, 5.9565990, 5.8022090, 4.6774972, -11.5719875");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0179848, 3.0163150, 3.0175958, 3.0206210, 3.0339666, 3.0319461, 3.0286666", \
+					  "2.9406470, 2.9402324, 2.9405037, 2.9420112, 2.9272393, 2.9399559, 2.9396600", \
+					  "2.8655336, 2.8653012, 2.8653389, 2.8678467, 2.8678050, 2.8747515, 2.8867231", \
+					  "2.8650935, 2.8642615, 2.8653654, 2.8653704, 2.8714747, 2.8783780, 2.8848654", \
+					  "2.8651039, 2.8645855, 2.8647273, 2.8590714, 2.8484896, 2.8680256, 2.8749713", \
+					  "2.8638537, 2.8620055, 2.8646568, 2.8641486, 2.8699404, 2.8726019, 2.8752436", \
+					  "2.8633660, 2.8630928, 2.8632370, 2.8633706, 2.8692654, 2.8726836, 2.8686331");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699150, 0.0699078, 0.0699038, 0.0699936, 0.0699887, 0.0699959, 0.0699914", \
+					  "0.2149180, 0.2149219, 0.2147778, 0.2147795, 0.2147784, 0.2149187, 0.2147786", \
+					  "0.3742677, 0.3742678, 0.3743041, 0.3743040, 0.3743030, 0.3742646, 0.3743004", \
+					  "0.8726808, 0.8726784, 0.8719654, 0.8718021, 0.8713938, 0.8718358, 0.8710958", \
+					  "1.0707996, 1.0707925, 1.0709462, 1.0710048, 1.0713057, 1.0713172, 1.0710339", \
+					  "1.1276708, 1.1277398, 1.1277063, 1.1276274, 1.1272754, 1.1274927, 1.1272242", \
+					  "1.2066248, 1.2059688, 1.2024370, 1.1916127, 1.1797907, 1.1755398, 1.1742604");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0465996, 3.0550857, 3.0664757, 3.1233620, 3.2002556, 3.4667137, 5.0715276", \
+					  "3.0289468, 3.0409821, 3.0524158, 3.1099102, 3.1838350, 3.4541334, 5.0482352", \
+					  "3.0193284, 3.0231014, 3.0394679, 3.0933319, 3.1739107, 3.4404217, 5.0557467", \
+					  "3.0193553, 3.0226415, 3.0369105, 3.0963664, 3.1712692, 3.4402348, 5.0376623", \
+					  "3.0252030, 3.0221772, 3.0348188, 3.1021059, 3.1702838, 3.4390519, 5.0367494", \
+					  "3.0244672, 3.0219460, 3.0368469, 3.0948823, 3.1707864, 3.4393042, 5.0364416", \
+					  "3.0168399, 3.0256090, 3.0373097, 3.0940208, 3.1707134, 3.4362202, 5.0324062");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0193194, 6.0226458, 6.0154553, 5.9901891, 5.8343810, 4.7339999, -12.1003267", \
+					  "6.0031628, 6.0023808, 5.9982153, 5.9689015, 5.8362455, 4.6824905, -11.7047591", \
+					  "5.9859005, 5.9831332, 5.9784603, 5.9514437, 5.8002977, 4.6509306, -11.9507766", \
+					  "5.9850643, 5.9847949, 5.9758199, 5.9584406, 5.7942334, 4.6846041, -11.4812163", \
+					  "5.9865831, 5.9837308, 5.9792908, 5.9513444, 5.7925660, 4.6809933, -11.4062281", \
+					  "5.9896643, 5.9868796, 5.9796406, 5.9578911, 5.7891545, 4.6946238, -11.8563108", \
+					  "5.9891394, 5.9888952, 5.9841429, 5.9564979, 5.8024194, 4.6784252, -11.7567015");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0179558, 3.0165771, 3.0172589, 3.0185629, 3.0258618, 3.0327322, 3.0201890", \
+					  "2.9406500, 2.9401771, 2.9405932, 2.9364353, 2.9236873, 2.9656062, 2.9430201", \
+					  "2.8662464, 2.8649163, 2.8655107, 2.8648005, 2.8492049, 2.8712057, 2.8663208", \
+					  "2.8650662, 2.8650549, 2.8653346, 2.8660738, 2.8747210, 2.8770761, 2.8669379", \
+					  "2.8650998, 2.8645191, 2.8648125, 2.8631561, 2.8482793, 2.8835536, 2.8734983", \
+					  "2.8639632, 2.8618052, 2.8647670, 2.8655550, 2.8699506, 2.8743366, 2.8773635", \
+					  "2.8631071, 2.8630793, 2.8633630, 2.8632483, 2.8697940, 2.8783456, 2.8772512");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1112884, 6.1109185, 6.1100602, 6.1153012, 6.1204976, 5.8697776, 0.0455248", \
+					  "6.0889487, 6.0903968, 6.0924529, 6.0946946, 6.0928050, 5.8559229, 0.0245556", \
+					  "6.0735058, 6.0721605, 6.0720486, 6.0757874, 6.0781570, 5.8260276, -0.0052850", \
+					  "6.0710549, 6.0731058, 6.0731817, 6.0770198, 6.0759096, 5.8180989, -0.0102606", \
+					  "6.0742391, 6.0742582, 6.0723313, 6.0803293, 6.0861038, 5.7847901, -0.0051700", \
+					  "6.0748808, 6.0772778, 6.0747420, 6.0791430, 6.0691116, 5.8581362, 0.0156631", \
+					  "6.0763986, 6.0763079, 6.0749037, 6.0807510, 6.0698686, 5.8938775, -0.1105870");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0961933, 0.0961898, 0.0962012, 0.0962204, 0.0961986, 0.0961994, 0.0962299", \
+					  "0.2338029, 0.2339489, 0.2339418, 0.2336002, 0.2329563, 0.2325671, 0.2324773", \
+					  "0.2926916, 0.2927298, 0.2927507, 0.2930185, 0.2934247, 0.2932037, 0.2930920", \
+					  "0.7247209, 0.7250839, 0.7259180, 0.7271098, 0.7225992, 0.7188321, 0.7178052", \
+					  "1.2517924, 1.2541177, 1.2657444, 1.3083811, 1.3587402, 1.3737058, 1.3761608", \
+					  "1.6485005, 1.6513188, 1.6664207, 1.7210560, 1.7798603, 1.7978659, 1.8008832", \
+					  "1.9249422, 1.9278639, 1.9437791, 1.9996643, 2.0627601, 2.0818111, 2.0850370");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6742868, 7.6797261, 7.7090247, 7.8536815, 7.8095319, 6.7255566, 1.0003974", \
+					  "7.6539980, 7.6591996, 7.6900577, 7.8259664, 7.7987876, 6.7102755, 1.1290059", \
+					  "7.6363121, 7.6417409, 7.6720032, 7.8108912, 7.7778048, 6.6535813, 1.1001000", \
+					  "7.6353761, 7.6405907, 7.6704158, 7.8129693, 7.7925863, 6.6757002, 0.9701480", \
+					  "7.6355423, 7.6376907, 7.6724676, 7.8149491, 7.8030055, 6.6593778, 1.0914493", \
+					  "7.6309954, 7.6386369, 7.6727710, 7.8130807, 7.7948583, 6.5777754, 0.9684325", \
+					  "7.6390132, 7.6396758, 7.6749549, 7.8186958, 7.7804070, 6.6979296, 1.0088771");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5645134, 4.5679802, 4.6028833, 4.7267864, 5.0946791, 6.0431817, 6.4595192", \
+					  "4.4955733, 4.4920484, 4.5308312, 4.6610868, 5.0182655, 5.9673934, 6.3815599", \
+					  "4.4233932, 4.4280678, 4.4539880, 4.5849493, 4.9437924, 5.8860417, 6.3059515", \
+					  "4.4111619, 4.4164120, 4.4550161, 4.5834295, 4.9437792, 5.9021133, 6.3078547", \
+					  "4.4216696, 4.4282841, 4.4504595, 4.5864796, 4.9439493, 5.8912943, 6.3078617", \
+					  "4.4213021, 4.4269833, 4.4451814, 4.5764839, 4.9429299, 5.9004426, 6.3056350", \
+					  "4.4211371, 4.4278452, 4.4492032, 4.5793261, 4.9429801, 5.8999142, 6.3036742");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699117, 0.0699096, 0.0699955, 0.0699248, 0.0699117, 0.0699303, 0.0699922", \
+					  "0.2149272, 0.2149234, 0.2147840, 0.2149263, 0.2147835, 0.2147844, 0.2147844", \
+					  "0.3742902, 0.3742890, 0.3743258, 0.3742893, 0.3743243, 0.3743223, 0.3743213", \
+					  "0.8926352, 0.8926273, 0.8917718, 0.8924825, 0.8913722, 0.8912491, 0.8912423", \
+					  "1.1410835, 1.1412333, 1.1411779, 1.1408024, 1.1403568, 1.1396540, 1.1391921", \
+					  "1.2368032, 1.2359070, 1.2301986, 1.2131873, 1.1959572, 1.1895214, 1.1878691", \
+					  "1.2487350, 1.2476412, 1.2418592, 1.2242645, 1.2056663, 1.1992739, 1.1974074");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4501384, 2.4504738, 2.4532418, 2.4733976, 2.6020397, 3.4596076, 4.2378234", \
+					  "2.4342148, 2.4350618, 2.4374878, 2.4589357, 2.5978877, 3.4526519, 4.2205262", \
+					  "2.4207421, 2.4204465, 2.4239023, 2.4449831, 2.5772872, 3.4390634, 4.2027364", \
+					  "2.4195899, 2.4209243, 2.4237881, 2.4445293, 2.5785233, 3.4343669, 4.2110006", \
+					  "2.4197911, 2.4206732, 2.4232759, 2.4456081, 2.5765732, 3.4413526, 4.2057309", \
+					  "2.4188668, 2.4193660, 2.4219371, 2.4450895, 2.5779490, 3.4381912, 4.2208488", \
+					  "2.4178785, 2.4183237, 2.4214215, 2.4436231, 2.5764854, 3.4379974, 4.2076771");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699884, 0.0699278, 0.0699146, 0.0699297, 0.0699073, 0.0699188, 0.0699836", \
+					  "0.2147884, 0.2147873, 0.2147876, 0.2149280, 0.2147873, 0.2147881, 0.2147876", \
+					  "0.3743680, 0.3743684, 0.3743666, 0.3743620, 0.3743580, 0.3743566, 0.3743558", \
+					  "0.8774344, 0.8774638, 0.8774678, 0.8774979, 0.8775372, 0.8775477, 0.8775445", \
+					  "1.0722343, 1.0721064, 1.0714201, 1.0694231, 1.0677978, 1.0673386, 1.0672503", \
+					  "1.1060454, 1.1058618, 1.1048912, 1.1021234, 1.0996334, 1.0988972, 1.0987712", \
+					  "1.1085611, 1.1083352, 1.1073425, 1.1044629, 1.1019681, 1.1012407, 1.1011164");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4398224, 2.4350506, 2.4274220, 2.4414242, 2.4544774, 2.4348483, 2.4421409", \
+					  "2.4195870, 2.4238828, 2.4206374, 2.4233834, 2.4331324, 2.4280980, 2.4405455", \
+					  "2.4131655, 2.4108763, 2.3955676, 2.4116240, 2.4163231, 2.4230146, 2.4125748", \
+					  "2.4095608, 2.4137595, 2.4107057, 2.4101096, 2.4190452, 2.4206233, 2.4228776", \
+					  "2.4147176, 2.4147881, 2.4142898, 2.4127565, 2.4167911, 2.4199509, 2.4227932", \
+					  "2.4100976, 2.4124891, 2.4142603, 2.4151957, 2.4152963, 2.4183549, 2.4229922", \
+					  "2.4162388, 2.4023924, 2.4083640, 2.3937221, 2.4176411, 2.4188814, 2.4205717");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7345875, 2.7348570, 2.7414986, 2.7783767, 2.9909642, 4.3659917, 4.6577523", \
+					  "2.7190543, 2.7211281, 2.7290866, 2.7664259, 2.9852417, 4.3500528, 4.6098770", \
+					  "2.7051684, 2.7076378, 2.7143577, 2.7614550, 2.9675666, 4.3366197, 4.6190522", \
+					  "2.7068501, 2.7064433, 2.7142431, 2.7675806, 2.9673837, 4.3362897, 4.6002630", \
+					  "2.7048837, 2.7063338, 2.7138249, 2.7534714, 2.9740460, 4.3367030, 4.6200423", \
+					  "2.7054288, 2.7070900, 2.7129161, 2.7535561, 2.9693022, 4.3354637, 4.6182600", \
+					  "2.7042395, 2.7052550, 2.7125545, 2.7516003, 2.9649301, 4.3356186, 4.6273206");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699969, 0.0699148, 0.0699199, 0.0699935, 0.0699158, 0.0699232, 0.0699225", \
+					  "0.2149253, 0.2147828, 0.2147837, 0.2147838, 0.2147838, 0.2147837, 0.2147840", \
+					  "0.3743069, 0.3743433, 0.3743429, 0.3743424, 0.3743423, 0.3743427, 0.3743422", \
+					  "0.8614092, 0.8607886, 0.8607789, 0.8607250, 0.8605772, 0.8605058, 0.8604822", \
+					  "1.0317118, 1.0318769, 1.0318826, 1.0318844, 1.0319870, 1.0319522, 1.0318601", \
+					  "1.0892811, 1.0892756, 1.0893361, 1.0892433, 1.0891715, 1.0889599, 1.0888848", \
+					  "1.1652265, 1.1645888, 1.1615450, 1.1518507, 1.1414094, 1.1368340, 1.1356951");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699734, 0.0699746, 0.0699699, 0.0699700, 0.0699766, 0.0699764, 0.0698963", \
+					  "0.2200166, 0.2200166, 0.2200141, 0.2200039, 0.2203326, 0.2201234, 0.2199800", \
+					  "0.4144712, 0.4144775, 0.4145139, 0.4146964, 0.4150600, 0.4153072, 0.4154232", \
+					  "0.8818428, 0.8821780, 0.8839592, 0.8886326, 0.8888666, 0.8860216, 0.8845218", \
+					  "1.4893519, 1.4917759, 1.5051432, 1.5531445, 1.6087064, 1.6252632, 1.6274898", \
+					  "1.6917168, 1.6946252, 1.7105152, 1.7663799, 1.8290454, 1.8480558, 1.8514337", \
+					  "1.7008352, 1.7037760, 1.7198648, 1.7764316, 1.8396378, 1.8588961, 1.8623144");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5667469, 5.5684973, 5.5703877, 5.5740067, 5.5676563, 5.3320536, -0.5344507", \
+					  "5.5525175, 5.5548217, 5.5558551, 5.5594556, 5.5590063, 5.3082177, -0.5438696", \
+					  "5.5404497, 5.5417967, 5.5427140, 5.5462294, 5.5412104, 5.2692370, -0.5447931", \
+					  "5.5407516, 5.5413301, 5.5419594, 5.5430909, 5.5475769, 5.3516490, -0.5436892", \
+					  "5.5412690, 5.5405009, 5.5399558, 5.5483857, 5.5344151, 5.3259377, -0.5474564", \
+					  "5.5388500, 5.5400327, 5.5390439, 5.5454984, 5.5414231, 5.2839923, -0.5399186", \
+					  "5.5386875, 5.5393887, 5.5407279, 5.5434727, 5.5414830, 5.2929023, -0.5556735");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power (scalar) {
+					values("0.0877279");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4427136, 2.4369200, 2.4385530, 2.4406451, 2.4446096, 2.4523218, 2.4485385", \
+					  "2.4235242, 2.4234370, 2.4239604, 2.4249282, 2.4298177, 2.4290213, 2.4257171", \
+					  "2.4106486, 2.4103030, 2.4104509, 2.4075391, 2.4177733, 2.4223313, 2.4197648", \
+					  "2.4091785, 2.4092279, 2.4101348, 2.4084438, 2.4172842, 2.4259290, 2.4194040", \
+					  "2.4102694, 2.4099903, 2.4099710, 2.4082766, 2.4089362, 2.4185954, 2.4196729", \
+					  "2.4098094, 2.4090678, 2.4088106, 2.4076445, 2.4074027, 2.4176898, 2.4151066", \
+					  "2.4091208, 2.4078293, 2.4087808, 2.4073565, 2.4072932, 2.4411201, 2.4337215");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.2762884, 7.2751929, 7.3030309, 7.4209036, 7.3129361, 6.0940106, 0.3603646", \
+					  "7.2506401, 7.2591906, 7.2834620, 7.4027901, 7.3061947, 6.0750814, 0.4399119", \
+					  "7.2316835, 7.2414370, 7.2650240, 7.3850418, 7.2999570, 6.0801736, 0.3071464", \
+					  "7.2339867, 7.2418107, 7.2669928, 7.3851908, 7.2996308, 6.0116658, 0.4128980", \
+					  "7.2326627, 7.2385864, 7.2672978, 7.3856172, 7.2717456, 6.0716054, 0.4133944", \
+					  "7.2393443, 7.2390798, 7.2673436, 7.3863300, 7.2938034, 5.9687509, 0.3179926", \
+					  "7.2411036, 7.2408460, 7.2683899, 7.3879631, 7.2778732, 6.0144945, 0.3977119");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0961611, 0.0961611, 0.0962169, 0.0962209, 0.0962238, 0.0961989, 0.0962009", \
+					  "0.2338032, 0.2337977, 0.2337777, 0.2336023, 0.2329559, 0.2327008, 0.2324772", \
+					  "0.2927163, 0.2927559, 0.2927804, 0.2930445, 0.2930757, 0.2932281, 0.2931186", \
+					  "0.7246263, 0.7248296, 0.7257842, 0.7269547, 0.7225098, 0.7187831, 0.7176998", \
+					  "1.2523728, 1.2544307, 1.2660048, 1.3086432, 1.3593193, 1.3742765, 1.3767321", \
+					  "1.6510308, 1.6537873, 1.6693983, 1.7219743, 1.7822445, 1.8002650, 1.8033322", \
+					  "1.9279593, 1.9308524, 1.9467648, 2.0025808, 2.0656398, 2.0848206, 2.0880101");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7285528, 6.7381693, 6.7612584, 6.8806573, 6.7710240, 5.5538414, -0.0865689", \
+					  "6.7141621, 6.7239616, 6.7470165, 6.8650627, 6.7708013, 5.5604830, -0.1026937", \
+					  "6.6997407, 6.7102388, 6.7354899, 6.8536324, 6.7414621, 5.4452559, -0.1094400", \
+					  "6.7004451, 6.7109874, 6.7349669, 6.8541181, 6.7579303, 5.5490375, -0.1193456", \
+					  "6.6995772, 6.7039860, 6.7327434, 6.8527112, 6.7625533, 5.5523456, -0.1054623", \
+					  "6.7000297, 6.7045777, 6.7343308, 6.8524030, 6.7391690, 5.5517525, -0.1040683", \
+					  "6.6988809, 6.7085863, 6.7318728, 6.8510552, 6.7653751, 5.5478923, -0.1118086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0698854, 0.0699574, 0.0699617, 0.0699678, 0.0699678, 0.0699635, 0.0699615", \
+					  "0.2200170, 0.2200162, 0.2201793, 0.2200055, 0.2199891, 0.2201245, 0.2199796", \
+					  "0.4144868, 0.4144925, 0.4145033, 0.4147138, 0.4151345, 0.4153242, 0.4154388", \
+					  "0.8817550, 0.8820943, 0.8838656, 0.8885409, 0.8878096, 0.8859310, 0.8844309", \
+					  "1.4905077, 1.4929263, 1.5062842, 1.5542249, 1.6090901, 1.6263383, 1.6283162", \
+					  "1.6961586, 1.6975394, 1.7134168, 1.7692407, 1.8318817, 1.8511846, 1.8543225", \
+					  "1.7037856, 1.7067204, 1.7227593, 1.7792290, 1.8425511, 1.8617972, 1.8652541");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3423076, 7.3475950, 7.3717328, 7.4880547, 7.4045477, 6.1742766, 0.5307006", \
+					  "7.3220006, 7.3274494, 7.3490579, 7.4682707, 7.3599000, 6.4325962, 0.4834917", \
+					  "7.2987852, 7.3096429, 7.3335135, 7.4502301, 7.3379449, 6.0427340, 0.4913455", \
+					  "7.3036814, 7.3088387, 7.3334692, 7.4500087, 7.3573797, 6.1406846, 0.4962755", \
+					  "7.3054053, 7.3050662, 7.3348167, 7.4517041, 7.3382402, 6.4223626, 0.4794080", \
+					  "7.3062379, 7.3113345, 7.3355365, 7.4525158, 7.3598096, 6.1462560, 0.3965868", \
+					  "7.3082101, 7.3133310, 7.3376006, 7.4543059, 7.3613533, 6.1295574, 0.4768900");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7673988, 3.7659274, 3.7781879, 3.8231789, 3.8422070, 3.8549338, 3.8823147", \
+					  "3.6923313, 3.6862203, 3.7023376, 3.7423668, 3.7716780, 3.8044257, 3.7895743", \
+					  "3.6164601, 3.6182846, 3.6259672, 3.6530567, 3.6944546, 3.7179110, 3.7037678", \
+					  "3.6164092, 3.5965986, 3.6259532, 3.6578075, 3.6954809, 3.7287260, 3.7194361", \
+					  "3.6153753, 3.6163298, 3.6243755, 3.6626296, 3.7036701, 3.7179525, 3.7167157", \
+					  "3.6150789, 3.5971865, 3.6246485, 3.6611705, 3.7007270, 3.7072595, 3.7173777", \
+					  "3.6141398, 3.6163503, 3.6243035, 3.6629649, 3.6951184, 3.7132610, 3.7153340");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7286526, 6.7381857, 6.7627455, 6.8806777, 6.7686401, 5.5582945, -0.1430459", \
+					  "6.7144041, 6.7239386, 6.7481241, 6.8665320, 6.7543170, 5.5435594, -0.0974228", \
+					  "6.7059978, 6.7055295, 6.7359873, 6.8543805, 6.7473654, 5.8252974, -0.2831735", \
+					  "6.7053214, 6.7054688, 6.7366184, 6.8517203, 6.7383050, 5.5502800, -0.1286654", \
+					  "6.7044683, 6.7046838, 6.7348181, 6.8443199, 6.7615363, 5.5453278, -0.1087345", \
+					  "6.6987100, 6.7041137, 6.7338399, 6.8503578, 6.7449712, 5.5434614, -0.0924744", \
+					  "6.6995440, 6.7036818, 6.7305986, 6.8514126, 6.7376854, 5.5255474, -0.1336532");
+				}
+				fall_power (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5009090, 6.5018584, 6.5076207, 6.5398592, 6.6096519, 6.4571662, 0.7159396", \
+					  "6.4788153, 6.4817495, 6.4871779, 6.5172940, 6.5924357, 6.4926891, 0.6967794", \
+					  "6.4624187, 6.4621285, 6.4701529, 6.5000539, 6.5794305, 6.4396426, 0.6713300", \
+					  "6.4593494, 6.4612285, 6.4683760, 6.4986673, 6.5713965, 6.5091936, 0.6653253", \
+					  "6.4645515, 6.4653043, 6.4699096, 6.5031968, 6.5761584, 6.4401717, 0.6744501", \
+					  "6.4659044, 6.4665077, 6.4786585, 6.5054982, 6.5741811, 6.5048450, 0.6741591", \
+					  "6.4647342, 6.4665535, 6.4716904, 6.5048987, 6.5779162, 6.5523585, 0.3068820");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7778948, 3.7783922, 3.7910184, 3.8413098, 4.0099388, 4.8839128, 5.6519196", \
+					  "3.6978061, 3.7008926, 3.7126749, 3.7638704, 3.9350248, 4.8039746, 5.5774076", \
+					  "3.6251389, 3.6269803, 3.6378310, 3.6879936, 3.8585785, 4.7303239, 5.4965386", \
+					  "3.6238789, 3.6261067, 3.6370541, 3.6879457, 3.8592240, 4.7184161, 5.4949460", \
+					  "3.6238316, 3.6261163, 3.6366998, 3.6868767, 3.8592193, 4.7277021, 5.4983899", \
+					  "3.6228821, 3.6249196, 3.6356358, 3.6863548, 3.8564184, 4.7271121, 5.4977786", \
+					  "3.6221373, 3.6237454, 3.6352219, 3.6859313, 3.8530589, 4.7376178, 5.5015142");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3455186, 6.3450363, 6.3434833, 6.3475968, 6.2457538, 5.2545909, -10.9468667", \
+					  "6.3220609, 6.3247267, 6.3260789, 6.3209593, 6.2365325, 5.2714516, -11.1690251", \
+					  "6.3048802, 6.3053269, 6.3087877, 6.3079762, 6.2236956, 5.2517872, -11.1079146", \
+					  "6.3067297, 6.3053012, 6.3086023, 6.3094372, 6.2198725, 5.2534620, -10.9950993", \
+					  "6.3079931, 6.3062449, 6.3057787, 6.3112869, 6.2422245, 5.2561296, -11.1679881", \
+					  "6.3084496, 6.3092337, 6.3077792, 6.3112803, 6.2434769, 5.2287300, -11.2021328", \
+					  "6.3101621, 6.3104357, 6.3088676, 6.3092678, 6.2372816, 5.2298232, -11.0891425");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0292733, 3.0285155, 3.0324060, 3.0522537, 3.1874892, 4.0389481, 4.8139081", \
+					  "2.9514101, 2.9516009, 2.9544352, 2.9768611, 3.1093623, 3.9748805, 4.7433808", \
+					  "2.8754630, 2.8758060, 2.8775388, 2.9011256, 3.0335446, 3.8939135, 4.6658329", \
+					  "2.8754754, 2.8762740, 2.8789645, 2.8985316, 3.0334018, 3.8864839, 4.6612413", \
+					  "2.8744367, 2.8748650, 2.8782616, 2.8984529, 3.0326908, 3.8970710, 4.6516674", \
+					  "2.8734298, 2.8735482, 2.8765937, 2.8980858, 3.0317948, 3.8875142, 4.6640029", \
+					  "2.8712852, 2.8721810, 2.8758773, 2.8982311, 3.0301219, 3.8912966, 4.6593914");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8976943, 2.8980192, 2.9045447, 2.9444636, 3.0743503, 3.6245236, 4.0748479", \
+					  "3.0227302, 3.0254183, 3.0329081, 3.0713147, 3.2016438, 3.7536095, 4.1968208", \
+					  "3.1963948, 3.1972122, 3.2026867, 3.2405850, 3.3704597, 3.9271408, 4.3709830", \
+					  "3.6098023, 3.6119858, 3.6214515, 3.6602966, 3.7896552, 4.3521302, 4.7849381", \
+					  "4.0131463, 4.0147945, 4.0299978, 4.0948512, 4.2517884, 4.8238258, 5.2614924", \
+					  "4.1212176, 4.1230655, 4.1408778, 4.2088627, 4.3715590, 4.9343846, 5.3866908", \
+					  "4.1254466, 4.1270545, 4.1448143, 4.2116606, 4.3765444, 4.9481084, 5.3890147");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2300114, 6.2325318, 6.2385930, 6.2655893, 6.1673170, 5.2282808, -5.3145124", \
+					  "6.3483422, 6.3547420, 6.3608348, 6.3882809, 6.2865499, 5.3573278, -5.1542671", \
+					  "6.4897467, 6.4902758, 6.4975961, 6.5247209, 6.4242678, 5.5207129, -5.0516777", \
+					  "6.9699118, 6.9716121, 6.9778144, 7.0021678, 6.9010070, 5.9911557, -4.6348902", \
+					  "7.1761624, 7.1760430, 7.1829933, 7.2093723, 7.1086822, 6.1906007, -4.3226059", \
+					  "7.2363448, 7.2378443, 7.2424571, 7.2617998, 7.1500223, 6.2303066, -4.3080472", \
+					  "7.2589126, 7.2600739, 7.2623920, 7.2777886, 7.1668805, 6.2451332, -4.3454383");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.9984339, 11.0004306, 11.0128151, 11.0749821, 10.9675812, 9.5789570, -7.2225896", \
+					  "11.0059431, 11.0101526, 11.0216364, 11.0835435, 10.9800645, 9.6341751, -7.0634478", \
+					  "11.1161855, 11.1196901, 11.1325865, 11.1936275, 11.0897788, 9.6704897, -6.9920822", \
+					  "11.2292064, 11.2330277, 11.2447136, 11.3085446, 11.2021637, 9.8154237, -6.7633353", \
+					  "11.3291105, 11.3294758, 11.3439609, 11.4060698, 11.2997948, 9.9496702, -6.7561366", \
+					  "11.4193602, 11.4209563, 11.4343541, 11.4937059, 11.3906131, 9.9747246, -6.7361819", \
+					  "11.4417252, 11.4425980, 11.4547867, 11.5079699, 11.3969594, 10.0173408, -6.7185719");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9302611, 5.9295104, 5.9510532, 6.0307578, 6.2552812, 7.1384985, 7.8512540", \
+					  "5.8500555, 5.8474141, 5.8678017, 5.9497003, 6.1661472, 7.0619004, 7.7587936", \
+					  "5.7418118, 5.7466286, 5.7626855, 5.8406893, 6.0633903, 6.9502280, 7.6557362", \
+					  "5.8853246, 5.8835892, 5.9072301, 5.9868148, 6.2173718, 7.0968775, 7.8000726", \
+					  "6.0619450, 6.0643817, 6.0855540, 6.1776697, 6.4190438, 7.3122027, 8.0184455", \
+					  "6.1929923, 6.1925996, 6.2183353, 6.3144410, 6.5634620, 7.4509373, 8.1640647", \
+					  "6.2834049, 6.2873224, 6.3092557, 6.4081458, 6.6501023, 7.5506568, 8.2525445");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4875058, 8.4901295, 8.4901300, 8.4901310, 8.4901319, 8.4901329, 8.6584260", \
+					  "9.0070724, 9.0127061, 9.0127063, 9.0127073, 9.0127082, 9.0127092, 9.1170440", \
+					  "9.4097610, 9.4097620, 9.4351869, 9.4582218, 9.4582220, 9.4582229, 9.4679784", \
+					  "9.7647153, 9.7794102, 9.7794109, 9.7794118, 9.7794128, 9.7794138, 9.8136912", \
+					  "10.0826680, 10.0832700, 10.0832706, 10.0832716, 10.0832725, 10.0832735, 10.1675200", \
+					  "10.3844890, 10.3857400, 10.3857408, 10.3857418, 10.3857427, 10.3857437, 10.4387630", \
+					  "10.6771500, 10.6802810, 10.6802812, 10.6802822, 10.6802831, 10.6802841, 10.7324610");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.3356788, 8.3415541, 8.3778371, 8.6099323, 10.2544870, 20.8911160, 87.4447540", \
+					  "8.8659284, 8.8717904, 8.9080041, 9.1400949, 10.7847250, 21.4341910, 87.9529270", \
+					  "9.2760200, 9.2818855, 9.3181658, 9.5500949, 11.1948060, 21.8454870, 88.3716570", \
+					  "9.6323483, 9.6382182, 9.6745252, 9.9063335, 11.5510850, 22.2024350, 88.7236600", \
+					  "9.9613269, 9.9671897, 10.0034430, 10.2358540, 11.8803780, 22.5558060, 89.0687910", \
+					  "10.2534390, 10.2593130, 10.2956290, 10.5275720, 12.1718970, 22.8231620, 89.3476010", \
+					  "10.5440780, 10.5499600, 10.5816080, 10.8175800, 12.4625300, 23.1073470, 89.6404940");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9981459, 1.0134088, 1.1070113, 1.7031777, 5.7542073, 31.6341260, 191.6402700", \
+					  "0.9983635, 1.0134602, 1.1067889, 1.7036785, 5.7543073, 31.6377080, 191.6650400", \
+					  "0.9984794, 1.0135173, 1.1068120, 1.7038566, 5.7543845, 31.6229140, 191.6537700", \
+					  "0.9985076, 1.0134675, 1.1065385, 1.7040797, 5.7544303, 31.6227040, 191.4300200", \
+					  "0.9984611, 1.0135662, 1.1072655, 1.7039614, 5.7541536, 31.6218090, 191.5976200", \
+					  "0.9981606, 1.0135397, 1.1069494, 1.6990969, 5.7545093, 31.6393990, 191.6564300", \
+					  "0.9982026, 1.0128275, 1.1054445, 1.7036902, 5.7545329, 31.6162310, 191.5594200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("151.1954700, 151.2198400, 151.2663500, 151.5401900, 153.3738200, 161.9904400, 198.5995500", \
+					  "151.9096500, 151.9170400, 151.9557200, 152.2525500, 154.0681900, 162.6515200, 199.3564500", \
+					  "152.5984700, 152.6016100, 152.6503900, 152.9707100, 154.7840600, 163.4026500, 200.0124500", \
+					  "153.3766500, 153.3766556, 153.4012000, 153.6982400, 155.5107300, 164.1667900, 200.8211800", \
+					  "154.0582400, 154.0788200, 154.1025200, 154.4287900, 156.2416800, 164.8683300, 201.4822200", \
+					  "154.7529700, 154.7553400, 154.8007600, 155.1057800, 156.9145500, 165.5464800, 202.1679100", \
+					  "155.4337200, 155.4337235, 155.4951000, 155.7776000, 157.5942700, 166.2178700, 202.8753400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3248050, 59.3034490, 59.3217030, 58.9757220, 57.0629980, 47.1983660, 92.3392850", \
+					  "59.3775160, 59.3041850, 59.2758480, 59.0089360, 57.0563720, 47.0467540, 92.2701630", \
+					  "59.3055100, 59.2968910, 59.2560210, 59.0043500, 57.0402610, 46.9241640, 92.3364280", \
+					  "59.4136150, 59.2965530, 59.2757560, 59.0055040, 57.0597050, 46.9048840, 92.2852270", \
+					  "59.3069030, 59.4079130, 59.2490960, 59.0052810, 57.0665580, 46.9059670, 92.2949750", \
+					  "59.3237600, 59.3684920, 59.2715030, 58.9833140, 57.0406510, 47.1105430, 92.2021930", \
+					  "59.4167490, 59.2998150, 59.3187010, 59.0047690, 57.0385160, 46.9067660, 92.2856600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.3072029, 8.3130454, 8.3486844, 8.5780947, 10.2002080, 20.9244800, 89.7046850", \
+					  "8.8373335, 8.8429069, 8.8791312, 9.1077634, 10.7300680, 21.4549680, 90.2375920", \
+					  "9.2473860, 9.2541539, 9.2892396, 9.5190380, 11.1400610, 21.8663400, 90.6575010", \
+					  "9.6028829, 9.6043040, 9.6443848, 9.8688044, 11.4957900, 22.2195930, 90.9998690", \
+					  "9.9314530, 9.9352340, 9.9732604, 10.1999480, 11.8241720, 22.5965180, 91.3128990", \
+					  "10.2242040, 10.2351870, 10.2667640, 10.4952570, 12.1168480, 22.8543390, 91.6364730", \
+					  "10.5151240, 10.5310380, 10.5570310, 10.7858540, 12.4080700, 23.1293600, 91.9125260");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0212687, 1.0364286, 1.1273462, 1.7216046, 5.7598792, 31.6337460, 191.5863000", \
+					  "1.0178741, 1.0321927, 1.1293517, 1.7183462, 5.7591518, 31.6405960, 191.6882400", \
+					  "1.0204700, 1.0320219, 1.1295442, 1.7180063, 5.7594609, 31.6487170, 191.4303900", \
+					  "1.0176405, 1.0348931, 1.1265978, 1.7201864, 5.7591123, 31.6140710, 191.6894400", \
+					  "1.0200304, 1.0339181, 1.1259048, 1.7202408, 5.7591762, 31.6604130, 191.6776100", \
+					  "1.0203594, 1.0348460, 1.1290828, 1.7205883, 5.7591696, 31.5986210, 191.4001800", \
+					  "1.0191211, 1.0331535, 1.1282948, 1.7203086, 5.7592562, 31.6593930, 191.5815600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.9908400, 102.0030800, 102.0668000, 102.4552700, 105.1307100, 122.9261200, 159.3206900", \
+					  "102.6891000, 102.6976400, 102.7649700, 103.1728100, 105.8225200, 123.5317800, 160.0154200", \
+					  "103.4035400, 103.4250500, 103.4785500, 103.8887900, 106.5370900, 124.2499900, 160.7424900", \
+					  "104.1600500, 104.1600533, 104.2062600, 104.6160100, 107.2639300, 125.1285500, 161.4280100", \
+					  "104.8593100, 104.8770500, 104.9382100, 105.3416100, 107.9897300, 125.6951100, 162.1941100", \
+					  "105.5263800, 105.5419400, 105.6035300, 106.0069300, 108.6579600, 126.4750000, 162.8437500", \
+					  "106.2149600, 106.2244100, 106.2896000, 106.6994500, 109.3471100, 127.1727500, 163.5476700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4102400, 124.3995300, 124.3781900, 124.2712800, 123.8861500, 122.0768000, 109.9504300", \
+					  "124.4144800, 124.3989200, 124.3784200, 124.3007300, 123.8902700, 122.1229100, 109.9493800", \
+					  "124.3946700, 124.3981300, 124.3794700, 124.3061500, 123.8754900, 122.1319800, 109.9461700", \
+					  "124.4295800, 124.4066800, 124.3751600, 124.2660400, 123.8786900, 122.1410900, 109.8281100", \
+					  "124.3803600, 124.4028600, 124.3765700, 124.2674300, 123.8793900, 122.1127000, 109.9545400", \
+					  "124.3670500, 124.3891000, 124.3616200, 124.2374700, 123.8569600, 122.0621800, 109.8358700", \
+					  "124.4009400, 124.3884500, 124.3696100, 124.2290900, 123.8784100, 122.0534200, 109.8743700");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2877130, 17.4787140, 18.6862600, 26.0931190, 71.5373290, 350.8073100, 2072.2084000", \
+					  "17.8029290, 18.0011050, 19.2068780, 26.6010910, 72.0748470, 351.2926100, 2072.9265000", \
+					  "18.2222200, 18.4152270, 19.6126400, 27.0388300, 72.3970430, 351.6740900, 2073.3328000", \
+					  "18.5911300, 18.7554520, 19.9651940, 27.3575370, 72.7692180, 352.0293600, 2073.4169000", \
+					  "18.8884870, 19.0781010, 20.2886330, 27.6847680, 73.0661820, 352.3334700, 2074.0058000", \
+					  "19.1936670, 19.3721150, 20.5785740, 27.9779130, 73.4305420, 352.6244800, 2074.6222000", \
+					  "19.4776620, 19.6655810, 20.8760760, 28.2641410, 73.6773270, 352.9336500, 2074.5970000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5739780, 14.9596600, 17.3086430, 31.9043670, 122.1699500, 679.0688200, 4109.2321000", \
+					  "14.5747430, 14.9652980, 17.3070730, 31.8526470, 122.0278300, 678.6500500, 4109.9743000", \
+					  "14.5786580, 14.9620210, 17.3069840, 31.8942950, 121.8755000, 677.8440700, 4109.8547000", \
+					  "14.5712900, 14.9593480, 17.3075140, 31.8760310, 122.0985100, 677.8486700, 4110.2144000", \
+					  "14.5694790, 14.9600950, 17.3069430, 31.8707050, 121.9654700, 678.2594800, 4109.4854000", \
+					  "14.5711960, 14.9614220, 17.3069290, 31.8654220, 122.1580600, 678.6061700, 4110.7833000", \
+					  "14.5748150, 14.9653460, 17.3121040, 31.8922350, 122.1148400, 678.6494300, 4109.5091000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5884650, 13.6390050, 13.9485850, 15.7751380, 20.8728070, 40.1196160, 83.1191120", \
+					  "14.2846740, 14.3350170, 14.6440260, 16.4674530, 21.5711240, 40.8124560, 83.8260800", \
+					  "15.0067820, 15.0570540, 15.3668690, 17.1934090, 22.2907560, 41.5384530, 84.5525960", \
+					  "15.7332560, 15.7835430, 16.0938520, 17.9194770, 23.0181030, 42.2682690, 85.2721340", \
+					  "16.4640230, 16.5084160, 16.8241420, 18.6495250, 23.7433200, 42.9956870, 86.0075600", \
+					  "17.1489130, 17.2022180, 17.5090380, 19.3342480, 24.4367850, 43.6803020, 86.6929990", \
+					  "17.8148590, 17.8684940, 18.1782380, 20.0037250, 25.0989170, 44.3488370, 87.3630340");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0226404, 3.0920826, 3.5318757, 5.0916644, 6.9760286, 29.0345450, 48.3429260", \
+					  "3.0220411, 3.0928116, 3.5318080, 5.0924660, 6.9741475, 29.0338100, 48.3891360", \
+					  "3.0226581, 3.0910858, 3.5298728, 5.0926579, 6.9754114, 29.0340270, 48.3862190", \
+					  "3.0226199, 3.0913601, 3.5304109, 5.0930868, 6.9762969, 29.0324390, 48.4011480", \
+					  "3.0225795, 3.0909988, 3.5297578, 5.0933684, 6.9763502, 29.0330920, 48.3945080", \
+					  "3.0225855, 3.0907796, 3.5295995, 5.0933693, 6.9760984, 29.0331990, 48.3922160", \
+					  "3.0226583, 3.0907465, 3.5298693, 5.0926663, 6.9756592, 29.0342350, 48.3867950");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.2063938, 8.2063947, 8.2063957, 8.2063966, 8.2063976, 8.2063985, 8.2063995", \
+					  "8.7367527, 8.7391223, 8.7391229, 8.7391239, 8.7391248, 8.7391258, 8.7391267", \
+					  "9.1491907, 9.1492067, 9.1492076, 9.1492086, 9.1492095, 9.1492105, 9.1492115", \
+					  "9.5052437, 9.5052438, 9.5052448, 9.5052457, 9.5052467, 9.5052476, 9.5052486", \
+					  "9.8238297, 9.8269863, 9.8269868, 9.8269878, 9.8269887, 9.8269897, 9.8269907", \
+					  "10.1274190, 10.1274200, 10.1274210, 10.1274219, 10.1274229, 10.1274238, 10.1274248", \
+					  "10.4066730, 10.4188880, 10.4188886, 10.4188896, 10.4188905, 10.4188915, 10.4188924");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0003550, 8.0003553, 8.0565119, 8.1015559, 8.1015564, 8.1015573, 8.1015583", \
+					  "8.5218685, 8.5236334, 8.5236335, 8.5236345, 8.5236354, 8.5236364, 8.5236373", \
+					  "8.9232630, 8.9276728, 8.9276729, 8.9276739, 8.9276748, 8.9276758, 8.9276767", \
+					  "9.2689181, 9.2708179, 9.2708183, 9.2708192, 9.2708202, 9.2708211, 9.2708221", \
+					  "9.5953840, 9.5953842, 9.5953851, 9.5953861, 9.5953870, 9.5953880, 9.5953889", \
+					  "9.8917070, 9.8917079, 9.8917089, 9.8917099, 9.8917108, 9.8917118, 9.8917127", \
+					  "10.1669850, 10.1680360, 10.1680370, 10.1680379, 10.1680389, 10.1680398, 10.1680408");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7775540, 10.8221840, 11.1403280, 13.0381190, 24.6538520, 96.1845640, 416.7363300", \
+					  "11.2993280, 11.3486620, 11.6561040, 13.5545180, 25.1686070, 96.6929790, 417.2756900", \
+					  "11.7023790, 11.7514460, 12.0629200, 13.9581310, 25.5723730, 97.1007380, 417.6848400", \
+					  "12.0565210, 12.1056900, 12.4140040, 14.3115070, 25.9255590, 97.4491390, 418.0543300", \
+					  "12.3844230, 12.4337880, 12.7411450, 14.6393440, 26.2537230, 97.7780200, 418.3631000", \
+					  "12.6715510, 12.7266840, 13.0311570, 14.9304250, 26.5463740, 98.0835890, 418.6652200", \
+					  "12.9642320, 13.0112370, 13.3225570, 15.2172940, 26.8313350, 98.3565940, 418.8918000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0364342, 3.1134333, 3.5970187, 6.6035630, 25.0199420, 138.7790100, 350.6142700", \
+					  "3.0363270, 3.1134875, 3.5972527, 6.6015358, 25.0224270, 138.9163500, 350.6383700", \
+					  "3.0361864, 3.1133816, 3.5975458, 6.6010566, 25.0253170, 138.9490200, 350.6377500", \
+					  "3.0361786, 3.1133793, 3.5966146, 6.6010952, 25.0258210, 138.9308700, 350.6450200", \
+					  "3.0363474, 3.1135006, 3.5963381, 6.6015007, 25.0226520, 138.9171600, 350.6376300", \
+					  "3.0360336, 3.1132248, 3.5965229, 6.6022614, 25.0238340, 138.7906400, 350.6455900", \
+					  "3.0361837, 3.1133807, 3.5977408, 6.6010912, 25.0258070, 138.9411800, 350.6181900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.1943760, 21.3953000, 22.5608690, 29.7729470, 73.9044450, 345.4492800, 2019.9348000", \
+					  "21.8997370, 22.0943740, 23.2680280, 30.4673190, 74.5991140, 346.0182800, 2021.2663000", \
+					  "22.6153340, 22.8202900, 23.9844040, 31.1910570, 75.3227380, 346.9118900, 2021.4202000", \
+					  "23.3485570, 23.5399500, 24.7222970, 31.9596720, 76.1147160, 347.5668400, 2021.4973000", \
+					  "24.0690310, 24.2491450, 25.4245870, 32.6393030, 76.7669800, 348.3021100, 2023.1653000", \
+					  "24.7565220, 24.9495070, 26.1287290, 33.3324660, 77.4492720, 349.0457300, 2023.6359000", \
+					  "25.4354480, 25.6266230, 26.8042650, 34.0452320, 78.2011180, 349.6562000, 2024.4254000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5308180, 13.9054370, 16.1915620, 30.4284880, 118.5011000, 660.4702800, 4007.8403000", \
+					  "13.5351110, 13.8896140, 16.2101670, 30.3869820, 118.4758100, 660.5106400, 4008.0581000", \
+					  "13.5319100, 13.8990400, 16.2082240, 30.4279410, 118.4707400, 660.7267000, 4008.7689000", \
+					  "13.5211690, 13.9044400, 16.1981790, 30.4265090, 118.5743500, 660.6616100, 4007.4256000", \
+					  "13.5344570, 13.8900310, 16.1926350, 30.4214610, 118.4651600, 660.9533300, 4008.4446000", \
+					  "13.5314200, 13.8938190, 16.2078810, 30.4317100, 118.4603600, 660.7410800, 4006.8521000", \
+					  "13.5181170, 13.9061580, 16.2018930, 30.4265840, 118.5741900, 660.6708800, 4007.8139000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1848945, 8.1946991, 8.1946998, 8.1947008, 8.1947017, 8.1947027, 8.1947036", \
+					  "8.7257508, 8.7257514, 8.7257524, 8.7257534, 8.7257543, 8.7257553, 8.7257562", \
+					  "9.1343377, 9.1343380, 9.1343389, 9.1343399, 9.1343408, 9.1343418, 9.1343427", \
+					  "9.4944514, 9.4944520, 9.4944530, 9.4944540, 9.4944549, 9.4944559, 9.4944568", \
+					  "9.8009671, 9.8026769, 9.8026777, 9.8026786, 9.8026796, 9.8026805, 9.8026815", \
+					  "10.1173020, 10.1173025, 10.1173034, 10.1173044, 10.1173053, 10.1173063, 10.1173072", \
+					  "10.4097930, 10.4097934, 10.4097944, 10.4097953, 10.4097963, 10.4097972, 10.4097982");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4865643, 8.4865652, 8.4865661, 8.4865671, 8.4865680, 8.4865690, 8.6568033", \
+					  "9.0143137, 9.0143142, 9.0143152, 9.0143161, 9.0143171, 9.0143180, 9.0538084", \
+					  "9.4166488, 9.4202228, 9.4202238, 9.4202247, 9.4202257, 9.4202266, 9.5009094", \
+					  "9.7641051, 9.7680086, 9.7680088, 9.7680097, 9.7680107, 9.7680116, 9.8249915", \
+					  "10.0835470, 10.0853810, 10.0853811, 10.0853820, 10.0853830, 10.0853839, 10.1404930", \
+					  "10.3881580, 10.3881584, 10.3881593, 10.3881603, 10.3881612, 10.3881622, 10.4452830", \
+					  "10.6581350, 10.6767830, 10.6767831, 10.6767841, 10.6767850, 10.6767860, 10.7160020");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5012350, 13.5550500, 13.8693510, 15.7594420, 27.1399260, 93.8174910, 185.4244800", \
+					  "14.1990610, 14.2477090, 14.5668330, 16.4557670, 27.8360270, 94.5202250, 186.0773600", \
+					  "14.9237760, 14.9735320, 15.2878900, 17.1810090, 28.5599740, 95.2404660, 186.8329200", \
+					  "15.6499060, 15.7041440, 16.0189200, 17.9102420, 29.2978280, 95.9654120, 187.5976100", \
+					  "16.3708640, 16.4069520, 16.7408580, 18.6277520, 30.0089520, 96.6889220, 188.2941900", \
+					  "17.0602020, 17.1155550, 17.4317590, 19.3308920, 30.7114970, 97.3869520, 188.9932800", \
+					  "17.7308640, 17.7858880, 18.1020530, 19.9854730, 31.3779650, 98.0495050, 189.6565900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0557623, 3.1297094, 3.5771452, 6.3981367, 24.3054000, 97.1961360, 63.6428650", \
+					  "3.0552356, 3.1300484, 3.5769887, 6.3978987, 24.3050500, 97.2250400, 63.7507750", \
+					  "3.0564775, 3.1298513, 3.5771794, 6.3957870, 24.3058320, 97.2146380, 63.6309210", \
+					  "3.0565723, 3.1238421, 3.5694248, 6.3960099, 24.2739800, 97.2271790, 63.6117860", \
+					  "3.0563192, 3.1241396, 3.5693308, 6.3959851, 24.3052090, 97.2275840, 63.6064570", \
+					  "3.0559908, 3.1231731, 3.5688772, 6.3979919, 24.3105090, 97.2146170, 63.6071180", \
+					  "3.0562861, 3.1268323, 3.5694447, 6.3957661, 24.3059440, 97.1810930, 63.6346580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4952934, 8.4952941, 8.4952951, 8.4952961, 8.4952970, 8.4952980, 8.4952989", \
+					  "9.0180139, 9.0180145, 9.0180154, 9.0180164, 9.0180173, 9.0180183, 9.0722478", \
+					  "9.4190300, 9.4190307, 9.4190317, 9.4190326, 9.4190336, 9.4190345, 9.4616928", \
+					  "9.7640562, 9.7687609, 9.7687612, 9.7763584, 9.7763591, 9.7763601, 9.8086428", \
+					  "10.0864770, 10.0878110, 10.0878120, 10.0878130, 10.0878139, 10.0878149, 10.1428180", \
+					  "10.3900190, 10.3916520, 10.3916526, 10.3916536, 10.3916545, 10.3916555, 10.4650230", \
+					  "10.6770860, 10.6790530, 10.6790538, 10.6790548, 10.6790557, 10.6790567, 10.7163330");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.4626800, 13.5167820, 13.8247340, 15.6500930, 20.8229340, 40.1697660, 142.1047000", \
+					  "14.1599090, 14.2140390, 14.5216640, 16.3467990, 21.5210340, 40.8707730, 142.8540900", \
+					  "14.8857810, 14.9361870, 15.2423730, 17.0686970, 22.2469700, 41.5973920, 143.5304700", \
+					  "15.6125900, 15.6614690, 15.9704180, 17.8021560, 22.9736670, 42.3166210, 144.2734000", \
+					  "16.3355940, 16.3844120, 16.6963310, 18.5206290, 23.6989590, 43.0377440, 144.9969500", \
+					  "17.0305030, 17.0809040, 17.3844090, 19.2193870, 24.3868480, 43.7470150, 145.6356500", \
+					  "17.7021620, 17.7513540, 18.0541930, 19.8908180, 25.0597370, 44.3893350, 146.3486100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0273359, 3.0988971, 3.5370242, 5.1121076, 7.0325608, 32.0530410, 98.0590900", \
+					  "3.0275349, 3.1013128, 3.5371182, 5.1130846, 7.0323249, 32.0278420, 98.0230720", \
+					  "3.0327238, 3.1010163, 3.5360863, 5.1125904, 7.0359825, 32.0359240, 98.0533910", \
+					  "3.0311729, 3.0987335, 3.5366754, 5.1167790, 7.0431176, 32.0683180, 97.9839780", \
+					  "3.0311396, 3.0995346, 3.5356995, 5.1153037, 7.0417405, 32.0694400, 98.1086640", \
+					  "3.0295688, 3.0998391, 3.5356231, 5.1118318, 7.0510286, 32.0375900, 98.0417600", \
+					  "3.0326401, 3.1029979, 3.5368466, 5.1090612, 7.0394157, 32.0677030, 98.0515440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3970080, 17.5893270, 18.7894750, 26.1456620, 71.3743090, 350.3799900, 2071.7778000", \
+					  "18.0922420, 18.2876470, 19.4810270, 26.8453330, 72.0737670, 351.0802700, 2072.7477000", \
+					  "18.8152050, 19.0402110, 20.1936940, 27.5550820, 72.8201070, 351.8733800, 2073.3335000", \
+					  "19.5332140, 19.7312870, 20.9212260, 28.2513820, 73.5597750, 352.5391900, 2073.7452000", \
+					  "20.2630180, 20.4521590, 21.6507270, 29.0018440, 74.2442620, 353.4811700, 2075.0590000", \
+					  "20.9502160, 21.1517420, 22.3363320, 29.6936700, 74.9299640, 353.9951800, 2075.3237000", \
+					  "21.6089440, 21.8122480, 22.9919590, 30.3769100, 75.5987630, 354.5956400, 2076.1777000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5868580, 14.9668260, 17.3236110, 31.9170430, 122.0873300, 678.6978600, 4109.0047000", \
+					  "14.5871070, 14.9667850, 17.3218470, 31.9171460, 122.0879500, 678.6572700, 4111.0857000", \
+					  "14.5779100, 14.9675670, 17.3049490, 31.8837440, 122.0942100, 678.2340200, 4110.6191000", \
+					  "14.5863600, 14.9668120, 17.3124340, 31.8984020, 121.9685400, 678.7370200, 4108.7205000", \
+					  "14.5864310, 14.9646850, 17.3033200, 31.9111630, 122.0984300, 679.9698400, 4111.4104000", \
+					  "14.5867980, 14.9580650, 17.3236290, 31.9119660, 122.0847300, 678.8307000, 4109.5627000", \
+					  "14.5868370, 14.9668380, 17.3220990, 31.9170250, 122.0879400, 678.0217100, 4109.4631000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9791790, 15.0297000, 15.3391450, 17.1669810, 22.2798790, 41.5268460, 84.5452060", \
+					  "15.6788950, 15.7301410, 16.0379870, 17.8682330, 22.9803710, 42.2296470, 85.2478910", \
+					  "16.3983010, 16.4508230, 16.7614860, 18.5874710, 23.7013610, 42.9410750, 85.9518680", \
+					  "17.1233130, 17.1705670, 17.4803120, 19.3163580, 24.4259880, 43.6738080, 86.6890630", \
+					  "17.8458420, 17.8971180, 18.2049330, 20.0345380, 25.1469780, 44.3946210, 87.4090700", \
+					  "18.5334470, 18.5864750, 18.8961130, 20.7235740, 25.8364070, 45.0946730, 88.1007840", \
+					  "19.1957860, 19.2435950, 19.5627140, 21.3945290, 26.5055120, 45.7506710, 88.7627750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0286367, 3.0983753, 3.5364875, 5.1065645, 6.9847284, 29.0360640, 48.3884750", \
+					  "3.0284286, 3.0982974, 3.5373053, 5.1068287, 6.9853021, 29.0368120, 48.3897010", \
+					  "3.0229872, 3.0991509, 3.5371337, 5.1029989, 6.9856156, 29.0379470, 48.3775050", \
+					  "3.0283502, 3.0986829, 3.5364869, 5.1070983, 6.9786816, 29.0349860, 48.3980360", \
+					  "3.0282048, 3.0988394, 3.5367766, 5.1070113, 6.9855779, 29.0349700, 48.4045160", \
+					  "3.0282867, 3.0983132, 3.5366878, 5.1018461, 6.9849850, 29.0349810, 48.4015350", \
+					  "3.0287779, 3.0983818, 3.5366130, 5.1065654, 6.9847288, 29.0349860, 48.3893710");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.8592700, 17.0540950, 18.2818520, 25.6062410, 70.8382120, 349.8630000, 2070.9438000", \
+					  "17.3814670, 17.5762730, 18.8067020, 26.1286530, 71.3609790, 350.3857900, 2071.7086000", \
+					  "17.7849750, 17.9801150, 19.1698820, 26.5341210, 71.7645180, 350.7919600, 2072.7716000", \
+					  "18.1401950, 18.3345290, 19.5273260, 26.8625030, 72.0950910, 351.1109400, 2072.6372000", \
+					  "18.4662530, 18.6611220, 19.8917430, 27.2153500, 72.4450400, 351.4719500, 2072.6907000", \
+					  "18.7599270, 18.9837340, 20.1423780, 27.5170070, 72.7643870, 351.7382900, 2073.0629000", \
+					  "19.0463010, 19.2393910, 20.4386270, 27.7963840, 73.0200750, 352.0556800, 2073.6682000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5829050, 14.9626760, 17.3062840, 31.9136110, 122.0812300, 678.6862600, 4109.1766000", \
+					  "14.5829520, 14.9648190, 17.3017580, 31.9134620, 122.0824100, 678.7029700, 4109.9579000", \
+					  "14.5839680, 14.9563260, 17.3115600, 31.9136290, 122.0792100, 678.6352700, 4110.7724000", \
+					  "14.5831060, 14.9651400, 17.3206780, 31.9169190, 122.0605400, 678.6716500, 4110.4795000", \
+					  "14.5840210, 14.9563060, 17.3013830, 31.9136220, 122.0741800, 678.6301000, 4109.9102000", \
+					  "14.5718100, 14.9665220, 17.3025810, 31.9126560, 122.0809900, 678.4165400, 4108.6719000", \
+					  "14.5841290, 14.9644300, 17.3208450, 31.9149580, 122.0690700, 678.9260900, 4110.0466000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7142890, 20.9039990, 22.0662650, 29.2500420, 73.2676500, 344.5261700, 2020.8120000", \
+					  "21.4101110, 21.6006790, 22.7623560, 29.9286820, 73.9036140, 345.2127300, 2020.4413000", \
+					  "22.1318870, 22.3223340, 23.4836490, 30.6723540, 74.6861280, 346.3349000, 2021.1698000", \
+					  "22.8601080, 23.0493810, 24.2118060, 31.3810630, 75.4013240, 346.6650800, 2021.6819000", \
+					  "23.5893030, 23.7745110, 24.9359150, 32.1286570, 76.1383210, 347.3847400, 2022.2774000", \
+					  "24.2758860, 24.4666740, 25.6305310, 32.8028090, 76.7508720, 348.1832500, 2022.1405000", \
+					  "24.9440650, 25.1305680, 26.2908950, 33.4802440, 77.4330500, 348.9905400, 2023.4688000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5259870, 13.9119880, 16.2000920, 30.3866230, 118.1448900, 660.5931200, 4009.2114000", \
+					  "13.5325360, 13.9096010, 16.2048790, 30.4204480, 118.1438300, 660.5990800, 4008.0413000", \
+					  "13.5322760, 13.9055430, 16.2077140, 30.4037680, 118.1408700, 660.9563500, 4008.2182000", \
+					  "13.5220420, 13.9125930, 16.2074070, 30.4208640, 118.5073100, 660.4627700, 4007.2350000", \
+					  "13.5348590, 13.9073700, 16.2074110, 30.4008730, 118.1437800, 660.5351900, 4007.6447000", \
+					  "13.5346930, 13.9134080, 16.2003650, 30.3835430, 118.1978000, 660.4586800, 4007.8307000", \
+					  "13.5254500, 13.9083010, 16.2035610, 30.3882180, 118.2505000, 660.6033500, 4008.8266000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5340419, 8.5340429, 8.5547960, 8.5547967, 8.5547977, 8.5547987, 8.5547996", \
+					  "9.0173726, 9.0173727, 9.0173736, 9.0173746, 9.0173755, 9.0173765, 9.0262269", \
+					  "9.4776249, 9.4808188, 9.4808193, 9.4808202, 9.4808212, 9.4808221, 9.4926991", \
+					  "9.8302113, 9.8564268, 9.8564277, 9.8564287, 9.8564296, 9.8564306, 9.8564315", \
+					  "10.1600030, 10.1600032, 10.1600042, 10.1600051, 10.1600061, 10.1600070, 10.1763810", \
+					  "10.3908750, 10.3908754, 10.3911980, 10.3928420, 10.4744770, 10.4744773, 10.4744783", \
+					  "10.6588070, 10.7579990, 10.7579999, 10.7580009, 10.7642060, 10.7642065, 10.7642074");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0037674, 8.0037675, 8.0413614, 8.0856308, 8.0856309, 8.0856319, 8.0856329", \
+					  "8.5217948, 8.5228873, 8.5228878, 8.5228887, 8.5228897, 8.5228906, 8.5228916", \
+					  "8.9222585, 8.9266887, 8.9266897, 8.9266906, 8.9266916, 8.9266925, 8.9266935", \
+					  "9.2681827, 9.2703756, 9.2703758, 9.2703767, 9.2703777, 9.2703786, 9.2703796", \
+					  "9.5947161, 9.5947166, 9.5947176, 9.5947185, 9.5947195, 9.5947204, 9.5947214", \
+					  "9.8912487, 9.8931290, 9.8931299, 9.8931308, 9.8931318, 9.8931327, 9.8931337", \
+					  "10.1663740, 10.1678230, 10.1678234, 10.1678243, 10.1678253, 10.1678262, 10.1678272");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3575000, 11.4149120, 11.7209480, 13.6198260, 25.2348680, 96.7857690, 417.4327400", \
+					  "12.0627730, 12.1138990, 12.4198950, 14.3197680, 25.9347620, 97.4544320, 418.1137300", \
+					  "12.7797170, 12.8247270, 13.1466020, 15.0391010, 26.6515340, 98.1774090, 418.8525800", \
+					  "13.5058440, 13.5538590, 13.8578700, 15.7683660, 27.3782650, 98.8954480, 419.5651400", \
+					  "14.2206390, 14.2767270, 14.5834670, 16.4795640, 28.0948620, 99.6345190, 420.3076000", \
+					  "14.9188430, 14.9633020, 15.2753470, 17.1776990, 28.7847930, 100.3236000, 420.9654500", \
+					  "15.5552660, 15.6378540, 15.9275970, 17.8481730, 29.4277950, 100.9510300, 421.6029200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0369256, 3.1148468, 3.5969305, 6.6016597, 25.0222150, 138.8672500, 350.7153100", \
+					  "3.0365348, 3.1148308, 3.5969163, 6.6018595, 25.0221850, 138.8212200, 350.7327300", \
+					  "3.0367850, 3.1144851, 3.5983569, 6.6012285, 25.0241780, 138.8999500, 350.7111000", \
+					  "3.0351315, 3.1148562, 3.5968624, 6.6032011, 25.0200300, 138.8996700, 350.7258000", \
+					  "3.0369534, 3.1146734, 3.5976511, 6.6012626, 25.0259120, 138.9200900, 350.7163600", \
+					  "3.0351337, 3.1146781, 3.5982770, 6.6014763, 25.0248990, 138.8985300, 350.6878000", \
+					  "3.0351432, 3.1148608, 3.5969272, 6.6016543, 25.0221550, 138.9009600, 350.6659400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7177880, 10.7177883, 10.7177892, 10.7177902, 10.7177911, 10.7177921, 10.8462050", \
+					  "11.3041900, 11.4028080, 11.4222790, 11.4222799, 11.4222808, 11.4222818, 11.4222827", \
+					  "11.7123660, 11.8339800, 11.8341590, 11.8341594, 11.8341604, 11.8341613, 11.8341623", \
+					  "12.0616920, 12.0702280, 12.0716570, 12.1769430, 12.1769434, 12.1769443, 12.1901330", \
+					  "12.3305060, 12.5130670, 12.5130678, 12.5130687, 12.5130697, 12.5130706, 12.5349130", \
+					  "12.7465950, 12.7846110, 12.7846113, 12.7870030, 12.7870031, 12.7870040, 12.8135440", \
+					  "12.9708400, 13.0352720, 13.0660130, 13.0660139, 13.0660148, 13.0660158, 13.0660167");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.8593480, 17.0541150, 18.2817190, 25.6068890, 70.8383750, 349.8629400, 2070.8821000", \
+					  "17.3814920, 17.5763120, 18.8039170, 26.1304150, 71.3919230, 350.3875200, 2071.9121000", \
+					  "17.7849930, 17.9801330, 19.2103290, 26.5342540, 71.7647030, 350.7787800, 2071.7307000", \
+					  "18.1402290, 18.3345820, 19.5273490, 26.8625030, 72.1513020, 351.1191800, 2072.5083000", \
+					  "18.4662830, 18.6611620, 19.8917700, 27.2154690, 72.4440810, 351.4690800, 2073.4262000", \
+					  "18.7599000, 18.9837830, 20.1424250, 27.4972350, 72.7642370, 351.7744500, 2073.4142000", \
+					  "19.0429020, 19.2394310, 20.4386340, 27.7964110, 73.0201610, 352.0556500, 2073.5247000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5828500, 14.9647030, 17.3050640, 31.9128230, 122.0802200, 678.6531800, 4108.0198000", \
+					  "14.5829670, 14.9647460, 17.3057390, 31.9128260, 122.1669100, 678.7306000, 4110.4545000", \
+					  "14.5839930, 14.9563280, 17.3015010, 31.9128080, 122.0782900, 678.8710600, 4109.1489000", \
+					  "14.5832860, 14.9649870, 17.3215310, 31.9161830, 122.2300600, 678.4720800, 4111.4745000", \
+					  "14.5839910, 14.9562460, 17.3017550, 31.9127660, 122.0749500, 678.6839900, 4111.8437000", \
+					  "14.5703600, 14.9663410, 17.3028790, 31.8827310, 122.0828200, 678.9048800, 4108.3877000", \
+					  "14.5839850, 14.9645170, 17.3204060, 31.9139910, 122.0677000, 678.9296100, 4109.4181000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7142570, 20.9040730, 22.0655800, 29.2522010, 73.2060950, 344.5162500, 2019.5159000", \
+					  "21.4098570, 21.6006450, 22.7626080, 29.9483030, 73.9614310, 345.2048000, 2021.3022000", \
+					  "22.1350620, 22.3263310, 23.4879940, 30.6745630, 74.6874560, 345.9438200, 2020.4996000", \
+					  "22.8602830, 23.0491130, 24.2116190, 31.3505560, 75.4641650, 346.6580000, 2021.1110000", \
+					  "23.5890210, 23.7745150, 24.9362200, 32.1228980, 76.1449140, 347.4032400, 2022.3283000", \
+					  "24.2758720, 24.4663030, 25.6303330, 32.8045320, 76.7507590, 348.3747000, 2021.9254000", \
+					  "24.9403430, 25.1305450, 26.2928430, 33.4782830, 77.4357570, 348.7487800, 2023.5957000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5267060, 13.9111430, 16.2046660, 30.3996260, 118.2298500, 660.4104200, 4009.3973000", \
+					  "13.5343150, 13.9102950, 16.2019820, 30.3951600, 118.1413600, 660.4785200, 4007.4683000", \
+					  "13.5366860, 13.9094070, 16.2042970, 30.3989370, 118.1379900, 660.4413400, 4006.9604000", \
+					  "13.5247160, 13.9133300, 16.2085670, 30.4195030, 118.6476600, 660.4170700, 4007.5838000", \
+					  "13.5364800, 13.9085720, 16.2049410, 30.3998210, 118.1440600, 660.4718700, 4007.6225000", \
+					  "13.5320340, 13.9128980, 16.2034170, 30.3840690, 118.1892600, 660.6871900, 4006.2600000", \
+					  "13.5300010, 13.9089020, 16.1997650, 30.3951320, 118.2180800, 660.4365100, 4006.4670000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7464390, 10.8025280, 11.1087730, 13.0073710, 24.6273920, 96.1474670, 416.7494600", \
+					  "11.2759610, 11.3259110, 11.6315850, 13.5303000, 25.1458980, 96.6712430, 417.2899000", \
+					  "11.6720510, 11.7285080, 12.0359560, 13.9314220, 25.5511030, 97.0734110, 417.7370000", \
+					  "12.0355630, 12.0753330, 12.3908540, 14.2861980, 25.9090540, 97.4366260, 418.1220600", \
+					  "12.3506970, 12.4092290, 12.7099660, 14.6221120, 26.2376020, 97.7655720, 418.4429400", \
+					  "12.6500340, 12.6947250, 13.0135850, 14.9069910, 26.5287970, 98.0536680, 418.6829300", \
+					  "12.9326780, 12.9904270, 13.3069300, 15.1965200, 26.8122640, 98.3378070, 418.9593600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0375151, 3.1147804, 3.5974039, 6.6016694, 25.0192080, 138.9278300, 350.6856400", \
+					  "3.0358116, 3.1149443, 3.5967012, 6.6017405, 25.0242240, 138.9251200, 350.6675600", \
+					  "3.0370604, 3.1148053, 3.5973942, 6.6013839, 25.0248180, 138.9460200, 350.7111600", \
+					  "3.0356637, 3.1143175, 3.5975436, 6.6025745, 25.0245760, 138.8661400, 350.7254300", \
+					  "3.0374234, 3.1146299, 3.5973784, 6.6027397, 25.0201160, 138.9250600, 350.7306000", \
+					  "3.0374416, 3.1144618, 3.5971555, 6.6027797, 25.0195510, 138.8823700, 350.6587500", \
+					  "3.0374165, 3.1147751, 3.5983454, 6.6012769, 25.0227250, 138.8323100, 350.5717500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.5429620, 9.5466284, 9.5466285, 9.5529034, 9.5529037, 9.5529047, 9.6167572", \
+					  "10.0824750, 10.0824752, 10.1259820, 10.1259828, 10.1259838, 10.1259847, 10.1375170", \
+					  "10.4944960, 10.4944969, 10.5020410, 10.5020414, 10.5020423, 10.5020433, 10.5239180", \
+					  "10.8771500, 10.8771501, 10.8798010, 10.8888890, 10.8888898, 10.8888908, 10.8939340", \
+					  "11.1756220, 11.1756225, 11.2234780, 11.2234788, 11.2234798, 11.2234808, 11.2234817", \
+					  "11.4572600, 11.4572607, 11.4572616, 11.4614730, 11.4639670, 11.4639679, 11.5522440", \
+					  "11.7646990, 11.7656780, 11.7656789, 11.7656799, 11.7656808, 11.7656818, 11.7767060");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9181690, 10.9692890, 11.2842410, 13.2484430, 19.5970780, 39.6978020, 161.3150400", \
+					  "11.4402950, 11.4914900, 11.8064940, 13.7698310, 20.1187600, 40.2154930, 161.8495600", \
+					  "11.8446970, 11.8959560, 12.2108890, 14.1780040, 20.5241460, 40.6186940, 162.2418800", \
+					  "12.1965750, 12.2478330, 12.5627570, 14.5244250, 20.8816820, 40.9736240, 162.5954300", \
+					  "12.5256720, 12.5767010, 12.8909100, 14.8523300, 21.2055530, 41.3031600, 162.9310700", \
+					  "12.8157200, 12.8669010, 13.1809820, 15.1458810, 21.4994800, 41.5927350, 163.2131400", \
+					  "13.1042440, 13.1549130, 13.4695600, 15.4337880, 21.7819420, 41.8847180, 163.4985600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0909093, 3.1765708, 3.7031174, 5.6423790, 8.4205745, 33.4733980, 200.2237900", \
+					  "3.0908867, 3.1766128, 3.7027557, 5.6424874, 8.4208631, 33.4802620, 200.3366700", \
+					  "3.0908744, 3.1766128, 3.7029657, 5.6419287, 8.4205745, 33.4751040, 200.3578000", \
+					  "3.0908174, 3.1765437, 3.7030183, 5.6424030, 8.4105825, 33.4742620, 200.2367300", \
+					  "3.0921099, 3.1757423, 3.7029355, 5.6423461, 8.4148040, 33.4762370, 200.3550900", \
+					  "3.0915590, 3.1756962, 3.7029237, 5.6426330, 8.4106579, 33.4815090, 200.2230000", \
+					  "3.0913774, 3.1763392, 3.7029744, 5.6426619, 8.4185077, 33.4799780, 200.2278700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.7732130, 13.8247580, 14.1363740, 15.9737610, 20.9416160, 40.1823070, 83.1320360", \
+					  "14.4624870, 14.5130520, 14.8233990, 16.6663290, 21.6347010, 40.8828060, 83.8474390", \
+					  "15.1920240, 15.2426440, 15.5543740, 17.3910590, 22.3649690, 41.6118980, 84.5646540", \
+					  "15.9149090, 15.9664370, 16.2764170, 18.1174030, 23.0821210, 42.3198260, 85.2745570", \
+					  "16.6413480, 16.6904520, 17.0017140, 18.8356600, 23.8084750, 43.0581150, 86.0063660", \
+					  "17.3304450, 17.3844690, 17.6920610, 19.5327030, 24.5114400, 43.7306300, 86.6565340", \
+					  "17.9969480, 18.0512620, 18.3626590, 20.2011210, 25.1779540, 44.4049630, 87.3313230");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9814688, 3.0526879, 3.4892056, 4.9695283, 6.8823307, 29.0084760, 48.4270610", \
+					  "2.9825362, 3.0551097, 3.4965513, 4.9692073, 6.9015300, 29.0091420, 48.4672320", \
+					  "2.9821157, 3.0536755, 3.4977321, 4.9724376, 6.9013724, 29.0061950, 48.3782610", \
+					  "2.9798357, 3.0521938, 3.4950609, 4.9717870, 6.9028729, 29.0167340, 48.3800480", \
+					  "2.9829657, 3.0520957, 3.4964678, 4.9716613, 6.9013808, 29.0085590, 48.3562350", \
+					  "2.9830800, 3.0548268, 3.4953744, 4.9669611, 6.9047457, 29.0011520, 48.3561070", \
+					  "2.9824501, 3.0529776, 3.4975007, 4.9726290, 6.9023653, 29.0039740, 48.4910810");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.6625419, 9.6634842, 9.6643857, 9.6647383, 9.6647392, 9.6647401, 9.6918269", \
+					  "10.1904320, 10.1923090, 10.1923099, 10.1923109, 10.1923118, 10.1923128, 10.2136750", \
+					  "10.6019250, 10.6040510, 10.6040512, 10.6040521, 10.6040531, 10.6040540, 10.6248550", \
+					  "10.9501800, 10.9501806, 10.9528740, 10.9535140, 10.9535146, 10.9535156, 10.9884370", \
+					  "11.2289220, 11.2809110, 11.2809120, 11.2809129, 11.2809139, 11.2809148, 11.3163360", \
+					  "11.5643950, 11.5851860, 11.5851865, 11.5851875, 11.5851884, 11.5851894, 11.6046830", \
+					  "11.8562470, 11.8562475, 11.8562484, 11.8562494, 11.8562503, 11.8562513, 11.8913470");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5332088, 8.5342471, 8.5346095, 8.5368583, 8.5368591, 8.5368600, 8.5589127", \
+					  "9.0962002, 9.0962005, 9.0962015, 9.0962024, 9.0962034, 9.0962043, 9.1103871", \
+					  "9.4804964, 9.4834771, 9.4842099, 9.4842105, 9.4842115, 9.4842124, 9.4958148", \
+					  "9.7823758, 9.7824602, 9.7824607, 9.7838635, 9.8625508, 9.8625513, 9.8711253", \
+					  "10.1705330, 10.1705337, 10.1705347, 10.1705356, 10.1705366, 10.1705375, 10.2014460", \
+					  "10.4928630, 10.4928632, 10.4940740, 10.4940744, 10.4940753, 10.4940763, 10.5040570", \
+					  "10.6872070, 10.6903380, 10.7043410, 10.7043415, 10.7043424, 10.7043434, 10.7043443");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.9980600, 8.0011189, 8.0414226, 8.0876168, 8.0876174, 8.0876184, 8.0876194", \
+					  "8.5211509, 8.5253102, 8.5253110, 8.5253120, 8.5253130, 8.5253139, 8.5253149", \
+					  "8.9222771, 8.9222780, 8.9222789, 8.9222799, 8.9222808, 8.9222818, 8.9222827", \
+					  "9.2681498, 9.2681499, 9.2681509, 9.2681518, 9.2681528, 9.2681537, 9.2681547", \
+					  "9.5946842, 9.5946851, 9.5946861, 9.5946870, 9.5946880, 9.5946889, 9.5946899", \
+					  "9.8918305, 9.8918310, 9.8918319, 9.8918329, 9.8918338, 9.8918348, 9.8918357", \
+					  "10.1663360, 10.1663366, 10.1663375, 10.1663385, 10.1663394, 10.1663404, 10.1663414");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3590020, 11.4104090, 11.7216750, 13.6609430, 20.1243450, 40.2091270, 161.8307300", \
+					  "12.0579300, 12.1105000, 12.4198480, 14.3603330, 20.8200110, 40.9066980, 162.5295900", \
+					  "12.7763400, 12.8297950, 13.1419340, 15.0759170, 21.5388330, 41.6323080, 163.2434000", \
+					  "13.4993690, 13.5527620, 13.8604750, 15.8067570, 22.2595740, 42.3564930, 163.9747500", \
+					  "14.2225160, 14.2780910, 14.5795290, 16.5235740, 22.9865740, 43.0830980, 164.6953500", \
+					  "14.9119510, 14.9665560, 15.2771570, 17.2141520, 23.6807800, 43.7657870, 165.3760500", \
+					  "15.5770420, 15.6255200, 15.9580790, 17.8760600, 24.3176060, 44.4305360, 166.0479100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0899518, 3.1740846, 3.6982890, 5.7406719, 8.5405292, 33.4697670, 200.3621200", \
+					  "3.0886784, 3.1734293, 3.6982890, 5.7397633, 8.5404954, 33.4742120, 200.3620500", \
+					  "3.0886866, 3.1731282, 3.6984242, 5.7406262, 8.5339300, 33.4700730, 200.3577700", \
+					  "3.0890492, 3.1741152, 3.6984797, 5.7403158, 8.5404208, 33.4804080, 200.3620400", \
+					  "3.0891627, 3.1741094, 3.6987027, 5.7403100, 8.5334815, 33.4774060, 200.3546100", \
+					  "3.0892486, 3.1734364, 3.6991150, 5.7396869, 8.5404963, 33.4764250, 200.3539900", \
+					  "3.0892495, 3.1731344, 3.6989880, 5.7396883, 8.5405034, 33.4763970, 200.3620700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0501040, 22.2323640, 23.3990890, 30.5435110, 74.6786450, 345.9544500, 2020.8402000", \
+					  "22.7526690, 22.9440380, 24.1005060, 31.2740340, 75.3784520, 346.8726100, 2021.9002000", \
+					  "23.4786020, 23.6579340, 24.8230110, 31.9634670, 76.0909840, 347.5909400, 2022.5914000", \
+					  "24.1999420, 24.3709940, 25.5363680, 32.6829760, 76.8203490, 348.3155500, 2022.8051000", \
+					  "24.9207480, 25.1021960, 26.2674330, 33.4064150, 77.5412020, 348.7847900, 2023.4593000", \
+					  "25.6121970, 25.8002420, 26.9603080, 34.1043000, 78.2180360, 349.4851300, 2026.0627000", \
+					  "26.2646060, 26.4564570, 27.6166220, 34.7777340, 78.8957020, 350.0878700, 2024.2035000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5486410, 13.8940300, 16.1837870, 30.3606240, 118.5178700, 660.3941600, 4009.1345000", \
+					  "13.5313880, 13.9170410, 16.1934090, 30.4246760, 118.3320800, 660.1575800, 4010.9912000", \
+					  "13.5407490, 13.8809170, 16.2012960, 30.4221070, 118.4596200, 660.2113000, 4009.7170000", \
+					  "13.5375510, 13.8940230, 16.1837740, 30.3840760, 118.5166600, 660.1519500, 4010.0781000", \
+					  "13.5303990, 13.8785850, 16.1945480, 30.4119960, 118.3026600, 660.4162200, 4008.7689000", \
+					  "13.5292940, 13.9032310, 16.1905420, 30.4222990, 118.5093300, 660.7649500, 4010.0549000", \
+					  "13.5483000, 13.8940290, 16.1838170, 30.3606280, 118.5168900, 660.5136500, 4008.4633000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1904057, 8.1926155, 8.1926160, 8.1926170, 8.1926179, 8.1926189, 8.1926199", \
+					  "8.7258423, 8.7258430, 8.7258440, 8.7258449, 8.7258459, 8.7258468, 8.7258478", \
+					  "9.1342578, 9.1342588, 9.1342598, 9.1342607, 9.1342617, 9.1342626, 9.1342636", \
+					  "9.4942614, 9.4942623, 9.4942632, 9.4942642, 9.4942651, 9.4942661, 9.4942670", \
+					  "9.8007371, 9.8007379, 9.8007389, 9.8007398, 9.8007408, 9.8007417, 9.8007427", \
+					  "10.1173030, 10.1198560, 10.1198564, 10.1198574, 10.1198583, 10.1198593, 10.1198602", \
+					  "10.4097170, 10.4097171, 10.4097181, 10.4097190, 10.4097200, 10.4097209, 10.4097219");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4995957, 8.4995962, 8.4995971, 8.4995981, 8.4995990, 8.4996000, 8.5014182", \
+					  "9.0056389, 9.0110293, 9.0110298, 9.0110307, 9.0110317, 9.0110326, 9.1057988", \
+					  "9.4086170, 9.4086175, 9.4320640, 9.4550652, 9.4550653, 9.4550663, 9.4550672", \
+					  "9.7753121, 9.7753130, 9.7980406, 9.7980409, 9.7980419, 9.7980428, 9.8464756", \
+					  "10.0812770, 10.0818260, 10.0818267, 10.0818277, 10.0818286, 10.0818296, 10.1636860", \
+					  "10.3833530, 10.3839250, 10.3839260, 10.3839269, 10.3839279, 10.3839288, 10.4522590", \
+					  "10.6759430, 10.6777410, 10.6777416, 10.6777425, 10.6777435, 10.6777444, 10.7340200");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9179300, 14.9698870, 15.2881480, 17.1794490, 28.5437180, 95.2347250, 186.8183400", \
+					  "15.6194030, 15.6704910, 15.9891780, 17.8767900, 29.2435070, 95.9375580, 187.5224700", \
+					  "16.3351430, 16.3873450, 16.7047900, 18.5978270, 29.9619530, 96.6525850, 188.2479800", \
+					  "17.0562830, 17.1127150, 17.4321640, 19.3225360, 30.6835550, 97.3767770, 188.9693900", \
+					  "17.7821420, 17.8327910, 18.1502720, 20.0385890, 31.4220120, 98.1021200, 189.7140600", \
+					  "18.4689170, 18.5280250, 18.8410600, 20.7225990, 32.1268310, 98.7902170, 190.3984100", \
+					  "19.1290270, 19.1897130, 19.5147790, 21.3931310, 32.7771620, 99.4421750, 191.0507900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0565774, 3.1241205, 3.5694019, 6.3937895, 24.2851710, 97.1857260, 63.6305510", \
+					  "3.0570022, 3.1264140, 3.5692209, 6.3946284, 24.2855300, 97.2310020, 63.6319090", \
+					  "3.0557308, 3.1268719, 3.5690566, 6.3938472, 24.2859420, 97.1898400, 63.6097750", \
+					  "3.0564420, 3.1296814, 3.5690697, 6.3930194, 24.2867260, 97.2270090, 63.7460000", \
+					  "3.0553400, 3.1298970, 3.5766572, 6.3965540, 24.3097600, 97.2220920, 63.6146920", \
+					  "3.0552598, 3.1270306, 3.5758052, 6.3934968, 24.2597110, 97.2233560, 63.6633290", \
+					  "3.0565905, 3.1299718, 3.5693917, 6.3897851, 24.2851910, 97.1840280, 63.5958630");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5316598, 8.5316606, 8.5316616, 8.5460172, 8.5460182, 8.5460191, 8.5713472", \
+					  "9.0797512, 9.0797515, 9.0797525, 9.0797534, 9.0797544, 9.0797554, 9.0797563", \
+					  "9.4587653, 9.4614343, 9.4614349, 9.4614358, 9.4614368, 9.4614378, 9.4719139", \
+					  "9.7649364, 9.7883106, 9.8181170, 9.8356212, 9.8356214, 9.8356224, 9.8356233", \
+					  "10.1366370, 10.1366373, 10.1366382, 10.1366392, 10.1366401, 10.1366411, 10.1497430", \
+					  "10.4615170, 10.4615179, 10.4615188, 10.4615198, 10.4615207, 10.4615217, 10.4820000", \
+					  "10.6941730, 10.6941734, 10.7084000, 10.7084003, 10.7084013, 10.7084022, 10.7084032");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4880680, 8.4880682, 8.4880691, 8.4880701, 8.4880710, 8.4880720, 8.6603968", \
+					  "9.0077155, 9.0117860, 9.0117870, 9.0117879, 9.0117889, 9.0117898, 9.1204226", \
+					  "9.4106154, 9.4337249, 9.4362491, 9.4600026, 9.4600034, 9.4600044, 9.4694510", \
+					  "9.7789981, 9.7825268, 9.7825275, 9.7825285, 9.7825294, 9.7825304, 9.8484803", \
+					  "10.0833310, 10.0833316, 10.0833326, 10.0833335, 10.0833345, 10.0833354, 10.1622740", \
+					  "10.3867650, 10.3867651, 10.3867660, 10.3867670, 10.3867679, 10.3867689, 10.4481420", \
+					  "10.6793520, 10.6793523, 10.6793533, 10.6793542, 10.6793552, 10.6793561, 10.7316720");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.2060545, 8.2060552, 8.2060562, 8.2060571, 8.2060581, 8.2060590, 8.2060600", \
+					  "8.7367154, 8.7381800, 8.7381807, 8.7381816, 8.7381826, 8.7381835, 8.7381845", \
+					  "9.1491312, 9.1491313, 9.1491323, 9.1491333, 9.1491342, 9.1491352, 9.1491361", \
+					  "9.5050767, 9.5050769, 9.5050779, 9.5050788, 9.5050798, 9.5050807, 9.5050817", \
+					  "9.8237560, 9.8255235, 9.8255239, 9.8255249, 9.8255258, 9.8255268, 9.8255277", \
+					  "10.1278940, 10.1278949, 10.1278959, 10.1278968, 10.1278978, 10.1278987, 10.1278997", \
+					  "10.4065580, 10.4065586, 10.4136350, 10.4136358, 10.4136367, 10.4136377, 10.4136386");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0010976, 8.0024103, 8.0024105, 8.1004305, 8.1004310, 8.1004320, 8.1004329", \
+					  "8.5223792, 8.5263565, 8.5333109, 8.5333114, 8.5333124, 8.5333133, 8.5333143", \
+					  "8.9230721, 8.9230724, 8.9298009, 8.9298015, 8.9298025, 8.9298034, 8.9298044", \
+					  "9.2687049, 9.2689509, 9.2753805, 9.2753807, 9.2753816, 9.2753826, 9.2753835", \
+					  "9.5952695, 9.5952697, 9.6002033, 9.6002040, 9.6002050, 9.6002059, 9.6002069", \
+					  "9.8917106, 9.8917108, 9.8969162, 9.8969169, 9.8969179, 9.8969188, 9.8969198", \
+					  "10.1668850, 10.1668859, 10.1703420, 10.1703430, 10.1703439, 10.1703449, 10.1703458");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7474270, 10.7987330, 11.1096650, 13.0508790, 19.5089700, 39.6004260, 161.2221600", \
+					  "11.2731560, 11.3206680, 11.6326780, 13.5725350, 20.0330240, 40.1242200, 161.7399800", \
+					  "11.6728810, 11.7247450, 12.0344970, 13.9752740, 20.4336300, 40.5247820, 162.1508800", \
+					  "12.0260720, 12.0766810, 12.3849150, 14.3281120, 20.7870880, 40.8768700, 162.4869100", \
+					  "12.3577460, 12.4089430, 12.7196490, 14.6514720, 21.1092990, 41.2043200, 162.8254300", \
+					  "12.6498950, 12.6988110, 13.0079240, 14.9485760, 21.4101730, 41.5049260, 163.1230200", \
+					  "12.9392250, 12.9879650, 13.2997230, 15.2354130, 21.6986960, 41.7885180, 163.4069800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0887191, 3.1740199, 3.6988625, 5.7405658, 8.5337328, 33.4744360, 200.2007400", \
+					  "3.0890690, 3.1733594, 3.6982057, 5.7399228, 8.5410639, 33.4744610, 200.3626800", \
+					  "3.0890097, 3.1732192, 3.6989753, 5.7410836, 8.5397884, 33.4659940, 200.2163300", \
+					  "3.0889209, 3.1733955, 3.6988737, 5.7400773, 8.5396366, 33.4797330, 200.3617000", \
+					  "3.0890606, 3.1733494, 3.6990042, 5.7406382, 8.5351246, 33.4808020, 200.3626500", \
+					  "3.0892888, 3.1733789, 3.6982410, 5.7399321, 8.5381782, 33.4815520, 200.2250900", \
+					  "3.0891514, 3.1732672, 3.6990131, 5.7406289, 8.5386134, 33.4773030, 200.3634900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9021230, 10.9307310, 10.9307313, 10.9307323, 10.9307333, 10.9307342, 10.9436010", \
+					  "11.4889730, 11.4889732, 11.4889741, 11.4932560, 11.4932561, 11.4932571, 11.5471470", \
+					  "11.8872490, 11.8872495, 11.8930260, 11.8930269, 11.8930278, 11.8930288, 11.9096240", \
+					  "12.2115180, 12.2125040, 12.2599100, 12.2599102, 12.2599111, 12.2599121, 12.2599130", \
+					  "12.5727080, 12.5925030, 12.5925031, 12.5925041, 12.5925050, 12.5925060, 12.5925069", \
+					  "12.8381830, 12.8393650, 12.8393655, 12.8393665, 12.8393674, 12.8393684, 12.8625630", \
+					  "13.0117350, 13.1602050, 13.1602054, 13.1602064, 13.1795420, 13.1795421, 13.1795431");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3601280, 11.4102610, 11.7215690, 13.6621590, 20.1231000, 40.2125440, 161.8308400", \
+					  "12.0591180, 12.1095330, 12.4215030, 14.3603050, 20.8159300, 40.9111960, 162.5327700", \
+					  "12.7806010, 12.8251160, 13.1415810, 15.0828040, 21.5402740, 41.6221940, 163.2467200", \
+					  "13.4968490, 13.5548590, 13.8598180, 15.8045450, 22.2619130, 42.3511290, 163.9675600", \
+					  "14.2253160, 14.2732760, 14.5835740, 16.5245650, 22.9912360, 43.0865480, 164.6912400", \
+					  "14.9153170, 14.9622820, 15.2767790, 17.2158230, 23.6763280, 43.7655920, 165.3855400", \
+					  "15.5748140, 15.6245430, 15.9542320, 17.8687620, 24.3438780, 44.4317440, 166.0442300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0893237, 3.1736098, 3.6984698, 5.7401279, 8.5413925, 33.4748550, 200.3615400", \
+					  "3.0893270, 3.1736094, 3.6984680, 5.7401315, 8.5387741, 33.4790970, 200.3542400", \
+					  "3.0892604, 3.1735976, 3.6986418, 5.7407963, 8.5387484, 33.4791570, 200.3615800", \
+					  "3.0893277, 3.1731122, 3.6987047, 5.7410965, 8.5367926, 33.4790580, 200.3626900", \
+					  "3.0894958, 3.1736045, 3.6993038, 5.7403855, 8.5399883, 33.4637880, 200.3607900", \
+					  "3.0893228, 3.1736112, 3.6984855, 5.7406564, 8.5412530, 33.4798860, 200.3549000", \
+					  "3.0893277, 3.1736116, 3.6984711, 5.7408736, 8.5361611, 33.4790860, 200.3616000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9779870, 15.0285950, 15.3374420, 17.1676540, 22.2812450, 41.5281920, 84.5380300", \
+					  "15.6795750, 15.7288000, 16.0379940, 17.8649500, 22.9828600, 42.2174510, 85.2321520", \
+					  "16.3974270, 16.4451990, 16.7577150, 18.5887320, 23.7012930, 42.9314040, 85.9393130", \
+					  "17.1122970, 17.1651800, 17.4834420, 19.3082190, 24.4250740, 43.6475500, 86.6771260", \
+					  "17.8486840, 17.8952440, 18.2054960, 20.0298960, 25.1454730, 44.3770390, 87.3554030", \
+					  "18.5355070, 18.5893100, 18.8961020, 20.7216500, 25.8414180, 45.0635690, 88.0976290", \
+					  "19.1960140, 19.2454120, 19.5597560, 21.3849670, 26.5080830, 45.7292870, 88.7554420");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0266896, 3.0996701, 3.5374750, 5.1054845, 6.9818697, 29.0350680, 48.3948210", \
+					  "3.0287424, 3.0970813, 3.5372318, 5.1054680, 6.9863768, 29.0318760, 48.3610560", \
+					  "3.0274896, 3.0989926, 3.5353740, 5.1017279, 6.9777859, 29.0388000, 48.2967510", \
+					  "3.0287304, 3.0996814, 3.5325389, 5.1042295, 6.9902795, 29.0494310, 48.3913530", \
+					  "3.0279712, 3.0935408, 3.5305727, 5.1072502, 6.9902915, 29.0498810, 48.4378970", \
+					  "3.0275298, 3.0928853, 3.5353671, 5.1035300, 6.9902750, 29.0500350, 48.3866670", \
+					  "3.0266640, 3.0996752, 3.5328231, 5.1053188, 6.9819581, 29.0507100, 48.3916690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3649370, 11.4147190, 11.7208740, 13.6202760, 25.2348670, 96.7629600, 417.4327400", \
+					  "12.0645580, 12.1144890, 12.4190050, 14.3182270, 25.9352340, 97.4623400, 418.1355600", \
+					  "12.7819060, 12.8300270, 13.1487030, 15.0389050, 26.6536270, 98.1888420, 418.8541700", \
+					  "13.4991030, 13.5512650, 13.8627600, 15.7583720, 27.3794020, 98.8990010, 419.5560700", \
+					  "14.2253170, 14.2745010, 14.5831150, 16.4885940, 28.1024200, 99.6210190, 420.3078000", \
+					  "14.9187080, 14.9636850, 15.2735890, 17.1766600, 28.7898880, 100.3210700, 421.0037000", \
+					  "15.5871350, 15.6371480, 15.9352190, 17.8369600, 29.4519750, 100.9892200, 421.6415400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0359444, 3.1151817, 3.5971697, 6.6020953, 25.0243950, 138.8637500, 350.7383000", \
+					  "3.0359439, 3.1151928, 3.5971828, 6.6018733, 25.0243430, 138.8587400, 350.7388400", \
+					  "3.0377971, 3.1147935, 3.5985730, 6.6015295, 25.0244310, 138.8514600, 350.7355800", \
+					  "3.0371303, 3.1145209, 3.5971239, 6.6018564, 25.0248410, 138.8993400, 350.7208000", \
+					  "3.0378704, 3.1149818, 3.5985115, 6.6017360, 25.0216400, 138.8634000, 350.7416000", \
+					  "3.0359333, 3.1143830, 3.5977765, 6.6028387, 25.0244040, 138.8669800, 350.7374800", \
+					  "3.0359437, 3.1151945, 3.5971564, 6.6018688, 25.0244060, 138.8612000, 350.7387000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9907060, 15.0437240, 15.3616320, 17.2419570, 28.6286280, 98.5558440, 525.5681700", \
+					  "15.6944840, 15.7458950, 16.0639750, 17.9440660, 29.3164820, 99.1996390, 526.2749000", \
+					  "16.4087110, 16.4617280, 16.7774360, 18.6674200, 30.0279910, 99.9336440, 527.0197800", \
+					  "17.1393250, 17.1896430, 17.5034830, 19.3811190, 30.7598180, 100.6683100, 527.7541400", \
+					  "17.8658810, 17.9115410, 18.2307770, 20.1144650, 31.4949240, 101.3586700, 528.4726400", \
+					  "18.5544100, 18.6012610, 18.9185170, 20.8000640, 32.1600600, 102.0531600, 529.1501600", \
+					  "19.2096080, 19.2621550, 19.5851830, 21.4593570, 32.8482270, 102.7815300, 529.8074400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0537353, 3.1312060, 3.5783974, 6.3928156, 24.3074200, 135.4374500, 504.2048800", \
+					  "3.0528664, 3.1305210, 3.5782612, 6.3883898, 24.3048230, 135.4014800, 504.1999300", \
+					  "3.0538256, 3.1305070, 3.5782552, 6.3881660, 24.3088460, 135.4158500, 504.1832800", \
+					  "3.0529308, 3.1305400, 3.5779344, 6.3796586, 24.3041070, 135.4234200, 504.2473400", \
+					  "3.0529299, 3.1305363, 3.5786714, 6.3798593, 24.3038480, 135.3955500, 504.2471700", \
+					  "3.0529110, 3.1305414, 3.5786321, 6.3866699, 24.3064450, 135.3987600, 504.1636700", \
+					  "3.0537335, 3.1312042, 3.5786847, 6.3801822, 24.3073240, 135.4404900, 504.1846400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5292848, 8.5298657, 8.5298658, 8.5298667, 8.5298677, 8.5298687, 8.5523215", \
+					  "9.0127061, 9.0296597, 9.0296598, 9.0304848, 9.0304857, 9.0304866, 9.0429335", \
+					  "9.4690984, 9.4717754, 9.4717756, 9.4717765, 9.4717775, 9.4717784, 9.4946842", \
+					  "9.8368798, 9.8368803, 9.8368812, 9.8368822, 9.8368831, 9.8368841, 9.8623989", \
+					  "10.1401030, 10.1401039, 10.1420320, 10.1456610, 10.1456619, 10.1456628, 10.1726000", \
+					  "10.4618600, 10.4618602, 10.4618612, 10.4618621, 10.4618631, 10.4618640, 10.4618650", \
+					  "10.7321800, 10.7321802, 10.7504780, 10.7744430, 10.7744432, 10.7744442, 10.7744451");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4983105, 8.4983106, 8.4983116, 8.4983125, 8.4983135, 8.4983144, 8.6828669", \
+					  "9.0183727, 9.0183731, 9.0183740, 9.0183750, 9.0183759, 9.0183769, 9.0697236", \
+					  "9.4194723, 9.4194732, 9.4194742, 9.4194751, 9.4194761, 9.4194770, 9.4641281", \
+					  "9.7651753, 9.7707185, 9.7707191, 9.7775983, 9.7775989, 9.7775999, 9.8233928", \
+					  "10.0873400, 10.0887310, 10.0887313, 10.0887323, 10.0887332, 10.0887342, 10.1434590", \
+					  "10.3913600, 10.3925990, 10.3925996, 10.3926006, 10.3926015, 10.3926025, 10.4591650", \
+					  "10.6775000, 10.6796690, 10.6796699, 10.6796709, 10.6796718, 10.6796728, 10.7146140");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6687874, 7.6687878, 7.6741165, 7.6903017, 7.6903022, 7.6903027, 7.6903031", \
+					  "8.3104377, 8.3107370, 8.3107372, 8.3107381, 8.3107391, 8.3107400, 8.3246334", \
+					  "9.0295202, 9.0304653, 9.0304657, 9.0304666, 9.0304676, 9.0304685, 9.1284704", \
+					  "9.7508908, 9.7521857, 9.7521863, 9.7521873, 9.7521883, 9.7521892, 9.8000079", \
+					  "10.4500910, 10.4507450, 10.4620550, 10.4639650, 10.4784170, 10.4784179, 10.5707900", \
+					  "11.1110890, 11.1110893, 11.1110902, 11.1587740, 11.1587749, 11.1587759, 11.2381270", \
+					  "11.8445480, 11.8445488, 11.8445497, 11.8445507, 11.8445516, 11.8445526, 11.9264150");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8820070, 14.9328300, 15.2417460, 17.0681420, 22.2438120, 41.5810070, 143.5577100", \
+					  "15.5825980, 15.6340270, 15.9424650, 17.7702780, 22.9443490, 42.2967510, 144.2635400", \
+					  "16.2983970, 16.3551390, 16.6577030, 18.4881670, 23.6598070, 43.0151100, 144.9826900", \
+					  "17.0226780, 17.0745590, 17.3823500, 19.2098140, 24.3827150, 43.7398210, 145.6983100", \
+					  "17.7427000, 17.7960920, 18.1028280, 19.9387970, 25.1052010, 44.4587870, 146.4182700", \
+					  "18.4389620, 18.4928550, 18.7920170, 20.6299080, 25.7980090, 45.1520740, 147.1087100", \
+					  "19.0946420, 19.1503150, 19.4562610, 21.2859900, 26.4667040, 45.8140160, 147.7731600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0321787, 3.0995035, 3.5367915, 5.1090887, 7.0414292, 32.0671170, 98.0673250", \
+					  "3.0321416, 3.0982918, 3.5368410, 5.1158708, 7.0441879, 32.0785670, 98.0760860", \
+					  "3.0316389, 3.1028853, 3.5357193, 5.1156204, 7.0394921, 32.0786810, 98.0694420", \
+					  "3.0315643, 3.1009053, 3.5367145, 5.1165383, 7.0418222, 32.0787950, 98.0685900", \
+					  "3.0288285, 3.1015472, 3.5367673, 5.1155573, 7.0395685, 32.0790790, 98.0689380", \
+					  "3.0289882, 3.1029017, 3.5359820, 5.1091820, 7.0460757, 32.0787660, 98.0680780", \
+					  "3.0322023, 3.0994178, 3.5367813, 5.1090865, 7.0413386, 32.0671030, 98.0653920");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6544371, 7.6556992, 7.6585405, 7.6585410, 7.6585415, 7.6585420, 7.6585424", \
+					  "8.3210052, 8.3210054, 8.3210063, 8.3210073, 8.3210083, 8.3210092, 8.3210102", \
+					  "9.0343830, 9.0432621, 9.0432630, 9.0432640, 9.0432649, 9.0432659, 9.0804351", \
+					  "9.7442685, 9.7489163, 9.7535606, 9.7679278, 9.7679287, 9.7679296, 9.8683914", \
+					  "10.4674710, 10.4674716, 10.4736970, 10.4736972, 10.4736982, 10.4736991, 10.5523800", \
+					  "11.1672370, 11.1728950, 11.1743920, 11.1743923, 11.1743932, 11.1743942, 11.2742670", \
+					  "11.8404740, 11.8404747, 11.8592000, 11.8592001, 11.8592010, 11.8592020, 11.9611910");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3964170, 17.5890880, 18.7869120, 26.1463990, 71.3732650, 350.3658400, 2072.1711000", \
+					  "18.0954270, 18.2872140, 19.4861710, 26.8462660, 72.0734970, 351.0647600, 2072.8505000", \
+					  "18.8149180, 19.0071920, 20.2069440, 27.5513640, 72.8262820, 351.8353000, 2073.3535000", \
+					  "19.5339900, 19.7253480, 20.9222170, 28.2516940, 73.5155790, 352.5014400, 2073.9185000", \
+					  "20.2637690, 20.4523240, 21.6519000, 29.0025040, 74.2349470, 353.2355500, 2074.9274000", \
+					  "20.9481500, 21.1434070, 22.3478840, 29.7183010, 74.9293460, 353.9320500, 2075.9960000", \
+					  "21.6195280, 21.8169640, 22.9966660, 30.3332540, 75.5691330, 354.6123300, 2076.5995000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5805390, 14.9665810, 17.3231100, 31.9160730, 122.0815900, 678.7140600, 4109.8929000", \
+					  "14.5774620, 14.9666340, 17.3234510, 31.9158990, 122.0812900, 678.7213900, 4109.8033000", \
+					  "14.5863880, 14.9587120, 17.3166010, 31.8948070, 122.0299000, 678.1444900, 4110.3344000", \
+					  "14.5865080, 14.9667740, 17.3239330, 31.8950550, 122.0815300, 678.6336800, 4109.3554000", \
+					  "14.5780080, 14.9661030, 17.3205060, 31.9033080, 122.0845600, 678.7972800, 4112.0393000", \
+					  "14.5869890, 14.9579160, 17.3230960, 31.9080550, 122.0863600, 678.6380600, 4110.0702000", \
+					  "14.5869220, 14.9666320, 17.3051140, 31.8856190, 122.0659100, 678.0106200, 4111.4936000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0577000, 22.2460500, 23.4101910, 30.5817420, 74.6010190, 346.1044000, 2023.2121000", \
+					  "22.7558950, 22.9442100, 24.1048500, 31.2953180, 75.2521810, 346.6231200, 2021.3022000", \
+					  "23.4740600, 23.6629510, 24.8261140, 32.0119330, 75.9698720, 347.3049600, 2022.1012000", \
+					  "24.2008670, 24.3870880, 25.5545240, 32.7418450, 76.7005940, 348.0261100, 2023.2674000", \
+					  "24.9263370, 25.1196410, 26.2773020, 33.4632620, 77.4211060, 348.7487600, 2023.1748000", \
+					  "25.6054890, 25.8046030, 26.9743980, 34.1583260, 78.1529650, 349.4062100, 2023.5382000", \
+					  "26.2679600, 26.4679000, 27.6337850, 34.7950180, 78.7682740, 350.3309100, 2025.2346000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5347630, 13.9158410, 16.2086060, 30.4239120, 118.5175700, 660.5330300, 4007.4142000", \
+					  "13.5387650, 13.8999040, 16.2101660, 30.3921940, 118.1571200, 660.8779600, 4008.1659000", \
+					  "13.5438740, 13.9018780, 16.2145300, 30.4113250, 118.2467600, 661.2166900, 4006.8180000", \
+					  "13.5442130, 13.9064050, 16.2078230, 30.4037120, 118.1564900, 660.6468300, 4008.1322000", \
+					  "13.5442790, 13.9016960, 16.2077640, 30.4038570, 118.1562700, 660.5247900, 4008.2282000", \
+					  "13.5459560, 13.9007760, 16.2073930, 30.4042160, 118.5141200, 660.6396100, 4007.6761000", \
+					  "13.5443110, 13.9156980, 16.2088400, 30.4239120, 118.1570000, 660.9561800, 4007.9294000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7729030, 10.8238840, 11.1338600, 13.0756930, 19.5177140, 39.6098270, 161.2248900", \
+					  "11.2949790, 11.3462820, 11.6568290, 13.5984600, 20.0403590, 40.1297060, 161.7522500", \
+					  "11.6990100, 11.7505080, 12.0610990, 14.0028490, 20.4440320, 40.5325980, 162.1555200", \
+					  "12.0535600, 12.1042540, 12.4146390, 14.3553920, 20.8040320, 40.8909320, 162.5018600", \
+					  "12.3799810, 12.4313320, 12.7374800, 14.6835270, 21.1306530, 41.2145840, 162.8179300", \
+					  "12.6694990, 12.7203430, 13.0382070, 14.9726560, 21.4211120, 41.5080270, 163.1247500", \
+					  "12.9592650, 13.0099340, 13.3207100, 15.2611810, 21.7096530, 41.7971150, 163.4117800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0885656, 3.1729583, 3.6986452, 5.7277165, 8.5265244, 33.4708550, 200.3410900", \
+					  "3.0887026, 3.1729532, 3.6995440, 5.7274647, 8.5230667, 33.4719670, 200.3426000", \
+					  "3.0897702, 3.1728620, 3.6991117, 5.7279803, 8.5251415, 33.4787950, 200.3616700", \
+					  "3.0885905, 3.1730913, 3.6990981, 5.7280771, 8.5278922, 33.4705310, 200.3618000", \
+					  "3.0886835, 3.1731484, 3.6991514, 5.7277392, 8.5227665, 33.4735830, 200.3614700", \
+					  "3.0897831, 3.1729441, 3.6991246, 5.7275522, 8.5285281, 33.4697390, 200.2511600", \
+					  "3.0886413, 3.1731184, 3.6987930, 5.7278888, 8.5276790, 33.4751750, 200.3607200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.1964330, 21.3834780, 22.5791790, 29.8077050, 73.9645070, 345.5553200, 2019.1903000", \
+					  "21.8928410, 22.0823640, 23.2629060, 30.5025020, 74.6585440, 345.9388200, 2020.1660000", \
+					  "22.6261130, 22.8119370, 23.9894380, 31.2385500, 75.3854440, 346.7778500, 2021.9966000", \
+					  "23.3494700, 23.5302820, 24.7192540, 31.9184680, 76.1075400, 347.4594900, 2020.8274000", \
+					  "24.0716960, 24.2680490, 25.4349790, 32.6843940, 76.7621700, 348.1922000, 2024.8922000", \
+					  "24.7669850, 24.9458230, 26.1345190, 33.3796600, 77.5328320, 348.9505800, 2024.1041000", \
+					  "25.4350250, 25.6252300, 26.8027790, 34.0363510, 78.0710250, 349.6433300, 2024.3501000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5305090, 13.8876000, 16.1934290, 30.4245660, 118.3791300, 660.9705600, 4004.8640000", \
+					  "13.5296540, 13.8932090, 16.2085860, 30.4221610, 118.6309900, 660.7330100, 4003.1196000", \
+					  "13.5183330, 13.9027120, 16.2081420, 30.4298060, 118.2824900, 660.6721900, 4004.2096000", \
+					  "13.5197020, 13.8909440, 16.2014930, 30.4224310, 118.6037400, 660.5004600, 4005.4792000", \
+					  "13.5197040, 13.8922700, 16.1956160, 30.4272230, 118.4910500, 660.5030200, 4003.2228000", \
+					  "13.5222900, 13.8959030, 16.1988470, 30.4299060, 118.5758500, 660.7710400, 4003.0591000", \
+					  "13.5160900, 13.9063600, 16.2027390, 30.4227290, 118.2310200, 661.0829400, 4005.3524000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3651080, 11.4077970, 11.7261620, 13.6190770, 25.2369110, 96.7629460, 417.4189900", \
+					  "12.0625070, 12.1072050, 12.4266140, 14.3178640, 25.9331060, 97.4613030, 418.1127900", \
+					  "12.7818920, 12.8245280, 13.1423770, 15.0452410, 26.6477840, 98.1848700, 418.8389700", \
+					  "13.5102280, 13.5536500, 13.8606300, 15.7566550, 27.3731950, 98.9011040, 419.5762200", \
+					  "14.2375220, 14.2703360, 14.5865550, 16.4889840, 28.1013680, 99.6311440, 420.3020000", \
+					  "14.9197600, 14.9650140, 15.2784220, 17.1790300, 28.7882730, 100.3146000, 420.9368300", \
+					  "15.5855150, 15.6327380, 15.9430180, 17.8350290, 29.4783750, 100.9768300, 421.6394700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0356753, 3.1131540, 3.5973993, 6.6016992, 25.0142200, 138.8641200, 350.7145200", \
+					  "3.0384528, 3.1137968, 3.5977912, 6.6016610, 25.0238020, 138.8633400, 350.6857000", \
+					  "3.0374365, 3.1128482, 3.5971326, 6.6042505, 25.0249550, 138.8690400, 350.7092300", \
+					  "3.0365925, 3.1139227, 3.5971408, 6.6011867, 25.0227180, 138.8641700, 350.7136100", \
+					  "3.0374656, 3.1139058, 3.5973933, 6.6032784, 25.0167020, 138.8577000, 350.6711700", \
+					  "3.0356320, 3.1142058, 3.5972663, 6.6036794, 25.0243560, 138.8641000, 350.6714800", \
+					  "3.0356244, 3.1129892, 3.5969676, 6.6011991, 25.0229860, 138.8631600, 350.6762600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0615280, 22.2439650, 23.4046610, 30.5895680, 74.6834980, 346.1752800, 2020.1232000", \
+					  "22.7553730, 22.9355880, 24.0966430, 31.2479780, 75.3785230, 346.8071800, 2021.4768000", \
+					  "23.4746910, 23.6584900, 24.8292480, 31.9738480, 76.0825780, 347.6295900, 2022.5566000", \
+					  "24.1984100, 24.3900920, 25.5528310, 32.7214590, 76.8196320, 348.2269100, 2023.5602000", \
+					  "24.9238640, 25.1125730, 26.2750440, 33.4501710, 77.5434050, 348.9844900, 2023.6614000", \
+					  "25.6125520, 25.7896570, 26.9651180, 34.1521580, 78.2314590, 349.6553800, 2024.8310000", \
+					  "26.2789590, 26.4603560, 27.6323990, 34.8280840, 78.8969600, 350.3878400, 2025.5782000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5349860, 13.8995570, 16.2152120, 30.4098650, 118.4534100, 660.7820600, 4006.9253000", \
+					  "13.5360550, 13.8851590, 16.1908690, 30.4259440, 118.3363700, 660.8127600, 4007.3560000", \
+					  "13.5372930, 13.8858820, 16.2133380, 30.4262570, 118.5020100, 660.7878600, 4008.5433000", \
+					  "13.5306800, 13.9155950, 16.2050090, 30.4169670, 118.4471400, 660.8228800, 4009.8125000", \
+					  "13.5311780, 13.9156940, 16.2047090, 30.4093280, 118.3167600, 660.7779100, 4008.0349000", \
+					  "13.5295530, 13.8852870, 16.2034580, 30.4052780, 118.4154800, 660.7622800, 4007.8626000", \
+					  "13.5455790, 13.8981410, 16.2138870, 30.4070870, 118.4485700, 660.7883700, 4008.7211000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3960580, 17.5882140, 18.7874820, 26.1446100, 71.3782880, 350.3784300, 2072.1363000", \
+					  "18.0957200, 18.2881750, 19.4809480, 26.8446700, 72.0740370, 351.0785700, 2072.7207000", \
+					  "18.8157510, 19.0049080, 20.2077290, 27.5625260, 72.8119290, 351.7992600, 2073.5386000", \
+					  "19.5432740, 19.7290310, 20.9189570, 28.2543060, 73.5110670, 352.5082700, 2074.2214000", \
+					  "20.2587880, 20.4511340, 21.6502320, 29.0058910, 74.2416190, 353.2441600, 2074.3626000", \
+					  "20.9507680, 21.1362200, 22.3322390, 29.6923930, 74.9218500, 353.9324200, 2075.3698000", \
+					  "21.6269850, 21.8077610, 22.9987800, 30.3615510, 75.5884240, 354.5984000, 2075.5704000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5817300, 14.9635860, 17.3172690, 31.8980110, 122.0683400, 678.6449900, 4116.4312000", \
+					  "14.5806450, 14.9596230, 17.3055220, 31.9032690, 122.0075300, 678.4856600, 4110.1043000", \
+					  "14.5820830, 14.9562500, 17.3169480, 31.8971550, 122.1002600, 678.2667600, 4110.8515000", \
+					  "14.5721040, 14.9632560, 17.3095550, 31.8797010, 122.0522000, 678.4932200, 4110.7114000", \
+					  "14.5816200, 14.9630710, 17.3021120, 31.8977090, 122.0725900, 678.5762700, 4109.9911000", \
+					  "14.5815760, 14.9635490, 17.3111180, 31.9135190, 122.0591500, 678.5542200, 4110.0461000", \
+					  "14.5817270, 14.9636270, 17.3053200, 31.8979080, 122.0619800, 678.5069800, 4109.1766000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9980330, 15.0496110, 15.3655830, 17.2574930, 28.6264030, 98.6078130, 525.6218900", \
+					  "15.6952990, 15.7455200, 16.0598240, 17.9541570, 29.3261260, 99.3009980, 526.2792800", \
+					  "16.4113650, 16.4636230, 16.7843570, 18.6566550, 30.0446780, 100.0190200, 527.0313700", \
+					  "17.1316310, 17.1931090, 17.5020890, 19.3918980, 30.7716020, 100.6898000, 527.7511800", \
+					  "17.8587700, 17.9116830, 18.2355200, 20.1234580, 31.4934780, 101.4606400, 528.4883900", \
+					  "18.5476630, 18.6016710, 18.9148150, 20.8106460, 32.1813860, 102.1576400, 529.1372500", \
+					  "19.2162660, 19.2613640, 19.5828600, 21.4756760, 32.8512290, 102.8277800, 529.8515500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0547924, 3.1271787, 3.5733039, 6.3953336, 24.2949060, 135.4240900, 504.3367000", \
+					  "3.0547997, 3.1272538, 3.5720187, 6.3947865, 24.2797570, 135.4191000, 504.2551300", \
+					  "3.0565261, 3.1309484, 3.5785226, 6.3925176, 24.3052480, 135.4194400, 504.2133500", \
+					  "3.0551284, 3.1272156, 3.5731405, 6.3940235, 24.2855410, 135.4334800, 504.2950900", \
+					  "3.0543497, 3.1272587, 3.5722172, 6.3949646, 24.2886640, 135.4224100, 504.2944100", \
+					  "3.0532195, 3.1269098, 3.5697012, 6.3951218, 24.2763140, 135.4198000, 504.2485300", \
+					  "3.0544383, 3.1272100, 3.5732040, 6.3953234, 24.2947400, 135.4237600, 504.3375500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3602790, 11.4103910, 11.7217630, 13.6622020, 20.1228370, 40.2111520, 161.8236400", \
+					  "12.0600150, 12.1109870, 12.4213320, 14.3629770, 20.8209330, 40.9107630, 162.5242200", \
+					  "12.7794060, 12.8313260, 13.1361850, 15.0813390, 21.5410130, 41.6302050, 163.2446500", \
+					  "13.5039850, 13.5531750, 13.8621090, 15.8001880, 22.2619790, 42.3536190, 163.9731400", \
+					  "14.2229170, 14.2722870, 14.5664490, 16.5253910, 22.9869580, 43.0780530, 164.6826500", \
+					  "14.9254560, 14.9542370, 15.2786620, 17.2332210, 23.6743250, 43.7639190, 165.3777700", \
+					  "15.5778550, 15.6313200, 15.9373920, 17.8773710, 24.3415920, 44.4311040, 166.0473000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0890051, 3.1732927, 3.6984322, 5.7400689, 8.5414342, 33.4689570, 200.3516100", \
+					  "3.0890059, 3.1732932, 3.6984371, 5.7400724, 8.5414431, 33.4689820, 200.3574900", \
+					  "3.0894831, 3.1733851, 3.6991163, 5.7400071, 8.5345366, 33.4745850, 200.2405800", \
+					  "3.0886611, 3.1733935, 3.6984207, 5.7400720, 8.5407343, 33.4794020, 200.3583700", \
+					  "3.0884759, 3.1734804, 3.6984975, 5.7410072, 8.5389527, 33.4702650, 200.3412500", \
+					  "3.0888740, 3.1733254, 3.6984262, 5.7400626, 8.5349647, 33.4702470, 200.3622700", \
+					  "3.0890055, 3.1733811, 3.6992125, 5.7400698, 8.5416021, 33.4690040, 200.3573200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3922490, 17.5890950, 18.7879450, 26.1460350, 71.3739960, 350.3748200, 2072.2730000", \
+					  "18.0925850, 18.2889510, 19.4859420, 26.8276170, 72.0722540, 351.0713800, 2073.0693000", \
+					  "18.8152000, 19.0249610, 20.2055990, 27.5484040, 72.8174850, 351.8667000, 2073.6406000", \
+					  "19.5352370, 19.7348540, 20.9240250, 28.2523230, 73.5161190, 352.5646300, 2074.0526000", \
+					  "20.2613250, 20.4571670, 21.6541430, 29.0042430, 74.2593330, 353.2681200, 2075.1652000", \
+					  "20.9520990, 21.1563300, 22.3610730, 29.6935830, 74.9278400, 353.9293500, 2075.8268000", \
+					  "21.6226950, 21.8108780, 23.0193390, 30.2944300, 75.5903000, 354.5924300, 2076.2848000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5858020, 14.9662110, 17.3234490, 31.9168870, 122.0843300, 679.5245900, 4110.6277000", \
+					  "14.5832290, 14.9662170, 17.3233890, 31.8914320, 122.0844000, 678.6658000, 4111.6050000", \
+					  "14.5863820, 14.9535730, 17.3158870, 31.8941210, 122.0653200, 679.0448900, 4110.6923000", \
+					  "14.5858080, 14.9519030, 17.3112480, 31.9069140, 122.0848700, 678.4326300, 4111.2048000", \
+					  "14.5744970, 14.9643340, 17.3143370, 31.9182580, 122.1038700, 678.7743700, 4109.8428000", \
+					  "14.5784510, 14.9641050, 17.3158840, 31.9177960, 122.0863800, 678.7289500, 4110.7296000", \
+					  "14.5867900, 14.9643840, 17.3234490, 31.8918260, 122.0845400, 678.6393700, 4111.3740000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0576270, 22.2460020, 23.4095620, 30.5817660, 74.6013170, 346.1054500, 2020.1232000", \
+					  "22.7506780, 22.9486350, 24.1099870, 31.2790080, 75.2440600, 346.6795700, 2021.3806000", \
+					  "23.4745330, 23.6667180, 24.8266830, 32.0107250, 75.9694030, 347.5769600, 2022.7094000", \
+					  "24.1959800, 24.3858910, 25.5511630, 32.7313320, 76.6940430, 348.0355100, 2022.9188000", \
+					  "24.9205950, 25.1142820, 26.2740920, 33.4763680, 77.4190240, 348.7504300, 2023.4793000", \
+					  "25.6124530, 25.7931510, 26.9627750, 34.1556330, 78.1066940, 349.4448600, 2023.6555000", \
+					  "26.2966480, 26.4800550, 27.6259020, 34.8043120, 78.7645220, 350.3238600, 2024.5648000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5350550, 13.9157660, 16.2081030, 30.4239090, 118.5185100, 660.5446900, 4007.8385000", \
+					  "13.5438840, 13.9010360, 16.2026070, 30.4239120, 118.2033700, 660.7244200, 4008.5870000", \
+					  "13.5438450, 13.9029210, 16.2126850, 30.4013990, 118.2528200, 660.5645800, 4007.8326000", \
+					  "13.5344970, 13.9158670, 16.2071810, 30.3879480, 118.2610400, 660.5036400, 4006.2873000", \
+					  "13.5443430, 13.9010780, 16.2121130, 30.4038500, 118.1570200, 660.5157500, 4007.6620000", \
+					  "13.5443580, 13.9155780, 16.2082220, 30.4038960, 118.1573900, 660.5639600, 4008.1682000", \
+					  "13.5444060, 13.9157810, 16.2082290, 30.4239120, 118.1566900, 660.5388900, 4008.8530000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9201460, 10.9779890, 11.2854860, 13.2020830, 24.8638140, 96.4874400, 416.9616000", \
+					  "11.4407390, 11.4918030, 11.8076530, 13.7248900, 25.3862370, 97.0079060, 417.4158100", \
+					  "11.8447780, 11.9028330, 12.2115790, 14.1353930, 25.7929140, 97.4034650, 417.8958200", \
+					  "12.2033530, 12.2491070, 12.5627670, 14.4785770, 26.1390430, 97.7458110, 418.2338400", \
+					  "12.5261540, 12.5734200, 12.8959620, 14.8090210, 26.4717970, 98.0805840, 418.5911000", \
+					  "12.8167030, 12.8679470, 13.1850230, 15.1046060, 26.7574120, 98.3555850, 418.8620200", \
+					  "13.1053130, 13.1546010, 13.4770830, 15.3905880, 27.0509370, 98.6630510, 419.1041100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0294369, 3.1078824, 3.5923915, 6.6020540, 25.0238660, 138.8173700, 350.4814300", \
+					  "3.0292804, 3.1073100, 3.5925372, 6.6022126, 25.0244430, 138.8806900, 350.4636900", \
+					  "3.0296914, 3.1085967, 3.5925680, 6.6023054, 25.0179860, 138.8946900, 350.4902700", \
+					  "3.0293648, 3.1084995, 3.5920664, 6.6007351, 25.0238960, 138.8510800, 350.4918600", \
+					  "3.0298493, 3.1070957, 3.5935408, 6.6020993, 25.0243650, 138.8636500, 350.5004400", \
+					  "3.0303564, 3.1078817, 3.5932211, 6.6033237, 25.0225260, 138.8066700, 350.4912900", \
+					  "3.0306515, 3.1078635, 3.5932053, 6.6022090, 25.0194030, 138.7614800, 350.3692800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.7907620, 13.8418010, 14.1560450, 16.0535210, 27.4453990, 97.3393240, 524.4209000", \
+					  "14.4819740, 14.5343910, 14.8484200, 16.7454550, 28.1395440, 98.0628270, 525.1086500", \
+					  "15.2076240, 15.2600330, 15.5742390, 17.4700840, 28.8667770, 98.7480890, 525.8106600", \
+					  "15.9292100, 15.9816620, 16.3042950, 18.2030440, 29.5862070, 99.5756650, 526.5631000", \
+					  "16.6620300, 16.7088070, 17.0286740, 18.9246950, 30.3198600, 100.2063400, 527.2688100", \
+					  "17.3473590, 17.4028450, 17.7140150, 19.6098600, 31.0059850, 100.8873000, 527.9548000", \
+					  "18.0127170, 18.0652880, 18.3933920, 20.2892000, 31.6869660, 101.6676400, 528.6148600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0105176, 3.0850451, 3.5347010, 6.3796186, 24.3058180, 135.4050000, 504.0357100", \
+					  "3.0101608, 3.0849050, 3.5347920, 6.3785706, 24.3075090, 135.4210200, 504.0434400", \
+					  "3.0106340, 3.0851595, 3.5347325, 6.3799694, 24.3053080, 135.3969500, 504.0275300", \
+					  "3.0104161, 3.0896048, 3.5387131, 6.3797030, 24.3057010, 135.4193100, 504.0450300", \
+					  "3.0105849, 3.0851488, 3.5347323, 6.3798362, 24.3053880, 135.4011800, 503.9863700", \
+					  "3.0106577, 3.0852179, 3.5347316, 6.3799770, 24.3052850, 135.3972200, 504.0450900", \
+					  "3.0128384, 3.0856619, 3.5391912, 6.3776913, 24.2828710, 135.4191400, 504.0333800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2892630, 17.4785790, 18.6849340, 26.0811000, 71.5679040, 350.7487100, 2072.7637000", \
+					  "17.8416300, 18.0009290, 19.2077780, 26.5976680, 72.0767730, 351.2717800, 2072.5636000", \
+					  "18.2161560, 18.4081190, 19.6148590, 27.0257350, 72.4956590, 351.6957200, 2073.7943000", \
+					  "18.5663790, 18.7542600, 19.9611140, 27.3772650, 72.7678680, 352.0505100, 2073.7461000", \
+					  "18.9240530, 19.0972750, 20.2917260, 27.6958860, 73.1425870, 352.4055500, 2074.1893000", \
+					  "19.1952920, 19.4145180, 20.5850090, 27.9813610, 73.4473960, 352.6767200, 2074.0001000", \
+					  "19.4708090, 19.7020570, 20.8713370, 28.2788670, 73.6581640, 352.9058900, 2074.2298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5803960, 14.9601740, 17.3082310, 31.8657990, 122.0189700, 678.9391600, 4111.4736000", \
+					  "14.5697020, 14.9626710, 17.3083200, 31.8968990, 122.0258100, 678.3273000, 4109.4272000", \
+					  "14.5751600, 14.9653020, 17.3089610, 31.8898470, 122.1180800, 678.9228500, 4110.3881000", \
+					  "14.5792690, 14.9617810, 17.3080250, 31.8951160, 122.0853100, 678.0268800, 4110.2035000", \
+					  "14.5813870, 14.9599360, 17.3046540, 31.8695650, 122.1245000, 678.1510800, 4110.3212000", \
+					  "14.5759760, 14.9627740, 17.3045350, 31.8969920, 121.9308500, 678.7251400, 4109.4122000", \
+					  "14.5738270, 14.9626850, 17.3041210, 31.9046090, 122.1013300, 678.6053700, 4109.9220000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.6033410, 13.6544060, 13.9703810, 15.8618590, 27.2333320, 97.2095440, 524.2192200", \
+					  "14.2965740, 14.3511450, 14.6664580, 16.5572390, 27.9286230, 97.9049660, 524.9111700", \
+					  "15.0233920, 15.0739230, 15.3863390, 17.2792430, 28.6536750, 98.6256050, 525.6362100", \
+					  "15.7489240, 15.8004950, 16.1164610, 18.0068650, 29.3740340, 99.3546790, 526.3594900", \
+					  "16.4789210, 16.5304930, 16.8403550, 18.7366620, 30.1021470, 100.0842900, 527.0725900", \
+					  "17.1642270, 17.2158840, 17.5325410, 19.4214870, 30.7954050, 100.7681500, 527.7663000", \
+					  "17.8334240, 17.8851170, 18.1949190, 20.0879690, 31.4602210, 101.4376300, 528.4408100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0491087, 3.1209098, 3.5690650, 6.3935870, 24.2832190, 135.4180600, 504.2754800", \
+					  "3.0491003, 3.1215324, 3.5689272, 6.3936145, 24.2835640, 135.4181300, 504.2780300", \
+					  "3.0476228, 3.1211829, 3.5653429, 6.3912036, 24.2668770, 135.4165400, 504.2100500", \
+					  "3.0492395, 3.1213343, 3.5706964, 6.3937877, 24.2974000, 135.4182200, 504.3177700", \
+					  "3.0491452, 3.1214842, 3.5691226, 6.3936869, 24.2848510, 135.4170700, 504.2679700", \
+					  "3.0482652, 3.1214655, 3.5669367, 6.3926389, 24.2729180, 135.4167300, 504.2708200", \
+					  "3.0481462, 3.1214382, 3.5661814, 6.3849943, 24.3028530, 135.4167600, 504.2069800");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.0899689, -1.1239359, -1.1579029, -1.1563565, -1.1547914, -1.1532450, -1.1516986");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.0926041, 1.1376474, 1.1826908, 1.1808204, 1.1789276, 1.1770572, 1.1751869");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.159472;
+			capacitance : 0.159012;
+			fall_capacitance : 0.158551;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0553354, -0.0513033, -0.0472712, -0.0467615, -0.0462456, -0.0457359, -0.0452261");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0473644, 0.0473468, 0.0473293, 0.0467815, 0.0462272, 0.0456795, 0.0451317");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.152376;
+			capacitance : 0.151946;
+			fall_capacitance : 0.151516;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0560032, -0.0516065, -0.0472098, -0.0469818, -0.0467510, -0.0465231, -0.0462951");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0476294, 0.0474382, 0.0472471, 0.0467482, 0.0462433, 0.0457444, 0.0452454");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p35v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v40_1v65.lib
new file mode 100644
index 0000000..2543e49
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v40_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v40_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ss_1p40v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.275970e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2600700";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.8677700";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2042200";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2555600";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2722200";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1875600";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1875800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1920700";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2555700";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1181000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1874200";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1873400";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2079700";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1717800";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1150200";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2397800";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2759700";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2553400";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006005;
+			capacitance : 0.005856;
+			fall_capacitance : 0.005707;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.5832722, 3.0793888, 2.6888023, 2.3543745, 2.0585896, 1.7497472, 1.5279197", \
+					  "4.0261899, 3.5245387, 3.1333463, 2.8069927, 2.4889948, 2.1990306, 1.9540043", \
+					  "4.4679627, 3.9609554, 3.5720274, 3.2451688, 2.9354417, 2.6848615, 2.4348610", \
+					  "4.9336009, 4.4289024, 4.0465012, 3.6920729, 3.3629329, 3.1138290, 2.8705327", \
+					  "5.3383074, 4.8343390, 4.4469879, 4.0926980, 3.8332522, 3.5457053, 3.2858805", \
+					  "5.7254346, 5.2212695, 4.8440956, 4.5026407, 4.1964621, 3.9110420, 3.6654231", \
+					  "6.0766448, 5.5658925, 5.1902583, 4.8371345, 4.5654860, 4.2687838, 4.0270132");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3055652, 2.6807521, 1.9665339, 1.2687244, 0.5507497, -0.1118058, -0.6801838", \
+					  "3.7484964, 3.1267347, 2.4188476, 1.7066168, 0.9885042, 0.3303722, -0.2745575", \
+					  "4.2070607, 3.5852695, 2.8610550, 2.1472173, 1.4488086, 0.7762107, 0.1643896", \
+					  "4.6367757, 4.0241688, 3.3262211, 2.5930629, 1.8968594, 1.2426074, 0.6224077", \
+					  "5.0282221, 4.4054620, 3.7023487, 3.0287255, 2.3213625, 1.6671041, 0.9753970", \
+					  "5.3929832, 4.7775493, 4.0440716, 3.3879116, 2.7237930, 2.0242590, 1.3505789", \
+					  "5.8105927, 5.1922553, 4.4867344, 3.7342216, 3.0756773, 2.4258720, 1.7710531");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0345337, -2.5592092, -2.1806001, -1.8330998, -1.5324637, -1.2739860, -1.0382414", \
+					  "-3.4757579, -3.0011990, -2.6249040, -2.2954647, -2.0431278, -1.7267348, -1.4775229", \
+					  "-3.9311880, -3.4555216, -3.0718108, -2.7326914, -2.4547510, -2.1880231, -1.9766122", \
+					  "-4.3637451, -3.8880660, -3.5082494, -3.1586759, -2.8944688, -2.6429793, -2.3749586", \
+					  "-4.7625647, -4.2761729, -3.8978360, -3.5478524, -3.2873066, -3.0483886, -2.7920734", \
+					  "-5.1224267, -4.6481101, -4.2682837, -3.9637140, -3.6724197, -3.4450464, -3.1858049", \
+					  "-5.5358178, -5.0575728, -4.6841395, -4.3335168, -3.9910899, -3.7767853, -3.5076159");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0574252, -2.4996047, -1.8126223, -1.1143465, -0.4323050, 0.2068260, 0.9315994", \
+					  "-3.4986493, -2.9507558, -2.2242894, -1.5727135, -0.8725993, -0.2178286, 0.4281391", \
+					  "-3.9540795, -3.4031919, -2.6690341, -1.9913442, -1.3033130, -0.6566113, 0.0483096", \
+					  "-4.3866370, -3.8352390, -3.1162552, -2.4551245, -1.7475922, -1.0982416, -0.3705795", \
+					  "-4.8081398, -4.2555405, -3.5221463, -2.8101960, -2.1724040, -1.5117069, -0.8520721", \
+					  "-5.1953337, -4.6438197, -3.9426433, -3.2111227, -2.5747048, -1.8976549, -1.2242573", \
+					  "-5.5542377, -4.9813027, -4.2955192, -3.6188552, -2.9001927, -2.2067230, -1.5999813");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0087981, 0.0152297, 0.0216613, 0.0215790, 0.0214956, 0.0214133, 0.0213310");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104547, 0.0184082, 0.0263617, 0.0262735, 0.0261843, 0.0260961, 0.0260080");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004187;
+			capacitance : 0.004077;
+			fall_capacitance : 0.003968;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0009739, -2.5183089, -2.1236157, -1.7837233, -1.4210745, -1.1258982, -0.8185148", \
+					  "-3.4406719, -2.9436304, -2.5644002, -2.1962236, -1.8776951, -1.5591756, -1.3310386", \
+					  "-3.8961023, -3.3944993, -3.0252425, -2.6605360, -2.3481106, -2.0450734, -1.7899182", \
+					  "-4.3301853, -3.8269172, -3.4556464, -3.0948554, -2.7777604, -2.5166773, -2.2124069", \
+					  "-4.7290049, -4.2112631, -3.8335630, -3.4927718, -3.1796034, -2.9224547, -2.6150714", \
+					  "-5.0873414, -4.5835719, -4.1870069, -3.8896856, -3.5826186, -3.3203078, -3.0144504", \
+					  "-5.5022575, -4.9943957, -4.6217436, -4.2335079, -3.9193730, -3.6409815, -3.3665641");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.9948390, -2.2990627, -1.6142884, -0.9190339, -0.2659842, 0.4189561, 1.0651306", \
+					  "-3.4421665, -2.7437594, -2.0558381, -1.3893873, -0.7123001, -0.0179385, 0.6242264", \
+					  "-3.8914931, -3.1929978, -2.5411930, -1.8467497, -1.1606672, -0.4569585, 0.2326789", \
+					  "-4.3240502, -3.6462879, -2.9394500, -2.2961452, -1.5937554, -0.8887203, -0.2426099", \
+					  "-4.7228698, -4.0247416, -3.3411691, -2.6586042, -2.0198160, -1.3138433, -0.6552443", \
+					  "-5.0812059, -4.4127712, -3.7442091, -3.0421358, -2.4161432, -1.6703405, -1.0355177", \
+					  "-5.4961228, -4.8560495, -4.1183951, -3.3863794, -2.7734385, -2.0662835, -1.3954644");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4627373, 2.9383745, 2.5380041, 2.1926315, 1.8592445, 1.5748723, 1.2940190", \
+					  "3.9117485, 3.3843326, 2.9949201, 2.6355389, 2.2938620, 2.0081799, 1.7241264", \
+					  "4.3641986, 3.8457706, 3.4513188, 3.0910410, 2.7677092, 2.4711620, 2.1838964", \
+					  "4.8161291, 4.2801907, 3.8711264, 3.5368680, 3.2019601, 2.9291978, 2.6279179", \
+					  "5.2223650, 4.7038243, 4.2857076, 3.9579196, 3.5716230, 3.3244679, 3.0432657", \
+					  "5.5530720, 5.0320919, 4.6687794, 4.2875439, 3.9847364, 3.7202069, 3.4433135", \
+					  "5.9693932, 5.4439358, 5.0411247, 4.6960860, 4.3315163, 4.0536577, 3.7897719");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.1895527, 2.5492557, 1.8408234, 1.1107443, 0.4271769, -0.2540466, -0.9012197", \
+					  "3.6320236, 2.9829286, 2.2824731, 1.5694431, 0.8716902, 0.2000527, -0.4822369", \
+					  "4.0964093, 3.4442623, 2.7466114, 2.0313682, 1.3524537, 0.6361385, -0.0185153", \
+					  "4.5006730, 3.8592074, 3.1618284, 2.5027709, 1.7974641, 1.1143753, 0.4356760", \
+					  "4.9661038, 4.2940085, 3.5944952, 2.8828658, 2.2085196, 1.4910301, 0.8143584", \
+					  "5.3189189, 4.6700291, 3.9642403, 3.2107419, 2.5754390, 1.8598941, 1.1741158", \
+					  "5.6890166, 5.0421803, 4.3700890, 3.6438661, 2.9390575, 2.3022757, 1.6038400");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0084764, 0.0173630, 0.0262496, 0.0261665, 0.0260823, 0.0259991, 0.0259159");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0107929, 0.0209065, 0.0310202, 0.0309634, 0.0309060, 0.0308492, 0.0307924");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005891;
+			capacitance : 0.005745;
+			fall_capacitance : 0.005599;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6061605, 3.1001808, 2.7145826, 2.3696334, 2.0751017, 1.7711040, 1.5408238", \
+					  "4.0490449, 3.5474804, 3.1605463, 2.8323544, 2.5366982, 2.2300930, 1.9868860", \
+					  "4.5075879, 4.0045405, 3.6160417, 3.2903780, 2.9764367, 2.7211422, 2.4438874", \
+					  "4.9387750, 4.4353528, 4.0585244, 3.7175070, 3.4438444, 3.1596055, 2.8979986", \
+					  "5.3286307, 4.8200233, 4.4717810, 4.1280346, 3.8394051, 3.5747636, 3.3194499", \
+					  "5.6963623, 5.2006899, 4.8046343, 4.4674644, 4.2392243, 3.9581887, 3.6898371", \
+					  "6.1113925, 5.5911247, 5.2257881, 4.8825369, 4.5930267, 4.3157400, 4.0770221");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2566681, 2.6136356, 1.9445750, 1.2648636, 0.5339941, -0.1130848, -0.7407106", \
+					  "3.7141735, 3.0693726, 2.3872849, 1.7040387, 0.9837004, 0.2950888, -0.3403585", \
+					  "4.1377016, 3.4959525, 2.8388088, 2.1520079, 1.4707795, 0.7736421, 0.1166746", \
+					  "4.5862987, 3.9562796, 3.3103245, 2.5838205, 1.9060147, 1.1925805, 0.5465033", \
+					  "5.0045246, 4.3619071, 3.6832722, 2.9977550, 2.3289917, 1.6281641, 0.9985049", \
+					  "5.4051197, 4.7596858, 4.0896871, 3.3303085, 2.6771474, 2.0257849, 1.3420475", \
+					  "5.7850714, 5.1649723, 4.4737030, 3.7459722, 3.1192287, 2.4121390, 1.7559172");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1123537, -2.6188886, -2.2640835, -1.9481967, -1.6353027, -1.3791942, -1.0870696", \
+					  "-3.5520519, -3.0618325, -2.7297217, -2.3836244, -2.0805246, -1.8584297, -1.5946988", \
+					  "-4.0090080, -3.5106064, -3.1607378, -2.8258790, -2.5224185, -2.3236947, -2.0242163", \
+					  "-4.4400396, -3.9457679, -3.6128293, -3.2759623, -2.9780031, -2.7207300, -2.4385784", \
+					  "-4.8403856, -4.3327232, -3.9738050, -3.6755749, -3.3586806, -3.1332787, -2.8393756", \
+					  "-5.1987221, -4.7023227, -4.3347021, -4.0344294, -3.7196965, -3.4769243, -3.2216764", \
+					  "-5.6136360, -5.1131625, -4.7868773, -4.3708017, -4.0444938, -3.8347669, -3.6006946");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0330111, -2.4453856, -1.7653694, -1.0502594, -0.3972097, 0.2855809, 0.9554199", \
+					  "-3.4925458, -2.9131630, -2.1801527, -1.5053541, -0.8451043, -0.1354312, 0.5061196", \
+					  "-3.9266139, -3.3742650, -2.6404516, -1.9642425, -1.2876809, -0.6146078, 0.0574649", \
+					  "-4.3591705, -3.7987957, -3.0734843, -2.3870470, -1.7295903, -1.0480539, -0.3705795", \
+					  "-4.7595161, -4.1884595, -3.4473757, -2.7983602, -2.1761652, -1.4423872, -0.7551173", \
+					  "-5.1209039, -4.5479005, -3.8292225, -3.1356530, -2.5217104, -1.8214136, -1.1978887", \
+					  "-5.5342954, -4.9838375, -4.2222427, -3.5133969, -2.8939830, -2.1929900, -1.5982951");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088048, 0.0152382, 0.0216716, 0.0215892, 0.0215058, 0.0214234, 0.0213410");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104764, 0.0183829, 0.0262895, 0.0262058, 0.0261210, 0.0260372, 0.0259534");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026428;
+			capacitance : 0.026487;
+			fall_capacitance : 0.026546;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0031037, -0.0035843, -0.0040650, -0.0041489, -0.0042339, -0.0043178, -0.0044018");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0251378, 0.0256015, 0.0260651, 0.0260087, 0.0259516, 0.0258952, 0.0258388");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032293;
+			capacitance : 0.031861;
+			fall_capacitance : 0.031429;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0231288, 0.0419979, 0.0608670, 0.0608709, 0.0608749, 0.0608788, 0.0608827");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0290816, 0.0510932, 0.0731048, 0.0733718, 0.0736420, 0.0739090, 0.0741759");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.050802;
+			capacitance : 0.050780;
+			fall_capacitance : 0.050758;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0084183, -0.0086546, -0.0088910, -0.0088878, -0.0088847, -0.0088815, -0.0088784");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0085517, 0.0086981, 0.0088445, 0.0088597, 0.0088750, 0.0088902, 0.0089053");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016514;
+			capacitance : 0.016342;
+			fall_capacitance : 0.016169;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0132437, 0.0129522, 0.0126608, 0.0126203, 0.0125794, 0.0125390, 0.0124985");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0152826, 0.0150828, 0.0148829, 0.0148624, 0.0148416, 0.0148211, 0.0148005");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.034408;
+			capacitance : 0.035075;
+			rise_capacitance : 0.035741;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.4999230, -3.0085016, -2.6462336, -2.3259609, -2.0421071, -1.7805003, -1.5158416", \
+					  "-3.9487766, -3.4434832, -3.0940039, -2.7702369, -2.5000679, -2.2530586, -1.9811938", \
+					  "-4.3965771, -3.9014846, -3.5479828, -3.2287188, -2.9434892, -2.6903193, -2.4396613", \
+					  "-4.8276083, -4.3327663, -3.9755019, -3.6566980, -3.3833855, -3.1403466, -2.8833173", \
+					  "-5.2264279, -4.7370669, -4.3641901, -4.0478884, -3.7844059, -3.5538059, -3.2897982", \
+					  "-5.5862898, -5.1035826, -4.8054300, -4.4275865, -4.1731886, -3.9274328, -3.6675265", \
+					  "-6.0012068, -5.5120075, -5.1617503, -4.8241932, -4.5450312, -4.2635404, -4.0278738");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.2868482, -1.7772677, -1.1895877, -0.6672650, -0.6596621, -0.0409995, 0.5518778", \
+					  "-2.7245974, -3.1587521, -1.6336065, -1.1112071, -1.0942008, -0.4976346, 0.1245895", \
+					  "-3.1685729, -2.6523578, -2.0741215, -1.5763758, -1.5600996, -0.9405076, -0.3087048", \
+					  "-3.6312033, -3.1082956, -2.5387974, -2.0330479, -2.0117996, -1.3517369, -0.7586731", \
+					  "-4.0405312, -3.5194858, -2.9452327, -2.4194877, -2.4226480, -1.7893661, -1.1466507", \
+					  "-4.4093930, -3.9078826, -4.1753287, -3.4582103, -2.8005831, -2.1736206, -1.5316402", \
+					  "-4.8003199, -4.2545609, -3.7125047, -3.1685951, -3.1503111, -2.5454680, -1.9523629");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7709514, 3.2484764, 2.8830123, 2.5632386, 2.2878240, 2.0160283, 1.7639661", \
+					  "4.1986080, 3.7000565, 3.3347527, 3.0067320, 2.7176903, 2.4563292, 2.2050824", \
+					  "4.6571651, 4.1591409, 3.7905881, 3.4579158, 3.1632974, 2.9205523, 2.6609586", \
+					  "5.0853977, 4.5831208, 4.2232012, 3.8958595, 3.6227199, 3.3524497, 3.0919883", \
+					  "5.4768370, 4.9796476, 4.6062485, 4.2982931, 4.0112356, 3.7953887, 3.5300212", \
+					  "5.8431129, 5.3348542, 4.9741840, 4.6388682, 4.3839717, 4.1347604, 3.9116211", \
+					  "6.2576755, 5.7623136, 5.3849949, 5.0562477, 4.7534330, 4.4742324, 4.2614537");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4581272, 2.7784040, 2.0553755, 1.3802976, 0.7064121, 0.0618096, -0.5245338", \
+					  "3.9157542, 3.2222983, 2.5136087, 1.8471337, 1.1442069, 0.5249647, -0.0909016", \
+					  "4.3637070, 3.6717771, 2.9713208, 2.2845146, 1.6198278, 0.9935476, 0.3752198", \
+					  "4.8366336, 4.0928237, 3.4250447, 2.7121441, 2.0814906, 1.4118663, 0.8136718", \
+					  "5.2147138, 4.5166802, 3.8074528, 3.1192427, 2.4703799, 1.8219103, 1.1946469", \
+					  "5.5949510, 4.9250531, 4.2019324, 3.4964614, 2.8575560, 2.2220033, 1.6042357", \
+					  "5.9519210, 5.2580338, 4.5552191, 3.8566748, 3.2303278, 2.6211844, 2.0134839");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0469312, 0.0460959, 0.0452605, 0.0313333, 0.0172382, 0.0033110, -0.0106163");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0603516, 0.1329440, 0.2055365, 0.2054537, 0.2053700, 0.2052873, 0.2052045");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009732;
+			capacitance : 0.009933;
+			rise_capacitance : 0.010134;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0040160, 0.4686746, 0.9413653, 1.0644858, 1.1890898, 1.3122103, 1.4353309");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0352534, 0.1864781, 0.3377028, 0.6332516, 0.9323612, 1.2279100, 1.5234588");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005976;
+			capacitance : 0.006133;
+			rise_capacitance : 0.006291;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7053425, 3.2105079, 2.8229250, 2.4941265, 2.1956473, 1.8922933, 1.6564537", \
+					  "4.1482758, 3.6433914, 3.2689181, 2.9385967, 2.6465133, 2.3675844, 2.0995164", \
+					  "4.6083673, 4.0972886, 3.7228643, 3.3916768, 3.0856762, 2.8148171, 2.5467444", \
+					  "5.0380844, 4.5432172, 4.1577704, 3.8458079, 3.4923846, 3.2603134, 3.0048100", \
+					  "5.4618810, 4.9625222, 4.5678344, 4.2421385, 3.9644553, 3.6494423, 3.4094767", \
+					  "5.8474765, 5.3526581, 4.9676945, 4.6253741, 4.3464128, 4.0501862, 3.8055441", \
+					  "6.2002064, 5.6980441, 5.3092116, 4.9743607, 4.7012548, 4.3984490, 4.1505753");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3025081, 2.6475598, 1.9288496, 1.2144919, 0.5248211, -0.1191637, -0.8640246", \
+					  "3.7471513, 3.0894696, 2.3734626, 1.6642402, 0.9767792, 0.2952561, -0.3157771", \
+					  "4.2025182, 3.5475627, 2.8213283, 2.1344806, 1.4152427, 0.7652354, 0.1258502", \
+					  "4.6302538, 3.9961281, 3.2966239, 2.5531211, 1.8678677, 1.1908415, 0.5907036", \
+					  "5.0255220, 4.3842181, 3.6694042, 2.9451837, 2.2938967, 1.5936163, 0.9239099", \
+					  "5.4320400, 4.7569942, 4.0783908, 3.3520960, 2.6511014, 2.0181555, 1.3477531", \
+					  "5.7924841, 5.1321430, 4.4378308, 3.7428077, 3.0301049, 2.3755209, 1.7180550");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2405274, -2.7323756, -2.3617399, -2.0489721, -1.7018362, -1.4585399, -1.1587859", \
+					  "-3.6802256, -3.1751255, -2.8110780, -2.4870514, -2.1790971, -1.9309975, -1.6723571", \
+					  "-4.1356560, -3.6309613, -3.2629716, -2.9204914, -2.6249411, -2.3465829, -2.0928645", \
+					  "-4.5682127, -4.0616290, -3.6910818, -3.3699596, -3.0475129, -2.7842046, -2.5062265", \
+					  "-4.9670327, -4.4581891, -4.0875216, -3.7740750, -3.4316192, -3.2001648, -2.9126179", \
+					  "-5.3268942, -4.8263568, -4.4511583, -4.1189240, -3.8095759, -3.5863774, -3.3250320", \
+					  "-5.7418116, -5.2316286, -4.8553945, -4.4918342, -4.1873369, -3.9370025, -3.6876697");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0436924, -2.4940778, -1.7653700, -1.0517853, -0.3926321, 0.2881467, 0.9544799", \
+					  "-3.4940717, -2.9374414, -2.2128241, -1.5510927, -0.8385844, -0.1354311, 0.4400996", \
+					  "-3.9388206, -3.3910068, -2.6659776, -1.9795012, -1.2876824, -0.6306557, 0.0589908", \
+					  "-4.3729040, -3.8246039, -3.0457669, -2.4082138, -1.7158574, -1.0750136, -0.3705796", \
+					  "-4.7717241, -4.2050609, -3.4473763, -2.7983611, -2.1388346, -1.4671832, -0.8116449", \
+					  "-5.1300606, -4.5763470, -3.8382361, -3.1637508, -2.5133339, -1.8277124, -1.2263122", \
+					  "-5.5449749, -4.9887927, -4.2271539, -3.5527012, -2.8771969, -2.1823090, -1.5983009");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088108, 0.0152672, 0.0217236, 0.0216397, 0.0215547, 0.0214708, 0.0213869");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104895, 0.0183826, 0.0262756, 0.0262059, 0.0261354, 0.0260656, 0.0259959");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017443;
+			capacitance : 0.017285;
+			fall_capacitance : 0.017128;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0226318, 0.0142180, 0.0058042, -0.0078125, -0.0215932, -0.0352099, -0.0488265");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0301200, 0.0908644, 0.1516087, 0.1516620, 0.1517159, 0.1517691, 0.1518224");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004861;
+			capacitance : 0.004753;
+			fall_capacitance : 0.004645;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1154130, -2.5753694, -2.1916002, -1.8315740, -1.5187308, -1.2159252, -0.9405853", \
+					  "-3.5551111, -3.0167612, -2.6320654, -2.2835768, -2.0232271, -1.6548286, -1.4164878", \
+					  "-4.0105414, -3.4711020, -3.0864952, -2.7398829, -2.4328175, -2.1499935, -1.8536221", \
+					  "-4.4430981, -3.9053558, -3.5201477, -3.2195945, -2.8929505, -2.5674502, -2.2789110", \
+					  "-4.8434440, -4.2919261, -3.9020877, -3.5702574, -3.2857885, -2.9804381, -2.7005207", \
+					  "-5.2017796, -4.6617050, -4.2842132, -3.9637135, -3.6389653, -3.3676099, -3.0785374", \
+					  "-5.6166971, -5.0788078, -4.6953199, -4.3403598, -3.9697274, -3.7172760, -3.4540401");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0513134, -2.3570576, -1.7085984, -0.9480256, -0.2766653, 0.3540110, 1.0659084", \
+					  "-3.4330283, -2.8018554, -2.1556583, -1.4351637, -0.7170442, -0.0911807, 0.6693887", \
+					  "-3.9464420, -3.2537117, -2.6548392, -1.8940520, -1.1879082, -0.4881717, 0.1795352", \
+					  "-4.3698438, -3.6967537, -3.0342084, -2.3341411, -1.6109446, -0.9137014, -0.2348008", \
+					  "-4.7778188, -4.0652350, -3.3805980, -2.7345368, -2.0304973, -1.2991962, -0.6158218", \
+					  "-5.1376802, -4.4320038, -3.7691869, -3.0558133, -2.4329278, -1.7636551, -1.0355177", \
+					  "-5.5525972, -4.8603203, -4.1992660, -3.3741727, -2.7673345, -2.1166961, -1.4068093");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.5741239, 3.0470094, 2.6543068, 2.3055465, 1.9713425, 1.6792909, 1.4115076", \
+					  "4.0200881, 3.4913850, 3.1002694, 2.7705645, 2.4279597, 2.0885527, 1.8294527", \
+					  "4.4771181, 3.9536754, 3.5533250, 3.2009111, 2.8814531, 2.5949152, 2.3152143", \
+					  "4.9068108, 4.3937705, 3.9980910, 3.6390966, 3.2961148, 3.0299057, 2.7467316", \
+					  "5.3306935, 4.7738292, 4.4062614, 4.0189725, 3.7257522, 3.4457655, 3.1781442", \
+					  "5.6644851, 5.1461589, 4.7463423, 4.4324604, 4.0888144, 3.8375941, 3.5525079", \
+					  "6.0792343, 5.5518024, 5.1455181, 4.8091307, 4.4376279, 4.1741915, 3.9166810");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2109451, 2.5285232, 1.8517021, 1.1169541, 0.4531135, -0.2400312, -0.8804881", \
+					  "3.6681840, 2.9840078, 2.3163829, 1.5897584, 0.9146748, 0.2076795, -0.4868472", \
+					  "4.1225737, 3.4401518, 2.7738243, 2.0458404, 1.3443153, 0.6467588, -0.0349445", \
+					  "4.5464950, 3.8681332, 3.2370562, 2.4966838, 1.7928774, 1.0944004, 0.4135875", \
+					  "4.9692773, 4.2421309, 3.6071877, 2.8706373, 2.2160820, 1.4530488, 0.8273536", \
+					  "5.3621217, 4.6659173, 4.0120363, 3.2189301, 2.5825837, 1.8914288, 1.1472519", \
+					  "5.7082906, 5.0375240, 4.3660576, 3.6141584, 2.9459653, 2.2656546, 1.6181766");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0084150, 0.0171871, 0.0259593, 0.0258677, 0.0257750, 0.0256833, 0.0255917");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0108016, 0.0203011, 0.0298006, 0.0297443, 0.0296874, 0.0296311, 0.0295748");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004855;
+			capacitance : 0.004650;
+			fall_capacitance : 0.004446;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0074629, -0.0073713, -0.0072797, -0.0072844, -0.0072891, -0.0072938, -0.0072985");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0074081, 0.0074044, 0.0074008, 0.0073965, 0.0073921, 0.0073878, 0.0073835");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.5832722, 4.0261899, 4.4679627, 4.9336009, 5.3383074, 5.7254346, 6.0766448", \
+					  "3.0793888, 3.5245387, 3.9609554, 4.4289024, 4.8343390, 5.2212695, 5.5658925", \
+					  "2.6888023, 3.1333463, 3.5720274, 4.0465012, 4.4469879, 4.8440956, 5.1902583", \
+					  "2.3543745, 2.8069927, 3.2451688, 3.6920729, 4.0926980, 4.5026407, 4.8371345", \
+					  "2.0585896, 2.4889948, 2.9354417, 3.3629329, 3.8332522, 4.1964621, 4.5654860", \
+					  "1.7497472, 2.1990306, 2.6848615, 3.1138290, 3.5457053, 3.9110420, 4.2687838", \
+					  "1.5279197, 1.9540043, 2.4348610, 2.8705327, 3.2858805, 3.6654231, 4.0270132");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0345337, -3.4757579, -3.9311880, -4.3637451, -4.7625647, -5.1224267, -5.5358178", \
+					  "-2.5592092, -3.0011990, -3.4555216, -3.8880660, -4.2761729, -4.6481101, -5.0575728", \
+					  "-2.1806001, -2.6249040, -3.0718108, -3.5082494, -3.8978360, -4.2682837, -4.6841395", \
+					  "-1.8330998, -2.2954647, -2.7326914, -3.1586759, -3.5478524, -3.9637140, -4.3335168", \
+					  "-1.5324637, -2.0431278, -2.4547510, -2.8944688, -3.2873066, -3.6724197, -3.9910899", \
+					  "-1.2739860, -1.7267348, -2.1880231, -2.6429793, -3.0483886, -3.4450464, -3.7767853", \
+					  "-1.0382414, -1.4775229, -1.9766122, -2.3749586, -2.7920734, -3.1858049, -3.5076159");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4999230, -3.9487766, -4.3965771, -4.8276083, -5.2264279, -5.5862898, -6.0012068", \
+					  "-3.0085016, -3.4434832, -3.9014846, -4.3327663, -4.7370669, -5.1035826, -5.5120075", \
+					  "-2.6462336, -3.0940039, -3.5479828, -3.9755019, -4.3641901, -4.8054300, -5.1617503", \
+					  "-2.3259609, -2.7702369, -3.2287188, -3.6566980, -4.0478884, -4.4275865, -4.8241932", \
+					  "-2.0421071, -2.5000679, -2.9434892, -3.3833855, -3.7844059, -4.1731886, -4.5450312", \
+					  "-1.7805003, -2.2530586, -2.6903193, -3.1403466, -3.5538059, -3.9274328, -4.2635404", \
+					  "-1.5158416, -1.9811938, -2.4396613, -2.8833173, -3.2897982, -3.6675265, -4.0278738");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7709514, 4.1986080, 4.6571651, 5.0853977, 5.4768370, 5.8431129, 6.2576755", \
+					  "3.2484764, 3.7000565, 4.1591409, 4.5831208, 4.9796476, 5.3348542, 5.7623136", \
+					  "2.8830123, 3.3347527, 3.7905881, 4.2232012, 4.6062485, 4.9741840, 5.3849949", \
+					  "2.5632386, 3.0067320, 3.4579158, 3.8958595, 4.2982931, 4.6388682, 5.0562477", \
+					  "2.2878240, 2.7176903, 3.1632974, 3.6227199, 4.0112356, 4.3839717, 4.7534330", \
+					  "2.0160283, 2.4563292, 2.9205523, 3.3524497, 3.7953887, 4.1347604, 4.4742324", \
+					  "1.7639661, 2.2050824, 2.6609586, 3.0919883, 3.5300212, 3.9116211, 4.2614537");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7053425, 4.1482758, 4.6083673, 5.0380844, 5.4618810, 5.8474765, 6.2002064", \
+					  "3.2105079, 3.6433914, 4.0972886, 4.5432172, 4.9625222, 5.3526581, 5.6980441", \
+					  "2.8229250, 3.2689181, 3.7228643, 4.1577704, 4.5678344, 4.9676945, 5.3092116", \
+					  "2.4941265, 2.9385967, 3.3916768, 3.8458079, 4.2421385, 4.6253741, 4.9743607", \
+					  "2.1956473, 2.6465133, 3.0856762, 3.4923846, 3.9644553, 4.3464128, 4.7012548", \
+					  "1.8922933, 2.3675844, 2.8148171, 3.2603134, 3.6494423, 4.0501862, 4.3984490", \
+					  "1.6564537, 2.0995164, 2.5467444, 3.0048100, 3.4094767, 3.8055441, 4.1505753");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2405274, -3.6802256, -4.1356560, -4.5682127, -4.9670327, -5.3268942, -5.7418116", \
+					  "-2.7323756, -3.1751255, -3.6309613, -4.0616290, -4.4581891, -4.8263568, -5.2316286", \
+					  "-2.3617399, -2.8110780, -3.2629716, -3.6910818, -4.0875216, -4.4511583, -4.8553945", \
+					  "-2.0489721, -2.4870514, -2.9204914, -3.3699596, -3.7740750, -4.1189240, -4.4918342", \
+					  "-1.7018362, -2.1790971, -2.6249411, -3.0475129, -3.4316192, -3.8095759, -4.1873369", \
+					  "-1.4585399, -1.9309975, -2.3465829, -2.7842046, -3.2001648, -3.5863774, -3.9370025", \
+					  "-1.1587859, -1.6723571, -2.0928645, -2.5062265, -2.9126179, -3.3250320, -3.6876697");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1154130, -3.5551111, -4.0105414, -4.4430981, -4.8434440, -5.2017796, -5.6166971", \
+					  "-2.5753694, -3.0167612, -3.4711020, -3.9053558, -4.2919261, -4.6617050, -5.0788078", \
+					  "-2.1916002, -2.6320654, -3.0864952, -3.5201477, -3.9020877, -4.2842132, -4.6953199", \
+					  "-1.8315740, -2.2835768, -2.7398829, -3.2195945, -3.5702574, -3.9637135, -4.3403598", \
+					  "-1.5187308, -2.0232271, -2.4328175, -2.8929505, -3.2857885, -3.6389653, -3.9697274", \
+					  "-1.2159252, -1.6548286, -2.1499935, -2.5674502, -2.9804381, -3.3676099, -3.7172760", \
+					  "-0.9405853, -1.4164878, -1.8536221, -2.2789110, -2.7005207, -3.0785374, -3.4540401");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.5741239, 4.0200881, 4.4771181, 4.9068108, 5.3306935, 5.6644851, 6.0792343", \
+					  "3.0470094, 3.4913850, 3.9536754, 4.3937705, 4.7738292, 5.1461589, 5.5518024", \
+					  "2.6543068, 3.1002694, 3.5533250, 3.9980910, 4.4062614, 4.7463423, 5.1455181", \
+					  "2.3055465, 2.7705645, 3.2009111, 3.6390966, 4.0189725, 4.4324604, 4.8091307", \
+					  "1.9713425, 2.4279597, 2.8814531, 3.2961148, 3.7257522, 4.0888144, 4.4376279", \
+					  "1.6792909, 2.0885527, 2.5949152, 3.0299057, 3.4457655, 3.8375941, 4.1741915", \
+					  "1.4115076, 1.8294527, 2.3152143, 2.7467316, 3.1781442, 3.5525079, 3.9166810");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0009739, -3.4406719, -3.8961023, -4.3301853, -4.7290049, -5.0873414, -5.5022575", \
+					  "-2.5183089, -2.9436304, -3.3944993, -3.8269172, -4.2112631, -4.5835719, -4.9943957", \
+					  "-2.1236157, -2.5644002, -3.0252425, -3.4556464, -3.8335630, -4.1870069, -4.6217436", \
+					  "-1.7837233, -2.1962236, -2.6605360, -3.0948554, -3.4927718, -3.8896856, -4.2335079", \
+					  "-1.4210745, -1.8776951, -2.3481106, -2.7777604, -3.1796034, -3.5826186, -3.9193730", \
+					  "-1.1258982, -1.5591756, -2.0450734, -2.5166773, -2.9224547, -3.3203078, -3.6409815", \
+					  "-0.8185148, -1.3310386, -1.7899182, -2.2124069, -2.6150714, -3.0144504, -3.3665641");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.4627373, 3.9117485, 4.3641986, 4.8161291, 5.2223650, 5.5530720, 5.9693932", \
+					  "2.9383745, 3.3843326, 3.8457706, 4.2801907, 4.7038243, 5.0320919, 5.4439358", \
+					  "2.5380041, 2.9949201, 3.4513188, 3.8711264, 4.2857076, 4.6687794, 5.0411247", \
+					  "2.1926315, 2.6355389, 3.0910410, 3.5368680, 3.9579196, 4.2875439, 4.6960860", \
+					  "1.8592445, 2.2938620, 2.7677092, 3.2019601, 3.5716230, 3.9847364, 4.3315163", \
+					  "1.5748723, 2.0081799, 2.4711620, 2.9291978, 3.3244679, 3.7202069, 4.0536577", \
+					  "1.2940190, 1.7241264, 2.1838964, 2.6279179, 3.0432657, 3.4433135, 3.7897719");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4907861, -3.9396395, -4.3874404, -4.8184716, -5.2188171, -5.5786790, -5.9920701", \
+					  "-2.9894737, -3.4308196, -3.8874132, -4.3186021, -4.7182646, -5.0857591, -5.4991640", \
+					  "-2.6460518, -3.0825282, -3.5379219, -3.9722978, -4.3653292, -4.7152168, -5.1242887", \
+					  "-2.3061246, -2.7589370, -3.2195635, -3.6429652, -4.0341554, -4.4275865, -4.8119861", \
+					  "-2.0424189, -2.4865207, -2.9389635, -3.3704161, -3.7525163, -4.1541954, -4.5234152", \
+					  "-1.7591380, -2.2073622, -2.6705298, -3.1189844, -3.5416631, -3.9040315, -4.2498078", \
+					  "-1.5127898, -1.9827113, -2.4127246, -2.8711104, -3.2620266, -3.6461642, -4.0141408");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7862276, 4.2215372, 4.6876874, 5.1189846, 5.5089171, 5.8752175, 6.2795527", \
+					  "3.2863084, 3.7144444, 4.1701498, 4.6402245, 5.0230032, 5.4280179, 5.7851540", \
+					  "2.9203369, 3.3671502, 3.8251009, 4.2498598, 4.6846272, 5.0391414, 5.4022776", \
+					  "2.6212053, 3.0440897, 3.4989958, 3.9358497, 4.3523290, 4.7452160, 5.0754101", \
+					  "2.3085623, 2.7576672, 3.2253198, 3.6237442, 4.0723143, 4.4522739, 4.8099718", \
+					  "2.0421838, 2.4939964, 2.9509189, 3.3781198, 3.8120954, 4.1607014, 4.5108098", \
+					  "1.8000376, 2.2724676, 2.6993488, 3.1008352, 3.5651162, 3.9259931, 4.2949806");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2475771, 3.6890109, 4.1491151, 4.5788616, 4.9688238, 5.3336351, 5.7524779", \
+					  "2.7376948, 3.1837062, 3.6392332, 4.0705570, 4.4584561, 4.8272691, 5.2375804", \
+					  "2.3708862, 2.8056473, 3.2642254, 3.6908705, 4.0937603, 4.4838398, 4.8706415", \
+					  "2.0278364, 2.4662184, 2.9231782, 3.3621733, 3.7789157, 4.1194371, 4.5222264", \
+					  "1.7656085, 2.2328615, 2.6305440, 3.0500822, 3.4349368, 3.8255011, 4.2068121", \
+					  "1.4915359, 1.8882782, 2.3608456, 2.7994980, 3.1968988, 3.5863815, 3.9314723", \
+					  "1.2192479, 1.6055575, 2.0826727, 2.5176208, 2.9181438, 3.3266779, 3.6931956");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0894633, -3.5291616, -3.9861174, -4.4171486, -4.8174941, -5.1773561, -5.5907472", \
+					  "-2.5957127, -3.0296805, -3.4842693, -3.9157825, -4.3063941, -4.6796950, -5.0881532", \
+					  "-2.2288988, -2.6656952, -3.1241167, -3.5602152, -3.9341310, -4.3016223, -4.7311512", \
+					  "-1.8994055, -2.3261830, -2.7902629, -3.2296314, -3.6233118, -3.9888299, -4.3692634", \
+					  "-1.5758536, -2.0788910, -2.4691064, -2.9780027, -3.3313392, -3.6892287, -4.0109264", \
+					  "-1.2939042, -1.7938905, -2.1937256, -2.6429793, -3.0635882, -3.4450464, -3.7966214", \
+					  "-1.0489227, -1.5309287, -1.9766832, -2.4405124, -2.8103839, -3.1949554, -3.5564442");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6061605, 4.0490449, 4.5075879, 4.9387750, 5.3286307, 5.6963623, 6.1113925", \
+					  "3.1001808, 3.5474804, 4.0045405, 4.4353528, 4.8200233, 5.2006899, 5.5911247", \
+					  "2.7145826, 3.1605463, 3.6160417, 4.0585244, 4.4717810, 4.8046343, 5.2257881", \
+					  "2.3696334, 2.8323544, 3.2903780, 3.7175070, 4.1280346, 4.4674644, 4.8825369", \
+					  "2.0751017, 2.5366982, 2.9764367, 3.4438444, 3.8394051, 4.2392243, 4.5930267", \
+					  "1.7711040, 2.2300930, 2.7211422, 3.1596055, 3.5747636, 3.9581887, 4.3157400", \
+					  "1.5408238, 1.9868860, 2.4438874, 2.8979986, 3.3194499, 3.6898371, 4.0770221");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1123537, -3.5520519, -4.0090080, -4.4400396, -4.8403856, -5.1987221, -5.6136360", \
+					  "-2.6188886, -3.0618325, -3.5106064, -3.9457679, -4.3327232, -4.7023227, -5.1131625", \
+					  "-2.2640835, -2.7297217, -3.1607378, -3.6128293, -3.9738050, -4.3347021, -4.7868773", \
+					  "-1.9481967, -2.3836244, -2.8258790, -3.2759623, -3.6755749, -4.0344294, -4.3708017", \
+					  "-1.6353027, -2.0805246, -2.5224185, -2.9780031, -3.3586806, -3.7196965, -4.0444938", \
+					  "-1.3791942, -1.8584297, -2.3236947, -2.7207300, -3.1332787, -3.4769243, -3.8347669", \
+					  "-1.0870696, -1.5946988, -2.0242163, -2.4385784, -2.8393756, -3.2216764, -3.6006946");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5046229, -0.0388496, 0.4197993, 0.8376479, 1.2198074, 1.5743245, 1.9640330", \
+					  "-1.0131715, -0.5549947, -0.0892691, 0.3361177, 0.7468284, 1.1080776, 1.4632478", \
+					  "-1.3880384, -0.8869047, -0.4658624, -0.0418756, 0.3785498, 0.7499629, 1.1189825", \
+					  "-1.7092423, -1.2236735, -0.8194754, -0.3874656, 0.0528868, 0.4090089, 0.8028303", \
+					  "-2.0234085, -1.5245770, -1.1546221, -0.6518193, -0.2744907, 0.1005921, 0.5038547", \
+					  "-2.2253980, -1.8428156, -1.3937753, -0.9463397, -0.5252771, -0.1623255, 0.2142875", \
+					  "-2.5503663, -2.1084056, -1.5939096, -1.2456538, -0.7845633, -0.4067587, -0.0589406");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.8931487, 0.4448440, -0.0168457, -0.4249384, -0.8073687, -1.1705573, -1.5017467", \
+					  "1.4031879, 0.9514715, 0.4964730, 0.0706782, -0.3157826, -0.7002291, -1.0325279", \
+					  "1.7716047, 1.3308155, 0.8782931, 0.4482576, 0.0549118, -0.3186023, -0.6815262", \
+					  "2.1018543, 1.6514381, 1.2011079, 0.7656978, 0.3918667, 0.0039204, -0.3366506", \
+					  "2.4007758, 1.9426867, 1.5169260, 1.1000081, 0.7565844, 0.3014863, -0.0667411", \
+					  "2.7040577, 2.2352065, 1.7365151, 1.3595855, 1.0047150, 0.6481872, 0.2589839", \
+					  "2.9500125, 2.5006793, 2.0104339, 1.6213230, 1.2450172, 0.8929307, 0.4643467");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2557849, -3.6954832, -4.1524390, -4.5849961, -4.9838158, -5.3436777, -5.7585947", \
+					  "-2.7487103, -3.1914262, -3.6459717, -4.0779280, -4.4658186, -4.8324986, -5.2467010", \
+					  "-2.3765074, -2.8197486, -3.2769960, -3.7020548, -4.0938095, -4.4603136, -4.8721793", \
+					  "-2.0314663, -2.4833715, -2.9372759, -3.3789882, -3.7916756, -4.1362586, -4.5090196", \
+					  "-1.7079397, -2.1837443, -2.6339039, -3.0475129, -3.4316192, -3.8187055, -4.1905512", \
+					  "-1.4585399, -1.9526509, -2.3481088, -2.8016016, -3.2047422, -3.5878988, -3.9385283", \
+					  "-1.1709929, -1.6662229, -2.0928645, -2.5076987, -2.9217730, -3.3294542, -3.6891956");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6504098, 4.0948523, 4.5534104, 4.9831089, 5.3745349, 5.7743657, 6.1555004", \
+					  "3.1462018, 3.5881332, 4.0421282, 4.4770945, 4.8741640, 5.2384997, 5.6511460", \
+					  "2.7631804, 3.2091596, 3.6631094, 4.0899038, 4.4865931, 4.8528548, 5.2629814", \
+					  "2.4489791, 2.8929617, 3.3290913, 3.7653194, 4.1496720, 4.5119000, 4.9266038", \
+					  "2.1239306, 2.5824636, 3.0067431, 3.4619190, 3.8714190, 4.2827318, 4.6433082", \
+					  "1.8121712, 2.2854703, 2.7718697, 3.2145371, 3.6204440, 4.0075657, 4.3445890", \
+					  "1.5790870, 2.0226556, 2.5063214, 2.9285161, 3.3749310, 3.7432429, 4.0941546");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.022698;
+			capacitance : 0.023546;
+			rise_capacitance : 0.023842;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.4907861, -2.9894737, -2.6460518, -2.3061246, -2.0424189, -1.7591380, -1.5127898", \
+					  "-3.9396395, -3.4308196, -3.0825282, -2.7589370, -2.4865207, -2.2073622, -1.9827113", \
+					  "-4.3874404, -3.8874132, -3.5379219, -3.2195635, -2.9389635, -2.6705298, -2.4127246", \
+					  "-4.8184716, -4.3186021, -3.9722978, -3.6429652, -3.3704161, -3.1189844, -2.8711104", \
+					  "-5.2188171, -4.7182646, -4.3653292, -4.0341554, -3.7525163, -3.5416631, -3.2620266", \
+					  "-5.5786790, -5.0857591, -4.7152168, -4.4275865, -4.1541954, -3.9040315, -3.6461642", \
+					  "-5.9920701, -5.4991640, -5.1242887, -4.8119861, -4.5234152, -4.2498078, -4.0141408");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.2807551, -1.7164463, -1.2369272, -0.7283000, -0.6230450, -0.0532065, 0.4981951", \
+					  "-2.7220319, -3.2095819, -1.6644990, -1.1705694, -1.1385027, -0.4917889, 0.0910202", \
+					  "-3.1729081, -2.6370503, -2.1207502, -2.2571646, -1.5865325, -0.9110841, -0.3148954", \
+					  "-3.6116303, -3.0726694, -2.5598629, -2.1551511, -2.0223918, -1.3770412, -0.7835490", \
+					  "-3.9967924, -3.4909950, -2.9897404, -2.5434672, -2.3982340, -1.8085237, -1.1934927", \
+					  "-4.4025252, -3.8264331, -4.1562910, -3.4596556, -2.7761686, -2.1768593, -1.6022019", \
+					  "-4.7375372, -4.2763146, -3.7226957, -3.7933267, -3.1378719, -2.5415622, -1.9600758");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7862276, 3.2863084, 2.9203369, 2.6212053, 2.3085623, 2.0421838, 1.8000376", \
+					  "4.2215372, 3.7144444, 3.3671502, 3.0440897, 2.7576672, 2.4939964, 2.2724676", \
+					  "4.6876874, 4.1701498, 3.8251009, 3.4989958, 3.2253198, 2.9509189, 2.6993488", \
+					  "5.1189846, 4.6402245, 4.2498598, 3.9358497, 3.6237442, 3.3781198, 3.1008352", \
+					  "5.5089171, 5.0230032, 4.6846272, 4.3523290, 4.0723143, 3.8120954, 3.5651162", \
+					  "5.8752175, 5.4280179, 5.0391414, 4.7452160, 4.4522739, 4.1607014, 3.9259931", \
+					  "6.2795527, 5.7851540, 5.4022776, 5.0754101, 4.8099718, 4.5108098, 4.2949806");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4856214, 2.8186469, 2.0887910, 1.4128440, 0.7323287, 0.0450015, -0.4915865", \
+					  "3.9315753, 3.2645949, 2.5341911, 1.8693660, 1.1548498, 0.5424550, -0.0518117", \
+					  "4.3824973, 3.7199453, 2.9955865, 2.2980227, 1.6008504, 0.9707739, 0.3908623", \
+					  "4.8152307, 4.1513071, 3.4400532, 2.7296839, 2.1089566, 1.4301609, 0.8384261", \
+					  "5.2051113, 4.5427251, 3.8243482, 3.1484031, 2.5192027, 1.8373512, 1.2034263", \
+					  "5.5972865, 4.9005247, 4.2301609, 3.5046220, 2.8700056, 2.2266414, 1.6360146", \
+					  "5.9877230, 5.3191174, 4.5983726, 3.9068715, 3.2344945, 2.6013478, 1.9780742");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005899;
+			capacitance : 0.006048;
+			rise_capacitance : 0.006197;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2475771, 2.7376948, 2.3708862, 2.0278364, 1.7656085, 1.4915359, 1.2192479", \
+					  "3.6890109, 3.1837062, 2.8056473, 2.4662184, 2.2328615, 1.8882782, 1.6055575", \
+					  "4.1491151, 3.6392332, 3.2642254, 2.9231782, 2.6305440, 2.3608456, 2.0826727", \
+					  "4.5788616, 4.0705570, 3.6908705, 3.3621733, 3.0500822, 2.7994980, 2.5176208", \
+					  "4.9688238, 4.4584561, 4.0937603, 3.7789157, 3.4349368, 3.1968988, 2.9181438", \
+					  "5.3336351, 4.8272691, 4.4838398, 4.1194371, 3.8255011, 3.5863815, 3.3266779", \
+					  "5.7524779, 5.2375804, 4.8706415, 4.5222264, 4.2068121, 3.9314723, 3.6931956");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7450028, 3.0767540, 2.3855722, 1.7063491, 0.9917488, 0.2861111, -0.3492633", \
+					  "4.2053070, 3.5349291, 2.8443501, 2.1516125, 1.4435343, 0.7310416, 0.0925426", \
+					  "4.6597555, 3.9902226, 3.3033254, 2.5981748, 1.9362254, 1.1831491, 0.5237150", \
+					  "5.0820235, 4.4083295, 3.7232287, 3.0303887, 2.3744593, 1.6388968, 1.0002707", \
+					  "5.4575063, 4.8019045, 4.1004465, 3.4275005, 2.7714294, 2.0497184, 1.4172575", \
+					  "5.8976418, 5.2355185, 4.5397369, 3.8283717, 3.1734178, 2.4240392, 1.7811237", \
+					  "6.2543031, 5.5786067, 4.8933469, 4.2063400, 3.5165591, 2.8047829, 2.1580331");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0894633, -2.5957127, -2.2288988, -1.8994055, -1.5758536, -1.2939042, -1.0489227", \
+					  "-3.5291616, -3.0296805, -2.6656952, -2.3261830, -2.0788910, -1.7938905, -1.5309287", \
+					  "-3.9861174, -3.4842693, -3.1241167, -2.7902629, -2.4691064, -2.1937256, -1.9766832", \
+					  "-4.4171486, -3.9157825, -3.5602152, -3.2296314, -2.9780027, -2.6429793, -2.4405124", \
+					  "-4.8174941, -4.3063941, -3.9341310, -3.6233118, -3.3313392, -3.0635882, -2.8103839", \
+					  "-5.1773561, -4.6796950, -4.3016223, -3.9888299, -3.6892287, -3.4450464, -3.1949554", \
+					  "-5.5907472, -5.0881532, -4.7311512, -4.3692634, -4.0109264, -3.7966214, -3.5564442");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2710474, -2.6195767, -1.9269601, -1.2135285, -0.5177542, 0.1510963, 0.7858519", \
+					  "-3.7122716, -3.0713402, -2.3614292, -1.6664701, -0.9394409, -0.2895449, 0.3504800", \
+					  "-4.1677019, -3.4970586, -2.8157969, -2.1248949, -1.4071149, -0.7228398, -0.0853886", \
+					  "-4.6002590, -3.9591971, -3.2674805, -2.5293718, -1.8592900, -1.1684896, -0.5125243", \
+					  "-4.9990787, -4.3207546, -3.6327962, -2.9351510, -2.3021036, -1.6007065, -0.8924580", \
+					  "-5.3589406, -4.7102675, -4.0030101, -3.3274114, -2.6511286, -1.9522499, -1.2999013", \
+					  "-5.7678601, -5.1090494, -4.3942101, -3.7088820, -3.0283666, -2.3638884, -1.6640883");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0087428, 0.0151583, 0.0215738, 0.0214981, 0.0214216, 0.0213459, 0.0212702");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104354, 0.0183862, 0.0263371, 0.0262597, 0.0261814, 0.0261040, 0.0260266");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.015657;
+			capacitance : 0.015197;
+			fall_capacitance : 0.014737;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0116094, 0.0223573, 0.0563240, 0.0560147, 0.0557017, 0.0553925, 0.0550832");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0439373, 0.7827221, 1.5215070, 2.0196206, 2.5237357, 3.0218493, 3.5199630");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031146;
+			capacitance : 0.031196;
+			fall_capacitance : 0.031247;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0043998, -0.0045658, -0.0047318, -0.0048867, -0.0050436, -0.0051985, -0.0053535");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0528558, 0.0761790, 0.0995021, 0.0994019, 0.0993005, 0.0992002, 0.0991000");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006438;
+			capacitance : 0.006282;
+			fall_capacitance : 0.006127;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5046229, -1.0131715, -1.3880384, -1.7092423, -2.0234085, -2.2253980, -2.5503663", \
+					  "-0.0388496, -0.5549947, -0.8869047, -1.2236735, -1.5245770, -1.8428156, -2.1084056", \
+					  "0.4197993, -0.0892691, -0.4658624, -0.8194754, -1.1546221, -1.3937753, -1.5939096", \
+					  "0.8376479, 0.3361177, -0.0418756, -0.3874656, -0.6518193, -0.9463397, -1.2456538", \
+					  "1.2198074, 0.7468284, 0.3785498, 0.0528868, -0.2744907, -0.5252771, -0.7845633", \
+					  "1.5743245, 1.1080776, 0.7499629, 0.4090089, 0.1005921, -0.1623255, -0.4067587", \
+					  "1.9640330, 1.4632478, 1.1189825, 0.8028303, 0.5038547, 0.2142875, -0.0589406");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.7609629, -1.4163976, -2.0938508, -2.7557045, -3.4253095, -4.0734782, -4.6661574", \
+					  "-0.3165153, -0.9647777, -1.6456160, -2.3165751, -2.9961719, -3.6234706, -4.2602594", \
+					  "0.1298747, -0.5361162, -1.2318839, -1.9071861, -2.5346422, -3.2396958, -3.8360388", \
+					  "0.5589105, -0.0787596, -0.8022834, -1.5119806, -2.1978794, -2.8283959, -3.4672338", \
+					  "0.9262196, 0.3190803, -0.3576495, -1.1036505, -1.8083327, -2.4678619, -3.0953806", \
+					  "1.2879449, 0.6978155, 0.0101554, -0.7217823, -1.4054968, -2.0925732, -2.7432696", \
+					  "1.6385302, 1.0349697, 0.3625773, -0.3606422, -1.0318205, -1.7184581, -2.3695538");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.8931487, 1.4031879, 1.7716047, 2.1018543, 2.4007758, 2.7040577, 2.9500125", \
+					  "0.4448440, 0.9514715, 1.3308155, 1.6514381, 1.9426867, 2.2352065, 2.5006793", \
+					  "-0.0168457, 0.4964730, 0.8782931, 1.2011079, 1.5169260, 1.7365151, 2.0104339", \
+					  "-0.4249384, 0.0706782, 0.4482576, 0.7656978, 1.1000081, 1.3595855, 1.6213230", \
+					  "-0.8073687, -0.3157826, 0.0549118, 0.3918667, 0.7565844, 1.0047150, 1.2450172", \
+					  "-1.1705573, -0.7002291, -0.3186023, 0.0039204, 0.3014863, 0.6481872, 0.8929307", \
+					  "-1.5017467, -1.0325279, -0.6815262, -0.3366506, -0.0667411, 0.2589839, 0.4643467");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.8946667, 1.4934932, 2.1967930, 2.8395664, 3.5452302, 4.1318960, 4.7624600", \
+					  "0.4250787, 1.0775166, 1.7508536, 2.3971385, 3.0688876, 3.7185548, 4.3216526", \
+					  "-0.0312270, 0.6214136, 1.3205905, 1.9807116, 2.6156442, 3.3217518, 3.9034525", \
+					  "-0.4528669, 0.2202854, 0.9130946, 1.6029557, 2.2735236, 2.9249326, 3.5554236", \
+					  "-0.8439055, -0.1792773, 0.5182921, 1.2154838, 1.8981865, 2.5419383, 3.1658470", \
+					  "-1.2051593, -0.5926296, 0.1170513, 0.8230519, 1.5024181, 2.1774338, 2.7786101", \
+					  "-1.5289685, -0.9107334, -0.2557025, 0.4363676, 1.1824000, 1.8174658, 2.4414224");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088195, 0.0151855, 0.0215515, 0.0214696, 0.0213867, 0.0213048, 0.0212229");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104094, 0.0182845, 0.0261597, 0.0260837, 0.0260067, 0.0259307, 0.0258547");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013596;
+			capacitance : 0.013527;
+			fall_capacitance : 0.013459;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2557849, -2.7487103, -2.3765074, -2.0314663, -1.7079397, -1.4585399, -1.1709929", \
+					  "-3.6954832, -3.1914262, -2.8197486, -2.4833715, -2.1837443, -1.9526509, -1.6662229", \
+					  "-4.1524390, -3.6459717, -3.2769960, -2.9372759, -2.6339039, -2.3481088, -2.0928645", \
+					  "-4.5849961, -4.0779280, -3.7020548, -3.3789882, -3.0475129, -2.8016016, -2.5076987", \
+					  "-4.9838158, -4.4658186, -4.0938095, -3.7916756, -3.4316192, -3.2047422, -2.9217730", \
+					  "-5.3436777, -4.8324986, -4.4603136, -4.1362586, -3.8187055, -3.5878988, -3.3294542", \
+					  "-5.7585947, -5.2467010, -4.8721793, -4.5090196, -4.1905512, -3.9385283, -3.6891956");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0604765, -2.4938484, -1.7267380, -1.0609493, -0.4002615, 0.2330447, 0.9603603", \
+					  "-3.4981653, -2.8962836, -2.2235116, -1.5512502, -0.8420608, -0.2163027, 0.4311678", \
+					  "-3.9407164, -3.3820557, -2.6323787, -1.9992307, -1.2965241, -0.6386615, 0.0574649", \
+					  "-4.4064194, -3.8314513, -3.1039111, -2.4252611, -1.7295903, -1.0856868, -0.3705734", \
+					  "-4.8111912, -4.2528329, -3.5021028, -2.8040925, -2.1601969, -1.4732003, -0.8116121", \
+					  "-5.1968587, -4.6218671, -3.9029106, -3.1790792, -2.5247852, -1.8676245, -1.2243918", \
+					  "-5.5557631, -4.9812383, -4.2558301, -3.6081739, -2.8849338, -2.1975676, -1.5978018");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6504098, 3.1462018, 2.7631804, 2.4489791, 2.1239306, 1.8121712, 1.5790870", \
+					  "4.0948523, 3.5881332, 3.2091596, 2.8929617, 2.5824636, 2.2854703, 2.0226556", \
+					  "4.5534104, 4.0421282, 3.6631094, 3.3290913, 3.0067431, 2.7718697, 2.5063214", \
+					  "4.9831089, 4.4770945, 4.0899038, 3.7653194, 3.4619190, 3.2145371, 2.9285161", \
+					  "5.3745349, 4.8741640, 4.4865931, 4.1496720, 3.8714190, 3.6204440, 3.3749310", \
+					  "5.7743657, 5.2384997, 4.8528548, 4.5119000, 4.2827318, 4.0075657, 3.7432429", \
+					  "6.1555004, 5.6511460, 5.2629814, 4.9266038, 4.6433082, 4.3445890, 4.0941546");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2521166, 2.6097287, 1.9118376, 1.2373942, 0.5278871, -0.1470444, -0.7053819", \
+					  "3.7117128, 3.0776724, 2.3731231, 1.7141600, 1.0214637, 0.3230067, -0.3139664", \
+					  "4.1491422, 3.5159096, 2.8454406, 2.1573346, 1.4392479, 0.7795233, 0.1288861", \
+					  "4.5885822, 3.9423920, 3.3143653, 2.5760863, 1.8922817, 1.2036174, 0.5806258", \
+					  "4.9851530, 4.3893714, 3.7016008, 2.9844088, 2.3167848, 1.6130344, 0.9856886", \
+					  "5.4018930, 4.7670217, 4.0777899, 3.3601642, 2.6803360, 2.0151059, 1.2947940", \
+					  "5.7546761, 5.1219800, 4.4425035, 3.7186863, 3.0228436, 2.3892817, 1.7417062");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088068, 0.0152626, 0.0217183, 0.0216215, 0.0215236, 0.0214268, 0.0213300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104514, 0.0184021, 0.0263529, 0.0262967, 0.0262398, 0.0261836, 0.0261274");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.293190;
+			max_transition : 5.010611;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.4030615, 0.4123648, 0.4303496, 0.4770555, 0.6137707, 0.7869465, 0.7790890", \
+					  "0.4804126, 0.4903930, 0.5095395, 0.5579865, 0.6936157, 0.8663904, 0.8645624", \
+					  "-0.4321997, -0.4221911, -0.4035930, -0.3556386, -0.2194935, -0.0465337, -0.0552873", \
+					  "-0.3919774, -0.3863751, -0.3675751, -0.3258053, -0.1947490, -0.0216973, -0.0168772", \
+					  "-0.3232098, -0.3163421, -0.3043797, -0.2774340, -0.1636771, 0.0068517, 0.0108189", \
+					  "-0.2174059, -0.2173838, -0.2177898, -0.2217597, -0.1108495, 0.0332834, 0.0388509", \
+					  "-0.0676052, -0.0657285, -0.0660592, -0.0723406, -0.0609593, 0.0654139, 0.0500620");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.5091362, 0.5135126, 0.5233555, 0.5436416, 0.5785458, 0.6414626, 0.7672743", \
+					  "0.4071932, 0.4123244, 0.4215063, 0.4418008, 0.4769007, 0.5388836, 0.6653032", \
+					  "1.3873946, 1.3950193, 1.4016335, 1.4230871, 1.4567734, 1.5196169, 1.6455268", \
+					  "1.3859252, 1.3907796, 1.3999724, 1.4181225, 1.4531660, 1.5164997, 1.6417248", \
+					  "1.3807619, 1.3859041, 1.3951806, 1.4165900, 1.4541218, 1.5138831, 1.6409921", \
+					  "1.3642418, 1.3700304, 1.3827498, 1.4159192, 1.4576969, 1.5135782, 1.6421264", \
+					  "1.3488206, 1.3530012, 1.3653649, 1.3948430, 1.4347341, 1.5044270, 1.6457638");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.9524824, 0.9557807, 0.9636360, 0.9793879, 0.9987409, 1.0123507, 1.0164466", \
+					  "0.7740438, 0.7776466, 0.7855097, 0.8085362, 0.8200389, 0.8344332, 0.8392334", \
+					  "1.9551700, 1.9592127, 1.9672538, 1.9946267, 2.0016796, 2.0156706, 2.0201820", \
+					  "1.9486023, 1.9529742, 1.9608102, 1.9911538, 1.9956530, 2.0097220, 2.0144173", \
+					  "1.9289414, 1.9331693, 1.9451157, 1.9798590, 1.9897012, 2.0047980, 2.0126067", \
+					  "1.8875641, 1.8914512, 1.9029622, 1.9363189, 1.9513646, 1.9804137, 2.0082521", \
+					  "1.9300366, 1.9304113, 1.9324731, 1.9461286, 1.9329564, 1.9481285, 1.9930401");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.6865504, 0.7212194, 0.7641200, 0.7767637, 0.7793744, 0.7760622, 0.7724161", \
+					  "0.9714483, 1.0041824, 1.0488190, 1.0599867, 1.0610550, 1.0630999, 1.0432267", \
+					  "0.0162483, 0.0483912, 0.0910048, 0.1038943, 0.1040261, 0.1006726, 0.1056271", \
+					  "0.3320831, 0.3325680, 0.3288894, 0.3255754, 0.2845668, 0.2755998, 0.2680876", \
+					  "0.5189669, 0.5236384, 0.5129026, 0.5124681, 0.5197055, 0.4465481, 0.4411626", \
+					  "0.6754074, 0.6787450, 0.6687322, 0.6681988, 0.6747503, 0.6617568, 0.5963418", \
+					  "0.8208090, 0.8271424, 0.8164652, 0.8169965, 0.8240801, 0.8209938, 0.7366100");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.6293819, 0.6634826, 0.7060991, 0.7192422, 0.7202663, 0.7202909, 0.7133834", \
+					  "0.7203672, 0.7558237, 0.8009045, 0.8112400, 0.8128032, 0.8130883, 0.7967590", \
+					  "-0.4050806, -0.3770124, -0.3357498, -0.3258770, -0.3355023, -0.3355208, -0.3243710", \
+					  "-0.2502729, -0.2387737, -0.2379396, -0.2385927, -0.2769710, -0.3228990, -0.3168060", \
+					  "-0.2371282, -0.2261903, -0.2250033, -0.2241033, -0.2374599, -0.2637774, -0.3053968", \
+					  "-0.2241475, -0.2103806, -0.2128841, -0.2107058, -0.2233112, -0.2217380, -0.2976353", \
+					  "-0.2091701, -0.1964409, -0.1972002, -0.1961652, -0.2091897, -0.2076196, -0.2587343");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.9046868, 0.9092327, 0.9180323, 0.9338835, 0.9544138, 0.9693333, 0.9753549", \
+					  "0.7420950, 0.7455573, 0.7588268, 0.7714602, 0.7921895, 0.8077159, 0.8133262", \
+					  "1.9169501, 1.9223978, 1.9441102, 1.9495878, 1.9676886, 1.9827807, 1.9886193", \
+					  "1.9142437, 1.9175126, 1.9363265, 1.9562010, 1.9649000, 1.9792516, 1.9872062", \
+					  "1.9094275, 1.9141622, 1.9380806, 1.9512998, 1.9626445, 1.9776646, 1.9852011", \
+					  "1.9043783, 1.9091189, 1.9318390, 1.9434873, 1.9683779, 1.9751189, 1.9918880", \
+					  "1.8756921, 1.8800799, 1.9016817, 1.9241538, 1.9485381, 1.9783214, 1.9894093");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("1.4392700, 1.4440831, 1.4423820, 1.4453864, 1.4416058, 1.4444803, 1.4302003", \
+					  "1.4297321, 1.4327796, 1.4339940, 1.4366924, 1.4329949, 1.4349095, 1.4312418", \
+					  "1.4206414, 1.4253965, 1.4261343, 1.4299691, 1.4243765, 1.4247933, 1.4100730", \
+					  "1.4250717, 1.4254071, 1.4249941, 1.4307015, 1.4235178, 1.4278457, 1.4069694", \
+					  "1.4223749, 1.4202501, 1.4252050, 1.4281079, 1.4260779, 1.4229027, 1.4102028", \
+					  "1.4230818, 1.4261760, 1.4264871, 1.4274033, 1.4271599, 1.4262370, 1.4124751", \
+					  "1.4232942, 1.4265445, 1.4239043, 1.4242080, 1.4232496, 1.4405740, 1.4092655");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.7202610, 0.7251618, 0.7328509, 0.7492030, 0.7743962, 0.8164472, 0.9405998", \
+					  "0.7139384, 0.7181313, 0.7259551, 0.7424793, 0.7673989, 0.8088896, 0.9335872", \
+					  "0.7063760, 0.7112426, 0.7187658, 0.7352299, 0.7605898, 0.8021136, 0.9266536", \
+					  "0.7067075, 0.7110138, 0.7197256, 0.7354650, 0.7604903, 0.8025358, 0.9270785", \
+					  "0.7069250, 0.7103935, 0.7203556, 0.7356951, 0.7604033, 0.8029067, 0.9277298", \
+					  "0.7068860, 0.7101829, 0.7200235, 0.7356289, 0.7600559, 0.8025388, 0.9274429", \
+					  "0.7062462, 0.7103507, 0.7190187, 0.7346030, 0.7605005, 0.8019163, 0.9266268");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("3.6672498, 3.7030963, 3.7866603, 3.9699919, 4.3764863, 5.2866818, 7.3303608", \
+					  "6.9282797, 6.9650476, 7.0479471, 7.2308897, 7.6372437, 8.5482652, 10.5923960", \
+					  "10.0706230, 10.1074380, 10.1930350, 10.3762030, 10.7702980, 11.6931720, 13.7345030", \
+					  "10.7790000, 10.8156800, 10.8977180, 11.0808540, 11.4856760, 12.3978230, 14.4428100", \
+					  "15.0201020, 15.0570470, 15.1402040, 15.3229110, 15.7179600, 16.6404470, 18.6841850", \
+					  "18.5214000, 18.5585730, 18.6425900, 18.8251530, 19.2265600, 20.1427070, 22.1857430", \
+					  "21.2027760, 21.2173350, 21.3033160, 21.4859280, 21.8990160, 22.8033910, 24.8447170", \
+					  "24.9352980, 24.9815740, 25.0784390, 25.2509370, 25.6890790, 26.5778560, 28.6234330", \
+					  "76.2811040, 76.6802020, 76.7485910, 76.9483460, 77.3112990, 78.2688970, 80.3198220", \
+					  "109.5940300, 109.6308300, 109.7686400, 109.7887600, 110.1637600, 111.2440500, 113.1839900", \
+					  "250.2959500, 250.3271300, 250.3763600, 250.6242500, 251.0154800, 251.7494700, 253.6827400", \
+					  "753.1426700, 753.1988300, 753.4142700, 753.7875600, 754.0924700, 755.3388700, 757.1661600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("0.2457793, 0.2911727, 0.3944942, 0.6266859, 1.1496699, 2.3242477, 4.9843334", \
+					  "0.2461222, 0.2924283, 0.3981609, 0.6285623, 1.1506183, 2.3249187, 4.9893152", \
+					  "0.2463394, 0.2933435, 0.3979812, 0.6319151, 1.1500798, 2.3243656, 4.9946722", \
+					  "0.2461890, 0.2929134, 0.3976927, 0.6316474, 1.1495918, 2.3249014, 4.9827631", \
+					  "0.2469935, 0.2927054, 0.3979518, 0.6277823, 1.1501687, 2.3245013, 5.0057558", \
+					  "0.2465461, 0.2920203, 0.3952205, 0.6281018, 1.1503111, 2.3247599, 4.9927595", \
+					  "0.2468126, 0.2919383, 0.3979382, 0.6282402, 1.1504284, 2.3248106, 4.9836748", \
+					  "0.2461442, 0.2929303, 0.3965485, 0.6300385, 1.1504792, 2.3249813, 5.0051665", \
+					  "0.2461910, 0.2920253, 0.3950078, 0.6284855, 1.1504794, 2.3249525, 4.9963957", \
+					  "0.2468985, 0.2930959, 0.3965455, 0.6300804, 1.1503175, 2.3247402, 4.9963451", \
+					  "0.2464762, 0.2917636, 0.3980937, 0.6289121, 1.1503563, 2.3249149, 4.9963500", \
+					  "0.2470379, 0.2925124, 0.3974351, 0.6286214, 1.1500823, 2.3241542, 4.9965312");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("4.5135371, 4.5365520, 4.5853277, 4.6799968, 4.8596496, 5.1784057, 5.7336536", \
+					  "7.7597146, 7.7843367, 7.8357250, 7.9263041, 8.1062943, 8.4234264, 8.9791792", \
+					  "11.3886820, 11.4135130, 11.4632450, 11.5472280, 11.7318810, 12.0498960, 12.6058180", \
+					  "12.2450750, 12.2707520, 12.3203660, 12.4006290, 12.5896340, 12.9074850, 13.4632760", \
+					  "17.3416960, 17.3658190, 17.4167580, 17.4933990, 17.6868510, 18.0080080, 18.5634600", \
+					  "21.5208760, 21.5208769, 21.6048900, 21.6628330, 21.8603700, 22.1853490, 22.7494360", \
+					  "24.6481590, 24.6815400, 24.7524280, 24.8536590, 25.0229950, 25.3156200, 25.8949480", \
+					  "29.1239760, 29.1323850, 29.2162600, 29.2956790, 29.4667420, 29.7754920, 30.3343270", \
+					  "88.0108290, 88.0108300, 88.0897630, 88.1642560, 88.3531260, 88.5930190, 89.2332110", \
+					  "124.2385100, 124.2589200, 124.3112600, 124.4073400, 124.5931600, 124.9085700, 125.4568800", \
+					  "273.4559500, 273.4559784, 273.5477300, 273.6290700, 273.8011100, 274.0860100, 274.6884600", \
+					  "776.5053200, 776.5667600, 776.6531100, 776.6531678, 776.8738300, 777.2456500, 777.7810000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("0.1851177, 0.2012683, 0.2376245, 0.3160662, 0.4752526, 0.7518977, 1.3067339", \
+					  "0.1893041, 0.2068299, 0.2395594, 0.3180453, 0.4784743, 0.7526575, 1.3061179", \
+					  "0.1869556, 0.2074789, 0.2397136, 0.3179392, 0.4787539, 0.7545694, 1.3059380", \
+					  "0.1893176, 0.2075310, 0.2401125, 0.3185839, 0.4788505, 0.7543005, 1.3077378", \
+					  "0.1892555, 0.2047047, 0.2407675, 0.3193846, 0.4779425, 0.7519081, 1.3049880", \
+					  "0.1868662, 0.2072585, 0.2397826, 0.3182133, 0.4797818, 0.7533276, 1.3060051", \
+					  "0.1869771, 0.2067325, 0.2396730, 0.3200843, 0.4796484, 0.7525250, 1.3084154", \
+					  "0.1867278, 0.2075041, 0.2398054, 0.3179914, 0.4788925, 0.7516345, 1.3054356", \
+					  "0.1869984, 0.2066002, 0.2395621, 0.3200097, 0.4786682, 0.7525044, 1.3059227", \
+					  "0.1884726, 0.2069350, 0.2397456, 0.3179441, 0.4777271, 0.7524859, 1.3050383", \
+					  "0.1892196, 0.2046641, 0.2403740, 0.3204382, 0.4789000, 0.7518014, 1.3065429", \
+					  "0.1891628, 0.2071232, 0.2396529, 0.3194139, 0.4795519, 0.7542873, 1.3061052");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("4.5449271, 4.5838622, 4.6663025, 4.8470277, 5.2538884, 6.1665233, 8.2168237", \
+					  "7.0945125, 7.1301267, 7.2119093, 7.3941955, 7.8006153, 8.7129255, 10.7638570", \
+					  "9.2140295, 9.2494412, 9.3310062, 9.5130979, 9.9197042, 10.8319930, 12.8880500", \
+					  "9.6920525, 9.7281143, 9.8107691, 9.9923891, 10.3987290, 11.3110690, 13.3631410", \
+					  "12.5552650, 12.5860620, 12.6649920, 12.8526390, 13.2583320, 14.1701080, 16.2217740", \
+					  "14.9099990, 14.9612290, 15.0435610, 15.2154380, 15.6316610, 16.5256930, 18.5923080", \
+					  "16.7149780, 16.7625060, 16.8439730, 17.0260070, 17.4216290, 18.3417050, 20.3926780", \
+					  "19.2624640, 19.3103860, 19.3920380, 19.5735620, 19.9629970, 20.8949160, 22.9422880", \
+					  "54.5141990, 54.5142003, 54.6575270, 54.6575299, 54.9841770, 56.1240090, 58.1913430", \
+					  "76.8544060, 76.8544121, 77.0672410, 77.2516340, 77.6510660, 78.5994840, 80.5458740", \
+					  "172.2930600, 172.2930680, 172.2930832, 172.4470300, 173.0137900, 173.8986400, 175.8209000", \
+					  "510.2957100, 510.2957306, 510.2957612, 510.2957917, 510.4952300, 511.6514800, 513.5041200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.2437498, 0.2892320, 0.3927579, 0.6262933, 1.1499005, 2.3396081, 5.0177542", \
+					  "0.2453995, 0.2938265, 0.3948930, 0.6272866, 1.1490051, 2.3416038, 5.0178328", \
+					  "0.2441303, 0.2927777, 0.3942555, 0.6264033, 1.1511636, 2.3351621, 5.0414113", \
+					  "0.2438429, 0.2917973, 0.3955212, 0.6254995, 1.1508656, 2.3398710, 5.0332791", \
+					  "0.2440108, 0.2933865, 0.3949508, 0.6272074, 1.1540374, 2.3416629, 5.0030740", \
+					  "0.2452568, 0.2932160, 0.3943795, 0.6272833, 1.1504149, 2.3411286, 5.0293076", \
+					  "0.2440284, 0.2917391, 0.3953436, 0.6260943, 1.1540657, 2.3396336, 4.9922604", \
+					  "0.2437560, 0.2906369, 0.3953151, 0.6259272, 1.1541572, 2.3425188, 4.9990114", \
+					  "0.2464278, 0.2904785, 0.3952261, 0.6265605, 1.1529478, 2.3398119, 4.9973763", \
+					  "0.2440134, 0.2903105, 0.3951161, 0.6260374, 1.1500162, 2.3425868, 5.0111373", \
+					  "0.2440119, 0.2914363, 0.3951500, 0.6259594, 1.1539849, 2.3423516, 4.9987761", \
+					  "0.2440148, 0.2902633, 0.3951435, 0.6266657, 1.1530004, 2.3424360, 4.9957221");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("5.7753597, 5.7982854, 5.8410761, 5.9275624, 6.1021770, 6.4044374, 6.9398056", \
+					  "8.5081373, 8.5325951, 8.5786791, 8.6688994, 8.8369774, 9.1395007, 9.6819663", \
+					  "11.6911400, 11.7159200, 11.7639280, 11.8517280, 12.0197590, 12.3226070, 12.8648120", \
+					  "12.4132330, 12.4387000, 12.4877570, 12.5743600, 12.7430630, 13.0455790, 13.5865190", \
+					  "16.6149440, 16.6401720, 16.6914910, 16.7546560, 16.9439700, 17.2445360, 17.7898390", \
+					  "19.9756920, 20.0068350, 20.0491270, 20.1412700, 20.3103610, 20.6093400, 21.1495670", \
+					  "22.4883120, 22.5058480, 22.5348100, 22.6516600, 22.7929990, 23.1056610, 23.6665020", \
+					  "25.9662110, 26.0138350, 26.0490460, 26.1353300, 26.2853540, 26.5900990, 27.1457630", \
+					  "70.5559490, 70.5886980, 70.6292130, 70.6848270, 70.8854840, 71.2068630, 71.6986220", \
+					  "97.3368090, 97.3854740, 97.4274310, 97.4782710, 97.6682980, 97.9612620, 98.4958040", \
+					  "205.6171600, 205.6279600, 205.6828700, 205.7767100, 205.9597800, 206.2038500, 206.7967600", \
+					  "562.5175800, 562.6283200, 562.6283265, 562.6620800, 562.9430000, 563.2181200, 563.7320400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.1796743, 0.1945675, 0.2278342, 0.2994175, 0.4487486, 0.7195915, 1.2915723", \
+					  "0.1837373, 0.1972414, 0.2303364, 0.3023537, 0.4516218, 0.7276955, 1.2966700", \
+					  "0.1823793, 0.1981660, 0.2306432, 0.3018004, 0.4509685, 0.7266801, 1.2921033", \
+					  "0.1830717, 0.1973039, 0.2302869, 0.3023181, 0.4515927, 0.7236326, 1.2971532", \
+					  "0.1830583, 0.1974456, 0.2307291, 0.3018216, 0.4512940, 0.7282007, 1.2940901", \
+					  "0.1830550, 0.1973218, 0.2303327, 0.3019700, 0.4516697, 0.7275936, 1.2968656", \
+					  "0.1837638, 0.1974714, 0.2305530, 0.3020886, 0.4516506, 0.7265409, 1.2968432", \
+					  "0.1830652, 0.1973688, 0.2321129, 0.3020717, 0.4515732, 0.7265646, 1.2968474", \
+					  "0.1825540, 0.1982341, 0.2310374, 0.3038058, 0.4516925, 0.7267276, 1.2941471", \
+					  "0.1831039, 0.1985410, 0.2310750, 0.3020548, 0.4516923, 0.7271698, 1.2968838", \
+					  "0.1824017, 0.1975611, 0.2311582, 0.3020889, 0.4516621, 0.7274651, 1.2968550", \
+					  "0.1821231, 0.1988232, 0.2305331, 0.3021766, 0.4518272, 0.7273767, 1.2952641");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("4.5274069, 4.5632436, 4.6464241, 4.8274749, 5.2343934, 6.1470171, 8.1970422", \
+					  "6.7859411, 6.8223507, 6.9041999, 7.0865189, 7.4925852, 8.4053076, 10.4556750", \
+					  "8.4632488, 8.4983913, 8.5801997, 8.7621777, 9.1686871, 10.0808680, 12.1316570", \
+					  "8.8243173, 8.8615080, 8.9433385, 9.1251948, 9.5316768, 10.4437510, 12.4957230", \
+					  "10.9281020, 10.9658900, 11.0472720, 11.2296790, 11.6338090, 12.5480200, 14.6021110", \
+					  "12.6037090, 12.6396470, 12.7249800, 12.9042200, 13.3104310, 14.2231540, 16.2779180", \
+					  "13.8540710, 13.8886730, 13.9701990, 14.1610470, 14.5684050, 15.4778980, 17.5234710", \
+					  "15.5935620, 15.6315230, 15.7122940, 15.8959830, 16.3020850, 17.2147540, 19.2659490", \
+					  "38.1872520, 38.2040280, 38.2819960, 38.4949000, 38.8360630, 39.8101960, 41.8365720", \
+					  "52.0279850, 52.0647130, 52.1454060, 52.3555670, 52.7379420, 53.6502930, 55.6980130", \
+					  "109.2585000, 109.3993400, 109.3993416, 109.6597100, 110.1937500, 111.0719400, 112.9934300", \
+					  "307.6254100, 307.6254120, 307.7282400, 307.8751000, 308.3286200, 309.2320100, 311.2977800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.2438244, 0.2895211, 0.3927613, 0.6245048, 1.1531459, 2.3407523, 5.0092579", \
+					  "0.2456305, 0.2937344, 0.3949838, 0.6272881, 1.1495073, 2.3415681, 5.0284794", \
+					  "0.2438829, 0.2900222, 0.3950183, 0.6245222, 1.1497076, 2.3419073, 5.0399658", \
+					  "0.2439147, 0.2905726, 0.3952777, 0.6262190, 1.1481842, 2.3436362, 5.0111653", \
+					  "0.2460290, 0.2938709, 0.3948473, 0.6273177, 1.1499538, 2.3416704, 4.9943583", \
+					  "0.2458976, 0.2914132, 0.3953211, 0.6251466, 1.1508742, 2.3414328, 5.0261058", \
+					  "0.2438928, 0.2907032, 0.3953403, 0.6255087, 1.1528345, 2.3440354, 5.0151368", \
+					  "0.2439299, 0.2901301, 0.3951422, 0.6266987, 1.1530304, 2.3404905, 4.9988893", \
+					  "0.2456647, 0.2906042, 0.3950435, 0.6265565, 1.1540190, 2.3425000, 5.0039857", \
+					  "0.2450774, 0.2936540, 0.3949936, 0.6273328, 1.1525824, 2.3393649, 5.0278994", \
+					  "0.2436882, 0.2917280, 0.3951874, 0.6258861, 1.1541238, 2.3425493, 4.9990256", \
+					  "0.2438120, 0.2938455, 0.3953429, 0.6258932, 1.1539210, 2.3424760, 4.9989737");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("8.0129867, 8.0339522, 8.0853111, 8.1736875, 8.3348617, 8.6437089, 9.1844967", \
+					  "10.3423570, 10.3662390, 10.4130300, 10.5030140, 10.6720320, 10.9733120, 11.5160350", \
+					  "13.4855100, 13.5090730, 13.5565900, 13.6547740, 13.8175720, 14.1111470, 14.6592680", \
+					  "14.2373010, 14.2675320, 14.3079730, 14.3960320, 14.5648380, 14.8705840, 15.4109650", \
+					  "18.8623110, 18.8852030, 18.9272260, 19.0231050, 19.1837760, 19.4927150, 20.0359690", \
+					  "22.5522040, 22.5921630, 22.6394990, 22.7234780, 22.8795560, 23.2056370, 23.7272710", \
+					  "25.3183890, 25.3513730, 25.3965790, 25.4909270, 25.6574230, 25.9557280, 26.4683870", \
+					  "29.1298200, 29.1608050, 29.2039780, 29.2979450, 29.4616420, 29.7647520, 30.3046330", \
+					  "76.9723130, 76.9847550, 77.0468920, 77.1096750, 77.3013650, 77.5693680, 78.1503360", \
+					  "105.4540100, 105.4811300, 105.5240000, 105.6099700, 105.7970400, 106.0816000, 106.6250100", \
+					  "220.1145000, 220.1338600, 220.2192500, 220.2679900, 220.4934300, 220.7613100, 221.2685900", \
+					  "597.6140800, 597.6141053, 597.6151600, 597.7670400, 597.9904900, 598.1996200, 598.7639000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.1794315, 0.1952895, 0.2275903, 0.3000298, 0.4495098, 0.7201357, 1.2872671", \
+					  "0.1831155, 0.1974603, 0.2305604, 0.3019816, 0.4516721, 0.7269733, 1.2971755", \
+					  "0.1822086, 0.1985973, 0.2317430, 0.3020366, 0.4516329, 0.7264639, 1.2941446", \
+					  "0.1830572, 0.1985388, 0.2306025, 0.3019979, 0.4515719, 0.7268137, 1.2971830", \
+					  "0.1830778, 0.1974241, 0.2305708, 0.3019883, 0.4506945, 0.7269350, 1.2971010", \
+					  "0.1816232, 0.1989630, 0.2327451, 0.3037447, 0.4511924, 0.7283402, 1.2959342", \
+					  "0.1830545, 0.1973613, 0.2303121, 0.3020644, 0.4516582, 0.7278072, 1.2887040", \
+					  "0.1829727, 0.1974005, 0.2308664, 0.3022461, 0.4515939, 0.7263849, 1.2969066", \
+					  "0.1836728, 0.1989161, 0.2303676, 0.3023055, 0.4507031, 0.7277788, 1.2971086", \
+					  "0.1822882, 0.1983145, 0.2313280, 0.3039584, 0.4517490, 0.7272750, 1.2932209", \
+					  "0.1830585, 0.1974639, 0.2308540, 0.3020527, 0.4516463, 0.7272217, 1.2967604", \
+					  "0.1835917, 0.1974379, 0.2306314, 0.3022928, 0.4516382, 0.7275454, 1.2959964");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("23.2776660, 23.3126190, 23.3965190, 23.5774990, 23.9788490, 24.8894790, 26.9220790", \
+					  "23.9432230, 23.9799700, 24.0611340, 24.2437960, 24.6470540, 25.5537000, 27.5843100", \
+					  "24.6377690, 24.6745060, 24.7550620, 24.9375540, 25.3416190, 26.2481470, 28.2778370", \
+					  "25.3370820, 25.3737970, 25.4562880, 25.6366380, 26.0409420, 26.9475710, 28.9769540", \
+					  "26.0339020, 26.0704760, 26.1533530, 26.3383540, 26.7377890, 27.6448040, 29.6788760", \
+					  "26.7211750, 26.7561670, 26.8396630, 27.0074750, 27.4252670, 28.3329540, 30.3653140", \
+					  "27.3561690, 27.3837470, 27.4686460, 27.6437610, 28.0614620, 28.9688270, 30.9888650");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("0.2481500, 0.2938291, 0.3920473, 0.6315173, 1.1464336, 2.3342239, 5.0053566", \
+					  "0.2440552, 0.2909719, 0.3953044, 0.6314680, 1.1464595, 2.3211482, 4.9600235", \
+					  "0.2440554, 0.2910301, 0.3936489, 0.6330369, 1.1464337, 2.3206506, 4.9681717", \
+					  "0.2440588, 0.2910272, 0.3929655, 0.6316129, 1.1464608, 2.3211431, 4.9617017", \
+					  "0.2445192, 0.2929237, 0.3920265, 0.6330103, 1.1472213, 2.3262849, 5.0048659", \
+					  "0.2481626, 0.2938287, 0.3920381, 0.6314971, 1.1518840, 2.3343885, 5.0055218", \
+					  "0.2454516, 0.2938213, 0.3924343, 0.6231777, 1.1637604, 2.3596554, 4.9712878");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("16.1671030, 16.1867890, 16.2331910, 16.3231140, 16.4942460, 16.7790720, 17.3066360", \
+					  "16.6710790, 16.6912330, 16.7374040, 16.8328050, 16.9896650, 17.2791670, 17.8107480", \
+					  "17.0547260, 17.0742940, 17.1206870, 17.2085400, 17.3787990, 17.6625240, 18.1942210", \
+					  "17.3798610, 17.4088440, 17.4498940, 17.5418150, 17.7047000, 17.9924340, 18.5287410", \
+					  "17.6886270, 17.7120610, 17.7664020, 17.8527540, 18.0086420, 18.3081160, 18.8395340", \
+					  "17.9691670, 17.9832880, 18.0387920, 18.1279100, 18.2818130, 18.5802590, 19.1120750", \
+					  "18.2296970, 18.2458600, 18.2992000, 18.3829880, 18.5470310, 18.8409270, 19.3725050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("0.1755944, 0.1950427, 0.2246519, 0.2937506, 0.4390287, 0.7085651, 1.2722122", \
+					  "0.1755311, 0.1938978, 0.2249296, 0.2939042, 0.4378816, 0.7088994, 1.2725028", \
+					  "0.1756878, 0.1951591, 0.2244856, 0.2948045, 0.4382025, 0.7078373, 1.2713296", \
+					  "0.1753069, 0.1904133, 0.2253736, 0.2935978, 0.4382155, 0.7085132, 1.2707710", \
+					  "0.1780278, 0.1921957, 0.2260768, 0.2933056, 0.4383879, 0.7074106, 1.2712408", \
+					  "0.1768367, 0.1918437, 0.2260243, 0.2932941, 0.4384907, 0.7075101, 1.2710782", \
+					  "0.1767622, 0.1905254, 0.2250252, 0.2940958, 0.4390252, 0.7089729, 1.2725968");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.271450;
+			max_transition : 3.757306;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.7802331, 0.7809031, 0.7827470, 0.7873176, 0.7904836, 0.7960645, 0.7870450", \
+					  "0.8615590, 0.8610314, 0.8675591, 0.8662127, 0.8688606, 0.8764839, 0.8706863", \
+					  "-0.0515597, -0.0517652, -0.0531881, -0.0462672, -0.0431529, -0.0409281, -0.0555214", \
+					  "-0.0204382, -0.0194275, -0.0169658, -0.0141211, -0.0093230, -0.0137051, -0.0137916", \
+					  "0.0107547, 0.0118366, 0.0140095, 0.0168368, 0.0119274, 0.0204068, 0.0052612", \
+					  "0.0488822, 0.0481938, 0.0468448, 0.0428339, 0.0352529, 0.0470883, 0.0387813", \
+					  "0.1007175, 0.1010460, 0.0996072, 0.0956521, 0.0749396, 0.0763486, 0.0479416");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.7332829, 0.7344872, 0.7420580, 0.7547295, 0.7679264, 0.7789708, 0.7833264", \
+					  "0.6309719, 0.6334415, 0.6414919, 0.6526429, 0.6666716, 0.6767841, 0.6814811", \
+					  "1.6109370, 1.6130344, 1.6215655, 1.6334084, 1.6479020, 1.6575701, 1.6619215", \
+					  "1.6066996, 1.6123686, 1.6279272, 1.6315509, 1.6453170, 1.6639109, 1.6580743", \
+					  "1.6083690, 1.6099071, 1.6267444, 1.6278503, 1.6415993, 1.6625325, 1.6590426", \
+					  "1.6080010, 1.6081467, 1.6225026, 1.6265038, 1.6407011, 1.6596159, 1.6586028", \
+					  "1.6111092, 1.6070274, 1.6202639, 1.6246994, 1.6390972, 1.6590990, 1.6625928");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.7139762, 0.7152039, 0.7172030, 0.7197837, 0.7216292, 0.7211800, 0.7228392", \
+					  "0.8075183, 0.8083173, 0.8104767, 0.8125510, 0.8172589, 0.8144985, 0.8002003", \
+					  "-0.3299453, -0.3296283, -0.3278850, -0.3258867, -0.3241360, -0.3193003, -0.3355509", \
+					  "-0.2382488, -0.2375792, -0.2383643, -0.2380960, -0.2636088, -0.3036807, -0.3252034", \
+					  "-0.2268371, -0.2256426, -0.2246858, -0.2228838, -0.2195180, -0.2240440, -0.2957170", \
+					  "-0.2120884, -0.2122564, -0.2114845, -0.2122013, -0.2095056, -0.2037573, -0.2680773", \
+					  "-0.1981495, -0.1978729, -0.1971629, -0.1963456, -0.1936299, -0.1878389, -0.2092181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.8930811, 0.8996349, 0.9113339, 0.9319855, 0.9564501, 0.9746276, 0.9824783", \
+					  "0.7311690, 0.7419662, 0.7496189, 0.7696543, 0.7950721, 0.8119508, 0.8205160", \
+					  "1.9062822, 1.9256829, 1.9258067, 1.9493643, 1.9703911, 1.9874762, 1.9959485", \
+					  "1.9036600, 1.9249123, 1.9213860, 1.9544694, 1.9693479, 1.9845948, 1.9921840", \
+					  "1.9009644, 1.9158312, 1.9179601, 1.9501772, 1.9744889, 1.9820942, 1.9909636", \
+					  "1.8938221, 1.9139397, 1.9136871, 1.9452211, 1.9712196, 1.9803974, 1.9897560", \
+					  "1.8709121, 1.8819273, 1.8835922, 1.9196081, 1.9521355, 1.9767586, 1.9962211");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.7717648, 0.7730631, 0.7743962, 0.7773593, 0.7811894, 0.7797515, 0.7845247", \
+					  "1.0558461, 1.0566027, 1.0586182, 1.0611216, 1.0636265, 1.0633343, 1.0599209", \
+					  "0.0994343, 0.1005985, 0.1020401, 0.1038923, 0.1062823, 0.1052412, 0.1009303", \
+					  "0.3346009, 0.3342795, 0.3282655, 0.3129543, 0.2847183, 0.2793867, 0.2859299", \
+					  "0.5134821, 0.5223424, 0.5211171, 0.5142803, 0.5207927, 0.4677639, 0.4418721", \
+					  "0.6660203, 0.6756885, 0.6679325, 0.6698844, 0.6734045, 0.6753217, 0.6077329", \
+					  "0.8173115, 0.8176771, 0.8174552, 0.8171611, 0.8181442, 0.8251357, 0.7757524");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.9413400, 0.9473127, 0.9585079, 0.9777176, 1.0010737, 1.0169679, 1.0241351", \
+					  "0.7637792, 0.7713355, 0.7810855, 0.8000877, 0.8245939, 0.8399781, 0.8459340", \
+					  "1.9441811, 1.9511621, 1.9625085, 1.9812483, 2.0050944, 2.0206337, 2.0274327", \
+					  "1.9382267, 1.9445188, 1.9682966, 1.9746626, 1.9984743, 2.0148501, 2.0218996", \
+					  "1.9152540, 1.9231487, 1.9490724, 1.9627340, 1.9926144, 2.0100161, 2.0183293", \
+					  "1.8753389, 1.8828452, 1.9078975, 1.9224706, 1.9542464, 1.9911601, 2.0164723", \
+					  "1.9306583, 1.9312191, 1.9443537, 1.9358710, 1.9360078, 1.9546693, 1.9966888");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("1.1373145, 1.1406155, 1.1415996, 1.1631351, 1.2204388, 1.4444361, 1.4344860", \
+					  "1.1283339, 1.1313024, 1.1413992, 1.1535780, 1.2144266, 1.4366480, 1.4254735", \
+					  "1.1189781, 1.1234060, 1.1290599, 1.1461732, 1.2104094, 1.4281651, 1.4169413", \
+					  "1.1200935, 1.1232544, 1.1295085, 1.1457251, 1.2017213, 1.4291433, 1.4175421", \
+					  "1.1201948, 1.1234539, 1.1309305, 1.1459820, 1.2092078, 1.4292108, 1.4171664", \
+					  "1.1196487, 1.1229720, 1.1297040, 1.1454695, 1.2011199, 1.4283735, 1.4169226", \
+					  "1.1187380, 1.1220062, 1.1293151, 1.1444472, 1.2098277, 1.4190578, 1.4168181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.9417629, 0.9463456, 0.9566949, 0.9771678, 1.0059150, 1.0256591, 1.0399340", \
+					  "0.9377828, 0.9410040, 0.9498383, 0.9696800, 0.9988057, 1.0185051, 1.0327972", \
+					  "0.9312815, 0.9357726, 0.9422416, 0.9635599, 0.9919974, 1.0117229, 1.0257215", \
+					  "0.9298311, 0.9338247, 0.9429548, 0.9630258, 0.9912731, 1.0113602, 1.0258261", \
+					  "0.9290721, 0.9348222, 0.9436391, 0.9637528, 0.9913855, 1.0123280, 1.0261866", \
+					  "0.9299473, 0.9321376, 0.9445135, 0.9637332, 0.9911453, 1.0118724, 1.0259820", \
+					  "0.9321334, 0.9351835, 0.9425402, 0.9624915, 0.9917189, 1.0115558, 1.0257464");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("6.4626846, 6.4991732, 6.5756942, 6.7321042, 7.0436945, 7.6916118, 9.1055652", \
+					  "9.7248654, 9.7613189, 9.8379651, 9.9943023, 10.3056290, 10.9520110, 12.3717210", \
+					  "12.8703050, 12.9068150, 12.9833160, 13.1386810, 13.4495470, 14.0934110, 15.5108280", \
+					  "13.5734320, 13.6099120, 13.6862580, 13.8409780, 14.1521180, 14.8056560, 16.2185710", \
+					  "17.8178500, 17.8543560, 17.9327450, 18.0862540, 18.3970630, 19.0361840, 20.4581840", \
+					  "21.3192820, 21.3558340, 21.4117380, 21.5887720, 21.9001600, 22.5458270, 23.9635490", \
+					  "23.9797850, 24.0163320, 24.1035350, 24.2492800, 24.5606630, 25.2141900, 26.6227660", \
+					  "27.7529240, 27.7900800, 27.8780640, 28.0228370, 28.3342290, 28.9845090, 30.4002260", \
+					  "79.4334700, 79.4334755, 79.5699290, 79.5699349, 79.7324730, 80.7276660, 81.8372000", \
+					  "112.4454600, 112.4454613, 112.5028100, 112.7410200, 113.0227100, 113.5293200, 115.0894000", \
+					  "252.7021900, 252.9479000, 253.0558400, 253.1382700, 253.4751500, 254.1551100, 255.5578900", \
+					  "756.4835300, 756.4835511, 756.7466000, 756.7466126, 757.0463900, 757.0464173, 758.9679900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4207322, 0.4540991, 0.5283489, 0.6848029, 1.0408502, 1.8615116, 3.7371475", \
+					  "0.4237488, 0.4564056, 0.5281521, 0.6853761, 1.0394695, 1.8578099, 3.7646051", \
+					  "0.4230875, 0.4562172, 0.5280427, 0.6829506, 1.0358925, 1.8624378, 3.7160934", \
+					  "0.4225525, 0.4554352, 0.5280258, 0.6862939, 1.0373929, 1.8605585, 3.7529877", \
+					  "0.4232461, 0.4563019, 0.5282264, 0.6830754, 1.0364986, 1.8625184, 3.7220687", \
+					  "0.4237311, 0.4566036, 0.5285690, 0.6855612, 1.0370685, 1.8510022, 3.7332177", \
+					  "0.4237348, 0.4566007, 0.5281565, 0.6855617, 1.0399164, 1.8648254, 3.7176429", \
+					  "0.4235549, 0.4562281, 0.5283308, 0.6845600, 1.0405771, 1.8606229, 3.7362917", \
+					  "0.4237447, 0.4562256, 0.5281820, 0.6846973, 1.0397704, 1.8579711, 3.7453272", \
+					  "0.4221785, 0.4562220, 0.5282949, 0.6841399, 1.0403667, 1.8639785, 3.7424743", \
+					  "0.4237097, 0.4566150, 0.5280146, 0.6855610, 1.0400153, 1.8599537, 3.7199235", \
+					  "0.4235958, 0.4568556, 0.5283836, 0.6842811, 1.0404740, 1.8511984, 3.7525809");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("6.7952302, 6.8177153, 6.8745796, 6.9736665, 7.1756938, 7.5344149, 8.1809128", \
+					  "10.0442800, 10.0667630, 10.1204190, 10.2222720, 10.4240530, 10.7821620, 11.4298630", \
+					  "13.6710900, 13.6959970, 13.7500760, 13.8532390, 14.0528230, 14.4098490, 15.0578450", \
+					  "14.5289610, 14.5522320, 14.6069310, 14.7079740, 14.9089260, 15.2706560, 15.9121480", \
+					  "19.6097790, 19.6462210, 19.7052950, 19.7862860, 20.0052760, 20.3670930, 20.9996750", \
+					  "23.7909570, 23.8136570, 23.8935570, 23.9782630, 24.1606040, 24.5542320, 25.2578260", \
+					  "26.9936180, 27.0162830, 27.0240360, 27.1683300, 27.3196750, 27.7009540, 28.3732860", \
+					  "31.4172440, 31.4379240, 31.4956240, 31.5909010, 31.7727820, 32.2561110, 32.7962080", \
+					  "90.2872660, 90.3079710, 90.3757710, 90.4695980, 90.6278570, 91.0504950, 91.6697830", \
+					  "126.5287900, 126.5448200, 126.6255700, 126.7047200, 126.8992700, 127.2638700, 127.9159500", \
+					  "275.7713600, 275.7713776, 275.8446300, 275.8736700, 276.0531600, 276.5227700, 277.0763400", \
+					  "778.8759100, 778.8999600, 779.0207000, 779.0336600, 779.2383500, 779.6054400, 780.1934300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.3226042, 0.3427650, 0.3831116, 0.4772382, 0.6519483, 0.9760758, 1.6625862", \
+					  "0.3232790, 0.3414181, 0.3866062, 0.4748504, 0.6517627, 0.9787171, 1.6682050", \
+					  "0.3231723, 0.3415258, 0.3838196, 0.4742453, 0.6520709, 0.9778830, 1.6656676", \
+					  "0.3232996, 0.3423005, 0.3851068, 0.4753023, 0.6513579, 0.9743708, 1.6679372", \
+					  "0.3227101, 0.3419135, 0.3845085, 0.4748675, 0.6519950, 0.9780107, 1.6635643", \
+					  "0.3227181, 0.3417997, 0.3842876, 0.4733797, 0.6517115, 0.9786342, 1.6662388", \
+					  "0.3206420, 0.3409308, 0.3831136, 0.4765578, 0.6530204, 0.9763419, 1.6636341", \
+					  "0.3230405, 0.3424648, 0.3862083, 0.4750215, 0.6521992, 0.9782840, 1.6610352", \
+					  "0.3224658, 0.3427646, 0.3864822, 0.4776568, 0.6515917, 0.9783062, 1.6682409", \
+					  "0.3228608, 0.3426805, 0.3840510, 0.4750206, 0.6520415, 0.9782393, 1.6614820", \
+					  "0.3243799, 0.3418228, 0.3848696, 0.4750418, 0.6521092, 0.9794986, 1.6662220", \
+					  "0.3232584, 0.3429026, 0.3842526, 0.4774229, 0.6516758, 0.9788823, 1.6686407");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.9074473, 4.9435744, 5.0199644, 5.1727396, 5.4819580, 6.1283938, 7.5369764", \
+					  "7.1660051, 7.2021415, 7.2774884, 7.4317650, 7.7409767, 8.3859506, 9.7969988", \
+					  "8.8419831, 8.8780299, 8.9539478, 9.1071994, 9.4167643, 10.0601430, 11.4721840", \
+					  "9.2051753, 9.2412451, 9.3179260, 9.4706509, 9.7805994, 10.4239600, 11.8325400", \
+					  "11.3103260, 11.3467880, 11.4268450, 11.5740400, 11.8855200, 12.5299360, 13.9381760", \
+					  "12.9852820, 13.0244150, 13.0964390, 13.2520090, 13.5595770, 14.2052680, 15.6105210", \
+					  "14.2411000, 14.2683710, 14.3463380, 14.4976030, 14.8084280, 15.4537610, 16.8623870", \
+					  "15.9751360, 16.0111000, 16.0891870, 16.2405040, 16.5499720, 17.1950950, 18.6028760", \
+					  "38.5524610, 38.5846660, 38.6887540, 38.8108500, 39.1500200, 39.7676660, 41.1767330", \
+					  "52.4095750, 52.4456250, 52.5270880, 52.6739260, 52.9869450, 53.6227490, 55.0533170", \
+					  "109.7576400, 109.7713600, 110.0405200, 110.0405236, 110.3272100, 110.9615200, 112.4881500", \
+					  "308.3371500, 308.3371735, 308.3372040, 308.3372345, 308.8490200, 309.8016600, 311.1603600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.4148868, 0.4469559, 0.5204008, 0.6797389, 1.0350336, 1.8532540, 3.7166386", \
+					  "0.4148309, 0.4498045, 0.5222931, 0.6801960, 1.0347323, 1.8525139, 3.7507513", \
+					  "0.4136341, 0.4488351, 0.5198514, 0.6785185, 1.0366029, 1.8448482, 3.7043317", \
+					  "0.4159079, 0.4494148, 0.5222535, 0.6807148, 1.0278934, 1.8487218, 3.7093320", \
+					  "0.4150411, 0.4495329, 0.5205348, 0.6809231, 1.0358161, 1.8498169, 3.7304568", \
+					  "0.4145749, 0.4496053, 0.5191976, 0.6776486, 1.0368898, 1.8536546, 3.7426582", \
+					  "0.4150079, 0.4487388, 0.5195524, 0.6786039, 1.0363517, 1.8541262, 3.7638346", \
+					  "0.4150436, 0.4493239, 0.5213470, 0.6810170, 1.0332584, 1.8375697, 3.7261247", \
+					  "0.4148402, 0.4493852, 0.5222805, 0.6809438, 1.0352554, 1.8561915, 3.7436627", \
+					  "0.4149044, 0.4493937, 0.5190816, 0.6810063, 1.0344516, 1.8538301, 3.7149892", \
+					  "0.4150520, 0.4492181, 0.5213998, 0.6810207, 1.0331289, 1.8538443, 3.7200387", \
+					  "0.4145520, 0.4494260, 0.5213902, 0.6809002, 1.0327936, 1.8493876, 3.7186876");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("7.6986915, 7.7233908, 7.7774969, 7.8880236, 8.0987119, 8.4702236, 9.1267820", \
+					  "10.0360860, 10.0629300, 10.1157780, 10.2237470, 10.4348230, 10.8046710, 11.4619660", \
+					  "13.1755820, 13.2002710, 13.2542690, 13.3628810, 13.5740920, 13.9449270, 14.6015270", \
+					  "13.9296550, 13.9570570, 14.0127860, 14.1171290, 14.3282200, 14.6983150, 15.3558220", \
+					  "18.5419320, 18.5822950, 18.6335590, 18.7298730, 18.9401440, 19.3236860, 19.9710700", \
+					  "22.2508410, 22.2823950, 22.3409010, 22.4382430, 22.6597180, 23.0001230, 23.6706850", \
+					  "25.0175360, 25.0489550, 25.0988050, 25.2051940, 25.4146590, 25.7865640, 26.4434930", \
+					  "28.8188420, 28.8565010, 28.9028070, 29.0068560, 29.2173310, 29.5864610, 30.2454420", \
+					  "76.6672490, 76.6788520, 76.7349700, 76.8551370, 77.0522350, 77.3918100, 78.0923560", \
+					  "105.1519800, 105.1661100, 105.2321300, 105.3382700, 105.5468500, 105.9205000, 106.5749000", \
+					  "219.8205100, 219.8205185, 219.8848700, 220.0085600, 220.2135500, 220.5695600, 221.2466500", \
+					  "597.2590900, 597.2710800, 597.3607200, 597.4744700, 597.6515900, 598.0458600, 598.7059200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.3215036, 0.3417872, 0.3864113, 0.4847966, 0.6706419, 0.9991478, 1.6779552", \
+					  "0.3236193, 0.3448352, 0.3877597, 0.4852496, 0.6707139, 1.0000624, 1.6791816", \
+					  "0.3236356, 0.3451104, 0.3885345, 0.4847003, 0.6694363, 0.9985708, 1.6764347", \
+					  "0.3237355, 0.3452418, 0.3885682, 0.4849854, 0.6696850, 0.9988945, 1.6781297", \
+					  "0.3237438, 0.3430158, 0.3876980, 0.4851458, 0.6705631, 0.9997660, 1.6792306", \
+					  "0.3237854, 0.3433929, 0.3878196, 0.4847984, 0.6699842, 0.9994970, 1.6761094", \
+					  "0.3236415, 0.3437318, 0.3876645, 0.4849937, 0.6702350, 0.9993393, 1.6800477", \
+					  "0.3234641, 0.3449432, 0.3882736, 0.4842226, 0.6689268, 0.9979576, 1.6766194", \
+					  "0.3239958, 0.3451073, 0.3885184, 0.4851351, 0.6705342, 0.9995278, 1.6749987", \
+					  "0.3237092, 0.3425152, 0.3869858, 0.4839397, 0.6699383, 0.9986922, 1.6789173", \
+					  "0.3240464, 0.3444852, 0.3889615, 0.4855376, 0.6695903, 0.9993314, 1.6751602", \
+					  "0.3244165, 0.3456416, 0.3891245, 0.4849665, 0.6703016, 0.9998173, 1.6801709");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.9279278, 4.9654525, 5.0396682, 5.1920153, 5.5027782, 6.1491345, 7.5568902", \
+					  "7.4746493, 7.5107787, 7.5864399, 7.7409110, 8.0496783, 8.6928251, 10.1023610", \
+					  "9.5931698, 9.6219637, 9.7049551, 9.8601323, 10.1683820, 10.8135300, 12.2161650", \
+					  "10.0716430, 10.1056430, 10.1840620, 10.3385430, 10.6474600, 11.2883510, 12.7008870", \
+					  "12.9301430, 12.9701720, 13.0441990, 13.2002400, 13.5094660, 14.1523810, 15.5545690", \
+					  "15.3051150, 15.3416530, 15.4150490, 15.5641810, 15.8804540, 16.5078510, 17.9311270", \
+					  "17.1062720, 17.1412130, 17.2171750, 17.3782520, 17.6820800, 18.3160050, 19.7212820", \
+					  "19.6541040, 19.6993820, 19.7643680, 19.9169780, 20.2294130, 20.8847780, 22.2608330", \
+					  "54.5789830, 54.6338550, 54.7272560, 54.8453980, 55.1258590, 56.1442200, 57.2689490", \
+					  "77.1730630, 77.3519700, 77.4327800, 77.6472220, 77.9621060, 78.4556490, 79.7609020", \
+					  "172.4351800, 172.5648100, 172.6419900, 172.9606000, 173.2031900, 173.7067100, 175.0952700", \
+					  "510.4781200, 510.5382000, 510.5801500, 510.5801545, 511.0584900, 511.0585175, 512.3519000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.4136332, 0.4467516, 0.5185804, 0.6809164, 1.0341025, 1.8501514, 3.7109842", \
+					  "0.4148962, 0.4498155, 0.5191185, 0.6798536, 1.0350141, 1.8513807, 3.7410697", \
+					  "0.4154865, 0.4499027, 0.5223178, 0.6811584, 1.0335657, 1.8385385, 3.7238077", \
+					  "0.4153824, 0.4474549, 0.5197813, 0.6798633, 1.0352617, 1.8444691, 3.7033989", \
+					  "0.4144310, 0.4492189, 0.5203291, 0.6808606, 1.0340574, 1.8531059, 3.6957657", \
+					  "0.4144478, 0.4499103, 0.5201059, 0.6806748, 1.0336432, 1.8541472, 3.7441334", \
+					  "0.4150565, 0.4492827, 0.5225360, 0.6812220, 1.0331304, 1.8544430, 3.7469756", \
+					  "0.4153677, 0.4479735, 0.5222256, 0.6811843, 1.0338445, 1.8525528, 3.7220000", \
+					  "0.4160118, 0.4493697, 0.5225292, 0.6812267, 1.0354257, 1.8536467, 3.7475831", \
+					  "0.4151488, 0.4493542, 0.5225262, 0.6812263, 1.0352610, 1.8528780, 3.7473065", \
+					  "0.4147127, 0.4492816, 0.5221524, 0.6812257, 1.0347061, 1.8538905, 3.7218322", \
+					  "0.4151410, 0.4494518, 0.5202773, 0.6812193, 1.0353797, 1.8550340, 3.7512469");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("5.4593610, 5.4852110, 5.5393650, 5.6464584, 5.8567990, 6.2287087, 6.8854096", \
+					  "8.1995282, 8.2260714, 8.2794225, 8.3871825, 8.5994296, 8.9674197, 9.6251540", \
+					  "11.3814270, 11.4071530, 11.4671100, 11.5691000, 11.7788580, 12.1549130, 12.8034210", \
+					  "12.1058420, 12.1136060, 12.1650330, 12.2933970, 12.5013760, 12.8685060, 13.5150430", \
+					  "16.3084830, 16.3316220, 16.3848420, 16.4959810, 16.6972140, 17.0764630, 17.7314020", \
+					  "19.6731400, 19.6944630, 19.7481230, 19.8605270, 20.0640270, 20.4284930, 21.0939210", \
+					  "22.1836700, 22.2100170, 22.2640220, 22.3710220, 22.5783500, 22.9409740, 23.6096670", \
+					  "25.6689210, 25.6886320, 25.7394030, 25.8474820, 26.0777370, 26.4295870, 27.0863990", \
+					  "70.2171620, 70.2604340, 70.3242340, 70.4085610, 70.6406030, 71.0293340, 71.6710100", \
+					  "97.0503040, 97.0503045, 97.1383400, 97.2382350, 97.3992510, 97.8265080, 98.4693000", \
+					  "205.3481700, 205.3481827, 205.4011100, 205.5239000, 205.6916500, 206.0920800, 206.7202700", \
+					  "562.3436400, 562.3436585, 562.3437195, 562.4770600, 562.6270100, 563.0397500, 563.6197200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.3215716, 0.3414707, 0.3856138, 0.4841459, 0.6699749, 0.9993114, 1.6771206", \
+					  "0.3249021, 0.3436314, 0.3876718, 0.4849403, 0.6701643, 0.9992934, 1.6788850", \
+					  "0.3233796, 0.3449226, 0.3876827, 0.4842919, 0.6693575, 0.9984582, 1.6771081", \
+					  "0.3248309, 0.3441338, 0.3876771, 0.4849419, 0.6701742, 0.9994956, 1.6793728", \
+					  "0.3234147, 0.3449682, 0.3881769, 0.4847016, 0.6691863, 1.0006522, 1.6775575", \
+					  "0.3234343, 0.3437912, 0.3876856, 0.4849084, 0.6701854, 0.9995843, 1.6788835", \
+					  "0.3234112, 0.3449645, 0.3878081, 0.4849461, 0.6689550, 0.9995871, 1.6786904", \
+					  "0.3235279, 0.3448698, 0.3882931, 0.4849547, 0.6689235, 0.9988376, 1.6749869", \
+					  "0.3232805, 0.3426555, 0.3875888, 0.4836925, 0.6693615, 0.9986472, 1.6787094", \
+					  "0.3235022, 0.3450894, 0.3877708, 0.4844858, 0.6705754, 1.0017307, 1.6793891", \
+					  "0.3239561, 0.3452846, 0.3885474, 0.4847945, 0.6710543, 0.9996341, 1.6785097", \
+					  "0.3243502, 0.3441834, 0.3890412, 0.4856939, 0.6714590, 0.9998738, 1.6797963");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("20.1549180, 20.1912300, 20.2670110, 20.4215970, 20.7303380, 21.3735780, 22.7716250", \
+					  "20.8206700, 20.8580570, 20.9345320, 21.0874750, 21.3979590, 22.0402200, 23.4384870", \
+					  "21.5169730, 21.5524740, 21.6288550, 21.7821580, 22.0923710, 22.7346730, 24.1324420", \
+					  "22.2159910, 22.2520760, 22.3277700, 22.4823840, 22.7913000, 23.4338590, 24.8325960", \
+					  "22.9119390, 22.9520420, 23.0243560, 23.1785360, 23.4878660, 24.1306180, 25.5327740", \
+					  "23.5980210, 23.6211650, 23.7105060, 23.8515290, 24.1737870, 24.8170910, 26.2015550", \
+					  "24.2225460, 24.2588720, 24.3369860, 24.4892620, 24.8107100, 25.4536610, 26.8392490");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("0.4201525, 0.4530654, 0.5249244, 0.6807582, 1.0337803, 1.8307619, 3.6830508", \
+					  "0.4200236, 0.4531031, 0.5250981, 0.6813247, 1.0342448, 1.8303287, 3.6830901", \
+					  "0.4213910, 0.4532144, 0.5250619, 0.6819235, 1.0340759, 1.8303022, 3.6833037", \
+					  "0.4199597, 0.4530707, 0.5249545, 0.6807561, 1.0338168, 1.8302518, 3.6818841", \
+					  "0.4201533, 0.4530650, 0.5248220, 0.6807612, 1.0340249, 1.8306956, 3.6832204", \
+					  "0.4201525, 0.4530645, 0.5249247, 0.6807610, 1.0337636, 1.8307769, 3.6830516", \
+					  "0.4201410, 0.4530087, 0.5246988, 0.6809603, 1.0340599, 1.8306536, 3.6824592");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("19.0938320, 19.1214460, 19.1899080, 19.3310010, 19.5916940, 20.0702100, 20.8647020", \
+					  "19.5936780, 19.6269290, 19.6989200, 19.8295920, 20.0963390, 20.5744060, 21.3684480", \
+					  "19.9786370, 20.0107860, 20.0790550, 20.2140150, 20.4806390, 20.9578520, 21.7518390", \
+					  "20.3066100, 20.3402650, 20.4092300, 20.5450150, 20.8044230, 21.2886460, 22.0821690", \
+					  "20.6101960, 20.6437500, 20.7149440, 20.8520330, 21.1099940, 21.5962790, 22.3863360", \
+					  "20.8870610, 20.9189000, 20.9911380, 21.1274590, 21.3852260, 21.8702390, 22.6639600", \
+					  "21.1423450, 21.1792380, 21.2557580, 21.3913830, 21.6402400, 22.1361190, 22.9302370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("0.4795883, 0.5019973, 0.5505683, 0.6635890, 0.9041225, 1.2955894, 1.9908351", \
+					  "0.4799499, 0.5024393, 0.5504317, 0.6645174, 0.9011236, 1.2939644, 1.9914406", \
+					  "0.4801444, 0.5025346, 0.5515758, 0.6620601, 0.9013719, 1.2955066, 1.9906334", \
+					  "0.4786102, 0.5012754, 0.5495387, 0.6636490, 0.9036352, 1.2932068, 1.9906561", \
+					  "0.4808538, 0.5029029, 0.5541536, 0.6676082, 0.9036298, 1.2941318, 1.9950632", \
+					  "0.4795622, 0.5014108, 0.5520478, 0.6630060, 0.9028976, 1.2957062, 1.9882977", \
+					  "0.4794124, 0.5018840, 0.5508329, 0.6641862, 0.9035042, 1.2939276, 1.9909654");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195242;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3427250, 6.3398288, 6.3385916, 6.3404061, 6.2352207, 5.2726014, -10.9367042", \
+					  "6.3239588, 6.3251427, 6.3229583, 6.3259051, 6.2459413, 5.2395462, -11.2247849", \
+					  "6.3092237, 6.3107199, 6.3082453, 6.3090992, 6.2360882, 5.2606766, -11.1378535", \
+					  "6.3091864, 6.3104189, 6.3089214, 6.3133129, 6.2280382, 5.2537130, -11.0151693", \
+					  "6.3137136, 6.3132795, 6.3129417, 6.3140818, 6.2471479, 5.1672795, -11.3025292", \
+					  "6.3137075, 6.3145836, 6.3102849, 6.3126060, 6.2339324, 5.2716019, -11.0504430", \
+					  "6.3139127, 6.3123411, 6.3120184, 6.3182712, 6.2074540, 5.2524931, -11.2766867");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8054758, 3.8066350, 3.8322399, 3.9394732, 4.2667596, 5.2045801, 5.6154515", \
+					  "3.7304521, 3.7332871, 3.7560502, 3.8699599, 4.1899461, 5.1293761, 5.5462848", \
+					  "3.6575019, 3.6607874, 3.6823661, 3.7853701, 4.1192202, 5.0565234, 5.4724017", \
+					  "3.6600987, 3.6633275, 3.6847747, 3.7856485, 4.1159827, 5.0552960, 5.4725434", \
+					  "3.6585375, 3.6638904, 3.6828071, 3.7901275, 4.1136708, 5.0542470, 5.4700272", \
+					  "3.6556030, 3.6581947, 3.6807127, 3.7892657, 4.1148871, 5.0548622, 5.4717644", \
+					  "3.6534134, 3.6574808, 3.6850538, 3.7879312, 4.1165455, 5.0522432, 5.4749879");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1691360, 6.1699682, 6.1712844, 6.1779297, 6.1802052, 5.9422519, -0.2714331", \
+					  "6.1514843, 6.1552209, 6.1545656, 6.1573980, 6.1629170, 5.9163759, 0.0672436", \
+					  "6.1400197, 6.1406199, 6.1390087, 6.1448569, 6.1469685, 5.8870814, -0.1384264", \
+					  "6.1386188, 6.1393535, 6.1417808, 6.1445221, 6.1444132, 5.9131104, 0.0780415", \
+					  "6.1388214, 6.1400418, 6.1416056, 6.1452698, 6.1497580, 5.9396153, 0.0839936", \
+					  "6.1410193, 6.1428910, 6.1431608, 6.1464572, 6.1511175, 5.9078043, -0.1408069", \
+					  "6.1428161, 6.1427987, 6.1460904, 6.1502888, 6.1658927, 5.8942679, 0.0861562");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7623254, 3.7644196, 3.7733149, 3.8084122, 3.7867290, 3.8562255, 3.8593055", \
+					  "3.6869999, 3.6893344, 3.6979174, 3.7294894, 3.7719784, 3.7872787, 3.7842346", \
+					  "3.6096526, 3.6166348, 3.6249862, 3.6585401, 3.6995069, 3.7212304, 3.7218219", \
+					  "3.6143575, 3.6158225, 3.6244298, 3.6587608, 3.7151632, 3.7141293, 3.7153492", \
+					  "3.5994671, 3.6147626, 3.6234501, 3.6566336, 3.7043467, 3.7113776, 3.7097469", \
+					  "3.6116850, 3.6140560, 3.6238463, 3.6538727, 3.6935102, 3.7057812, 3.7129699", \
+					  "3.6086293, 3.6128651, 3.6237478, 3.6646830, 3.6956023, 3.7408855, 3.7160954");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0852034, 0.0852029, 0.0852004, 0.0851661, 0.0852060, 0.0851611, 0.0850731", \
+					  "0.2331589, 0.2331596, 0.2331639, 0.2331239, 0.2331581, 0.2331562, 0.2330213", \
+					  "0.4163739, 0.4163744, 0.4163756, 0.4164176, 0.4163614, 0.4163594, 0.4164110", \
+					  "0.9362636, 0.9362657, 0.9362565, 0.9363227, 0.9361793, 0.9361748, 0.9363268", \
+					  "1.0883682, 1.0882129, 1.0874075, 1.0851466, 1.0831543, 1.0825712, 1.0824543", \
+					  "1.1086616, 1.1084817, 1.1074847, 1.1048129, 1.1021277, 1.1013559, 1.1012019", \
+					  "1.1096759, 1.1094837, 1.1087037, 1.1058685, 1.1031303, 1.1023760, 1.1022737");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4364873, 2.4364363, 2.4365036, 2.4343393, 2.4399292, 2.4393899, 2.4444109", \
+					  "2.4234950, 2.4236452, 2.4239013, 2.4241145, 2.4322256, 2.4242981, 2.4308279", \
+					  "2.4118842, 2.4113593, 2.4120965, 2.4108239, 2.4171697, 2.4205772, 2.4235310", \
+					  "2.4122322, 2.4117992, 2.4115409, 2.4102980, 2.4126600, 2.4255512, 2.4178770", \
+					  "2.4104887, 2.4108209, 2.4111880, 2.4123230, 2.4165191, 2.4265618, 2.4231414", \
+					  "2.4103255, 2.4130690, 2.4102060, 2.4115409, 2.4202659, 2.4149303, 2.4066073", \
+					  "2.4092704, 2.4097845, 2.4097637, 2.4081688, 2.4196273, 2.4223316, 2.4309440");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851352, 0.0851628, 0.0851600, 0.0851626, 0.0851350, 0.0851471, 0.0851628", \
+					  "0.2442598, 0.2444980, 0.2444985, 0.2445060, 0.2444350, 0.2444956, 0.2444292", \
+					  "0.4396685, 0.4399242, 0.4399672, 0.4401817, 0.4408499, 0.4410759, 0.4412733", \
+					  "0.9879826, 0.9882872, 0.9930752, 1.0105392, 1.0273689, 1.0304826, 1.0312981", \
+					  "1.5628784, 1.5663805, 1.5805878, 1.6309991, 1.6882227, 1.7055165, 1.7084385", \
+					  "1.6977006, 1.7004815, 1.7165794, 1.7726159, 1.8355971, 1.8547298, 1.8581271", \
+					  "1.7021937, 1.7050493, 1.7211116, 1.7774889, 1.8408068, 1.8601002, 1.8639923");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5662012, 5.5679988, 5.5681519, 5.5726119, 5.5735799, 5.3345059, -0.5467073", \
+					  "5.5542500, 5.5541832, 5.5560155, 5.5592889, 5.5696118, 5.3107030, -0.7275499", \
+					  "5.5422272, 5.5421383, 5.5432464, 5.5480320, 5.5418508, 5.3197563, -0.9063735", \
+					  "5.5398708, 5.5419221, 5.5429113, 5.5474012, 5.5444595, 5.2681376, -0.8173312", \
+					  "5.5394489, 5.5412882, 5.5422635, 5.5462130, 5.5407031, 5.2989581, -0.5150822", \
+					  "5.5405087, 5.5396660, 5.5409727, 5.5484823, 5.5400954, 5.2764287, -0.5111355", \
+					  "5.5398775, 5.5391407, 5.5409730, 5.5485724, 5.5394915, 5.2927219, -0.5604838");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1616535, 3.1597657, 3.1654743, 3.2105153, 3.4251641, 4.7889582, 5.0711409", \
+					  "3.0811697, 3.0836091, 3.0899794, 3.1310393, 3.3452247, 4.7146289, 4.9998803", \
+					  "3.0092283, 3.0111736, 3.0186310, 3.0575731, 3.2751751, 4.6439055, 4.9253883", \
+					  "3.0094467, 3.0116211, 3.0156101, 3.0606774, 3.2640837, 4.6408823, 4.9190769", \
+					  "3.0082091, 3.0091162, 3.0173733, 3.0579402, 3.2701242, 4.6399399, 4.9182052", \
+					  "3.0080897, 3.0074702, 3.0182836, 3.0585240, 3.2698821, 4.6380034, 4.9182978", \
+					  "3.0070263, 3.0083590, 3.0120486, 3.0579153, 3.2711846, 4.6377605, 4.9205412");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1015479, 0.1015410, 0.1015468, 0.1015456, 0.1015475, 0.1015509, 0.1015524", \
+					  "0.2369942, 0.2369979, 0.2369928, 0.2369847, 0.2369689, 0.2369667, 0.2369730", \
+					  "0.7148760, 0.7148762, 0.7148636, 0.7148139, 0.7149323, 0.7148636, 0.7146124", \
+					  "0.9905135, 0.9905099, 0.9905117, 0.9905395, 0.9901814, 0.9901511, 0.9905323", \
+					  "1.3387122, 1.3387055, 1.3386960, 1.3386388, 1.3386242, 1.3386025, 1.3383542", \
+					  "1.5339078, 1.5332661, 1.5299629, 1.5197515, 1.5089019, 1.5045102, 1.5034515", \
+					  "1.5737589, 1.5726698, 1.5669414, 1.5494849, 1.5318109, 1.5251998, 1.5237176");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851431, 0.0851404, 0.0851944, 0.0851516, 0.0851414, 0.0851954, 0.0851945", \
+					  "0.2331590, 0.2331594, 0.2331602, 0.2331584, 0.2330330, 0.2330876, 0.2331599", \
+					  "0.4163734, 0.4163723, 0.4163710, 0.4163647, 0.4163573, 0.4162209, 0.4163593", \
+					  "0.9349302, 0.9349262, 0.9349154, 0.9348488, 0.9348275, 0.9351296, 0.9348289", \
+					  "1.0872244, 1.0870553, 1.0862380, 1.0840232, 1.0820028, 1.0812928, 1.0813246", \
+					  "1.1085758, 1.1083962, 1.1073680, 1.1046727, 1.1020001, 1.1010316, 1.1011381", \
+					  "1.1096349, 1.1094661, 1.1084603, 1.1059010, 1.1031120, 1.1023651, 1.1022642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4424374, 2.4352593, 2.4357424, 2.4376905, 2.4407578, 2.4459554, 2.4539694", \
+					  "2.4245035, 2.4236680, 2.4227302, 2.4185373, 2.4325227, 2.4655807, 2.4371175", \
+					  "2.4248487, 2.4114193, 2.4116069, 2.4130823, 2.4179766, 2.8787547, 2.4187041", \
+					  "2.4088033, 2.4077918, 2.4116585, 2.4130475, 2.4178195, 2.4042655, 2.4170816", \
+					  "2.4036916, 2.4132502, 2.4109844, 2.4128549, 2.4172279, 2.4328864, 2.4250793", \
+					  "2.4135120, 2.4163140, 2.4123074, 2.4118835, 2.4169899, 2.3825153, 2.4138862", \
+					  "2.4204604, 2.4075201, 2.4117615, 2.4136636, 2.4242911, 2.4027335, 2.4304358");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4768059, 3.4746128, 3.4858848, 3.5479444, 3.6233020, 3.8898670, 5.4809892", \
+					  "3.4018595, 3.4037582, 3.4147435, 3.4675045, 3.5491462, 3.8143217, 5.4099568", \
+					  "3.3237404, 3.3265439, 3.3376748, 3.4056215, 3.4727074, 3.7414824, 5.3438543", \
+					  "3.3233598, 3.3263858, 3.3428028, 3.4002731, 3.4762432, 3.7433403, 5.3465240", \
+					  "3.3271788, 3.3296796, 3.3416176, 3.3962453, 3.4731176, 3.7400443, 5.3390838", \
+					  "3.3212535, 3.3241130, 3.3408433, 3.3969480, 3.4720944, 3.7413691, 5.3433277", \
+					  "3.3202035, 3.3233751, 3.3402762, 3.3944374, 3.4725836, 3.7414128, 5.3459002");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1015403, 0.1015438, 0.1015478, 0.1015390, 0.1015468, 0.1015422, 0.1015459", \
+					  "0.2369429, 0.2369431, 0.2369373, 0.2369369, 0.2369262, 0.2369264, 0.2369219", \
+					  "0.7248460, 0.7248404, 0.7248140, 0.7246779, 0.7243297, 0.7241609, 0.7241195", \
+					  "1.0296533, 1.0296577, 1.0296744, 1.0296862, 1.0300252, 1.0300182, 1.0297011", \
+					  "1.3769818, 1.3769794, 1.3769600, 1.3769029, 1.3766777, 1.3768262, 1.3766469", \
+					  "1.5734946, 1.5728345, 1.5693632, 1.5587370, 1.5477083, 1.5433408, 1.5422133", \
+					  "1.6117853, 1.6107034, 1.6050091, 1.5879290, 1.5720872, 1.5635720, 1.5620808");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4155709, 5.4138496, 5.4091728, 5.3796595, 5.2298227, 4.1432535, -12.3888560", \
+					  "5.4021052, 5.3986262, 5.3945431, 5.3650450, 5.2317297, 4.0958142, -12.2450149", \
+					  "5.3874374, 5.3870458, 5.3822051, 5.3562419, 5.1959586, 4.1389791, -12.3093157", \
+					  "5.3875200, 5.3863456, 5.3830878, 5.3558049, 5.1945715, 4.1269467, -12.5383510", \
+					  "5.3889235, 5.3884569, 5.3792901, 5.3555158, 5.1981174, 4.0688076, -12.3331396", \
+					  "5.3853815, 5.3860051, 5.3847058, 5.3556184, 5.1950636, 4.0411208, -12.3604958", \
+					  "5.3848431, 5.3854745, 5.3809955, 5.3543094, 5.1932307, 4.0105010, -12.0757200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851494, 0.0851552, 0.0851286, 0.0851514, 0.0851499, 0.0851559, 0.0851039", \
+					  "0.2444367, 0.2444339, 0.2444349, 0.2445016, 0.2445042, 0.2444376, 0.2444447", \
+					  "0.4402977, 0.4402976, 0.4403028, 0.4401967, 0.4402181, 0.4403452, 0.4402082", \
+					  "0.8723121, 0.8723040, 0.8723120, 0.8721253, 0.8720669, 0.8722342, 0.8720666", \
+					  "1.0186002, 1.0186896, 1.0197233, 1.0224158, 1.0247901, 1.0255656, 1.0256285", \
+					  "1.0201925, 1.0211422, 1.0220167, 1.0252059, 1.0280916, 1.0289716, 1.0291077", \
+					  "1.0214052, 1.0211014, 1.0222035, 1.0255044, 1.0284313, 1.0296036, 1.0294428");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0158922, 6.0148930, 6.0067199, 5.9812897, 5.8345451, 4.7569097, -11.9415722", \
+					  "6.0006336, 5.9977718, 5.9947742, 5.9664080, 5.8193312, 4.6796603, -11.9451189", \
+					  "5.9848569, 5.9842775, 5.9784488, 5.9529549, 5.8058308, 4.6867056, -11.6954505", \
+					  "5.9843781, 5.9846044, 5.9817096, 5.9545252, 5.8088804, 4.6691354, -11.8635103", \
+					  "5.9883393, 5.9850672, 5.9808046, 5.9538474, 5.8050632, 4.6875899, -11.9321652", \
+					  "5.9876701, 5.9885592, 5.9827613, 5.9556914, 5.8031619, 4.6600099, -11.9198490", \
+					  "5.9920608, 5.9893772, 5.9773599, 5.9595621, 5.8004315, 4.6392263, -11.7052847");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0108850, 3.0021002, 3.0154924, 3.0175932, 3.0040166, 3.0260040, 3.0216752", \
+					  "2.9399558, 2.9365566, 2.9407102, 2.9425429, 2.9377987, 2.9436586, 2.9492793", \
+					  "2.8674151, 2.8635881, 2.8671913, 2.8690360, 2.8727977, 2.8768299, 2.8726119", \
+					  "2.8664497, 2.8620338, 2.8669740, 2.8675734, 2.8693673, 2.8778544, 2.8736089", \
+					  "2.8658835, 2.8844292, 2.8658686, 2.8686400, 2.9330210, 2.8765647, 2.8785880", \
+					  "2.8657030, 2.8640715, 2.8640810, 2.8648287, 2.8704744, 2.8630929, 2.8717971", \
+					  "2.8644266, 2.8780954, 2.8640901, 2.8620891, 2.7728901, 2.8610131, 2.8728750");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851397, 0.0851550, 0.0851050, 0.0851542, 0.0851493, 0.0851535, 0.0851635", \
+					  "0.2444117, 0.2444968, 0.2444506, 0.2445043, 0.2444992, 0.2444941, 0.2444936", \
+					  "0.4405169, 0.4399471, 0.4397607, 0.4402034, 0.4407551, 0.4410991, 0.4411809", \
+					  "0.9881876, 0.9883093, 0.9935072, 1.0105610, 1.0273819, 1.0304946, 1.0308563", \
+					  "1.5649993, 1.5682837, 1.5822906, 1.6328770, 1.6900724, 1.7073575, 1.7104102", \
+					  "1.7018125, 1.7033903, 1.7200499, 1.7755537, 1.8385160, 1.8575925, 1.8609937", \
+					  "1.7050607, 1.7077276, 1.7237894, 1.7803626, 1.8436817, 1.8628979, 1.8663812");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7320902, 6.7307554, 6.7613095, 6.8755003, 6.7771887, 5.5658929, -0.1988725", \
+					  "6.7186683, 6.7225255, 6.7467448, 6.8582164, 6.7508353, 5.5648023, -0.1827594", \
+					  "6.7077389, 6.7059799, 6.7372995, 6.8509646, 6.7459904, 5.4322627, -0.1991263", \
+					  "6.7017630, 6.7061111, 6.7369523, 6.8469879, 6.7714828, 5.5424434, -0.2175866", \
+					  "6.7056970, 6.7049081, 6.7342068, 6.8437598, 6.7446507, 5.5476095, -0.1957129", \
+					  "6.6998582, 6.7045545, 6.7353866, 6.8448503, 6.7419808, 5.5317062, -0.1676795", \
+					  "6.7051073, 6.7050566, 6.7346887, 6.8483287, 6.7433818, 5.5282470, -0.1688448");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0158627, 6.0146749, 6.0062363, 5.9804804, 5.8286418, 4.8053501, -11.9015622", \
+					  "5.9989848, 5.9978112, 5.9925099, 5.9662883, 5.8079618, 4.7214841, -11.5069199", \
+					  "5.9848768, 5.9841678, 5.9818827, 5.9534228, 5.8084611, 4.7318947, -11.6884935", \
+					  "5.9843937, 5.9845715, 5.9795265, 5.9525225, 5.8080920, 4.6621341, -12.0216403", \
+					  "5.9874707, 5.9856870, 5.9802555, 5.9537199, 5.7964013, 4.6840346, -12.0493132", \
+					  "5.9877005, 5.9868149, 5.9826767, 5.9575172, 5.8056643, 4.6873895, -11.9083000", \
+					  "5.9920491, 5.9871602, 5.9840442, 5.9597152, 5.7960288, 4.6786201, -12.0154137");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0148489, 3.0160166, 3.0154849, 3.0176210, 3.0203592, 3.0237482, 3.0244475", \
+					  "2.9400156, 2.9374099, 2.9406061, 2.9427324, 2.9420481, 2.9552294, 2.9488807", \
+					  "2.8674175, 2.8666297, 2.8670443, 2.8691321, 2.8698348, 2.8765179, 2.8684590", \
+					  "2.8664152, 2.8654937, 2.8669794, 2.8702490, 2.8732977, 2.8753356, 2.8725405", \
+					  "2.8657138, 2.8653939, 2.8658720, 2.8677265, 2.8723107, 2.8734383, 2.8800731", \
+					  "2.8656275, 2.8648957, 2.8640971, 2.8655558, 2.8767757, 2.8647342, 2.8765634", \
+					  "2.8644353, 2.8628895, 2.8641526, 2.8658368, 2.8723695, 2.8807239, 2.8741975");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1047656, 6.1027138, 6.1057930, 6.1107213, 6.1222546, 5.8628818, 0.0308433", \
+					  "6.0872909, 6.0864933, 6.0888339, 6.0906081, 6.0867600, 5.9324967, 0.0224150", \
+					  "6.0725801, 6.0747810, 6.0747559, 6.0769811, 6.0718806, 5.8241907, -0.2482950", \
+					  "6.0732852, 6.0727930, 6.0744119, 6.0782058, 6.0731348, 5.8289828, 0.0088887", \
+					  "6.0740906, 6.0748639, 6.0753279, 6.0819337, 6.0745759, 5.8679456, 0.0040432", \
+					  "6.0744779, 6.0758505, 6.0778393, 6.0803039, 6.0847479, 5.8116400, -0.0267970", \
+					  "6.0760245, 6.0777674, 6.0783022, 6.0822070, 6.0837193, 5.8531476, -0.3862788");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1221455, 0.1221358, 0.1221351, 0.1221325, 0.1221381, 0.1221387, 0.1221382", \
+					  "0.2595865, 0.2595814, 0.2595806, 0.2595601, 0.2589796, 0.2584641, 0.2583910", \
+					  "0.3330374, 0.3329966, 0.3329088, 0.3328872, 0.3329566, 0.3329688, 0.3326423", \
+					  "0.8347962, 0.8354254, 0.8389179, 0.8506166, 0.8593680, 0.8591058, 0.8588649", \
+					  "1.3685157, 1.3712461, 1.3839243, 1.4297882, 1.4830932, 1.4989142, 1.5015369", \
+					  "1.7246476, 1.7273887, 1.7430817, 1.7975338, 1.8593262, 1.8778671, 1.8809974", \
+					  "1.9702391, 1.9735865, 1.9889876, 2.0450708, 2.1083444, 2.1274411, 2.1306150");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851932, 0.0851408, 0.0851964, 0.0852017, 0.0851944, 0.0851756, 0.0851900", \
+					  "0.2331480, 0.2331519, 0.2331538, 0.2331530, 0.2331530, 0.2331521, 0.2331527", \
+					  "0.4162320, 0.4162326, 0.4162354, 0.4162331, 0.4162304, 0.4162257, 0.4162264", \
+					  "0.9255153, 0.9255150, 0.9254948, 0.9253679, 0.9247376, 0.9241150, 0.9239250", \
+					  "1.0826332, 1.0826615, 1.0826388, 1.0826014, 1.0828245, 1.0829996, 1.0826626", \
+					  "1.1410328, 1.1410315, 1.1410035, 1.1408121, 1.1402986, 1.1400130, 1.1398437", \
+					  "1.2269235, 1.2259407, 1.2208107, 1.2050441, 1.1899655, 1.1840256, 1.1826908");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0477984, 3.0502780, 3.0658788, 3.1266420, 3.1961700, 3.4639543, 5.0567767", \
+					  "3.0323671, 3.0348694, 3.0520284, 3.1045609, 3.1860909, 3.4522333, 5.0516281", \
+					  "3.0266093, 3.0295321, 3.0391160, 3.1024117, 3.1730785, 3.4449201, 5.0360753", \
+					  "3.0233191, 3.0238437, 3.0406158, 3.1028831, 3.1717501, 3.4442086, 5.0459620", \
+					  "3.0255527, 3.0230981, 3.0389931, 3.0918810, 3.1724636, 3.4403316, 5.0332336", \
+					  "3.0191761, 3.0260914, 3.0384905, 3.0936966, 3.1670659, 3.4402740, 5.0364110", \
+					  "3.0232140, 3.0263011, 3.0338498, 3.0940455, 3.1689513, 3.4371153, 5.0329340");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6672366, 7.6716454, 7.7022824, 7.8440006, 7.8346071, 6.7245489, 0.9808935", \
+					  "7.6489744, 7.6557285, 7.6869934, 7.8262128, 7.7840278, 6.6762035, 1.0345630", \
+					  "7.6357516, 7.6408517, 7.6733292, 7.8159061, 7.8009051, 6.6970355, 1.0334164", \
+					  "7.6356457, 7.6413226, 7.6721591, 7.8149771, 7.7719898, 6.6800286, 1.0320873", \
+					  "7.6366081, 7.6430153, 7.6741094, 7.8122445, 7.8021779, 6.7021624, 0.9402778", \
+					  "7.6387028, 7.6452305, 7.6762274, 7.8180194, 7.7927098, 6.7086923, 1.1035025", \
+					  "7.6403109, 7.6451256, 7.6778460, 7.8196987, 7.7948696, 6.6967081, 1.0419917");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5723146, 4.5768886, 4.5995177, 4.7331825, 5.0932668, 6.0436177, 6.4618539", \
+					  "4.4964744, 4.4894774, 4.5243076, 4.6580461, 5.0164950, 5.9545008, 6.3793658", \
+					  "4.4236967, 4.4148748, 4.4527403, 4.5855919, 4.9445691, 5.9057286, 6.3108373", \
+					  "4.4234291, 4.4283583, 4.4524545, 4.5847167, 4.9438314, 5.9026620, 6.3082178", \
+					  "4.4093371, 4.4115519, 4.4504513, 4.5765748, 4.9410580, 5.8970394, 6.3050628", \
+					  "4.4222125, 4.4128402, 4.4471834, 4.5831944, 4.9443629, 5.8953631, 6.3058069", \
+					  "4.4213637, 4.4263203, 4.4493518, 4.5819770, 4.9433715, 5.8800567, 6.3064504");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851558, 0.0851385, 0.0852090, 0.0852013, 0.0851523, 0.0851383, 0.0852068", \
+					  "0.2331583, 0.2331235, 0.2331312, 0.2331630, 0.2331587, 0.2331626, 0.2331261", \
+					  "0.4162558, 0.4163096, 0.4163074, 0.4162584, 0.4162515, 0.4162905, 0.4163004", \
+					  "0.9618693, 0.9620483, 0.9620338, 0.9617328, 0.9611864, 0.9607035, 0.9607692", \
+					  "1.1589729, 1.1587708, 1.1588006, 1.1582115, 1.1565706, 1.1551501, 1.1543611", \
+					  "1.2437874, 1.2429200, 1.2368869, 1.2194985, 1.2016359, 1.1950544, 1.1934029", \
+					  "1.2503928, 1.2498210, 1.2434070, 1.2252711, 1.2071844, 1.2002705, 1.1990143");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4471864, 2.4479493, 2.4512038, 2.4739803, 2.6056016, 3.4661476, 4.2379690", \
+					  "2.4339950, 2.4351172, 2.4381180, 2.4613336, 2.5933756, 3.4547456, 4.2122059", \
+					  "2.4222590, 2.4227293, 2.4260721, 2.4469543, 2.5809758, 3.4414055, 4.2200791", \
+					  "2.4220590, 2.4225447, 2.4253840, 2.4472914, 2.5789595, 3.4414068, 4.2126646", \
+					  "2.4203983, 2.4199482, 2.4228426, 2.4452981, 2.5772460, 3.4356587, 4.2140343", \
+					  "2.4196275, 2.4206699, 2.4239732, 2.4455950, 2.5770873, 3.4339522, 4.2105847", \
+					  "2.4190495, 2.4195512, 2.4226922, 2.4449216, 2.5776799, 3.4413103, 4.2061917");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7314102, 2.7333269, 2.7398544, 2.7798069, 2.9962327, 4.3629866, 4.6449963", \
+					  "2.7187130, 2.7197252, 2.7269859, 2.7804197, 2.9821841, 4.3509174, 4.6270875", \
+					  "2.7073076, 2.7083229, 2.7150864, 2.7629136, 2.9706233, 4.3396258, 4.6443313", \
+					  "2.7072176, 2.7081327, 2.7156154, 2.7606547, 2.9651706, 4.3383479, 4.6265263", \
+					  "2.7058525, 2.7078546, 2.7141111, 2.7660121, 2.9696692, 4.3387683, 4.6202142", \
+					  "2.7056964, 2.7066282, 2.7141430, 2.7496847, 2.9682796, 4.3366262, 4.6253974", \
+					  "2.7051476, 2.7061223, 2.7143482, 2.7594386, 2.9683241, 4.3359772, 4.6184544");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851765, 0.0851514, 0.0851396, 0.0852021, 0.0852012, 0.0851494, 0.0851410", \
+					  "0.2331529, 0.2331209, 0.2331516, 0.2331500, 0.2331182, 0.2331175, 0.2331509", \
+					  "0.4163449, 0.4163924, 0.4163434, 0.4163432, 0.4163918, 0.4163922, 0.4163427", \
+					  "0.9058025, 0.9059230, 0.9058009, 0.9055324, 0.9056718, 0.9054576, 0.9052742", \
+					  "1.0437519, 1.0437511, 1.0437425, 1.0437310, 1.0436742, 1.0437526, 1.0437467", \
+					  "1.1026435, 1.1026377, 1.1026131, 1.1024567, 1.1019770, 1.1015979, 1.1014231", \
+					  "1.1867677, 1.1858188, 1.1808722, 1.1660598, 1.1512084, 1.1454101, 1.1441053");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851727, 0.0852014, 0.0851499, 0.0852046, 0.0851471, 0.0852050, 0.0852005", \
+					  "0.2331582, 0.2331618, 0.2331608, 0.2331608, 0.2331601, 0.2331246, 0.2331265", \
+					  "0.4163758, 0.4163792, 0.4163756, 0.4163679, 0.4163645, 0.4164141, 0.4164139", \
+					  "0.9349416, 0.9349409, 0.9349270, 0.9348604, 0.9348422, 0.9349902, 0.9349906", \
+					  "1.0872393, 1.0870730, 1.0862552, 1.0840453, 1.0820195, 1.0814582, 1.0812390", \
+					  "1.1085843, 1.1083891, 1.1073681, 1.1046729, 1.1020010, 1.1012902, 1.1011581", \
+					  "1.1096177, 1.1095044, 1.1084667, 1.1059089, 1.1031137, 1.1023823, 1.1022661");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4358251, 2.4354846, 2.4357585, 2.4375691, 2.4506745, 2.4147677, 2.4539937", \
+					  "2.4256105, 2.4235299, 2.4225697, 2.4253964, 2.4276644, 2.3272555, 2.4183387", \
+					  "2.4152702, 2.4117830, 2.4122080, 2.4222056, 2.4189574, 2.4455858, 2.4254277", \
+					  "2.4131547, 2.4226206, 2.4131690, 2.4098255, 2.4212716, 2.4703218, 2.4230406", \
+					  "2.4107632, 2.4114376, 2.4118492, 2.4132127, 2.4207871, 2.4148106, 2.4117427", \
+					  "2.4099866, 2.4110250, 2.4104127, 2.4113214, 2.4169038, 2.6564112, 2.4149763", \
+					  "2.4107579, 2.4015706, 2.4097127, 2.4108407, 2.4170289, 2.4370227, 2.4232026");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0852001, 0.0851772, 0.0851789, 0.0851760, 0.0851702, 0.0851822, 0.0851983", \
+					  "0.2331262, 0.2331607, 0.2331596, 0.2331571, 0.2331611, 0.2331606, 0.2331619", \
+					  "0.4162713, 0.4162210, 0.4162181, 0.4162166, 0.4162149, 0.4162109, 0.4162104", \
+					  "0.9631988, 0.9630143, 0.9629939, 0.9628720, 0.9623343, 0.9618549, 0.9617423", \
+					  "1.1599721, 1.1601217, 1.1599881, 1.1591787, 1.1576208, 1.1560892, 1.1554212", \
+					  "1.2437997, 1.2427013, 1.2369448, 1.2193933, 1.2017515, 1.1952099, 1.1935968", \
+					  "1.2503621, 1.2492331, 1.2433353, 1.2253018, 1.2070153, 1.2003611, 1.1986362");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4468950, 2.4471045, 2.4510692, 2.4728198, 2.5958858, 3.4673560, 4.2335650", \
+					  "2.4332775, 2.4341392, 2.4379621, 2.4600350, 2.5921608, 3.4547842, 4.2176854", \
+					  "2.4219146, 2.4222325, 2.4258423, 2.4475998, 2.5795752, 3.4321384, 4.2096127", \
+					  "2.4211558, 2.4218801, 2.4257182, 2.4471789, 2.5800238, 3.4422522, 4.2082610", \
+					  "2.4201856, 2.4211748, 2.4244819, 2.4461037, 2.5786027, 3.4320286, 4.2093445", \
+					  "2.4187244, 2.4196067, 2.4235124, 2.4443241, 2.5739429, 3.4521009, 4.2129699", \
+					  "2.4179562, 2.4178406, 2.4250254, 2.4431502, 2.5789424, 3.4384571, 4.2082478");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.2634112, 7.2687828, 7.2990138, 7.4114161, 7.3332573, 6.0206081, 0.4419880", \
+					  "7.2475732, 7.2514174, 7.2820587, 7.3871057, 7.2853919, 6.0869105, 0.1884203", \
+					  "7.2334631, 7.2378433, 7.2682070, 7.3802615, 7.2927473, 6.0779105, 0.3261596", \
+					  "7.2388074, 7.2384916, 7.2665460, 7.3769776, 7.2948394, 6.0825001, 0.2560592", \
+					  "7.2336466, 7.2392155, 7.2697132, 7.3895731, 7.2834045, 6.0800681, 0.3652031", \
+					  "7.2354992, 7.2404809, 7.2708993, 7.3786148, 7.2717099, 6.0846277, 0.3551163", \
+					  "7.2421810, 7.2427687, 7.2712737, 7.3857298, 7.2867535, 6.0900128, 0.3546220");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1221896, 0.1221338, 0.1219668, 0.1221327, 0.1221363, 0.1221373, 0.1220871", \
+					  "0.2595438, 0.2595812, 0.2593097, 0.2595610, 0.2589406, 0.2584646, 0.2583911", \
+					  "0.3316873, 0.3330254, 0.3319803, 0.3329151, 0.3331954, 0.3329976, 0.3326695", \
+					  "0.8347596, 0.8353951, 0.8389351, 0.8505847, 0.8592210, 0.8590675, 0.8588355", \
+					  "1.3701514, 1.3719636, 1.3847230, 1.4305664, 1.4838324, 1.4996660, 1.5022824", \
+					  "1.7268258, 1.7302551, 1.7455237, 1.8003972, 1.8621297, 1.8807268, 1.8838536", \
+					  "1.9730652, 1.9759553, 1.9912070, 2.0480077, 2.1113897, 2.1304391, 2.1336184");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851721, 0.0851426, 0.0851962, 0.0851911, 0.0851994, 0.0852026, 0.0852011", \
+					  "0.2331591, 0.2331604, 0.2331214, 0.2331563, 0.2331257, 0.2331583, 0.2329706", \
+					  "0.4163759, 0.4163775, 0.4164221, 0.4163673, 0.4164152, 0.4163619, 0.4163630", \
+					  "0.9362447, 0.9362488, 0.9363854, 0.9361549, 0.9363123, 0.9361579, 0.9361596", \
+					  "1.0883621, 1.0880300, 1.0873220, 1.0851383, 1.0830436, 1.0825645, 1.0824440", \
+					  "1.1086603, 1.1085067, 1.1074840, 1.1048105, 1.1020623, 1.1010416, 1.1011978", \
+					  "1.1096770, 1.1095617, 1.1087045, 1.1058688, 1.1034397, 1.1023799, 1.1022740");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4369925, 2.4364769, 2.4366066, 2.4346162, 2.4316331, 2.4552518, 2.4441411", \
+					  "2.4232248, 2.4235605, 2.4236507, 2.4236468, 2.4156436, 2.4290918, 2.4285140", \
+					  "2.4116787, 2.4120625, 2.4122095, 2.4133874, 2.4127885, 2.4201478, 2.4406032", \
+					  "2.4117164, 2.4114334, 2.4114665, 2.4122270, 2.4218742, 2.4184688, 2.4233141", \
+					  "2.4113285, 2.4104070, 2.4102610, 2.4126537, 2.4158592, 2.4265573, 2.4336152", \
+					  "2.4100768, 2.4093033, 2.4103324, 2.4120706, 2.4170226, 2.4270343, 2.4323838", \
+					  "2.4093127, 2.4088848, 2.4097446, 2.4083484, 2.4100428, 2.4053074, 2.4310349");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7283831, 6.7312910, 6.7617948, 6.8788149, 6.7783974, 5.5649327, -0.1409022", \
+					  "6.7191155, 6.7183908, 6.7467795, 6.8658965, 6.7655926, 5.5603076, -0.2841590", \
+					  "6.7073074, 6.7070593, 6.7352907, 6.8472523, 6.7474524, 5.5420741, -0.1960100", \
+					  "6.7071961, 6.7066532, 6.7367159, 6.8452910, 6.7513075, 5.5139328, -0.1713353", \
+					  "6.7009434, 6.7058406, 6.7363955, 6.8446909, 6.7529318, 5.5431838, -0.1893897", \
+					  "6.7045333, 6.7049049, 6.7352166, 6.8523113, 6.7557328, 5.5216206, -0.1887175", \
+					  "6.7045192, 6.7043852, 6.7348266, 6.8490148, 6.7511685, 5.5323189, -0.1733650");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851006, 0.0851290, 0.0851561, 0.0851557, 0.0851569, 0.0851581, 0.0851530", \
+					  "0.2445095, 0.2444955, 0.2444349, 0.2445031, 0.2444975, 0.2444937, 0.2444902", \
+					  "0.4399429, 0.4399466, 0.4401306, 0.4402017, 0.4407532, 0.4411003, 0.4411767", \
+					  "0.9874474, 0.9882833, 0.9934142, 1.0105494, 1.0273768, 1.0304864, 1.0308610", \
+					  "1.5656809, 1.5682556, 1.5824543, 1.6328648, 1.6900712, 1.7073563, 1.7104103", \
+					  "1.7008499, 1.7033722, 1.7193644, 1.7755422, 1.8385169, 1.8575951, 1.8609885", \
+					  "1.7050075, 1.7077158, 1.7237875, 1.7803549, 1.8436806, 1.8628992, 1.8663753");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3354656, 7.3347639, 7.3650244, 7.4737482, 7.3734708, 6.1677768, 0.4501996", \
+					  "7.3194246, 7.3182147, 7.3485610, 7.4577376, 7.3826952, 6.1570961, 0.3661362", \
+					  "7.2999906, 7.3042372, 7.3349705, 7.4485012, 7.3401842, 6.1453171, 0.3348144", \
+					  "7.3054576, 7.3045503, 7.3350277, 7.4515950, 7.3439468, 6.0994722, 0.4432106", \
+					  "7.3060097, 7.3046757, 7.3347246, 7.4398861, 7.3566133, 6.4203484, 0.4283463", \
+					  "7.3078728, 7.3071069, 7.3376623, 7.4565360, 7.3735355, 6.1418897, 0.3528228", \
+					  "7.3092953, 7.3087619, 7.3391707, 7.4618061, 7.3739303, 6.1494154, 0.3104078");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7656535, 3.7674016, 3.7755184, 3.8114562, 3.8589265, 3.8417696, 3.8638631", \
+					  "3.6903501, 3.6923225, 3.7004774, 3.7349585, 3.7831973, 3.7731428, 3.7854355", \
+					  "3.6173001, 3.6200622, 3.6276698, 3.6631232, 3.7030644, 3.7167028, 3.7127355", \
+					  "3.6174549, 3.6186406, 3.6271342, 3.6619791, 3.6989175, 3.7164356, 3.7117315", \
+					  "3.6164630, 3.6176760, 3.6261862, 3.6687819, 3.6979365, 3.7128963, 3.7121209", \
+					  "3.6153037, 3.6168385, 3.6252042, 3.6578556, 3.6970438, 3.7015420, 3.7100447", \
+					  "3.6149944, 3.6160747, 3.6264232, 3.6599715, 3.7090043, 3.7176718, 3.7181975");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4901595, 6.4930895, 6.5000084, 6.5352589, 6.6150648, 6.5659102, 0.4577529", \
+					  "6.4772610, 6.4787312, 6.4850127, 6.5195957, 6.5813102, 6.4927894, 0.6698517", \
+					  "6.4639420, 6.4651528, 6.4714143, 6.5034243, 6.5806008, 6.4686791, 0.6613889", \
+					  "6.4660475, 6.4643197, 6.4714910, 6.4987791, 6.5726094, 6.5276440, 0.6925024", \
+					  "6.4634524, 6.4658554, 6.4725015, 6.5019138, 6.5735049, 6.5039499, 0.3076600", \
+					  "6.4652482, 6.4654477, 6.4740029, 6.5010100, 6.5854472, 6.5054422, 0.4941521", \
+					  "6.4653046, 6.4657995, 6.4731291, 6.5049071, 6.5822250, 6.4495312, 0.6767793");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7746836, 3.7772145, 3.7867841, 3.8378145, 4.0054655, 4.8799357, 5.6477595", \
+					  "3.6995797, 3.7015061, 3.7119609, 3.7641643, 3.9320292, 4.8034281, 5.5679133", \
+					  "3.6247681, 3.6268961, 3.6395262, 3.6904729, 3.8590988, 4.7288666, 5.4866489", \
+					  "3.6255569, 3.6273909, 3.6381670, 3.6894316, 3.8604293, 4.7295466, 5.5141655", \
+					  "3.6245070, 3.6264798, 3.6373023, 3.6882754, 3.8576798, 4.7300805, 5.4939742", \
+					  "3.6243039, 3.6249986, 3.6364942, 3.6869339, 3.8586017, 4.7280251, 5.5013735", \
+					  "3.6226801, 3.6247171, 3.6366091, 3.6847017, 3.8561422, 4.7107572, 5.5077955");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0276104, 3.0267152, 3.0298527, 3.0506679, 3.1787915, 4.0434616, 4.8179003", \
+					  "2.9504387, 2.9514490, 2.9544364, 2.9751095, 3.1079987, 3.9691315, 4.7394350", \
+					  "2.8773046, 2.8779169, 2.8810537, 2.9005483, 3.0328930, 3.9005063, 4.6752737", \
+					  "2.8767286, 2.8773930, 2.8801712, 2.8988233, 3.0352392, 3.9011936, 4.6644971", \
+					  "2.8756737, 2.8759855, 2.8791650, 2.8990530, 3.0343653, 3.8942933, 4.6644078", \
+					  "2.8730836, 2.8747830, 2.8775564, 2.8974870, 3.0324320, 3.8947715, 4.6663570", \
+					  "2.8730580, 2.8735712, 2.8765528, 2.8955922, 3.0212517, 3.8947182, 4.6573898");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3347600, 6.3293715, 6.3319224, 6.3237055, 6.2545260, 5.2746310, -11.0877092", \
+					  "6.3171977, 6.3227635, 6.3242970, 6.3305212, 6.2321507, 5.2280572, -10.8698149", \
+					  "6.3098274, 6.3068966, 6.3070484, 6.3030962, 6.2277806, 5.2469797, -11.3693285", \
+					  "6.3078680, 6.3025320, 6.3068155, 6.3076100, 6.2150455, 5.2427153, -11.0573223", \
+					  "6.3065089, 6.3093595, 6.3124967, 6.3079279, 6.2299434, 5.2251586, -11.0557782", \
+					  "6.3079556, 6.3084301, 6.3122386, 6.3119633, 6.2314465, 5.2582658, -11.0201900", \
+					  "6.3092979, 6.3089558, 6.3130410, 6.3134721, 6.2340278, 5.2863731, -11.1524017");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9392591, 5.9401520, 5.9476637, 5.9708723, 5.8783516, 4.9856706, -5.1533398", \
+					  "6.0752903, 6.0754769, 6.0824135, 6.1066002, 6.0160435, 5.1512456, -5.0540671", \
+					  "6.2467976, 6.2454625, 6.2535416, 6.2776104, 6.1854972, 5.2975969, -4.8589884", \
+					  "6.7720400, 6.7716245, 6.7796848, 6.8031281, 6.7174686, 5.8599776, -4.3527882", \
+					  "6.9409049, 6.9401961, 6.9474158, 6.9720135, 6.8754733, 6.0000129, -4.1164171", \
+					  "6.9938722, 6.9932809, 6.9987681, 7.0145286, 6.9128346, 6.0140101, -4.0461207", \
+					  "7.0139938, 7.0126612, 7.0168156, 7.0299080, 6.9239356, 6.0198311, -4.0343976");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7730782, 2.7741964, 2.7816921, 2.8167219, 2.9380793, 3.4626402, 3.8906048", \
+					  "2.9188773, 2.9203204, 2.9270759, 2.9636116, 3.0855333, 3.6046307, 4.0322952", \
+					  "3.1053575, 3.1044150, 3.1117996, 3.1494865, 3.2699899, 3.8431720, 4.2260108", \
+					  "3.6039654, 3.6074771, 3.6167390, 3.6626485, 3.7946805, 4.3255740, 4.7481518", \
+					  "4.0071119, 4.0108456, 4.0267071, 4.0951220, 4.2524570, 4.7899885, 5.2159440", \
+					  "4.0889415, 4.0924823, 4.1092874, 4.1796320, 4.3410529, 4.8992015, 5.3048596", \
+					  "4.0923324, 4.0929350, 4.1112722, 4.1823352, 4.3450735, 4.8803750, 5.3125142");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.9904171, 10.9904694, 11.0049952, 11.0636735, 10.9691479, 9.5994272, -7.2623675", \
+					  "11.0077867, 11.0105394, 11.0257491, 11.0836170, 10.9770637, 9.6007522, -7.1206856", \
+					  "11.1457090, 11.1482107, 11.1628314, 11.2213327, 11.1251182, 9.7442492, -7.0805560", \
+					  "11.2439759, 11.2438542, 11.2597626, 11.3188755, 11.2135327, 9.8299342, -6.9044365", \
+					  "11.3607259, 11.3627909, 11.3783561, 11.4359899, 11.3389820, 9.9989302, -6.9158768", \
+					  "11.4278761, 11.4302493, 11.4445133, 11.4995346, 11.3983472, 10.0142024, -6.7858546", \
+					  "11.4447995, 11.4440382, 11.4573710, 11.5155120, 11.4048718, 10.0130946, -6.8300517");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9360986, 5.9360066, 5.9539443, 6.0364909, 6.2511759, 7.1404073, 7.8514353", \
+					  "5.8560710, 5.8563121, 5.8750132, 5.9557780, 6.1822971, 7.0602709, 7.7712414", \
+					  "5.7571250, 5.7585216, 5.7772955, 5.8584686, 6.0858366, 6.9723767, 7.6758907", \
+					  "5.9254755, 5.9278813, 5.9462251, 6.0298890, 6.2618574, 7.1458082, 7.8526592", \
+					  "6.0982729, 6.1070291, 6.1264568, 6.2217255, 6.4774260, 7.3547503, 8.0625182", \
+					  "6.2190565, 6.2199842, 6.2444846, 6.3420661, 6.5919200, 7.4743564, 8.1905256", \
+					  "6.2989225, 6.3055483, 6.3264168, 6.4247023, 6.6584466, 7.5625205, 8.2764440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3520337, 8.3526288, 8.3526292, 8.3526302, 8.3526311, 8.3526321, 8.3526330", \
+					  "8.8697183, 8.8697191, 8.8697200, 8.8697210, 8.8697219, 8.8697229, 8.9450820", \
+					  "9.2007797, 9.2320143, 9.2320152, 9.2405248, 9.2405258, 9.2405267, 9.2477155", \
+					  "9.5193764, 9.5402806, 9.5402809, 9.5516901, 9.5516906, 9.5516916, 9.5585220", \
+					  "9.8171276, 9.8171278, 9.8171287, 9.8171297, 9.8171306, 9.8171316, 9.8586570", \
+					  "10.0646850, 10.0713630, 10.0713640, 10.0713649, 10.0713659, 10.0713668, 10.1217830", \
+					  "10.3137350, 10.3137355, 10.3137365, 10.3137374, 10.3137384, 10.3137393, 10.3938220");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.1969951, 8.2029281, 8.2385183, 8.4717051, 10.1157500, 20.7622010, 87.2623570", \
+					  "8.6984180, 8.7042720, 8.7402814, 8.9727523, 10.6166060, 21.2538590, 87.8033150", \
+					  "9.0684447, 9.0743031, 9.1103747, 9.3427195, 10.9855090, 21.6238990, 88.1726270", \
+					  "9.3856078, 9.3914654, 9.4276356, 9.6598001, 11.3031450, 21.9524750, 88.4897200", \
+					  "9.6797228, 9.6855892, 9.7218056, 9.9538138, 11.5984400, 22.2476080, 88.7835230", \
+					  "9.9394377, 9.9452961, 9.9815685, 10.2147280, 11.8578000, 22.4942290, 89.0485200", \
+					  "10.1961430, 10.2020010, 10.2382090, 10.4648010, 12.1150660, 22.8002110, 89.2960660");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9945150, 1.0111886, 1.1053632, 1.7005601, 5.7546883, 31.6495910, 191.5794600", \
+					  "0.9979603, 1.0133296, 1.1068032, 1.7034817, 5.7546377, 31.6589100, 191.6284900", \
+					  "0.9979768, 1.0132946, 1.1068376, 1.7034160, 5.7541980, 31.6588710, 191.2941900", \
+					  "0.9983487, 1.0135142, 1.1068350, 1.7036625, 5.7541816, 31.6447700, 191.2986700", \
+					  "0.9986212, 1.0136489, 1.1064546, 1.7033661, 5.7543672, 31.6446820, 191.3274400", \
+					  "0.9986509, 1.0135728, 1.1062091, 1.7035028, 5.7545186, 31.6547360, 191.3823400", \
+					  "0.9966575, 1.0121722, 1.1064472, 1.7035848, 5.7540972, 31.6457900, 191.5625600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("151.0325400, 151.0688700, 151.1110100, 151.4038200, 153.2212100, 161.8580300, 198.5072800", \
+					  "151.7290700, 151.7290726, 151.7351100, 152.0340500, 153.9036600, 162.4969500, 199.0592600", \
+					  "152.4488500, 152.4488602, 152.4994700, 152.7403800, 154.6085900, 163.1958600, 199.8450900", \
+					  "153.1543700, 153.1543808, 153.1885700, 153.5089800, 155.3198500, 163.9407100, 200.5579100", \
+					  "153.8029300, 153.8331700, 153.8331833, 154.1419400, 155.9627400, 164.5839200, 201.2024700", \
+					  "154.4114500, 154.4345700, 154.4804500, 154.7739400, 156.5893700, 165.2239900, 201.8741000", \
+					  "155.0541800, 155.0541916, 155.0991600, 155.3847300, 157.1995700, 165.8646600, 202.4881700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3263890, 59.3675900, 59.2747010, 59.0056750, 57.0689170, 47.1838070, 92.2719110", \
+					  "59.2655670, 59.2817710, 59.2184720, 58.9591450, 57.0412130, 47.1349180, 92.3391710", \
+					  "59.3783720, 59.3595750, 59.3220730, 58.9577520, 57.0887730, 47.5898720, 92.3379990", \
+					  "59.3150600, 59.2957080, 59.2561800, 59.0108870, 57.0397600, 46.9241140, 92.3215920", \
+					  "59.4221210, 59.4050040, 59.2496470, 59.0060270, 57.0647250, 46.9026420, 92.2934550", \
+					  "59.2653290, 59.3668510, 59.3196350, 59.0070680, 57.0518350, 47.1724740, 92.2716840", \
+					  "59.3558200, 59.3499010, 59.3078940, 59.0065060, 57.0375640, 46.7421980, 92.3321650");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.1672482, 8.1734814, 8.2089908, 8.4379739, 10.0602130, 20.7898210, 89.5442870", \
+					  "8.6688772, 8.6754710, 8.7108338, 8.9401215, 10.5622260, 21.3057020, 90.0652210", \
+					  "9.0396792, 9.0455705, 9.0813836, 9.3103552, 10.9325900, 21.6663400, 90.4015920", \
+					  "9.3550785, 9.3626911, 9.3985699, 9.6271675, 11.2495350, 21.9762310, 90.7567280", \
+					  "9.6503605, 9.6562189, 9.6919264, 9.9212780, 11.5432420, 22.3076900, 91.0557030", \
+					  "9.9098614, 9.9157127, 9.9516511, 10.1803460, 11.8070160, 22.5299390, 91.2873470", \
+					  "10.1656830, 10.1721120, 10.2082410, 10.4366550, 12.0493310, 22.7828530, 91.5626610");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0214266, 1.0364947, 1.1280095, 1.7207907, 5.7587313, 31.6340380, 191.6983900", \
+					  "1.0176908, 1.0324472, 1.1269049, 1.7182448, 5.7593361, 31.6573400, 191.3090100", \
+					  "1.0214392, 1.0357291, 1.1276211, 1.7206614, 5.7587255, 31.6087570, 191.6855900", \
+					  "1.0208907, 1.0345954, 1.1288455, 1.7193362, 5.7591363, 31.6527960, 191.4609700", \
+					  "1.0208981, 1.0358212, 1.1267860, 1.7206607, 5.7590088, 31.6466990, 191.5617000", \
+					  "1.0199107, 1.0350556, 1.1291582, 1.7195874, 5.7588370, 31.6068270, 191.6982200", \
+					  "1.0205652, 1.0356518, 1.1256417, 1.7196771, 5.7591398, 31.6490120, 191.5236100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.8398600, 101.8398629, 101.9183700, 102.3243600, 104.9731800, 122.7261100, 159.1449200", \
+					  "102.5212100, 102.5336000, 102.5996700, 103.0123900, 105.6545200, 123.4113500, 159.8559000", \
+					  "103.2279100, 103.2343700, 103.3068100, 103.7074400, 106.3508000, 124.1904600, 160.5555400", \
+					  "103.9296100, 103.9537700, 104.0171400, 104.4241400, 107.0727400, 124.9119400, 161.2708300", \
+					  "104.5648900, 104.5959900, 104.6529800, 105.0728000, 107.7081400, 125.5047100, 161.8512200", \
+					  "105.2092300, 105.2158800, 105.2876800, 105.6943800, 108.3415500, 126.0945500, 162.5453300", \
+					  "105.8149100, 105.8533400, 105.8992800, 106.3315100, 108.9206200, 126.7035700, 163.1522800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4083900, 124.3865300, 124.3797400, 124.2546000, 123.8824400, 122.1593200, 109.8108500", \
+					  "124.4069500, 124.4037400, 124.3785900, 124.2565700, 123.8882400, 122.1607800, 109.8474000", \
+					  "124.3840300, 124.3946000, 124.3198800, 124.3202200, 123.9087300, 122.1334700, 109.8264700", \
+					  "124.3825000, 124.4290800, 124.4092100, 124.2723600, 123.9011000, 122.1433900, 109.8464500", \
+					  "124.3581800, 124.3851200, 124.3766000, 124.2578400, 123.8772300, 122.1142600, 109.7844500", \
+					  "124.4083400, 124.3963500, 124.3796700, 124.2539700, 123.8739500, 122.1587100, 109.9171000", \
+					  "124.3824700, 124.4080000, 124.3800500, 124.2760300, 123.9521200, 122.1676200, 109.8394600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.1657160, 17.3614620, 18.5624480, 25.9549750, 71.3605100, 350.6552600, 2072.9328000", \
+					  "17.6544430, 17.8454850, 19.0523950, 26.4478180, 71.8458960, 351.1150900, 2072.6982000", \
+					  "18.0212820, 18.2058600, 19.4113720, 26.8035640, 72.2169130, 351.4776400, 2073.2034000", \
+					  "18.3289920, 18.5322100, 19.7300100, 27.1238500, 72.5178710, 351.7758400, 2073.5836000", \
+					  "18.6571330, 18.8079510, 20.0148660, 27.4085320, 72.8715080, 352.1493300, 2073.3296000", \
+					  "18.9105640, 19.0770350, 20.2789320, 27.6797820, 73.0685200, 352.3248000, 2074.3389000", \
+					  "19.1311070, 19.3165870, 20.5336600, 27.9200540, 73.3336380, 352.6221800, 2074.2500000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5692990, 14.9625080, 17.3227070, 31.8698100, 122.0955900, 679.0472200, 4110.7360000", \
+					  "14.5682450, 14.9626200, 17.3225270, 31.8564450, 122.0259900, 678.2599400, 4108.5782000", \
+					  "14.5805970, 14.9601180, 17.3217960, 31.8823510, 122.0684600, 677.8285000, 4109.8938000", \
+					  "14.5847640, 14.9538920, 17.3223440, 31.9082470, 122.0316400, 678.6623300, 4110.3790000", \
+					  "14.5743040, 14.9617260, 17.3230410, 31.8761440, 121.9434400, 678.9320500, 4109.5564000", \
+					  "14.5814540, 14.9594930, 17.3224310, 31.8775410, 122.0257700, 678.3225200, 4110.4199000", \
+					  "14.5720400, 14.9523820, 17.3218150, 31.8918860, 122.0711300, 679.1015000, 4109.5445000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.4366950, 13.4869940, 13.7968130, 15.6221400, 20.7216910, 39.9684020, 82.9742890", \
+					  "14.1182350, 14.1685310, 14.4783400, 16.3039380, 21.4035100, 40.6499300, 83.6620590", \
+					  "14.8200080, 14.8703100, 15.1801360, 17.0100610, 22.1084220, 41.3507130, 84.3645150", \
+					  "15.5204770, 15.5707700, 15.8804440, 17.7037000, 22.8052710, 42.0526510, 85.0637040", \
+					  "16.1801330, 16.2305510, 16.5396500, 18.3677570, 23.4648850, 42.7143300, 85.7186180", \
+					  "16.8042450, 16.8545300, 17.1643640, 18.9933790, 24.0893140, 43.3360760, 86.3480380", \
+					  "17.4246500, 17.4751560, 17.7850590, 19.5992180, 24.7094910, 43.9560420, 86.9704950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0223983, 3.0905942, 3.5294534, 5.0936890, 6.9759394, 29.0327690, 48.3877540", \
+					  "3.0223921, 3.0905907, 3.5294381, 5.0937139, 6.9759505, 29.0327340, 48.3931830", \
+					  "3.0225258, 3.0910183, 3.5295347, 5.0927729, 6.9754922, 29.0338700, 48.3889300", \
+					  "3.0224121, 3.0912568, 3.5299059, 5.0933555, 6.9762329, 29.0330550, 48.3987640", \
+					  "3.0219662, 3.0927467, 3.5305188, 5.0914006, 6.9765314, 29.0325910, 48.4014460", \
+					  "3.0223928, 3.0905818, 3.5294263, 5.0937383, 6.9760020, 29.0326750, 48.3938760", \
+					  "3.0224705, 3.0909724, 3.5297905, 5.0930766, 6.9756592, 29.0345560, 48.3870690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0699296, 8.0699306, 8.0699316, 8.0699325, 8.0699335, 8.0699344, 8.0699354", \
+					  "8.5652836, 8.5652843, 8.5652853, 8.5652862, 8.5652872, 8.5652881, 8.5652891", \
+					  "8.8986978, 8.8986984, 8.9243066, 8.9243074, 8.9243084, 8.9243093, 8.9243103", \
+					  "9.2503205, 9.2556451, 9.2556453, 9.2556463, 9.2556472, 9.2556482, 9.2556491", \
+					  "9.5447854, 9.5447860, 9.5447870, 9.5447879, 9.5447889, 9.5447898, 9.5447908", \
+					  "9.8076205, 9.8076206, 9.8076215, 9.8076225, 9.8076234, 9.8076244, 9.8076253", \
+					  "10.0555630, 10.0555635, 10.0576690, 10.0576692, 10.0576702, 10.0576711, 10.0576721");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8581319, 7.8616509, 7.8616512, 7.8616517, 7.8616522, 7.8616527, 7.8616531", \
+					  "8.3532843, 8.3559382, 8.3559385, 8.3559394, 8.3559404, 8.3559413, 8.3559423", \
+					  "8.7157570, 8.7163237, 8.7163244, 8.7163253, 8.7163263, 8.7163272, 8.7163282", \
+					  "9.0217167, 9.0272501, 9.0272508, 9.0272518, 9.0272527, 9.0272537, 9.0272546", \
+					  "9.3073504, 9.3112869, 9.3112875, 9.3112884, 9.3112894, 9.3112903, 9.3112913", \
+					  "9.5705417, 9.5745003, 9.5745006, 9.5745016, 9.5745025, 9.5745035, 9.5745044", \
+					  "9.8164747, 9.8164754, 9.8164764, 9.8164773, 9.8164783, 9.8164793, 9.8164802");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6520940, 10.7011090, 11.0126770, 12.9077300, 24.5216900, 96.0399900, 416.6190600", \
+					  "11.1370770, 11.1905280, 11.5006890, 13.3992360, 25.0177460, 96.5610310, 417.0990500", \
+					  "11.5053010, 11.5552650, 11.8743620, 13.7719580, 25.4004500, 96.9174110, 417.4599700", \
+					  "11.8223810, 11.8716080, 12.1795880, 14.0772560, 25.6922180, 97.2241100, 417.8126000", \
+					  "12.1135710, 12.1630570, 12.4697780, 14.3682970, 25.9833900, 97.5044330, 418.1000000", \
+					  "12.3726270, 12.4227420, 12.7281400, 14.6263290, 26.2437770, 97.7642290, 418.3239900", \
+					  "12.6253300, 12.6750150, 12.9809550, 14.8869260, 26.5184710, 98.0319680, 418.6125300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0347307, 3.1117444, 3.5972048, 6.6010291, 25.0202290, 138.8997900, 350.4887000", \
+					  "3.0346803, 3.1127811, 3.5954084, 6.6022277, 25.0206630, 138.7254800, 350.6399100", \
+					  "3.0339411, 3.1116525, 3.5964702, 6.6042141, 25.0056440, 138.8632300, 350.3921600", \
+					  "3.0349760, 3.1117371, 3.5956735, 6.6014234, 25.0202630, 138.9073200, 350.6181900", \
+					  "3.0341107, 3.1120542, 3.5952825, 6.6015335, 25.0196820, 138.7672900, 350.6178800", \
+					  "3.0349838, 3.1118419, 3.5956453, 6.6017303, 25.0200860, 138.7371800, 350.5598700", \
+					  "3.0341392, 3.1125618, 3.5955530, 6.6044921, 25.0068340, 138.8817000, 350.6066500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.0378360, 21.2390780, 22.4182340, 29.6174300, 73.6796580, 345.2395300, 2019.5209000", \
+					  "21.7247860, 21.9206160, 23.0998150, 30.2990910, 74.4199010, 345.9567200, 2018.9921000", \
+					  "22.4361680, 22.6307670, 23.8097290, 31.0090510, 75.1284120, 346.6503200, 2023.1648000", \
+					  "23.1302570, 23.3173690, 24.4963570, 31.6959610, 75.8987470, 347.3502400, 2022.9884000", \
+					  "23.7911910, 23.9776910, 25.1569560, 32.3545070, 76.4200720, 347.9468400, 2025.0761000", \
+					  "24.4171850, 24.6110190, 25.7832580, 33.0063300, 77.1867900, 348.6447300, 2024.7826000", \
+					  "25.0301590, 25.2037570, 26.3926140, 33.6339130, 77.6659230, 349.1575500, 2025.5895000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5313320, 13.9017620, 16.1988540, 30.4245130, 118.3300100, 660.4757300, 4007.9099000", \
+					  "13.5344050, 13.9023490, 16.1984240, 30.4264030, 118.4590200, 660.8754600, 4005.2364000", \
+					  "13.5312510, 13.9015840, 16.1994100, 30.4239690, 118.4537600, 660.4807900, 4003.6971000", \
+					  "13.5183510, 13.9014270, 16.1996500, 30.4254150, 118.4282100, 660.2796200, 4004.2842000", \
+					  "13.5356360, 13.8959120, 16.2032700, 30.4073990, 118.1405800, 660.8067300, 4002.3333000", \
+					  "13.5357250, 13.9053470, 16.2079670, 30.4227470, 118.4718100, 660.6765600, 4002.9995000", \
+					  "13.5275640, 13.8677820, 16.2061230, 30.4206470, 118.1772700, 660.4872200, 4005.5661000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0373264, 8.0522256, 8.0522266, 8.0601463, 8.0601469, 8.0601478, 8.0601488", \
+					  "8.5522727, 8.5522733, 8.5522743, 8.5522752, 8.5522762, 8.5522771, 8.5522781", \
+					  "8.8896019, 8.8898950, 8.9182022, 8.9182029, 8.9182039, 8.9182048, 8.9182058", \
+					  "9.2374108, 9.2412851, 9.2412859, 9.2412868, 9.2412878, 9.2412887, 9.2412897", \
+					  "9.5299617, 9.5299621, 9.5299631, 9.5299640, 9.5299650, 9.5299659, 9.5299669", \
+					  "9.7679749, 9.7877315, 9.7907566, 9.7907568, 9.7907577, 9.7907587, 9.7907596", \
+					  "10.0197020, 10.0278590, 10.0319330, 10.0319334, 10.0319343, 10.0319353, 10.0319362");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3523526, 8.3523527, 8.3523536, 8.3523546, 8.3523555, 8.3523565, 8.3523574", \
+					  "8.8473255, 8.8484917, 8.8484922, 8.8484931, 8.8484941, 8.8484951, 8.8978007", \
+					  "9.2097858, 9.2097860, 9.2097869, 9.2097879, 9.2097888, 9.2097898, 9.2469605", \
+					  "9.5216963, 9.5225259, 9.5225263, 9.5225273, 9.5225282, 9.5225292, 9.5622132", \
+					  "9.8020445, 9.8075761, 9.8075767, 9.8075777, 9.8075786, 9.8075796, 9.8620418", \
+					  "10.0662830, 10.0664880, 10.0664888, 10.0664897, 10.0664907, 10.0664917, 10.1155080", \
+					  "10.3091300, 10.3091302, 10.3091312, 10.3191530, 10.3191534, 10.3191543, 10.3607110");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3495870, 13.4011610, 13.7159660, 15.6034440, 26.9708180, 93.6703230, 185.2806100", \
+					  "14.0296070, 14.0826320, 14.4003740, 16.2841970, 27.6607080, 94.3496590, 185.8831500", \
+					  "14.7363130, 14.7894790, 15.1050160, 16.9950010, 28.3757520, 95.0572100, 186.6674200", \
+					  "15.4383440, 15.4856820, 15.8061010, 17.6953710, 29.0587630, 95.7559220, 187.3661400", \
+					  "16.0905050, 16.1427190, 16.4636140, 18.3537560, 29.7161180, 96.4074900, 187.9948400", \
+					  "16.7175500, 16.7629750, 17.0954200, 18.9753210, 30.3418410, 97.0373720, 188.6236000", \
+					  "17.3375150, 17.3811440, 17.6968110, 19.5829080, 30.9544640, 97.6474650, 189.2494900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0562322, 3.1296716, 3.5769629, 6.3956613, 24.2850650, 97.2259290, 63.7200730", \
+					  "3.0578007, 3.1255318, 3.5769663, 6.3902061, 24.2964720, 97.2138850, 63.6971290", \
+					  "3.0551977, 3.1297605, 3.5769174, 6.3978396, 24.3076390, 97.2233560, 63.7475070", \
+					  "3.0569089, 3.1268923, 3.5686705, 6.3953283, 24.2858360, 97.1913540, 63.6738870", \
+					  "3.0561793, 3.1239022, 3.5685273, 6.3949481, 24.2859970, 97.2262770, 63.6720970", \
+					  "3.0564080, 3.1290628, 3.5769396, 6.3945111, 24.2862550, 97.3000740, 63.6720760", \
+					  "3.0548182, 3.1301253, 3.5728085, 6.3944245, 24.2852870, 97.2343340, 63.6326190");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3549301, 8.3552489, 8.3552490, 8.3552499, 8.3552509, 8.3552518, 8.3854381", \
+					  "8.8491667, 8.8508552, 8.8508554, 8.8508564, 8.8508573, 8.8508583, 8.8984624", \
+					  "9.2113108, 9.2113109, 9.2113119, 9.2113128, 9.2113138, 9.2113147, 9.2626893", \
+					  "9.5231218, 9.5231224, 9.5231233, 9.5231243, 9.5231252, 9.5231262, 9.5702237", \
+					  "9.8077795, 9.8077798, 9.8077808, 9.8077817, 9.8077827, 9.8077837, 9.8516129", \
+					  "10.0703260, 10.0765120, 10.0765129, 10.0765138, 10.0765148, 10.0765157, 10.1094860", \
+					  "10.3093830, 10.3273970, 10.3273979, 10.3273988, 10.3273998, 10.3274007, 10.3559510");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3122340, 13.3632410, 13.6675970, 15.4976070, 20.6715370, 40.0240870, 141.9574700", \
+					  "13.9948990, 14.0464470, 14.3546180, 16.1816070, 21.3555470, 40.7049010, 142.6904800", \
+					  "14.7004750, 14.7505430, 15.0584330, 16.8830870, 22.0586550, 41.4089070, 143.3484000", \
+					  "15.3951390, 15.4466610, 15.7553190, 17.5816390, 22.7638330, 42.1134560, 144.0956800", \
+					  "16.0578080, 16.1129620, 16.4167750, 18.2398310, 23.4164830, 42.7604650, 144.6842800", \
+					  "16.6914250, 16.7389590, 17.0403640, 18.8668100, 24.0374090, 43.3932460, 145.3675400", \
+					  "17.2909010, 17.3429680, 17.6570400, 19.4766440, 24.6510370, 44.0072720, 145.9891200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0256719, 3.1007121, 3.5359073, 5.1143818, 7.0435644, 32.0785890, 98.0607450", \
+					  "3.0275040, 3.1011540, 3.5368446, 5.1107771, 7.0344250, 32.0377470, 98.0262340", \
+					  "3.0306107, 3.1007368, 3.5364998, 5.1152873, 7.0409154, 32.0733910, 98.0616480", \
+					  "3.0323906, 3.1027139, 3.5357315, 5.1159534, 7.0406405, 32.0787410, 98.0644050", \
+					  "3.0309537, 3.0992162, 3.5363676, 5.1150404, 7.0419039, 32.0680580, 98.0616330", \
+					  "3.0324627, 3.1026834, 3.5354684, 5.1109086, 7.0394188, 32.0785030, 98.0740400", \
+					  "3.0324374, 3.1024308, 3.5357783, 5.1149978, 7.0444472, 32.0784040, 98.0338580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2471940, 17.4406590, 18.6305420, 25.9617040, 71.1979100, 350.2610500, 2071.2625000", \
+					  "17.9262810, 18.1190280, 19.3200500, 26.6515410, 71.9620560, 350.9669900, 2072.5320000", \
+					  "18.6284690, 18.8217570, 20.0261430, 27.3765470, 72.6050190, 351.6033800, 2073.2889000", \
+					  "19.3223850, 19.5124250, 20.7193620, 28.0766820, 73.2976770, 352.3010200, 2073.5699000", \
+					  "19.9868960, 20.1878110, 21.3668590, 28.7005300, 73.9573450, 353.0311100, 2074.3282000", \
+					  "20.6040380, 20.7979820, 22.0229630, 29.3484690, 74.5801430, 353.5924700, 2074.8516000", \
+					  "21.1945470, 21.4072210, 22.6092940, 29.9715170, 75.1855820, 354.1524600, 2076.0717000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5869310, 14.9665200, 17.3269270, 31.8847460, 121.9557500, 677.9509900, 4109.5755000", \
+					  "14.5868960, 14.9535800, 17.3176580, 31.9195440, 122.1047900, 678.9492800, 4110.1994000", \
+					  "14.5824900, 14.9658080, 17.3083750, 31.8819420, 122.1340400, 678.9213700, 4109.8779000", \
+					  "14.5834700, 14.9648920, 17.3176500, 31.8901280, 122.1408900, 678.1095300, 4109.4218000", \
+					  "14.5873060, 14.9688100, 17.3113590, 31.9062820, 122.1096400, 679.0065800, 4110.0566000", \
+					  "14.5825470, 14.9681560, 17.3194510, 31.8754480, 122.1245000, 677.8115000, 4109.7242000", \
+					  "14.5825480, 14.9681370, 17.3176600, 31.8748690, 122.1247300, 677.8361100, 4110.2189000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8317660, 14.8823840, 15.1915960, 17.0199770, 22.1341190, 41.3821260, 84.3967310", \
+					  "15.5147860, 15.5610880, 15.8649340, 17.6991380, 22.8142200, 42.0667160, 85.0799110", \
+					  "16.2146900, 16.2642520, 16.5738110, 18.4005020, 23.5145410, 42.7678690, 85.7353020", \
+					  "16.9122670, 16.9574290, 17.2701020, 19.0936010, 24.2041530, 43.4650960, 86.4685180", \
+					  "17.5627050, 17.6104230, 17.9361060, 19.7635830, 24.8687470, 44.1062510, 87.1335930", \
+					  "18.2026290, 18.2561590, 18.5505090, 20.3747530, 25.5048000, 44.7402260, 87.7521270", \
+					  "18.7937720, 18.8475370, 19.1599480, 20.9905940, 26.0915640, 45.3498320, 88.3766230");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0281759, 3.0984708, 3.5364105, 5.1070965, 6.9858430, 29.0351440, 48.4004270", \
+					  "3.0282217, 3.0985325, 3.5363650, 5.1070539, 6.9858408, 29.0344250, 48.4014320", \
+					  "3.0280607, 3.0981204, 3.5363734, 5.1070801, 6.9858306, 29.0351720, 48.3831680", \
+					  "3.0281520, 3.0982981, 3.5366272, 5.1067981, 6.9851773, 29.0344500, 48.4075070", \
+					  "3.0283773, 3.0981027, 3.5362759, 5.1029070, 6.9847577, 29.0437200, 48.3893100", \
+					  "3.0283935, 3.0980520, 3.5362746, 5.1065738, 6.9851862, 29.0368030, 48.3987110", \
+					  "3.0284661, 3.0981326, 3.5362850, 5.1065725, 6.9848323, 29.0363500, 48.3899140");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.7425420, 16.9349740, 18.1211670, 25.4495780, 70.6860990, 349.6934700, 2070.9208000", \
+					  "17.2260610, 17.4206870, 18.6378380, 25.9759430, 71.2157870, 350.2175600, 2071.4017000", \
+					  "17.5921610, 17.7872880, 18.9809410, 26.3418190, 71.5780000, 350.5763000, 2072.1520000", \
+					  "17.9055310, 18.1000530, 19.3267430, 26.6538510, 71.8926500, 350.9087500, 2072.1434000", \
+					  "18.1953670, 18.3883930, 19.6073910, 26.9451700, 72.1797380, 351.1782200, 2072.3814000", \
+					  "18.4536940, 18.6444140, 19.8763170, 27.2025850, 72.4097480, 351.4531700, 2072.6086000", \
+					  "18.7065690, 18.9029890, 20.1321160, 27.4257270, 72.6563700, 351.7238800, 2073.3391000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5789000, 14.9610740, 17.3076660, 31.8420920, 122.0482500, 678.9565500, 4108.9056000", \
+					  "14.5855190, 14.9631080, 17.3158890, 31.8829900, 121.8958100, 679.1747200, 4110.9106000", \
+					  "14.5835520, 14.9568500, 17.3065600, 31.8826530, 121.8989600, 679.1623800, 4109.8810000", \
+					  "14.5828830, 14.9567970, 17.3054940, 31.8784250, 121.8924400, 679.1090600, 4110.6050000", \
+					  "14.5855040, 14.9570220, 17.3037160, 31.8829690, 121.9032700, 678.5633700, 4109.1239000", \
+					  "14.5832870, 14.9635630, 17.3085420, 31.8827200, 121.9496800, 679.1630100, 4108.2280000", \
+					  "14.5855050, 14.9610620, 17.3102350, 31.8509950, 121.9431900, 678.9193800, 4109.5677000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.5612450, 20.7481660, 21.9134630, 29.0964780, 73.1051410, 344.3584800, 2022.1303000", \
+					  "21.2435440, 21.4347790, 22.5953570, 29.7745990, 73.7934090, 345.0622300, 2019.8650000", \
+					  "21.9483830, 22.1426970, 23.3010130, 30.4868490, 74.5032760, 345.7491600, 2020.5280000", \
+					  "22.6436980, 22.8348880, 23.9975240, 31.1699790, 75.1233880, 346.5457900, 2021.0350000", \
+					  "23.3043290, 23.4932820, 24.6567230, 31.8396970, 75.7812230, 347.1084500, 2022.6864000", \
+					  "23.9256400, 24.1187460, 25.2819850, 32.4585830, 76.4065580, 347.8471100, 2021.8661000", \
+					  "24.5376130, 24.7377020, 25.9015870, 33.0751990, 77.1142650, 348.3534100, 2024.0523000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5227590, 13.9101210, 16.1951320, 30.3866510, 118.4681200, 660.5774300, 4005.5834000", \
+					  "13.5267820, 13.9099340, 16.1991560, 30.3867830, 118.1472100, 660.5286600, 4007.0099000", \
+					  "13.5314180, 13.9097050, 16.1999780, 30.3955400, 118.1567700, 660.5762900, 4007.9663000", \
+					  "13.5322120, 13.9095470, 16.2034030, 30.3818020, 118.1544200, 660.6733200, 4008.2605000", \
+					  "13.5291480, 13.9107460, 16.1970420, 30.3873190, 118.1463100, 660.4473700, 4006.9426000", \
+					  "13.5423490, 13.9089460, 16.2087410, 30.4206120, 118.1546000, 660.4180400, 4004.3797000", \
+					  "13.5288740, 13.8934040, 16.1959510, 30.3928010, 118.6407500, 660.4815300, 4006.6830000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4036227, 8.4038998, 8.4039007, 8.4053422, 8.4181542, 8.4181543, 8.4181552", \
+					  "8.9235481, 8.9235483, 8.9235492, 8.9235502, 8.9293950, 8.9293952, 8.9293962", \
+					  "9.1880041, 9.3208099, 9.3208108, 9.3208118, 9.3208128, 9.3208137, 9.3208147", \
+					  "9.5479979, 9.5498507, 9.5498510, 9.5809494, 9.5809503, 9.5809513, 9.5809522", \
+					  "9.8746353, 9.8746362, 9.8782209, 9.8782211, 9.8782220, 9.8782230, 9.8923056", \
+					  "10.1511290, 10.1511293, 10.1511302, 10.1511312, 10.1511322, 10.1511331, 10.1511341", \
+					  "10.3897660, 10.3897663, 10.3897672, 10.3897682, 10.3897691, 10.3897701, 10.4232980");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8689011, 7.8689015, 7.8689020, 7.8689025, 7.8689030, 7.8689034, 7.8689039", \
+					  "8.3535108, 8.3540233, 8.3540235, 8.3540245, 8.3540254, 8.3540264, 8.3540273", \
+					  "8.7165812, 8.7165819, 8.7165828, 8.7165838, 8.7165847, 8.7165857, 8.7165866", \
+					  "9.0241743, 9.0241752, 9.0241762, 9.0241771, 9.0241781, 9.0241790, 9.0241800", \
+					  "9.3107353, 9.3107362, 9.3107372, 9.3107381, 9.3107391, 9.3107400, 9.3107410", \
+					  "9.5716626, 9.5716634, 9.5716644, 9.5716653, 9.5716663, 9.5716672, 9.5716682", \
+					  "9.8110942, 9.8148876, 9.8148885, 9.8148895, 9.8148904, 9.8148914, 9.8148923");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2153740, 11.2588550, 11.5712280, 13.4693000, 25.0874010, 96.6060580, 417.2682400", \
+					  "11.8847000, 11.9374010, 12.2534000, 14.1500800, 25.7716870, 97.2837600, 417.9527500", \
+					  "12.5919200, 12.6466480, 12.9546180, 14.8545900, 26.4729340, 98.0016350, 418.6453000", \
+					  "13.2819940, 13.3386220, 13.6493830, 15.5474800, 27.1695240, 98.6826270, 419.3414900", \
+					  "13.9517740, 14.0034510, 14.3051790, 16.2020580, 27.8218640, 99.3505370, 420.0621200", \
+					  "14.5756220, 14.6278730, 14.9391240, 16.8346510, 28.4608620, 99.9749690, 420.6773400", \
+					  "15.1959480, 15.2438440, 15.5432890, 17.4450000, 29.0585670, 100.5723600, 421.2217000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0366507, 3.1129432, 3.5964747, 6.6016637, 25.0168010, 138.8862900, 350.7458900", \
+					  "3.0351721, 3.1128484, 3.5970056, 6.6026522, 25.0073140, 138.9138900, 350.7197400", \
+					  "3.0359208, 3.1134626, 3.5965999, 6.6016455, 25.0002330, 138.9049200, 350.5861100", \
+					  "3.0359566, 3.1135707, 3.5965921, 6.6026313, 24.9995560, 138.9156000, 350.5487600", \
+					  "3.0369776, 3.1134624, 3.5963044, 6.6016614, 25.0006970, 138.8707700, 350.5832100", \
+					  "3.0352607, 3.1142280, 3.5966121, 6.6018981, 25.0004980, 138.9095300, 350.5668600", \
+					  "3.0353104, 3.1139000, 3.5966623, 6.6018822, 25.0008720, 138.8730800, 350.7280200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6361070, 10.6361080, 10.7465320, 10.7465330, 10.7465339, 10.7465349, 10.7676420", \
+					  "11.1784000, 11.1784006, 11.1784015, 11.1790000, 11.1790004, 11.1790014, 11.1915780", \
+					  "11.5265260, 11.5523800, 11.5523801, 11.5523811, 11.5523820, 11.5523830, 11.6212490", \
+					  "11.9109260, 11.9109264, 11.9109273, 11.9109283, 11.9109293, 11.9109302, 11.9181220", \
+					  "12.1034010, 12.2275390, 12.2275396, 12.2275405, 12.2275415, 12.2275425, 12.2275434", \
+					  "12.3970110, 12.4904470, 12.4904476, 12.4904485, 12.4904495, 12.4904504, 12.4904514", \
+					  "12.7061640, 12.7061649, 12.7061658, 12.7138660, 12.7138668, 12.7138677, 12.7277510");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.7424850, 16.9348290, 18.1211120, 25.4490460, 70.6858930, 349.6969000, 2070.6668000", \
+					  "17.2259170, 17.4206210, 18.6210570, 25.9759010, 71.1810700, 350.2082100, 2072.1473000", \
+					  "17.5920750, 17.7872280, 19.0160470, 26.3417800, 71.5760180, 350.7810800, 2071.8919000", \
+					  "17.9054370, 18.1000190, 19.3265170, 26.6537460, 71.8968920, 350.9005600, 2072.2719000", \
+					  "18.1952940, 18.3900950, 19.6207990, 26.9451470, 72.1810660, 351.1997900, 2072.4108000", \
+					  "18.4535570, 18.6484070, 19.8756670, 27.2024800, 72.4460700, 351.4605900, 2072.8239000", \
+					  "18.7064980, 18.9012930, 20.1320400, 27.4256640, 72.6629640, 351.7348300, 2072.6920000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5794730, 14.9593810, 17.3066700, 31.8506110, 122.0193900, 677.9872000, 4109.2967000", \
+					  "14.5837280, 14.9628350, 17.3070300, 31.8850400, 122.0588400, 678.2672700, 4110.2653000", \
+					  "14.5830470, 14.9569710, 17.3114590, 31.8883690, 121.8871400, 679.2434400, 4109.6919000", \
+					  "14.5826200, 14.9568380, 17.3089280, 31.9011630, 121.8979800, 679.1934200, 4109.4968000", \
+					  "14.5837850, 14.9628630, 17.3114380, 31.8850940, 121.8884800, 679.0045900, 4108.5214000", \
+					  "14.5829510, 14.9562870, 17.3032650, 31.8767910, 121.9583500, 679.1058800, 4111.4636000", \
+					  "14.5837330, 14.9628880, 17.3112990, 31.8592160, 121.9533100, 678.2951200, 4112.7250000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.5611460, 20.7502850, 21.9134590, 29.0943730, 73.0439350, 344.3744600, 2019.2845000", \
+					  "21.2435280, 21.4314150, 22.5950850, 29.7729340, 73.7193280, 345.1023400, 2019.7940000", \
+					  "21.9481640, 22.1388420, 23.2963870, 30.4867380, 74.4415090, 345.7508600, 2021.3993000", \
+					  "22.6437090, 22.8309870, 23.9974330, 31.1708530, 75.1906480, 346.5845300, 2021.4200000", \
+					  "23.3030840, 23.4935020, 24.6567020, 31.8406630, 75.7947300, 347.1132900, 2022.7658000", \
+					  "23.9293330, 24.1223180, 25.2819770, 32.4543090, 76.4765960, 347.8750200, 2023.1871000", \
+					  "24.5373960, 24.7275180, 25.9016290, 33.0852110, 77.0296040, 348.3728500, 2023.3940000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5242680, 13.9123610, 16.1948410, 30.3858020, 118.1843500, 660.4362300, 4006.8180000", \
+					  "13.5254180, 13.9122070, 16.1991880, 30.3860190, 118.1882600, 660.4832900, 4008.0649000", \
+					  "13.5329420, 13.9099510, 16.2010090, 30.3949580, 118.1424800, 660.4202000, 4007.0731000", \
+					  "13.5330500, 13.9118130, 16.2045970, 30.4202570, 118.5307600, 660.5694700, 4006.8403000", \
+					  "13.5310390, 13.9100320, 16.1975140, 30.3875110, 118.2338100, 660.5743000, 4009.0081000", \
+					  "13.5422750, 13.8995670, 16.2088120, 30.4208710, 118.5021500, 660.3960400, 4006.8121000", \
+					  "13.5304040, 13.9110200, 16.1967330, 30.3865020, 118.2308200, 660.9334900, 4008.2482000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6217820, 10.6721750, 10.9878540, 12.8878110, 24.5032830, 96.0339650, 416.6613200", \
+					  "11.1122820, 11.1622170, 11.4786790, 13.3733860, 24.9892680, 96.5290280, 417.1701000", \
+					  "11.4872330, 11.5295910, 11.8357950, 13.7344520, 25.3499230, 96.8727820, 417.5483900", \
+					  "11.7965340, 11.8416110, 12.1531870, 14.0527780, 25.6630020, 97.1904230, 417.8650700", \
+					  "12.0822690, 12.1309960, 12.4395210, 14.3375160, 25.9528700, 97.4742780, 418.1017900", \
+					  "12.3416240, 12.3984080, 12.7024170, 14.6044100, 26.2106440, 97.7306130, 418.3423800", \
+					  "12.5942990, 12.6461100, 12.9527710, 14.8498560, 26.4649990, 97.9839140, 418.6245200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0350884, 3.1129697, 3.5971908, 6.6030004, 25.0107700, 138.8846400, 350.6964100", \
+					  "3.0350187, 3.1130729, 3.5969772, 6.6014603, 24.9979080, 138.7701200, 350.6918100", \
+					  "3.0365326, 3.1127576, 3.5962664, 6.6015993, 25.0008600, 138.7600900, 350.6775400", \
+					  "3.0352207, 3.1135321, 3.5964105, 6.6015988, 24.9976320, 138.7585700, 350.6954700", \
+					  "3.0361169, 3.1129577, 3.5964940, 6.6018928, 24.9976240, 138.7938700, 350.6759800", \
+					  "3.0362062, 3.1131906, 3.5983543, 6.6015962, 25.0221000, 138.8119700, 350.7021800", \
+					  "3.0364185, 3.1129415, 3.5966574, 6.6015642, 25.0216420, 138.6530500, 350.5821800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.4087422, 9.4087425, 9.4105328, 9.4170414, 9.4170423, 9.4170433, 9.4192361", \
+					  "9.9392530, 9.9594102, 9.9615676, 9.9615684, 9.9615694, 9.9615703, 9.9859045", \
+					  "10.3284370, 10.3284374, 10.3306090, 10.3306098, 10.3306108, 10.3306118, 10.3306127", \
+					  "10.6090060, 10.6090065, 10.6090074, 10.6415980, 10.6415983, 10.6415992, 10.6416002", \
+					  "10.9312880, 10.9312883, 10.9312892, 10.9312902, 10.9312912, 10.9312921, 10.9312931", \
+					  "11.1466950, 11.1466957, 11.1500360, 11.1548280, 11.1548286, 11.1548296, 11.1933900", \
+					  "11.4467320, 11.4496100, 11.4496103, 11.4496112, 11.4496122, 11.4496131, 11.4496141");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7932720, 10.8440490, 11.1586070, 13.1231020, 19.4771240, 39.5694040, 161.1904700", \
+					  "11.2845130, 11.3340780, 11.6511120, 13.6153060, 19.9626820, 40.0598270, 161.6751900", \
+					  "11.6510930, 11.6957820, 12.0172490, 13.9808230, 20.3295600, 40.4264850, 162.0581600", \
+					  "11.9637930, 12.0142440, 12.3299160, 14.2937840, 20.6421120, 40.7388900, 162.3714100", \
+					  "12.2550870, 12.2965660, 12.6204220, 14.5844710, 20.9319620, 41.0252030, 162.6499400", \
+					  "12.5126950, 12.5653520, 12.8788710, 14.8439590, 21.1902980, 41.2931660, 162.9134500", \
+					  "12.7661680, 12.8174610, 13.1323130, 15.0973150, 21.4440590, 41.5371350, 163.1624000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0909322, 3.1756857, 3.7018695, 5.6422977, 8.4100931, 33.4608750, 200.2936300", \
+					  "3.0909026, 3.1756029, 3.7020829, 5.6419602, 8.4182439, 33.4763260, 200.1958400", \
+					  "3.0908627, 3.1759007, 3.7022021, 5.6417053, 8.4205096, 33.4770180, 200.1854800", \
+					  "3.0908605, 3.1759000, 3.7021817, 5.6418097, 8.4204039, 33.4664920, 200.1878600", \
+					  "3.0908840, 3.1759717, 3.7022030, 5.6419469, 8.4180662, 33.4609780, 200.2516300", \
+					  "3.0909186, 3.1759160, 3.7022276, 5.6413016, 8.4186960, 33.4634580, 200.3426800", \
+					  "3.0909897, 3.1759351, 3.7020227, 5.6410618, 8.4188443, 33.4722790, 200.2139500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.6150550, 13.6658520, 13.9770000, 15.8146720, 20.7869740, 40.0312170, 82.9932960", \
+					  "14.2978680, 14.3489420, 14.6592320, 16.4956000, 21.4695120, 40.7057110, 83.6616040", \
+					  "15.0066380, 15.0577260, 15.3640690, 17.2070870, 22.1800070, 41.4321980, 84.3351840", \
+					  "15.6953260, 15.7461000, 16.0597540, 17.8973580, 22.8680420, 42.1038100, 85.0527190", \
+					  "16.3603600, 16.4118370, 16.7243590, 18.5602950, 23.5329320, 42.7614000, 85.6761910", \
+					  "16.9864300, 17.0369850, 17.3446980, 19.1872620, 24.1578100, 43.4052620, 86.3671450", \
+					  "17.5944860, 17.6487380, 17.9720260, 19.7967300, 24.7687670, 44.0136990, 86.9621740");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9823655, 3.0543714, 3.4976273, 4.9719970, 6.9026322, 29.0086250, 48.3892390", \
+					  "2.9815845, 3.0500038, 3.4976295, 4.9721747, 6.8830781, 29.0091760, 48.3774190", \
+					  "2.9818019, 3.0546867, 3.4976599, 4.9718025, 6.8975692, 29.0114880, 48.3592150", \
+					  "2.9824094, 3.0542893, 3.4975909, 4.9669042, 6.9012809, 29.0158650, 48.4643720", \
+					  "2.9794882, 3.0515195, 3.4911718, 4.9688533, 6.9003105, 28.9989170, 48.3365490", \
+					  "2.9825677, 3.0545919, 3.4954182, 4.9725979, 6.9043353, 29.0074240, 48.3964340", \
+					  "2.9817409, 3.0545253, 3.4974326, 4.9669500, 6.8982127, 29.0064290, 48.3673580");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.5045083, 9.5045085, 9.5051664, 9.5408330, 9.5408340, 9.5408350, 9.5408359", \
+					  "10.0178510, 10.0261190, 10.0261198, 10.0261207, 10.0261217, 10.0261226, 10.0620050", \
+					  "10.3930350, 10.3930355, 10.3930364, 10.3961120, 10.3961130, 10.3961139, 10.4726080", \
+					  "10.7081410, 10.7081419, 10.7089510, 10.7089515, 10.7089525, 10.7089534, 10.7427950", \
+					  "10.9934210, 10.9934211, 10.9934221, 10.9934230, 10.9934240, 10.9934249, 11.0191820", \
+					  "11.2157840, 11.2157846, 11.2620330, 11.2620340, 11.2620349, 11.2620359, 11.2830750", \
+					  "11.5187610, 11.5187612, 11.5187622, 11.5187631, 11.5187641, 11.5187650, 11.5187660");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4121350, 8.4121357, 8.4121366, 8.4121376, 8.4121385, 8.4121395, 8.4452338", \
+					  "8.8493426, 8.8493429, 8.8503640, 8.8518384, 8.8518386, 8.8518396, 8.8626866", \
+					  "9.2227044, 9.2227045, 9.2227054, 9.2227064, 9.2227073, 9.2227083, 9.2245758", \
+					  "9.5814015, 9.5820685, 9.5820690, 9.5823571, 9.5823579, 9.5823589, 9.5943760", \
+					  "9.8753352, 9.8753353, 9.8753362, 9.8753372, 9.8753381, 9.8753391, 9.8924104", \
+					  "10.0759270, 10.1434300, 10.1434303, 10.1434312, 10.1434322, 10.1434331, 10.1847490", \
+					  "10.3837820, 10.3892830, 10.3894750, 10.3896380, 10.3918730, 10.3918739, 10.4399190");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8615292, 7.8698630, 7.8980626, 7.8980630, 7.8980635, 7.8980639, 7.8980644", \
+					  "8.3534912, 8.3552019, 8.3599669, 8.3599678, 8.3599687, 8.3599697, 8.3599706", \
+					  "8.7166097, 8.7166105, 8.7218606, 8.7218614, 8.7218624, 8.7218633, 8.7218643", \
+					  "9.0218242, 9.0263503, 9.0274339, 9.0274349, 9.0274358, 9.0274368, 9.0274377", \
+					  "9.3083674, 9.3084376, 9.3112718, 9.3112722, 9.3112731, 9.3112741, 9.3112751", \
+					  "9.5715320, 9.5715328, 9.5762713, 9.5762716, 9.5762725, 9.5762735, 9.5762744", \
+					  "9.8165760, 9.8165765, 9.8224255, 9.8224264, 9.8224273, 9.8224283, 9.8224292");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2096420, 11.2588020, 11.5707830, 13.5087480, 19.9685320, 40.0587940, 161.6731600", \
+					  "11.8892800, 11.9382010, 12.2499940, 14.1907850, 20.6496860, 40.7417070, 162.3606600", \
+					  "12.5932010, 12.6422480, 12.9529550, 14.8939680, 21.3517380, 41.4397730, 163.0563400", \
+					  "13.2832860, 13.3365850, 13.6443540, 15.5835010, 22.0504290, 42.1398880, 163.7547800", \
+					  "13.9419080, 13.9990130, 14.3112910, 16.2532730, 22.7042010, 42.7921770, 164.4081200", \
+					  "14.5747240, 14.6304850, 14.9305390, 16.8766210, 23.3471110, 43.4302870, 165.0445800", \
+					  "15.1869520, 15.2208730, 15.5502830, 17.4924150, 23.9340710, 44.0314100, 165.6473900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0885738, 3.1731613, 3.6976886, 5.7399809, 8.5404999, 33.4700870, 200.2900200", \
+					  "3.0886849, 3.1731484, 3.6976557, 5.7404304, 8.5401739, 33.4744930, 200.2719800", \
+					  "3.0887142, 3.1733427, 3.6979604, 5.7395608, 8.5401561, 33.4602600, 200.2739000", \
+					  "3.0893759, 3.1735283, 3.6983145, 5.7403668, 8.5398906, 33.4698740, 200.2662700", \
+					  "3.0893208, 3.1735237, 3.6976218, 5.7394334, 8.5401739, 33.4705740, 200.2745700", \
+					  "3.0885652, 3.1732952, 3.6976473, 5.7394316, 8.5406731, 33.4625090, 200.2734800", \
+					  "3.0885805, 3.1733425, 3.6979446, 5.7394325, 8.5401570, 33.4604590, 200.2749300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.8960670, 22.0956890, 23.2518380, 30.3890710, 74.5288350, 345.7809300, 2021.2749000", \
+					  "22.5862880, 22.7789860, 23.9329960, 31.1221240, 75.2049590, 346.3878800, 2021.4027000", \
+					  "23.2821500, 23.4797480, 24.6369880, 31.7773610, 75.7739540, 347.1467100, 2022.6482000", \
+					  "23.9741080, 24.1649420, 25.3322730, 32.4691780, 76.6067100, 348.1019900, 2023.0682000", \
+					  "24.6365630, 24.8264310, 25.9836580, 33.1299010, 77.2451470, 348.7669500, 2023.2335000", \
+					  "25.2768580, 25.4542570, 26.6035480, 33.7495190, 77.8129700, 349.4031700, 2023.9302000", \
+					  "25.8716430, 26.0622070, 27.1928540, 34.3791090, 78.5015290, 349.6877200, 2024.6659000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5472410, 13.9105960, 16.1899840, 30.3954160, 118.3749100, 660.7471100, 4010.1436000", \
+					  "13.5401090, 13.9121170, 16.1908960, 30.3876640, 118.4564100, 660.6368900, 4007.9817000", \
+					  "13.5464930, 13.9143510, 16.1990030, 30.3831560, 118.1999900, 660.4306600, 4009.0558000", \
+					  "13.5431290, 13.9137600, 16.1873040, 30.3955050, 118.3708500, 660.1503600, 4009.2491000", \
+					  "13.5384240, 13.9137590, 16.2023390, 30.4217130, 118.4831600, 660.2570600, 4009.9799000", \
+					  "13.5393860, 13.9148930, 16.1829820, 30.3990220, 118.5281900, 660.1658800, 4007.0322000", \
+					  "13.5455480, 13.9148790, 16.1829860, 30.3602260, 118.5132700, 660.5431500, 4010.2791000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0382918, 8.0396054, 8.0396057, 8.0396066, 8.0396076, 8.0396085, 8.0396095", \
+					  "8.5523144, 8.5523153, 8.5523162, 8.5523172, 8.5523181, 8.5523191, 8.5523201", \
+					  "8.8896943, 8.8896947, 8.9181782, 8.9181791, 8.9181800, 8.9181810, 8.9181819", \
+					  "9.2375707, 9.2413508, 9.2413517, 9.2413526, 9.2413536, 9.2413545, 9.2413555", \
+					  "9.5299315, 9.5299316, 9.5299325, 9.5299335, 9.5299345, 9.5299354, 9.5299364", \
+					  "9.7679944, 9.7881392, 9.7906856, 9.7906862, 9.7906871, 9.7906881, 9.7906890", \
+					  "10.0220370, 10.0255100, 10.0318450, 10.0318456, 10.0318466, 10.0318475, 10.0318485");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3550002, 8.3550010, 8.3550020, 8.3550029, 8.3550039, 8.3550048, 8.3550058", \
+					  "8.8674152, 8.8674160, 8.8674169, 8.8674179, 8.8674188, 8.8674198, 8.9453538", \
+					  "9.1998560, 9.2298160, 9.2298170, 9.2375911, 9.2375913, 9.2375923, 9.2618047", \
+					  "9.5181543, 9.5385042, 9.5385051, 9.5467962, 9.5467964, 9.5467973, 9.5637933", \
+					  "9.7980326, 9.8230313, 9.8230320, 9.8230329, 9.8230339, 9.8230348, 9.8577777", \
+					  "10.0645260, 10.0701580, 10.0701585, 10.0701595, 10.0701604, 10.0701614, 10.1213190", \
+					  "10.2929900, 10.3104020, 10.3104024, 10.3104034, 10.3104044, 10.3104053, 10.3913100");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.7674450, 14.8194700, 15.1372460, 17.0270640, 28.3902820, 95.0872800, 186.6893900", \
+					  "15.4485700, 15.4984650, 15.8142390, 17.7073220, 29.0945650, 95.7636600, 187.3598600", \
+					  "16.1473410, 16.1999290, 16.5164610, 18.4017320, 29.7991130, 96.4691940, 188.0715800", \
+					  "16.8402640, 16.8968080, 17.2102260, 19.1048490, 30.4878720, 97.1636710, 188.7707800", \
+					  "17.5036590, 17.5625040, 17.8848310, 19.7692070, 31.1612000, 97.8249300, 189.4378800", \
+					  "18.1246220, 18.1792040, 18.5046630, 20.3914130, 31.7745080, 98.4391730, 190.0572600", \
+					  "18.7414760, 18.8012860, 19.1001630, 21.0024510, 32.3992530, 99.0607490, 190.6657400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0567591, 3.1257177, 3.5759600, 6.3987375, 24.2862890, 97.2270580, 63.6739020", \
+					  "3.0552025, 3.1291538, 3.5757224, 6.3930976, 24.3050720, 97.1824010, 63.6762040", \
+					  "3.0505272, 3.1274763, 3.5742560, 6.3932282, 24.3050330, 97.1880070, 63.6420200", \
+					  "3.0531055, 3.1295899, 3.5688588, 6.3933401, 24.3049100, 97.2261840, 63.6444430", \
+					  "3.0569187, 3.1259801, 3.5728891, 6.3933725, 24.3053380, 97.2316630, 63.6348290", \
+					  "3.0564320, 3.1236613, 3.5686472, 6.3937855, 24.3049810, 97.2259640, 63.7449990", \
+					  "3.0564540, 3.1236513, 3.5687402, 6.3931029, 24.3050500, 97.2275060, 63.6303170");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3827389, 8.3827396, 8.3827405, 8.3827415, 8.3827425, 8.3827434, 8.3827444", \
+					  "8.8555732, 8.8555742, 8.8555751, 8.8555761, 8.8555770, 8.8555780, 8.8555789", \
+					  "9.2286205, 9.2292680, 9.2292686, 9.2587351, 9.2587352, 9.2587362, 9.2587371", \
+					  "9.5643813, 9.5643821, 9.5643831, 9.5643840, 9.5643850, 9.5643859, 9.5743120", \
+					  "9.8550901, 9.8550907, 9.8558983, 9.8558984, 9.8558994, 9.8559003, 9.8687947", \
+					  "10.0843510, 10.0843511, 10.0843521, 10.0843530, 10.0920140, 10.0920148, 10.0920158", \
+					  "10.3663900, 10.3663908, 10.3781380, 10.3781381, 10.3781391, 10.3781400, 10.3820030");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3520666, 8.3520675, 8.3719014, 8.3719020, 8.3719030, 8.3719039, 8.3719049", \
+					  "8.8729308, 8.8729311, 8.8729320, 8.8729330, 8.8729339, 8.8729349, 8.9288177", \
+					  "9.2016332, 9.2346326, 9.2346330, 9.2419112, 9.2419115, 9.2419124, 9.2557242", \
+					  "9.5211030, 9.5432755, 9.5432764, 9.5542081, 9.5542083, 9.5542093, 9.5706794", \
+					  "9.8031672, 9.8283257, 9.8283258, 9.8283268, 9.8283277, 9.8283287, 9.8580211", \
+					  "10.0849440, 10.0849443, 10.0947370, 10.0947376, 10.0947385, 10.0947395, 10.1241410", \
+					  "10.2883450, 10.3025140, 10.3025146, 10.3025156, 10.3025165, 10.3025175, 10.3672530");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0698861, 8.0698867, 8.0698877, 8.0698886, 8.0698896, 8.0698905, 8.0698915", \
+					  "8.5653182, 8.5653186, 8.5653196, 8.5653205, 8.5653215, 8.5653224, 8.5653234", \
+					  "8.8988559, 8.8988567, 8.9212984, 8.9212986, 8.9212995, 8.9213005, 8.9213014", \
+					  "9.2511057, 9.2555732, 9.2555738, 9.2555748, 9.2555757, 9.2555767, 9.2555776", \
+					  "9.5448174, 9.5448175, 9.5448185, 9.5448194, 9.5448204, 9.5448213, 9.5448223", \
+					  "9.8076525, 9.8076530, 9.8076540, 9.8076549, 9.8076559, 9.8076568, 9.8076578", \
+					  "10.0555680, 10.0555683, 10.0555692, 10.0555702, 10.0555711, 10.0555721, 10.0555730");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8705256, 7.8705261, 7.8705266, 7.8705271, 7.8705276, 7.8705280, 7.8705285", \
+					  "8.3536795, 8.3558227, 8.3558231, 8.3558240, 8.3558250, 8.3558260, 8.3558269", \
+					  "8.7175618, 8.7175622, 8.7175632, 8.7175642, 8.7175651, 8.7175661, 8.7175670", \
+					  "9.0227292, 9.0254417, 9.0254427, 9.0254436, 9.0254446, 9.0254455, 9.0254465", \
+					  "9.3091224, 9.3106749, 9.3106752, 9.3106761, 9.3106771, 9.3106781, 9.3106790", \
+					  "9.5722541, 9.5746042, 9.5746046, 9.5746055, 9.5746065, 9.5746074, 9.5746084", \
+					  "9.8176791, 9.8176799, 9.8176809, 9.8176818, 9.8176828, 9.8176837, 9.8176847");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6243010, 10.6744900, 10.9847730, 12.9262680, 19.3893080, 39.4770150, 161.0820800", \
+					  "11.1134650, 11.1648770, 11.4748660, 13.4161670, 19.8777920, 39.9612080, 161.5752700", \
+					  "11.4803220, 11.5274530, 11.8395720, 13.7770750, 20.2369850, 40.3271480, 161.9489200", \
+					  "11.7918240, 11.8439050, 12.1526890, 14.0948280, 20.5508730, 40.6453860, 162.2509700", \
+					  "12.0817780, 12.1292530, 12.4387700, 14.3803980, 20.8385590, 40.9287540, 162.5502800", \
+					  "12.3455600, 12.3913270, 12.6992110, 14.6476330, 21.0976730, 41.1878100, 162.8076700", \
+					  "12.5880310, 12.6446420, 12.9525260, 14.8926050, 21.3533140, 41.4457550, 163.0596200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0886458, 3.1734353, 3.6976591, 5.7400560, 8.5402005, 33.4812460, 200.3665700", \
+					  "3.0881813, 3.1733272, 3.6982610, 5.7401568, 8.5422514, 33.4609500, 200.3409200", \
+					  "3.0883016, 3.1733312, 3.6975958, 5.7401950, 8.5409635, 33.4627970, 200.2437300", \
+					  "3.0885901, 3.1732501, 3.6978722, 5.7396181, 8.5408436, 33.4626730, 200.2923800", \
+					  "3.0887910, 3.1727050, 3.6975525, 5.7396270, 8.5339771, 33.4627080, 200.2553100", \
+					  "3.0878999, 3.1732299, 3.6976116, 5.7404566, 8.5381808, 33.4613440, 200.2109800", \
+					  "3.0882301, 3.1732337, 3.6978460, 5.7400671, 8.5337239, 33.4652060, 200.2044300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.8050880, 10.8083530, 10.8083540, 10.8153170, 10.8153177, 10.8153186, 10.8153196", \
+					  "11.2763710, 11.3134040, 11.3162050, 11.3162056, 11.3162065, 11.3162075, 11.3413010", \
+					  "11.6802710, 11.6802717, 11.6802726, 11.6802736, 11.6802745, 11.6802755, 11.6851690", \
+					  "11.9635320, 11.9635330, 11.9637010, 11.9637018, 11.9637027, 11.9637037, 11.9883930", \
+					  "12.2872710, 12.2872711, 12.2912330, 12.2912336, 12.2912345, 12.2912355, 12.3081950", \
+					  "12.5152660, 12.5280560, 12.5471960, 12.5490920, 12.5490928, 12.5490938, 12.5766940", \
+					  "12.6683040, 12.7914320, 12.7914329, 12.7914339, 12.7914348, 12.7914358, 12.7914367");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2090410, 11.2595200, 11.5708190, 13.5109540, 19.9722230, 40.0595890, 161.6742100", \
+					  "11.8883950, 11.9377100, 12.2501080, 14.1918280, 20.6545890, 40.7417000, 162.3463400", \
+					  "12.5928550, 12.6442200, 12.9527860, 14.8937790, 21.3608260, 41.4449130, 163.0690200", \
+					  "13.2853470, 13.3364400, 13.6482350, 15.5852950, 22.0517440, 42.1411100, 163.7601900", \
+					  "13.9515630, 13.9976040, 14.3073540, 16.2443590, 22.7127690, 42.8018150, 164.4143500", \
+					  "14.5826570, 14.6291110, 14.9192180, 16.8698050, 23.3369520, 43.4255230, 165.0447000", \
+					  "15.1841770, 15.2316790, 15.5447350, 17.4949480, 23.9500830, 44.0424160, 165.6463800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0890057, 3.1736163, 3.6978678, 5.7404566, 8.5414271, 33.4808700, 200.3172300", \
+					  "3.0889913, 3.1735155, 3.6981378, 5.7404645, 8.5412744, 33.4623990, 200.2642700", \
+					  "3.0888483, 3.1736329, 3.6982040, 5.7406178, 8.5387324, 33.4811790, 200.1910800", \
+					  "3.0896929, 3.1736602, 3.6985857, 5.7401768, 8.5412744, 33.4765320, 200.2586500", \
+					  "3.0889591, 3.1736442, 3.6978478, 5.7404881, 8.5412859, 33.4674900, 200.2835500", \
+					  "3.0888494, 3.1736291, 3.6978511, 5.7404055, 8.5412131, 33.4762510, 200.2615800", \
+					  "3.0888549, 3.1736329, 3.6978685, 5.7397980, 8.5412744, 33.4650670, 200.2588800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8302560, 14.8817120, 15.1916810, 17.0228030, 22.1382680, 41.3643770, 84.3391630", \
+					  "15.5114690, 15.5583000, 15.8701160, 17.7003980, 22.8120070, 42.0410870, 85.0165520", \
+					  "16.2118180, 16.2644010, 16.5714250, 18.3999700, 23.5176730, 42.7628490, 85.7608310", \
+					  "16.9020280, 16.9615950, 17.2647460, 19.1019380, 24.2052120, 43.4556110, 86.4261550", \
+					  "17.5631260, 17.6072100, 17.9213620, 19.7502440, 24.8710940, 44.1138610, 87.1241280", \
+					  "18.1859540, 18.2380160, 18.5599410, 20.3832810, 25.4935180, 44.7416080, 87.7444820", \
+					  "18.8004050, 18.8555290, 19.1600940, 21.0096490, 26.1046260, 45.3427730, 88.3517690");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0272780, 3.0969738, 3.5295082, 5.1034408, 6.9955877, 29.0284630, 48.3695110", \
+					  "3.0276588, 3.0995015, 3.5309342, 5.1073625, 6.9903567, 29.0488900, 48.4106980", \
+					  "3.0281049, 3.0995078, 3.5330876, 5.1049645, 6.9907093, 29.0350640, 48.3883160", \
+					  "3.0281617, 3.0969645, 3.5295900, 5.1037068, 6.9903074, 29.0344300, 48.4999240", \
+					  "3.0287528, 3.0987419, 3.5363168, 5.1025055, 6.9903749, 29.0354670, 48.4680670", \
+					  "3.0271801, 3.0995084, 3.5360879, 5.1027507, 6.9811108, 29.0353730, 48.3589030", \
+					  "3.0271456, 3.0995075, 3.5330932, 5.1034292, 6.9844943, 29.0350750, 48.3645690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2150200, 11.2588070, 11.5707530, 13.4693760, 25.0863720, 96.6184360, 417.2640600", \
+					  "11.8864470, 11.9367640, 12.2531820, 14.1479060, 25.7616840, 97.3024470, 417.9308100", \
+					  "12.5961370, 12.6502830, 12.9558610, 14.8505850, 26.4665500, 97.9958160, 418.6942400", \
+					  "13.2800960, 13.3425510, 13.6528200, 15.5513980, 27.1570290, 98.6933200, 419.3310600", \
+					  "13.9490370, 14.0015980, 14.3080580, 16.2070430, 27.8218460, 99.3481420, 420.0190300", \
+					  "14.5704740, 14.6271100, 14.9338510, 16.8303430, 28.4514790, 99.9726670, 420.6132500", \
+					  "15.1879110, 15.2284020, 15.5496170, 17.4481550, 29.0690320, 100.5887700, 421.2481000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0369645, 3.1132368, 3.5967904, 6.6019745, 24.9990940, 138.7922600, 350.5935200", \
+					  "3.0362519, 3.1131664, 3.5972105, 6.6017285, 25.0131350, 138.9183000, 350.7017800", \
+					  "3.0355383, 3.1139495, 3.5966816, 6.6017209, 25.0210390, 138.7647900, 350.7199400", \
+					  "3.0355121, 3.1138143, 3.5968910, 6.6017387, 25.0208640, 138.7650300, 350.5299400", \
+					  "3.0357092, 3.1132490, 3.5966405, 6.6017241, 25.0212380, 138.7646800, 350.7068100", \
+					  "3.0355967, 3.1139853, 3.5968744, 6.6017463, 25.0011530, 138.7647500, 350.7324800", \
+					  "3.0356044, 3.1134675, 3.5968730, 6.6017458, 25.0011550, 138.7637500, 350.5215900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8450260, 14.8981230, 15.2162990, 17.0994840, 28.4704580, 98.3522030, 525.4582900", \
+					  "15.5268530, 15.5798130, 15.8985480, 17.7778430, 29.1484920, 99.0313890, 526.1360900", \
+					  "16.2303420, 16.2783850, 16.5929510, 18.4773090, 29.8526320, 99.7876410, 526.8292400", \
+					  "16.9159920, 16.9699740, 17.2911980, 19.1745200, 30.5350520, 100.4263600, 527.4948200", \
+					  "17.5793390, 17.6279130, 17.9414780, 19.8227250, 31.2121390, 101.2062500, 528.1956400", \
+					  "18.1980550, 18.2625420, 18.5792040, 20.4467480, 31.8404150, 101.7654200, 528.7805000", \
+					  "18.8055190, 18.8665580, 19.1841000, 21.0644000, 32.4391710, 102.3704500, 529.4320400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0574721, 3.1303733, 3.5780650, 6.3821077, 24.3038730, 135.4018300, 504.2548400", \
+					  "3.0574814, 3.1303773, 3.5781422, 6.3812426, 24.3036450, 135.4021900, 504.2484800", \
+					  "3.0574485, 3.1303737, 3.5780821, 6.3891377, 24.3038940, 135.4312100, 504.2591100", \
+					  "3.0573242, 3.1303677, 3.5780767, 6.3880452, 24.3054770, 135.4005700, 504.1953300", \
+					  "3.0574856, 3.1210212, 3.5684515, 6.3843091, 24.3049370, 135.2570100, 504.1783900", \
+					  "3.0535152, 3.1303133, 3.5780918, 6.3925660, 24.3072780, 135.4323900, 504.2056700", \
+					  "3.0535230, 3.1310541, 3.5784578, 6.3925669, 24.3072740, 135.4327000, 504.2480200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4058120, 8.4058128, 8.4058137, 8.4058147, 8.4058157, 8.4058166, 8.4121679", \
+					  "8.9056744, 8.9056745, 8.9056755, 8.9056764, 8.9056774, 8.9056783, 8.9056793", \
+					  "9.1947623, 9.1947628, 9.2505701, 9.2759915, 9.2759920, 9.2759929, 9.2885175", \
+					  "9.5741362, 9.5741363, 9.5741373, 9.5741382, 9.5741392, 9.5741401, 9.5959436", \
+					  "9.8648600, 9.8648601, 9.8654978, 9.8654981, 9.8654991, 9.8655000, 9.8817532", \
+					  "10.1229740, 10.1305600, 10.1348400, 10.1348405, 10.1348415, 10.1348424, 10.1548140", \
+					  "10.3145180, 10.3538650, 10.3649240, 10.3649250, 10.3649259, 10.3649269, 10.3649278");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3561744, 8.3561750, 8.3561759, 8.3561769, 8.3561779, 8.3561788, 8.5509901", \
+					  "8.8498231, 8.8515524, 8.8515525, 8.8515535, 8.8515544, 8.8515554, 8.8996410", \
+					  "9.2117745, 9.2117754, 9.2117763, 9.2117773, 9.2117782, 9.2117792, 9.2649515", \
+					  "9.5238439, 9.5238443, 9.5238452, 9.5238462, 9.5238472, 9.5238481, 9.5661719", \
+					  "9.8085096, 9.8085122, 9.8085123, 9.8085132, 9.8085142, 9.8085151, 9.8549737", \
+					  "10.0635440, 10.0659170, 10.0659175, 10.0683850, 10.0683857, 10.0683866, 10.1157130", \
+					  "10.3104320, 10.3139990, 10.3147820, 10.3242550, 10.3242555, 10.3242565, 10.3629860");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.5014581, 7.5014585, 7.5014589, 7.5014594, 7.5014599, 7.5014604, 7.5526163", \
+					  "8.1438944, 8.1438947, 8.1438957, 8.1438966, 8.1438976, 8.1438985, 8.1626172", \
+					  "8.8293834, 8.8312389, 8.8312393, 8.8312402, 8.8312412, 8.8312421, 8.9370360", \
+					  "9.5311439, 9.5344639, 9.5344644, 9.5344654, 9.5344663, 9.5344673, 9.5691286", \
+					  "10.1419340, 10.1903330, 10.1903339, 10.1903348, 10.1903358, 10.1903368, 10.2689000", \
+					  "10.8177380, 10.8177381, 10.8177391, 10.8177400, 10.8177410, 10.8177419, 10.9283330", \
+					  "11.4562880, 11.4633170, 11.4633174, 11.4633184, 11.4633194, 11.4633203, 11.5092050");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.7309740, 14.7816120, 15.0900430, 16.9121620, 22.0896920, 41.4498910, 143.3494000", \
+					  "15.4087820, 15.4614790, 15.7732960, 17.5917470, 22.7721270, 42.1282960, 144.0740800", \
+					  "16.1143830, 16.1642680, 16.4744520, 18.2968750, 23.4732270, 42.8297890, 144.7633900", \
+					  "16.8087800, 16.8564180, 17.1686170, 18.9892030, 24.1602950, 43.5246400, 145.4943500", \
+					  "17.4662030, 17.5132550, 17.8313510, 19.6347790, 24.8278390, 44.1722140, 146.1612100", \
+					  "18.0918210, 18.1390640, 18.4513790, 20.2714410, 25.4707080, 44.8113900, 146.7027600", \
+					  "18.7084250, 18.7450250, 19.0660450, 20.8763250, 26.0561790, 45.4037230, 147.3268400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0299059, 3.0997092, 3.5330447, 5.1153011, 7.0397368, 32.0360020, 98.0621520", \
+					  "3.0306202, 3.1015044, 3.5366186, 5.1153251, 7.0374524, 32.0776860, 98.1087000", \
+					  "3.0308340, 3.1007017, 3.5358783, 5.1153104, 7.0515283, 32.0783790, 98.0590680", \
+					  "3.0293292, 3.1013037, 3.5358094, 5.1154352, 7.0393704, 32.0790040, 98.0745230", \
+					  "3.0308709, 3.1027887, 3.5358423, 5.1154405, 7.0446537, 32.0786460, 98.0248270", \
+					  "3.0324476, 3.0999430, 3.5366177, 5.1153171, 7.0404256, 32.0786100, 98.0547410", \
+					  "3.0310836, 3.0993781, 3.5365320, 5.1153264, 7.0414310, 32.0670280, 98.0635950");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.4753395, 7.4753397, 7.4895556, 7.4895561, 7.4895566, 7.4895571, 7.4895576", \
+					  "8.1349292, 8.1349302, 8.1349311, 8.1349321, 8.1349330, 8.1349340, 8.1349350", \
+					  "8.8363974, 8.8363977, 8.8363987, 8.8363996, 8.8364006, 8.8364015, 8.9682013", \
+					  "9.5285584, 9.5285593, 9.5285602, 9.5287129, 9.5287138, 9.5287147, 9.6069055", \
+					  "10.1834530, 10.1872570, 10.1888660, 10.2046260, 10.2046266, 10.2046276, 10.3090300", \
+					  "10.8220370, 10.8220373, 10.8220382, 10.8278430, 10.8278432, 10.8278442, 10.9392910", \
+					  "11.4458150, 11.4473400, 11.4540270, 11.4540277, 11.4540287, 11.4540296, 11.5504570");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2423910, 17.4451370, 18.6301850, 25.9621500, 71.2158440, 350.2559000, 2071.5772000", \
+					  "17.9287730, 18.1353620, 19.3070020, 26.6761420, 71.9017810, 350.9072100, 2072.9917000", \
+					  "18.6285940, 18.8207410, 20.0388030, 27.3785470, 72.6049690, 351.6043700, 2073.3482000", \
+					  "19.3206380, 19.5150050, 20.7150050, 28.0397270, 73.2976350, 352.2993900, 2073.6766000", \
+					  "19.9998350, 20.1806110, 21.3734270, 28.7217810, 73.9620490, 353.0088300, 2074.2084000", \
+					  "20.6166040, 20.8008140, 21.9969040, 29.3552350, 74.5858980, 353.5872700, 2075.5588000", \
+					  "21.2202360, 21.4008490, 22.6108590, 29.9581370, 75.1994660, 354.1882400, 2075.9044000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5818810, 14.9617880, 17.3103580, 31.8840950, 121.9017300, 679.0440900, 4111.5354000", \
+					  "14.5866320, 14.9643870, 17.3190330, 31.8884330, 122.1004600, 679.0094700, 4109.8338000", \
+					  "14.5863690, 14.9650870, 17.3149210, 31.9037280, 121.9113600, 679.0134000, 4110.8201000", \
+					  "14.5864810, 14.9643410, 17.3110650, 31.8710360, 121.9134300, 679.0107200, 4110.6823000", \
+					  "14.5859500, 14.9659910, 17.3160350, 31.9198750, 121.9080200, 678.9972000, 4110.5495000", \
+					  "14.5864800, 14.9674690, 17.3253390, 31.8904510, 121.9052000, 678.9878700, 4112.1634000", \
+					  "14.5866390, 14.9652560, 17.3270450, 31.8902420, 121.9117500, 679.0126000, 4111.3617000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.9070310, 22.0988930, 23.2588690, 30.4485180, 74.3998430, 345.7265600, 2020.8745000", \
+					  "22.5790200, 22.7790000, 23.9415050, 31.1115540, 75.1269130, 346.6379300, 2020.9241000", \
+					  "23.2899920, 23.4784880, 24.6391760, 31.8349650, 75.7855010, 347.1161300, 2022.3040000", \
+					  "23.9790940, 24.1712200, 25.3253380, 32.5085240, 76.4609350, 347.8120900, 2022.8883000", \
+					  "24.6284110, 24.8423490, 25.9895470, 33.1772830, 77.1331800, 348.5025400, 2023.5702000", \
+					  "25.2650310, 25.4610500, 26.6309040, 33.8076620, 77.7939560, 349.0901000, 2023.5220000", \
+					  "25.8597680, 26.0749480, 27.2193970, 34.3948410, 78.4108000, 350.0064500, 2024.7378000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5419760, 13.9015660, 16.2105080, 30.4026710, 118.1883500, 660.6453600, 4009.7189000", \
+					  "13.5404510, 13.9020630, 16.2104450, 30.4227930, 118.5113500, 660.5525300, 4006.6939000", \
+					  "13.5345950, 13.9145200, 16.2106280, 30.4021980, 118.1850400, 660.5270600, 4009.0604000", \
+					  "13.5418880, 13.9020510, 16.2157350, 30.4227900, 118.1938200, 660.5340600, 4009.2673000", \
+					  "13.5410620, 13.9086910, 16.2065830, 30.3961090, 118.2561900, 660.8325400, 4008.8225000", \
+					  "13.5418230, 13.9113180, 16.2103420, 30.4017400, 118.5144100, 660.5246200, 4006.0431000", \
+					  "13.5347170, 13.9012930, 16.2077520, 30.4227830, 118.5138400, 660.9255900, 4009.3296000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6487570, 10.7002080, 11.0106590, 12.9525270, 19.3935910, 39.4833930, 161.0943800", \
+					  "11.1388700, 11.1887330, 11.5000060, 13.4414450, 19.8833610, 39.9733220, 161.5912200", \
+					  "11.5061430, 11.5567750, 11.8671060, 13.8091000, 20.2506620, 40.3376180, 161.9481900", \
+					  "11.8193130, 11.8697260, 12.1802430, 14.1219940, 20.5690200, 40.6578840, 162.2688300", \
+					  "12.1088140, 12.1596870, 12.4705110, 14.4118560, 20.8562660, 40.9421550, 162.5615900", \
+					  "12.3666070, 12.4174020, 12.7283020, 14.6696570, 21.1149640, 41.1999680, 162.8190200", \
+					  "12.6200590, 12.6708960, 12.9817690, 14.9232020, 21.3713850, 41.4540580, 163.0657200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0885818, 3.1733629, 3.6979755, 5.7276859, 8.5248475, 33.4649430, 200.2156900", \
+					  "3.0886356, 3.1734217, 3.6980243, 5.7270455, 8.5246974, 33.4611730, 200.2827800", \
+					  "3.0887595, 3.1732783, 3.6979737, 5.7274026, 8.5261354, 33.4626730, 200.2542100", \
+					  "3.0885838, 3.1733651, 3.6980188, 5.7273071, 8.5250482, 33.4762120, 200.2665100", \
+					  "3.0886742, 3.1734670, 3.6981180, 5.7277028, 8.5281702, 33.4752780, 200.2588700", \
+					  "3.0886722, 3.1734655, 3.6981149, 5.7272342, 8.5281622, 33.4801840, 200.2717000", \
+					  "3.0886722, 3.1734653, 3.6981125, 5.7267289, 8.5281755, 33.4613300, 200.2967800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.0490100, 21.2395420, 22.4201990, 29.6528050, 73.7411270, 345.1907600, 2020.7481000", \
+					  "21.7303400, 21.9225760, 23.1033750, 30.3361030, 74.4221400, 345.8534900, 2019.4743000", \
+					  "22.4407500, 22.6314170, 23.8121080, 31.0544690, 75.2040280, 346.6511200, 2022.3958000", \
+					  "23.1302620, 23.3210540, 24.5008690, 31.7453970, 75.8933330, 347.3944900, 2021.3809000", \
+					  "23.7946750, 23.9853790, 25.1647490, 32.3961340, 76.4900250, 348.0457700, 2023.1007000", \
+					  "24.4135650, 24.6052720, 25.7882120, 33.0315150, 77.0560720, 348.3929700, 2022.2515000", \
+					  "25.0169630, 25.2046930, 26.3942630, 33.6427060, 77.8019850, 349.2582200, 2025.2369000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5152010, 13.9066950, 16.1977930, 30.4229070, 118.4928300, 660.4680000, 4008.5379000", \
+					  "13.5284630, 13.9020200, 16.1892400, 30.4209140, 118.4913400, 660.8902400, 4005.0795000", \
+					  "13.5153360, 13.9066440, 16.1891390, 30.4252800, 118.5750900, 660.6475200, 4006.5138000", \
+					  "13.5158920, 13.9063330, 16.1950520, 30.4271420, 118.5999600, 660.8625000, 4006.3687000", \
+					  "13.5158050, 13.9065430, 16.1913130, 30.4197770, 118.5177300, 661.1055000, 4002.8071000", \
+					  "13.5246800, 13.9027590, 16.1954750, 30.4300440, 118.2187000, 660.4319700, 4003.2728000", \
+					  "13.5121710, 13.8890550, 16.2076950, 30.4279270, 118.3234200, 660.8816000, 4007.0695000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2060300, 11.2558180, 11.5705760, 13.4687430, 25.0899430, 96.6133200, 417.2249200", \
+					  "11.8867630, 11.9388530, 12.2517980, 14.1539860, 25.7628920, 97.3009550, 417.9098300", \
+					  "12.5957160, 12.6448970, 12.9524040, 14.8505650, 26.4687770, 97.9938620, 418.6621300", \
+					  "13.2882910, 13.3365500, 13.6525800, 15.5487980, 27.1661890, 98.6846940, 419.3625500", \
+					  "13.9454540, 13.9942720, 14.3059010, 16.2105880, 27.8244890, 99.3654370, 420.0125000", \
+					  "14.5688060, 14.6297540, 14.9312350, 16.8319830, 28.4604140, 99.9875310, 420.6392000", \
+					  "15.1763700, 15.2397990, 15.5429200, 17.4388310, 29.0594460, 100.5848200, 421.2520300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0345013, 3.1122185, 3.5966028, 6.6018395, 25.0013170, 138.8444000, 350.6979200", \
+					  "3.0345846, 3.1123533, 3.5969330, 6.6047101, 25.0206080, 138.9165500, 350.5479600", \
+					  "3.0348277, 3.1128011, 3.5962231, 6.6017320, 25.0194600, 138.8578900, 350.6979700", \
+					  "3.0354925, 3.1127483, 3.5971697, 6.6018502, 25.0194960, 138.7740700, 350.7094600", \
+					  "3.0348375, 3.1126843, 3.5962433, 6.6043593, 25.0012330, 138.7319200, 350.6957600", \
+					  "3.0352736, 3.1129188, 3.5962802, 6.6017538, 25.0015630, 138.8540600, 350.6984300", \
+					  "3.0348244, 3.1124414, 3.5962897, 6.6017347, 25.0016880, 138.8577700, 350.6981400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.8976820, 22.0965810, 23.2514430, 30.3898700, 74.5303410, 345.7773500, 2021.1914000", \
+					  "22.5830950, 22.7630160, 23.9305300, 31.0724350, 75.2104300, 346.4593300, 2022.3486000", \
+					  "23.2837910, 23.4734840, 24.6338040, 31.8183130, 75.9094330, 347.1151900, 2022.5007000", \
+					  "23.9752790, 24.1666940, 25.3210390, 32.5169440, 76.5942050, 348.1020800, 2023.6573000", \
+					  "24.6390840, 24.8247410, 25.9969790, 33.1241840, 77.1804520, 348.5382400, 2025.9743000", \
+					  "25.2707880, 25.4535610, 26.6115650, 33.7501160, 77.8236000, 349.1422000, 2024.3647000", \
+					  "25.8664110, 26.0378870, 27.2253780, 34.3672290, 78.5001570, 349.7101500, 2025.0434000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5146660, 13.9135170, 16.1883200, 30.3917530, 118.4029400, 660.7574500, 4009.3209000", \
+					  "13.5252660, 13.8843900, 16.1922240, 30.3953130, 118.3586800, 660.4195700, 4009.9353000", \
+					  "13.5252240, 13.8986890, 16.1860110, 30.4235140, 118.3875700, 660.4948300, 4008.9203000", \
+					  "13.5267050, 13.8984250, 16.1927090, 30.3949540, 118.2661300, 660.1529200, 4009.2204000", \
+					  "13.5348310, 13.8854650, 16.1922600, 30.3658010, 118.5196900, 660.6526900, 4008.0809000", \
+					  "13.5340030, 13.8991120, 16.1855830, 30.3793190, 118.5292100, 660.4244000, 4009.3478000", \
+					  "13.5229830, 13.8987480, 16.1876200, 30.3790340, 118.4308900, 660.4869900, 4009.4651000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2501000, 17.4378040, 18.6332730, 25.9695630, 71.2499780, 350.2628100, 2072.1923000", \
+					  "17.9287410, 18.1150690, 19.3195080, 26.6402740, 71.8731740, 350.8952500, 2072.9046000", \
+					  "18.6263860, 18.8262810, 20.0213370, 27.3782050, 72.6052390, 351.6606200, 2073.7896000", \
+					  "19.3263820, 19.5164290, 20.7326000, 28.0767200, 73.2984450, 352.3064200, 2073.8814000", \
+					  "19.9817210, 20.1740140, 21.3649010, 28.7313160, 73.9531250, 352.9561600, 2074.5488000", \
+					  "20.6171670, 20.8024120, 21.9951420, 29.3533870, 74.5957890, 353.5839700, 2075.4933000", \
+					  "21.2269440, 21.4035240, 22.6162150, 29.9705540, 75.1945490, 354.1860800, 2075.9569000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5866680, 14.9538940, 17.3264870, 31.8909270, 122.1371900, 678.9592800, 4110.3640000", \
+					  "14.5765320, 14.9633760, 17.3024370, 31.8737430, 122.0628700, 679.0038500, 4111.2144000", \
+					  "14.5869010, 14.9659090, 17.3275260, 31.9039020, 121.9329800, 679.0107200, 4111.0006000", \
+					  "14.5868790, 14.9647730, 17.3175180, 31.8868700, 121.9430000, 678.9936200, 4109.8051000", \
+					  "14.5865390, 14.9612070, 17.3071640, 31.8878720, 121.9242200, 678.0404600, 4109.4659000", \
+					  "14.5862040, 14.9662500, 17.3251230, 31.8881700, 121.9227100, 678.9742900, 4110.9934000", \
+					  "14.5869950, 14.9661780, 17.3169300, 31.8882090, 121.9312700, 679.0555700, 4110.6500000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8461790, 14.8979170, 15.2133310, 17.1056150, 28.4756450, 98.4552460, 525.5009800", \
+					  "15.5255810, 15.5809730, 15.8962710, 17.7859190, 29.1586350, 99.1353840, 526.1484300", \
+					  "16.2275850, 16.2776370, 16.5944570, 18.4832220, 29.8566900, 99.8307710, 526.8184400", \
+					  "16.9264800, 16.9745940, 17.2854550, 19.1881020, 30.5502010, 100.5295300, 527.5479100", \
+					  "17.5760370, 17.6344180, 17.9411860, 19.8296650, 31.2068630, 101.1808700, 528.1596600", \
+					  "18.2078870, 18.2559980, 18.5774220, 20.4620070, 31.8316640, 101.8172300, 528.8321200", \
+					  "18.8200410, 18.8733310, 19.1872740, 21.0815680, 32.4550480, 102.4228700, 529.4412500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0544196, 3.1270260, 3.5723631, 6.3949717, 24.2911470, 135.4210900, 504.2833800", \
+					  "3.0541014, 3.1269844, 3.5723107, 6.3950596, 24.2940800, 135.4218000, 504.2912800", \
+					  "3.0544109, 3.1269241, 3.5724046, 6.3939747, 24.2911310, 135.4225500, 504.2184100", \
+					  "3.0544676, 3.1269745, 3.5729191, 6.3950671, 24.3035710, 135.4202500, 504.2919000", \
+					  "3.0534519, 3.1306953, 3.5701142, 6.3922516, 24.3007920, 135.4173900, 504.2110700", \
+					  "3.0533958, 3.1269647, 3.5785077, 6.3950938, 24.2793820, 135.4170800, 504.3025300", \
+					  "3.0545981, 3.1270537, 3.5728731, 6.3951018, 24.2921930, 135.4228100, 504.3352200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2090580, 11.2575920, 11.5692290, 13.5115330, 19.9690840, 40.0634510, 161.6792800", \
+					  "11.8884170, 11.9404150, 12.2477890, 14.1956110, 20.6465140, 40.7444420, 162.3632100", \
+					  "12.5948120, 12.6417690, 12.9522430, 14.8944890, 21.3544040, 41.4430230, 163.0660200", \
+					  "13.2831910, 13.3421630, 13.6474580, 15.5793370, 22.0450540, 42.1420340, 163.7534800", \
+					  "13.9498190, 14.0024420, 14.3072320, 16.2516680, 22.7068750, 42.8000110, 164.4287400", \
+					  "14.5785950, 14.6347260, 14.9401860, 16.8883980, 23.3339680, 43.4167260, 165.0397500", \
+					  "15.1854460, 15.2311690, 15.5339630, 17.4821350, 23.9146460, 44.0284680, 165.6540300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0886831, 3.1731482, 3.6982077, 5.7398060, 8.5404821, 33.4775660, 200.2774400", \
+					  "3.0888225, 3.1730685, 3.6978207, 5.7405503, 8.5352543, 33.4656180, 200.1909700", \
+					  "3.0885410, 3.1732903, 3.6975754, 5.7397251, 8.5401473, 33.4804820, 200.2744700", \
+					  "3.0883076, 3.1734624, 3.6975667, 5.7401124, 8.5401428, 33.4805390, 200.3005600", \
+					  "3.0886804, 3.1732912, 3.6978807, 5.7401590, 8.5401686, 33.4616030, 200.2741700", \
+					  "3.0882630, 3.1735110, 3.6975736, 5.7405027, 8.5405034, 33.4655890, 200.2536200", \
+					  "3.0885408, 3.1731520, 3.6975745, 5.7397269, 8.5401561, 33.4656680, 200.2537500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2492860, 17.4450530, 18.6312620, 25.9629530, 71.2007160, 350.2993900, 2071.0372000", \
+					  "17.9409680, 18.1510790, 19.3212790, 26.6697350, 71.9199210, 350.9712800, 2071.6698000", \
+					  "18.6291640, 18.8245010, 20.0170370, 27.3806100, 72.6043580, 351.6072100, 2072.4553000", \
+					  "19.3213570, 19.5198670, 20.7141880, 28.0392150, 73.2786630, 352.2887100, 2073.1493000", \
+					  "19.9804210, 20.1721000, 21.3674540, 28.7279140, 73.9601020, 353.0326800, 2073.7891000", \
+					  "20.6039670, 20.7955640, 22.0262330, 29.3588920, 74.6163810, 353.5848500, 2074.4478000", \
+					  "21.2112320, 21.4013770, 22.6141290, 29.9665360, 75.1867830, 354.1922000, 2075.1654000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5829100, 14.9618560, 17.3266450, 31.8653940, 122.0864200, 679.1705100, 4110.1225000", \
+					  "14.5842640, 14.9672040, 17.3043610, 31.9028820, 122.0517000, 679.1690900, 4108.2403000", \
+					  "14.5867480, 14.9657940, 17.3054300, 31.8868770, 122.0700500, 678.6219700, 4107.1030000", \
+					  "14.5860370, 14.9522070, 17.3030410, 31.8987330, 121.9489300, 678.0442200, 4109.7342000", \
+					  "14.5825000, 14.9644670, 17.3053840, 31.8670390, 122.0732000, 678.5006700, 4109.1012000", \
+					  "14.5824060, 14.9656150, 17.3175620, 31.9039370, 122.1841800, 678.8365600, 4108.9561000", \
+					  "14.5867190, 14.9657320, 17.3173940, 31.8858860, 122.0729500, 679.0671100, 4107.1039000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.9058940, 22.0979590, 23.2590000, 30.4481030, 74.4029550, 345.7430200, 2022.4936000", \
+					  "22.5862620, 22.7774530, 23.9390250, 31.1313780, 75.0840310, 346.4218400, 2020.6032000", \
+					  "23.2895750, 23.4782340, 24.6394460, 31.8253970, 75.9040620, 347.1234600, 2024.7664000", \
+					  "23.9775130, 24.1651610, 25.3234200, 32.5240600, 76.5291190, 347.8065200, 2022.1928000", \
+					  "24.6418300, 24.8262810, 25.9782740, 33.1678580, 77.1238290, 348.4553000, 2023.8670000", \
+					  "25.2634410, 25.4517300, 26.6132800, 33.8077830, 77.7546770, 349.1107300, 2023.8947000", \
+					  "25.8877380, 26.0700670, 27.2188460, 34.3952560, 78.3766580, 349.9283400, 2024.6018000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5425770, 13.9003910, 16.2128760, 30.3988660, 118.1581900, 660.5383800, 4007.7830000", \
+					  "13.5341920, 13.9003760, 16.2100470, 30.3984570, 118.1577900, 660.5127400, 4007.4792000", \
+					  "13.5323990, 13.9002570, 16.2100040, 30.3952100, 118.4886600, 660.5058600, 4008.5479000", \
+					  "13.5369490, 13.9144310, 16.2157820, 30.4001230, 118.5190500, 660.5261000, 4007.8739000", \
+					  "13.5403560, 13.9043190, 16.2035240, 30.3903110, 118.2015100, 660.4877900, 4007.1445000", \
+					  "13.5445110, 13.9147480, 16.2072990, 30.3991360, 118.1981900, 660.5124600, 4009.7448000", \
+					  "13.5333640, 13.9147580, 16.2073320, 30.4230450, 118.1581400, 660.5177400, 4007.4715000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7928300, 10.8442350, 11.1610480, 13.0754720, 24.7432280, 96.3363790, 416.8150800", \
+					  "11.2852810, 11.3367040, 11.6521350, 13.5733710, 25.2244860, 96.8341140, 417.2872000", \
+					  "11.6474090, 11.7105390, 12.0185280, 13.9350750, 25.5977850, 97.1977340, 417.6347700", \
+					  "11.9652950, 12.0240850, 12.3314730, 14.2481330, 25.9103030, 97.5324280, 417.9972600", \
+					  "12.2451770, 12.3057980, 12.6249770, 14.5378800, 26.2024940, 97.8226710, 418.2493300", \
+					  "12.5122210, 12.5639720, 12.8802120, 14.7954530, 26.4817710, 98.0591470, 418.5110100", \
+					  "12.7711060, 12.8210420, 13.1301730, 15.0456780, 26.7107690, 98.3099040, 418.7736500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0283642, 3.1062444, 3.5918177, 6.6019687, 24.9999630, 138.6186600, 350.4274300", \
+					  "3.0275964, 3.1059126, 3.5913514, 6.6031012, 24.9924700, 138.8467400, 350.4833300", \
+					  "3.0290139, 3.1067549, 3.5913679, 6.6018688, 25.0218050, 138.9085200, 350.4870000", \
+					  "3.0289100, 3.1070913, 3.5914240, 6.6019834, 25.0002900, 138.9275200, 350.4627300", \
+					  "3.0285126, 3.1053800, 3.5928631, 6.6020456, 24.9995860, 138.6962900, 350.3323300", \
+					  "3.0276508, 3.1062215, 3.5917682, 6.6020034, 25.0299210, 138.6354900, 350.4663100", \
+					  "3.0288805, 3.1080813, 3.5913934, 6.6009549, 25.0173040, 138.7566000, 350.2470300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.6350700, 13.6874340, 14.0016240, 15.9016460, 27.2908030, 97.1910200, 524.2872600", \
+					  "14.3164640, 14.3690860, 14.6832430, 16.5796000, 27.9725580, 97.8745620, 524.9078200", \
+					  "15.0223530, 15.0746760, 15.3933200, 17.2897320, 28.6823650, 98.5812390, 525.6151200", \
+					  "15.7163150, 15.7686060, 16.0803260, 17.9761890, 29.3714990, 99.2632110, 526.3169100", \
+					  "16.3757670, 16.4280750, 16.7411520, 18.6374080, 30.0307370, 99.9281580, 526.9707800", \
+					  "17.0062350, 17.0569940, 17.3771840, 19.2708660, 30.6693910, 100.5281300, 527.6077100", \
+					  "17.6265900, 17.6790350, 17.9849360, 19.8811170, 31.2621250, 101.2156100, 528.2484500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0098009, 3.0847211, 3.5343126, 6.3792345, 24.3055280, 135.4082700, 504.0405400", \
+					  "3.0098295, 3.0847427, 3.5343086, 6.3790342, 24.3054980, 135.4119200, 504.0125800", \
+					  "3.0098171, 3.0847296, 3.5343195, 6.3789574, 24.3055960, 135.4131900, 504.0167300", \
+					  "3.0099963, 3.0848397, 3.5342818, 6.3795662, 24.3050880, 135.4035400, 504.0271800", \
+					  "3.0098499, 3.0847660, 3.5343333, 6.3792345, 24.3054630, 135.4089000, 503.9858000", \
+					  "3.0106180, 3.0864005, 3.5361705, 6.3805667, 24.3049300, 135.3787300, 504.0292300", \
+					  "3.0134739, 3.0855065, 3.5379570, 6.3766552, 24.3089000, 135.4178200, 504.0298000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.1630620, 17.3533370, 18.5574450, 25.9544280, 71.3469530, 350.5997800, 2072.5643000", \
+					  "17.6574220, 17.8488830, 19.0523140, 26.4480860, 71.8384000, 351.0913900, 2073.0263000", \
+					  "18.0203690, 18.2169360, 19.4183990, 26.8144830, 72.3018020, 351.4573200, 2073.2277000", \
+					  "18.3524980, 18.5326830, 19.7321960, 27.1263440, 72.5966630, 351.7700700, 2073.7125000", \
+					  "18.6205700, 18.8089380, 20.0241190, 27.4154170, 72.8043120, 352.0845300, 2073.8880000", \
+					  "18.8775540, 19.0811530, 20.2834890, 27.6742260, 73.0824110, 352.3466900, 2074.1475000", \
+					  "19.1280930, 19.3166070, 20.5291220, 27.9217500, 73.3270370, 352.6319600, 2074.4665000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5811240, 14.9552000, 17.3228740, 31.9076750, 122.0356000, 678.9878200, 4110.4408000", \
+					  "14.5782560, 14.9624850, 17.3228030, 31.9059480, 122.0311800, 678.9482000, 4110.1016000", \
+					  "14.5835330, 14.9569410, 17.3226230, 31.9076710, 122.0840300, 678.3419100, 4107.9165000", \
+					  "14.5803400, 14.9477780, 17.3225310, 31.8447310, 122.1020500, 678.8973800, 4110.5227000", \
+					  "14.5735230, 14.9654010, 17.3220940, 31.8473140, 122.0476000, 679.0313600, 4111.1616000", \
+					  "14.5745020, 14.9481120, 17.3199360, 31.8687690, 121.9508200, 679.0178900, 4110.9543000", \
+					  "14.5815720, 14.9547680, 17.3229610, 31.9070210, 121.9449100, 678.1041300, 4109.9702000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.4523180, 13.5038210, 13.8210400, 15.7017030, 27.0806840, 96.9986970, 524.0673900", \
+					  "14.1340610, 14.1855500, 14.4983390, 16.3906350, 27.7654650, 97.7368870, 524.7332000", \
+					  "14.8389790, 14.8858310, 15.2081830, 17.0928500, 28.4638430, 98.3996390, 525.4017900", \
+					  "15.5326840, 15.5866540, 15.9010260, 17.7932850, 29.1613720, 99.1357400, 526.1765100", \
+					  "16.1937860, 16.2464810, 16.5615200, 18.4526370, 29.8234360, 99.8006730, 526.8084900", \
+					  "16.8230980, 16.8710130, 17.1889030, 19.0768130, 30.4492040, 100.4236100, 527.4263800", \
+					  "17.4284980, 17.4915090, 17.7948200, 19.6974050, 31.0694550, 101.0336100, 528.0156800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0487624, 3.1212877, 3.5734036, 6.3904664, 24.2862280, 135.2983600, 504.2788300", \
+					  "3.0470171, 3.1208440, 3.5638301, 6.3895844, 24.2658980, 135.4175100, 504.2690000", \
+					  "3.0489766, 3.1202114, 3.5709462, 6.3935088, 24.3000910, 135.4216400, 504.2348300", \
+					  "3.0490643, 3.1207408, 3.5703243, 6.3935497, 24.2956270, 135.4177100, 504.2669500", \
+					  "3.0490317, 3.1212912, 3.5696868, 6.3933028, 24.2857040, 135.4194400, 504.2765000", \
+					  "3.0483618, 3.1214042, 3.5673733, 6.3925665, 24.2778240, 135.4169500, 504.2786000", \
+					  "3.0486054, 3.1213954, 3.5679544, 6.3927161, 24.2795470, 135.4176500, 504.2464300");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.0871120, -1.1226234, -1.1581349, -1.1568318, -1.1555129, -1.1542098, -1.1529067");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.0913981, 1.1371144, 1.1828307, 1.1825844, 1.1823350, 1.1820887, 1.1818423");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.159349;
+			capacitance : 0.158989;
+			fall_capacitance : 0.158629;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0535827, -0.0504271, -0.0472714, -0.0467763, -0.0462752, -0.0457801, -0.0452849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0465013, 0.0469119, 0.0473226, 0.0467778, 0.0462264, 0.0456817, 0.0451369");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.152465;
+			capacitance : 0.151916;
+			fall_capacitance : 0.151367;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0541671, -0.0506889, -0.0472107, -0.0470104, -0.0468076, -0.0466073, -0.0464070");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0467319, 0.0469859, 0.0472400, 0.0467542, 0.0462626, 0.0457768, 0.0452911");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p40v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65.lib
new file mode 100644
index 0000000..39277d6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65.lib
@@ -0,0 +1,9104 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.387400e+00;
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.9702500";
+		}
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3715000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3076300";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3669900";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3836500";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2954800";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909700";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3670000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2205800";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2907400";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2751900";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2939100";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3113800";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2175000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3512100";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3667600";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3874000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006153;
+			capacitance : 0.006009;
+			fall_capacitance : 0.005865;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0257693, 3.8799966, 3.7606392, 3.6521431, 3.5726136, 3.4788548, 3.4066572", \
+					  "4.4686977, 4.3244508, 4.1974267, 4.0976367, 4.0022277, 3.9108515, 3.8496076", \
+					  "4.9257356, 4.7810683, 4.6407445, 4.5683682, 4.4500415, 4.3665891, 4.3335427", \
+					  "5.3584988, 5.2304599, 5.0988474, 4.9896636, 4.9037270, 4.8291716, 4.7570381", \
+					  "5.7792631, 5.6365417, 5.4771701, 5.3773633, 5.3229654, 5.1969240, 5.1362326", \
+					  "6.1299577, 5.9811332, 5.8434735, 5.7451508, 5.7100887, 5.5586289, 5.5013579", \
+					  "6.5308082, 6.3850332, 6.2464045, 6.1452366, 6.0745478, 6.0012766, 5.9417509");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7511197, 3.6012156, 3.3985766, 3.2101986, 3.0379713, 2.8191907, 2.6398095", \
+					  "4.1940680, 4.0379431, 3.8421806, 3.6337369, 3.4839791, 3.2652239, 3.0858063", \
+					  "4.6526412, 4.4965159, 4.3006623, 4.0938557, 3.9057364, 3.7120414, 3.5413239", \
+					  "5.1059923, 4.9498670, 4.7569449, 4.5595703, 4.3943598, 4.1723783, 3.9959558", \
+					  "5.5061096, 5.3499847, 5.1551091, 4.9497094, 4.7600566, 4.5713764, 4.3786892", \
+					  "5.8932253, 5.7371004, 5.5510785, 5.3448679, 5.1828504, 4.9527096, 4.7811349", \
+					  "6.2444239, 6.0882986, 5.8967021, 5.6968966, 5.5288443, 5.3038239, 5.1283234");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4770297, -3.3463378, -3.1691105, -3.1143516, -2.9921556, -2.9316733, -2.8809665", \
+					  "-3.9197798, -3.7847252, -3.6637897, -3.5596652, -3.4333711, -3.4117584, -3.3117866", \
+					  "-4.3736843, -4.2429226, -4.1176917, -4.0204921, -3.9115686, -3.8376908, -3.7575140", \
+					  "-4.8229729, -4.6780888, -4.5563746, -4.4640449, -4.3453145, -4.3466263, -4.2210779", \
+					  "-5.2292701, -5.0730582, -4.9581496, -4.8739246, -4.7527153, -4.6824582, -4.6115744", \
+					  "-5.6149380, -5.4716122, -5.3329587, -5.2583037, -5.1846555, -5.0842266, -4.9858288", \
+					  "-5.9829978, -5.8260172, -5.7208546, -5.6151494, -5.5253994, -5.4879640, -5.3561464");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5685912, -3.3401952, -3.1663085, -3.0389970, -2.8544322, -2.6835553, -2.4804216", \
+					  "-4.0098153, -3.7774337, -3.6122898, -3.4782905, -3.3107840, -3.1185096, -2.9160645", \
+					  "-4.4652452, -4.2328636, -4.0413989, -3.9352834, -3.7328267, -3.5767300, -3.3647198", \
+					  "-4.9008546, -4.6633219, -4.4804886, -4.3680350, -4.1402950, -4.0201364, -3.7955760", \
+					  "-5.2996747, -5.0657667, -4.8971060, -4.7659642, -4.5043073, -4.3498500, -4.1893952", \
+					  "-5.6564852, -5.4241950, -5.2523614, -5.1274990, -4.9297994, -4.7567188, -4.5215078", \
+					  "-6.0698737, -5.8461073, -5.7385432, -5.5305473, -5.3251778, -5.1426083, -4.9689334");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224908, 0.0257746, 0.0290584, 0.0289290, 0.0288046, 0.0286751, 0.0285457");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263634, 0.0309371, 0.0355109, 0.0353925, 0.0352788, 0.0351604, 0.0350420");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988038";
+				miller_cap_rise : "0.000928911";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72795e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14697e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49628e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.26404e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.9355e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.1969e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36268e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.3303e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57192e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39921e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1041102, 0.2118606, 0.3327214, 0.4728181, 0.6703711");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1746016, 0.2829072, 0.4025857, 0.5436957, 0.7396858");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277507, 0.0492649, 0.0709742, 0.0928093, 0.1219215");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997314, 0.1214195, 0.1431007, 0.1650098, 0.1940989");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.0241");
+						index_3("0.001");
+						index_4("10.4998003, 11.0987684, 13.4154425, 15.1599797, 15.6007103");
+						values("0.411744, 0.658791, 0.823489, 0.658791, 0.411744");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7755");
+						index_3("0.001");
+						index_4("6.3866243, 6.7941362, 8.5622821, 9.5233473, 9.8141053");
+						values("0.423059, 0.676894, 0.846117, 0.676894, 0.423059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.67184");
+						index_3("0.001");
+						index_4("4.3398996, 4.6454471, 5.7998463, 6.6348767, 6.8465570");
+						values("0.426131, 0.681809, 0.852262, 0.681809, 0.426131");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.5121");
+						index_3("0.001");
+						index_4("5.2995300, 5.6354907, 6.9146065, 7.6112088, 7.8371145");
+						values("0.220317, 0.352508, 0.440635, 0.352508, 0.220317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38773");
+						index_3("0.001");
+						index_4("3.2311960, 3.4563161, 4.3675931, 4.7822289, 4.9316532");
+						values("0.22477, 0.359632, 0.44954, 0.359632, 0.22477");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33592");
+						index_3("0.001");
+						index_4("2.2005820, 2.3688657, 2.9466072, 3.3292422, 3.4401073");
+						values("0.225228, 0.360365, 0.450457, 0.360365, 0.225228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1632");
+						index_3("0.001");
+						index_4("9.5189303, 10.1338952, 12.4984936, 14.2289650, 14.6750941");
+						values("0.590793, 0.945269, 1.18159, 0.945269, 0.590793");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86556");
+						index_3("0.001");
+						index_4("1.4461659, 1.5720343, 2.2076909, 2.6201543, 2.8063497");
+						values("1.16755, 0.908072, 0.735091, 0.908072, 1.16755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67475");
+						index_3("0.001");
+						index_4("0.8485610, 0.9331678, 1.2830803, 1.6061686, 1.7387658");
+						values("1.15876, 0.894015, 0.717519, 0.894015, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14248");
+						index_3("0.001");
+						index_4("0.5816960, 0.6458944, 0.9562865, 1.1367866, 1.2568899");
+						values("1.1571, 0.891357, 0.714197, 0.891357, 1.1571");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43278");
+						index_3("0.001");
+						index_4("0.7327888, 0.7996550, 1.0846288, 1.3295507, 1.4413723");
+						values("1.38706, 1.2593, 1.17413, 1.2593, 1.38706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.837377");
+						index_3("0.001");
+						index_4("0.4326273, 0.4778790, 0.6768407, 0.8203802, 0.9054703");
+						values("1.38644, 1.2583, 1.17287, 1.2583, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.571239");
+						index_3("0.001");
+						index_4("0.2974548, 0.3319364, 0.4844723, 0.5826845, 0.6657838");
+						values("1.38405, 1.25448, 1.1681, 1.25448, 1.38405");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51213");
+						index_3("0.001");
+						index_4("1.2689805, 1.3937592, 1.9761109, 2.3765516, 2.5654611");
+						values("0.926793, 0.522869, 0.253586, 0.522869, 0.926793");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004154;
+			capacitance : 0.004247;
+			rise_capacitance : 0.004341;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5167255, -3.3625486, -3.2542020, -3.1387739, -3.0693274, -2.9882865, -2.8915696", \
+					  "-3.9610015, -3.8100933, -3.6881544, -3.5920799, -3.5075022, -3.4390359, -3.3557714", \
+					  "-4.4133796, -4.2575283, -4.1481624, -4.0457821, -3.9735110, -3.8845262, -3.7827272", \
+					  "-4.8459367, -4.6850055, -4.5792096, -4.4798238, -4.4000498, -4.3054087, -4.2196985", \
+					  "-5.2447559, -5.0999513, -4.9810642, -4.8785591, -4.7664967, -4.7119661, -4.6077992", \
+					  "-5.6046183, -5.4582882, -5.3378752, -5.2384245, -5.1600543, -5.0842701, -4.9920518", \
+					  "-6.0135383, -5.8662534, -5.7486120, -5.6484224, -5.5317939, -5.4391360, -5.4053963");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4343279, -3.2784663, -3.1144944, -2.9105122, -2.7140318, -2.5530184, -2.3830524", \
+					  "-3.8755521, -3.7264584, -3.5273397, -3.3965628, -3.1587070, -2.9907347, -2.8240474", \
+					  "-4.3294561, -4.1735945, -4.0080829, -3.8071610, -3.6106862, -3.4569405, -3.2720424", \
+					  "-4.7802710, -4.6244121, -4.4670656, -4.2609438, -4.0767902, -3.9053211, -3.7220204", \
+					  "-5.1850424, -5.0308004, -4.8651422, -4.6718151, -4.4677805, -4.3044490, -4.1154268", \
+					  "-5.5707103, -5.4143398, -5.2492350, -5.0760584, -4.8612032, -4.6893535, -4.5043833", \
+					  "-5.9296148, -5.7804794, -5.5773699, -5.3743691, -5.2279667, -5.0712218, -4.8601271");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9815398, 3.8335928, 3.7056543, 3.5907031, 3.5038592, 3.4300268, 3.3373775", \
+					  "4.4244686, 4.2741835, 4.1490378, 4.0355379, 3.9452617, 3.8752351, 3.7675927", \
+					  "4.8830320, 4.7344042, 4.6072819, 4.4921012, 4.4231510, 4.3269413, 4.2270852", \
+					  "5.3142697, 5.1661273, 5.0388012, 4.9258107, 4.8365892, 4.7673343, 4.6881368", \
+					  "5.7026617, 5.5562411, 5.4282896, 5.3139589, 5.2310847, 5.1557268, 5.0791249", \
+					  "6.0704715, 5.9209991, 5.7992067, 5.6802485, 5.5900136, 5.5185614, 5.4169729", \
+					  "6.4865769, 6.3386299, 6.1989896, 6.0861874, 6.0063623, 5.9279739, 5.8261341");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5969665, 3.5316703, 3.3235759, 3.1127112, 2.9361689, 2.7846268, 2.5965923", \
+					  "4.0409461, 3.9741240, 3.8052457, 3.5629735, 3.3926207, 3.2243197, 3.0526730", \
+					  "4.4962339, 4.4294122, 4.2375799, 4.0304918, 3.8445485, 3.6777395, 3.5006973", \
+					  "4.9096485, 4.8413303, 4.6605487, 4.4561939, 4.2654181, 4.1125164, 3.9303998", \
+					  "5.3598315, 5.2713703, 5.1154945, 4.8748195, 4.7008498, 4.5443887, 4.3527384", \
+					  "5.7229806, 5.6604142, 5.4756575, 5.2649692, 5.0869147, 4.8970315, 4.7196441", \
+					  "6.0794932, 6.0113974, 5.8304804, 5.6196441, 5.4323864, 5.2274294, 5.0884353");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0268996, 0.0342354, 0.0415713, 0.0414648, 0.0413626, 0.0412561, 0.0411497");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221975, 0.0285463, 0.0348950, 0.0348019, 0.0347125, 0.0346194, 0.0345262");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000986283";
+				miller_cap_rise : "0.000933794";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.16383, 0.384026, 0.368488, 0.360757, 0.350315, 0.343632, 0.335813, 0.326847, 0.316756, 0.305551, 0.293235, 0.279803, 0.265247, 0.249559, 0.232731, 0.214755, 0.195622, 0.175325, 0.153855, 0.131205, 0.107367, 0.0823347, 0.0561005, 0.0286578, -1.77223e-09, -0.0584479, -0.116762, -0.297163, -1.47532", \
+					  "2.07619, 0.187988, 0.181932, 0.179549, 0.176816, 0.17527, 0.173565, 0.171658, 0.169485, 0.16695, 0.163909, 0.160161, 0.155475, 0.149672, 0.142654, 0.134374, 0.124804, 0.113926, 0.101725, 0.0881851, 0.0732954, 0.0570434, 0.0394175, 0.0204066, 2.86278e-09, -0.0432929, -0.0885778, -0.240972, -1.46517", \
+					  "2.03668, 0.091587, 0.0869857, 0.0858945, 0.0847116, 0.084077, 0.083407, 0.0826953, 0.0819333, 0.0811098, 0.0802093, 0.0792094, 0.0780768, 0.0767573, 0.0751559, 0.0730909, 0.0702543, 0.0663179, 0.0610914, 0.0544952, 0.0464922, 0.0370588, 0.0261765, 0.0138287, 7.26403e-09, -0.0312024, -0.0661468, -0.196171, -1.45678", \
+					  "2.02929, 0.0738073, 0.0610035, 0.0602097, 0.0593626, 0.0589145, 0.0584466, 0.0579557, 0.0574378, 0.0568876, 0.056298, 0.0556597, 0.0549592, 0.0541766, 0.0532801, 0.0522128, 0.050857, 0.0489526, 0.0460983, 0.0419908, 0.0365033, 0.0295851, 0.0212086, 0.011353, 8.8665e-09, -0.0266461, -0.0576978, -0.179183, -1.45367", \
+					  "2.02539, 0.0716535, 0.0387916, 0.0382463, 0.0376732, 0.037374, 0.0370648, 0.036744, 0.03641, 0.0360604, 0.0356923, 0.0353019, 0.0348841, 0.0344317, 0.0339343, 0.0333758, 0.0327276, 0.0319297, 0.0308213, 0.0290166, 0.0260647, 0.0217444, 0.0159811, 0.00874075, 1.03871e-08, -0.021832, -0.0487695, -0.161116, -1.45042", \
+					  "2.02488, 0.0763439, 0.029292, 0.0288545, 0.0283983, 0.0281617, 0.0279183, 0.0276673, 0.0274075, 0.0271374, 0.0268554, 0.026559, 0.0262452, 0.0259099, 0.0255474, 0.025149, 0.0247007, 0.0241767, 0.0235148, 0.0225203, 0.020732, 0.0177096, 0.01328, 0.00738663, 1.10435e-08, -0.0193319, -0.044131, -0.151669, -1.44873", \
+					  "2.02538, 0.0848482, 0.0209735, 0.0206313, 0.02028, 0.0200988, 0.0199135, 0.0197234, 0.0195278, 0.0193258, 0.0191165, 0.0188985, 0.0186701, 0.018429, 0.0181721, 0.017895, 0.017591, 0.0172488, 0.0168455, 0.0163166, 0.0154231, 0.0136298, 0.0105328, 0.0060042, 1.154e-08, -0.0167732, -0.0393816, -0.141942, -1.44701", \
+					  "2.02695, 0.0971579, 0.0139482, 0.0136652, 0.0134072, 0.013275, 0.0131405, 0.0130033, 0.0128629, 0.0127189, 0.0125709, 0.0124179, 0.0122593, 0.0120938, 0.0119198, 0.0117353, 0.0115371, 0.0113204, 0.0110768, 0.0107873, 0.0103851, 0.00957577, 0.00776209, 0.00460029, 1.16856e-08, -0.0141605, -0.0345273, -0.131935, -1.44525", \
+					  "2.02962, 0.113238, 0.00847237, 0.00806037, 0.00788426, 0.00779486, 0.00770427, 0.00761234, 0.00751889, 0.00742371, 0.00732653, 0.00722702, 0.00712478, 0.00701927, 0.00690982, 0.00679552, 0.00667508, 0.00654662, 0.00640712, 0.00625096, 0.00606355, 0.0057773, 0.00503147, 0.00319392, 1.07128e-08, -0.0115015, -0.0295783, -0.121651, -1.44347", \
+					  "2.03347, 0.133027, 0.00537421, 0.00395608, 0.0038451, 0.00379177, 0.00373801, 0.00368375, 0.00362892, 0.00357345, 0.00351722, 0.00346013, 0.00340202, 0.0033427, 0.00328193, 0.00321938, 0.00315463, 0.00308706, 0.00301574, 0.00293914, 0.00285414, 0.00275088, 0.00256117, 0.0018467, 1.4992e-09, -0.00881148, -0.0245519, -0.111103, -1.44165", \
+					  "2.03855, 0.156401, 0.00649723, 0.00151415, 0.00140754, 0.00138188, 0.00135617, 0.00133035, 0.00130441, 0.00127832, 0.00125205, 0.00122559, 0.00119888, 0.00117188, 0.00114453, 0.00111675, 0.00108843, 0.00105942, 0.00102952, 0.000998399, 0.000965461, 0.000929333, 0.000883801, 0.000747555, -1.32237e-07, -0.00612923, -0.0194853, -0.100328, -1.4398", \
+					  "2.04481, 0.182913, 0.0129065, 0.000772231, 0.000375754, 0.000365759, 0.000356625, 0.00034751, 0.000338391, 0.000329263, 0.000320119, 0.000310955, 0.000301763, 0.000292535, 0.000283261, 0.000273928, 0.000264517, 0.000255004, 0.000245353, 0.000235512, 0.00022539, 0.000214798, 0.00020311, 0.000183698, -2.4171e-06, -0.00357835, -0.0144784, -0.0894179, -1.43795", \
+					  "2.05193, 0.21164, 0.0236863, 0.00203524, 8.36653e-05, 6.8028e-05, 6.42099e-05, 6.07389e-05, 5.73468e-05, 5.39884e-05, 5.06477e-05, 4.73167e-05, 4.39909e-05, 4.06666e-05, 3.7341e-05, 3.40111e-05, 3.06735e-05, 2.73238e-05, 2.39563e-05, 2.05625e-05, 1.71279e-05, 1.36239e-05, 9.96297e-06, 5.3948e-06, -3.23947e-05, -0.00156204, -0.00980972, -0.0785676, -1.43613", \
+					  "2.05967, 0.241777, 0.037571, 0.00655293, 1.57553e-05, -9.80294e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136622, -0.000144697, -0.000152677, -0.000160596, -0.000168475, -0.000176328, -0.000184165, -0.000191992, -0.000199814, -0.000207637, -0.000215463, -0.000223297, -0.000231147, -0.000239029, -0.000247059, -0.000261006, -0.000711109, -0.00605706, -0.0681982, -1.43455", \
+					  "2.06792, 0.272878, 0.0536073, 0.0143885, 2.02928e-06, -0.00069896, -0.000776024, -0.000821314, -0.00086057, -0.000897369, -0.000932854, -0.000967535, -0.00100168, -0.00103546, -0.00106897, -0.00110228, -0.00113544, -0.00116849, -0.00120145, -0.00123435, -0.0012672, -0.00130003, -0.00133284, -0.00136568, -0.00139927, -0.00155748, -0.00430577, -0.0593296, -1.4338", \
+					  "2.07666, 0.304659, 0.0709378, 0.0239119, 1.65509e-07, -0.00300714, -0.00354245, -0.00373181, -0.0038701, -0.00399047, -0.00410182, -0.00420777, -0.00431015, -0.00441002, -0.00450804, -0.00460465, -0.00470014, -0.00479476, -0.00488866, -0.00498197, -0.0050748, -0.00516724, -0.00525937, -0.00535128, -0.0054431, -0.00564417, -0.00678563, -0.0542062, -1.43529", \
+					  "2.08585, 0.336896, 0.0887657, 0.0338126, 1.17894e-08, -0.00742754, -0.0100947, -0.010845, -0.0112434, -0.011545, -0.0118041, -0.0120396, -0.0122602, -0.0124705, -0.0126734, -0.0128708, -0.0130637, -0.0132532, -0.0134398, -0.0136241, -0.0138064, -0.0139871, -0.0141664, -0.0143446, -0.014522, -0.0148777, -0.0154661, -0.0549686, -1.44023", \
+					  "2.09552, 0.369396, 0.106504, 0.0436128, 2.13004e-09, -0.0126689, -0.0195325, -0.0221179, -0.0231701, -0.0238251, -0.0243326, -0.0247662, -0.0251562, -0.0255176, -0.0258587, -0.026185, -0.0264998, -0.0268056, -0.0271041, -0.0273966, -0.0276841, -0.0279674, -0.0282472, -0.028524, -0.0287984, -0.0293423, -0.0299297, -0.0617486, -1.44856", \
+					  "2.10565, 0.401984, 0.123837, 0.0531608, 1.55781e-09, -0.0180018, -0.0298941, -0.0361165, -0.0387214, -0.0400601, -0.0409741, -0.0416989, -0.04232, -0.0428766, -0.0433894, -0.0438707, -0.0443283, -0.0447674, -0.0451919, -0.0456044, -0.0460069, -0.0464011, -0.0467883, -0.0471696, -0.047546, -0.0482876, -0.0490281, -0.0738755, -1.45976", \
+					  "2.11624, 0.434496, 0.140625, 0.0623977, 1.50858e-09, -0.0232154, -0.0402563, -0.0511873, -0.0567544, -0.0593994, -0.0609817, -0.0621333, -0.0630677, -0.0638744, -0.0645979, -0.0652632, -0.0658858, -0.0664758, -0.06704, -0.0675835, -0.06811, -0.0686223, -0.0691226, -0.0696129, -0.0700946, -0.0710383, -0.0719655, -0.0907681, -1.47338", \
+					  "2.12729, 0.466769, 0.156812, 0.0712958, 1.48934e-09, -0.0282488, -0.0503505, -0.0662741, -0.0760422, -0.0809918, -0.0836722, -0.0854522, -0.0868116, -0.0879383, -0.0889199, -0.0898034, -0.0906163, -0.0913763, -0.0920954, -0.0927818, -0.0934415, -0.0940792, -0.0946985, -0.0953022, -0.0958927, -0.0970424, -0.0981633, -0.111974, -1.4891", \
+					  "2.1388, 0.498642, 0.172372, 0.0798406, 1.47252e-09, -0.0330812, -0.0600882, -0.0809675, -0.0955224, -0.103939, -0.10838, -0.111086, -0.113024, -0.11456, -0.115858, -0.116999, -0.118032, -0.118984, -0.119874, -0.120716, -0.121519, -0.12229, -0.123035, -0.123757, -0.12446, -0.12582, -0.127137, -0.137123, -1.50662", \
+					  "2.15077, 0.529947, 0.187296, 0.0880253, 1.45668e-09, -0.0377045, -0.0694342, -0.0951304, -0.114571, -0.127344, -0.134431, -0.138494, -0.141218, -0.14328, -0.144964, -0.146412, -0.147697, -0.148865, -0.149945, -0.150957, -0.151914, -0.152827, -0.153704, -0.154549, -0.155369, -0.156945, -0.158459, -0.1659, -1.52568", \
+					  "2.16319, 0.560511, 0.20158, 0.0958473, 1.44187e-09, -0.0421164, -0.0783732, -0.108712, -0.132933, -0.150467, -0.161138, -0.167151, -0.170946, -0.173681, -0.17584, -0.177648, -0.179224, -0.180636, -0.181927, -0.183124, -0.184248, -0.185312, -0.186327, -0.187302, -0.188242, -0.19004, -0.191755, -0.197938, -1.54606", \
+					  "2.17602, 0.590159, 0.215224, 0.103307, 1.42827e-09, -0.0463171, -0.0869, -0.121691, -0.15052, -0.172851, -0.18784, -0.19654, -0.201786, -0.205387, -0.208128, -0.210364, -0.212275, -0.213962, -0.215485, -0.216884, -0.218187, -0.219413, -0.220575, -0.221685, -0.222751, -0.224776, -0.226696, -0.232636, -1.56756", \
+					  "2.20276, 0.646044, 0.240616, 0.117155, 1.40572e-09, -0.0540947, -0.102722, -0.145828, -0.183285, -0.214726, -0.239156, -0.255469, -0.265213, -0.271363, -0.275704, -0.279051, -0.281795, -0.28414, -0.286207, -0.288069, -0.289773, -0.291355, -0.292838, -0.294239, -0.295572, -0.298077, -0.300417, -0.307083, -1.61331", \
+					  "2.22148, 0.696577, 0.263539, 0.129613, 1.39197e-09, -0.0610674, -0.116943, -0.167574, -0.212861, -0.25256, -0.285979, -0.311569, -0.328386, -0.338709, -0.345505, -0.350438, -0.354301, -0.357492, -0.360231, -0.362647, -0.364822, -0.366811, -0.368654, -0.370377, -0.372003, -0.375022, -0.377805, -0.385476, -1.66173", \
+					  "2.26203, 0.815292, 0.318576, 0.159318, 2.47964e-10, -0.0775997, -0.1508, -0.219557, -0.283814, -0.343474, -0.398337, -0.447927, -0.491061, -0.525445, -0.549481, -0.565156, -0.575777, -0.58353, -0.589571, -0.594517, -0.598716, -0.602379, -0.605641, -0.608595, -0.611303, -0.616159, -0.620463, -0.631477, -1.81406", \
+					  "2.3038, 0.929962, 0.372732, 0.188193, 2.40268e-09, -0.0935733, -0.183821, -0.270716, -0.35423, -0.434329, -0.510965, -0.584065, -0.653495, -0.71899, -0.779977, -0.835203, -0.882328, -0.918828, -0.944806, -0.963056, -0.976404, -0.986685, -0.994973, -1.0019, -1.00784, -1.01773, -1.02583, -1.04433, -2.0707");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1209427, 0.2626228, 0.4168454, 0.5946643, 0.8420087");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1933133, 0.3350121, 0.4893853, 0.6670136, 0.9142022");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0293949, 0.0563669, 0.0834657, 0.1105976, 0.1476548");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1018034, 0.1287339, 0.1559109, 0.1830648, 0.2201620");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("26.4862");
+						index_3("0.001");
+						index_4("13.2249881, 13.9761024, 16.8624195, 19.0797678, 19.6486846");
+						values("0.401293, 0.642068, 0.802586, 0.642068, 0.401293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("16.093");
+						index_3("0.001");
+						index_4("8.0416215, 8.5557147, 10.5826070, 11.9887464, 12.3576693");
+						values("0.412481, 0.65997, 0.824962, 0.65997, 0.412481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("10.9234");
+						index_3("0.001");
+						index_4("5.4637568, 5.8487340, 7.2684861, 8.3509214, 8.6201356");
+						values("0.415546, 0.664873, 0.831091, 0.664873, 0.415546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("13.2431");
+						index_3("0.001");
+						index_4("6.6641944, 7.0738016, 8.7502407, 9.5865790, 9.8718803");
+						values("0.211996, 0.339193, 0.423992, 0.339193, 0.211996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("8.0465");
+						index_3("0.001");
+						index_4("4.0587782, 4.3372681, 5.5247337, 6.0217502, 6.2103697");
+						values("0.217214, 0.347543, 0.434428, 0.347543, 0.217214");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("5.46169");
+						index_3("0.001");
+						index_4("2.7628634, 2.9719288, 3.8106356, 4.1896395, 4.3305311");
+						values("0.2186, 0.349761, 0.437201, 0.349761, 0.2186");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("24.1395");
+						index_3("0.001");
+						index_4("11.9975515, 12.7616129, 15.6998933, 17.9134673, 18.4774468");
+						values("0.580269, 0.92843, 1.16054, 0.92843, 0.580269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("3.43516");
+						index_3("0.001");
+						index_4("1.7288094, 1.8776652, 2.6228945, 3.1437856, 3.3669320");
+						values("1.18675, 0.938802, 0.773503, 0.938802, 1.18675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("2.0076");
+						index_3("0.001");
+						index_4("1.0142769, 1.1147908, 1.6101532, 1.9287777, 2.0877870");
+						values("1.1806, 0.928957, 0.761196, 0.928957, 1.1806");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("1.3695");
+						index_3("0.001");
+						index_4("0.6936763, 0.7694975, 1.0646516, 1.3627068, 1.5098323");
+						values("1.1751, 0.920162, 0.750202, 0.920162, 1.1751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("1.71758");
+						index_3("0.001");
+						index_4("0.8746419, 0.9546045, 1.2787284, 1.5953644, 1.7298204");
+						values("1.39658, 1.27452, 1.19316, 1.27452, 1.39658");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("1.0038");
+						index_3("0.001");
+						index_4("0.5137859, 0.5673010, 0.8375683, 0.9843585, 1.0899306");
+						values("1.39107, 1.26571, 1.18213, 1.26571, 1.39107");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("0.684751");
+						index_3("0.001");
+						index_4("0.3532492, 0.3934422, 0.5428919, 0.6983915, 0.8011422");
+						values("1.39264, 1.26822, 1.18527, 1.26822, 1.39264");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("3.0114");
+						index_3("0.001");
+						index_4("1.5155838, 1.6640941, 2.3616786, 2.8550396, 3.0844370");
+						values("0.964854, 0.583766, 0.329708, 0.583766, 0.964854");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005757;
+			capacitance : 0.005906;
+			rise_capacitance : 0.006054;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486577, 3.9028881, 3.7804100, 3.6750361, 3.5923600, 3.4941135, 3.4416415", \
+					  "4.4900442, 4.3473268, 4.2233530, 4.1235586, 4.0261175, 3.9334867, 3.8860802", \
+					  "4.9486102, 4.8045068, 4.6819093, 4.5794216, 4.4938291, 4.3849016, 4.3502395", \
+					  "5.3989917, 5.2355762, 5.1276923, 5.0058294, 4.9289162, 4.8283278, 4.7798697", \
+					  "5.7697165, 5.6225298, 5.5000100, 5.3971164, 5.3225738, 5.2151717, 5.1697564", \
+					  "6.1374966, 5.9932542, 5.8662002, 5.7740619, 5.6798542, 5.5805443, 5.5057206", \
+					  "6.5537645, 6.4079935, 6.2810009, 6.1814423, 6.0887951, 6.0031125, 5.9463988");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6473220, 3.5281851, 3.3399228, 3.1717198, 3.0332897, 2.8318561, 2.5968041", \
+					  "4.1048225, 3.9841599, 3.7960024, 3.6114192, 3.4466923, 3.2832533, 3.0524583", \
+					  "4.5523183, 4.4331816, 4.2460353, 4.0639179, 3.9364967, 3.7276971, 3.4957961", \
+					  "4.9640428, 4.8449056, 4.6586702, 4.4884499, 4.3501787, 4.1401529, 3.9135480", \
+					  "5.3998724, 5.2807357, 5.0924112, 4.9228073, 4.7468494, 4.5814999, 4.3555047", \
+					  "5.8025294, 5.6818664, 5.4923273, 5.3130969, 5.1609186, 4.9748559, 4.7489828", \
+					  "6.1843986, 6.0652614, 5.8811927, 5.7054928, 5.5379810, 5.3597773, 5.1273619");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5472203, -3.3948328, -3.2744229, -3.1672682, -3.0867590, -3.0460851, -2.9502038", \
+					  "-3.9930221, -3.8396568, -3.7278764, -3.6086371, -3.6030454, -3.4286331, -3.4180505", \
+					  "-4.4423484, -4.2935426, -4.1832575, -4.0653580, -4.0614931, -3.9246612, -3.8313123", \
+					  "-4.8749055, -4.7276576, -4.6160166, -4.5026744, -4.4929084, -4.3569838, -4.2649250", \
+					  "-5.2752511, -5.1276349, -5.0264279, -4.8958777, -4.8586488, -4.7422614, -4.6941763", \
+					  "-5.6335880, -5.4863262, -5.3805993, -5.2557622, -5.1894988, -5.1385542, -5.0613755", \
+					  "-6.0425071, -5.8967712, -5.7920617, -5.6764772, -5.6472846, -5.4999265, -5.4711530");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5182372, -3.3240026, -3.1647196, -2.9692761, -2.7875768, -2.6045283, -2.4046218", \
+					  "-3.9625127, -3.7637006, -3.5815344, -3.4106726, -3.2298814, -3.0416305, -2.8592157", \
+					  "-4.4148913, -4.2191310, -4.0393504, -3.8671157, -3.6717213, -3.4975233, -3.2997502", \
+					  "-4.8641803, -4.6920896, -4.4805659, -4.3189396, -4.1454242, -3.9446386, -3.7608967", \
+					  "-5.2704776, -5.0747173, -4.9138406, -4.7252071, -4.5347159, -4.3525030, -4.1639010", \
+					  "-5.6561455, -5.4765381, -5.2837403, -5.1136577, -4.9343020, -4.7329475, -4.5425301", \
+					  "-6.0150500, -5.8210061, -5.6562010, -5.4580475, -5.2978197, -5.1022059, -4.8999087");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0257856, 0.0290601, 0.0289351, 0.0288150, 0.0286899, 0.0285648");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263507, 0.0308971, 0.0354436, 0.0353219, 0.0352050, 0.0350833, 0.0349616");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988165";
+				miller_cap_rise : "0.000929189";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72807e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14685e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49617e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.2639e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93537e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19678e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36256e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33018e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57178e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39933e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34266e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039403, 0.2113628, 0.3318726, 0.4716165, 0.6687019");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744172, 0.2823863, 0.4017333, 0.5424573, 0.7379632");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277201, 0.0491792, 0.0708337, 0.0926163, 0.1216460");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996952, 0.1213340, 0.1429640, 0.1648389, 0.1938405");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.969");
+						index_3("0.001");
+						index_4("10.4723192, 11.0697236, 13.3812671, 15.1203598, 15.5598865");
+						values("0.411862, 0.658978, 0.823723, 0.658978, 0.411862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.742");
+						index_3("0.001");
+						index_4("6.3699204, 6.7766566, 8.5344872, 9.4981688, 9.7883607");
+						values("0.423185, 0.677097, 0.846371, 0.677097, 0.423185");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64912");
+						index_3("0.001");
+						index_4("4.3285713, 4.6333259, 5.7853328, 6.6175542, 6.8286526");
+						values("0.426242, 0.681988, 0.852485, 0.681988, 0.426242");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4845");
+						index_3("0.001");
+						index_4("5.2857632, 5.6208968, 6.8970474, 7.5911936, 7.8165506");
+						values("0.220399, 0.352638, 0.440797, 0.352638, 0.220399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37099");
+						index_3("0.001");
+						index_4("3.2228523, 3.4477145, 4.3527394, 4.7698526, 4.9187873");
+						values("0.224876, 0.359802, 0.449753, 0.359802, 0.224876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32456");
+						index_3("0.001");
+						index_4("2.1949235, 2.3628112, 2.9395651, 3.3204682, 3.4310739");
+						values("0.225318, 0.360508, 0.450635, 0.360508, 0.225318");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.113");
+						index_3("0.001");
+						index_4("9.4939725, 10.1073382, 12.4668156, 14.1917888, 14.6367219");
+						values("0.590902, 0.945443, 1.1818, 0.945443, 0.590902");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.85916");
+						index_3("0.001");
+						index_4("1.4429753, 1.5685793, 2.2040594, 2.6142897, 2.7999999");
+						values("1.16741, 0.907856, 0.734821, 0.907856, 1.16741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67101");
+						index_3("0.001");
+						index_4("0.8467010, 0.9311354, 1.2802781, 1.6025809, 1.7348656");
+						values("1.15863, 0.893813, 0.717267, 0.893813, 1.15863");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13993");
+						index_3("0.001");
+						index_4("0.5804266, 0.6444995, 0.9539650, 1.1342387, 1.2540604");
+						values("1.15694, 0.891102, 0.713877, 0.891102, 1.15694");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42958");
+						index_3("0.001");
+						index_4("0.7311921, 0.7979169, 1.0826477, 1.3265766, 1.4381035");
+						values("1.38701, 1.25922, 1.17402, 1.25922, 1.38701");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.835506");
+						index_3("0.001");
+						index_4("0.4316941, 0.4768612, 0.6753953, 0.8185657, 0.9034792");
+						values("1.3864, 1.25824, 1.1728, 1.25824, 1.3864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.569963");
+						index_3("0.001");
+						index_4("0.2968176, 0.3312545, 0.4832034, 0.5813943, 0.6642873");
+						values("1.38401, 1.25442, 1.16803, 1.25442, 1.38401");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.50652");
+						index_3("0.001");
+						index_4("1.2662001, 1.3907187, 1.9727520, 2.3712059, 2.5596749");
+						values("0.926468, 0.522348, 0.252935, 0.522348, 0.926468");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026190;
+			capacitance : 0.026280;
+			fall_capacitance : 0.026371;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031046, -0.0033688, -0.0036331, -0.0037343, -0.0038314, -0.0039325, -0.0040337");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251305, 0.0258799, 0.0266294, 0.0264934, 0.0263630, 0.0262271, 0.0260911");
+				}
+			}
+			/* Copied over from enable_vswitch_h of gpio_ovtv2. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.031693;
+			capacitance : 0.032127;
+			rise_capacitance : 0.032560;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502289, 0.0651197, 0.0800105, 0.0800087, 0.0800069, 0.0800051, 0.0800033");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0633641, 0.0800514, 0.0967387, 0.0967645, 0.0967892, 0.0968150, 0.0968408");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000835074";
+				miller_cap_rise : "0.000743838";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.22561, 0.38204, 0.365315, 0.356656, 0.344803, 0.337321, 0.328756, 0.319135, 0.308478, 0.296799, 0.2841, 0.270385, 0.255654, 0.239907, 0.223146, 0.205371, 0.186582, 0.166782, 0.145972, 0.124153, 0.101327, 0.077496, 0.052663, 0.0268301, -3.63798e-09, -0.0545844, -0.109054, -0.275399, -1.04022", \
+					  "1.15059, 0.187443, 0.181433, 0.17899, 0.176172, 0.17457, 0.172794, 0.170795, 0.168499, 0.165798, 0.162529, 0.158483, 0.153453, 0.147308, 0.139986, 0.131464, 0.12173, 0.110779, 0.0986088, 0.0852189, 0.0706094, 0.0547811, 0.0377354, 0.0194743, 3.63798e-09, -0.0410873, -0.0838841, -0.224876, -1.03133", \
+					  "1.11558, 0.0908212, 0.0868744, 0.0857762, 0.0845841, 0.0839436, 0.0832669, 0.0825471, 0.0817753, 0.0809397, 0.0800238, 0.079004, 0.0778441, 0.0764855, 0.0748229, 0.0726574, 0.0696736, 0.0655759, 0.0602147, 0.0535362, 0.0455214, 0.0361627, 0.0254568, 0.0134026, 1.27329e-08, -0.0299989, -0.0633389, -0.184111, -1.02425", \
+					  "1.10815, 0.0718441, 0.0609464, 0.0601499, 0.0592993, 0.058849, 0.0583786, 0.0578847, 0.0573633, 0.0568089, 0.0562141, 0.0555693, 0.0548604, 0.0540664, 0.0531537, 0.0520611, 0.0506599, 0.0486729, 0.0457082, 0.0415021, 0.0359574, 0.0290432, 0.0207482, 0.0110679, 1.63709e-08, -0.0257446, -0.0554805, -0.168556, -1.02167", \
+					  "1.10335, 0.0682504, 0.0387665, 0.0382204, 0.0376463, 0.0373464, 0.0370364, 0.0367149, 0.0363798, 0.036029, 0.0356595, 0.0352673, 0.0348473, 0.0343921, 0.033891, 0.0333272, 0.032671, 0.0318584, 0.0307172, 0.0288513, 0.0258353, 0.0214801, 0.0157321, 0.00857435, 2.00089e-08, -0.0212036, -0.0471041, -0.151959, -1.01898", \
+					  "1.10204, 0.0719107, 0.0292767, 0.0288389, 0.0283822, 0.0281453, 0.0279016, 0.0276502, 0.0273899, 0.0271193, 0.0268365, 0.0265393, 0.0262245, 0.025888, 0.0255239, 0.0251233, 0.0246719, 0.0241427, 0.0234701, 0.0224485, 0.0206126, 0.0175503, 0.0131153, 0.00726942, 2.18279e-08, -0.0188265, -0.0427229, -0.143258, -1.0176", \
+					  "1.10152, 0.0790289, 0.0209644, 0.0206227, 0.0202711, 0.0200899, 0.0199044, 0.0197142, 0.0195184, 0.0193162, 0.0191066, 0.0188883, 0.0186595, 0.0184179, 0.0181604, 0.0178825, 0.0175773, 0.0172334, 0.0168271, 0.0162909, 0.015376, 0.0135499, 0.0104361, 0.00592841, 2.18279e-08, -0.0163803, -0.0382158, -0.134285, -1.01619", \
+					  "1.10182, 0.0894923, 0.01394, 0.013661, 0.0134029, 0.0132708, 0.0131362, 0.0129989, 0.0128584, 0.0127144, 0.0125663, 0.0124133, 0.0122545, 0.0120888, 0.0119146, 0.0117298, 0.0115313, 0.0113142, 0.0110698, 0.0107787, 0.0103719, 0.00954731, 0.00771578, 0.0045574, 2.36469e-08, -0.0138684, -0.0335871, -0.125036, -1.01477", \
+					  "1.10301, 0.103168, 0.00845267, 0.00805863, 0.00788256, 0.00779316, 0.00770256, 0.00761063, 0.00751718, 0.00742198, 0.00732479, 0.00722526, 0.00712298, 0.00701744, 0.00690795, 0.00679359, 0.00667307, 0.0065445, 0.00640484, 0.00624841, 0.00606038, 0.00577152, 0.00501666, 0.00317465, 2.18279e-08, -0.0112971, -0.0288449, -0.115511, -1.01332", \
+					  "1.10514, 0.119911, 0.00530792, 0.00395523, 0.0038446, 0.00379128, 0.00373752, 0.00368326, 0.00362844, 0.00357296, 0.00351674, 0.00345965, 0.00340154, 0.00334222, 0.00328144, 0.00321889, 0.00315413, 0.00308654, 0.0030152, 0.00293857, 0.0028535, 0.00275006, 0.00255915, 0.00184122, 1.09139e-08, -0.00868076, -0.0240044, -0.105721, -1.01184", \
+					  "1.10829, 0.13955, 0.00627283, 0.001512, 0.00140745, 0.00138179, 0.00135608, 0.00133027, 0.00130433, 0.00127824, 0.00125198, 0.00122551, 0.0011988, 0.00117181, 0.00114446, 0.00111667, 0.00108835, 0.00105935, 0.00102945, 0.000998327, 0.000965385, 0.000929249, 0.00088368, 0.00074699, -1.23691e-07, -0.00605682, -0.0191006, -0.095697, -1.01036", \
+					  "1.11241, 0.161657, 0.0122474, 0.000761427, 0.000375743, 0.000365785, 0.000356654, 0.000347542, 0.000338427, 0.000329301, 0.00032016, 0.000310999, 0.000301809, 0.000292584, 0.000283312, 0.000273982, 0.000264574, 0.000255064, 0.000245416, 0.000235577, 0.000225456, 0.000214868, 0.000203181, 0.000183762, -2.33194e-06, -0.00354741, -0.0142303, -0.0855183, -1.00887", \
+					  "1.11729, 0.185409, 0.0222042, 0.00197295, 8.36558e-05, 6.84264e-05, 6.46521e-05, 6.12145e-05, 5.7853e-05, 5.45242e-05, 5.12127e-05, 4.79113e-05, 4.46134e-05, 4.13174e-05, 3.80196e-05, 3.47191e-05, 3.14103e-05, 2.80888e-05, 2.4751e-05, 2.13859e-05, 1.79771e-05, 1.45028e-05, 1.08703e-05, 6.33008e-06, -3.1423e-05, -0.00155301, -0.00966767, -0.0753594, -1.00742", \
+					  "1.12271, 0.210125, 0.0348653, 0.00627893, 1.57418e-05, -9.51688e-05, -0.00010743, -0.000116479, -0.000124829, -0.000132884, -0.000140782, -0.000148587, -0.000156335, -0.000164043, -0.000171727, -0.000179394, -0.000187052, -0.000194705, -0.000202357, -0.000210015, -0.000217682, -0.000225364, -0.000233076, -0.000240938, -0.000254704, -0.000703087, -0.0059821, -0.0656066, -1.00613", \
+					  "1.12863, 0.235459, 0.0492931, 0.0136303, 2.02096e-06, -0.000683028, -0.000758385, -0.000802775, -0.000841285, -0.000877402, -0.000912238, -0.000946291, -0.000979826, -0.001013, -0.00104591, -0.00107863, -0.00111121, -0.00114367, -0.00117605, -0.00120838, -0.00124065, -0.00127291, -0.00130514, -0.00133741, -0.00137042, -0.00152678, -0.00424218, -0.0572228, -1.00543", \
+					  "1.13504, 0.261203, 0.0646345, 0.0223897, 1.63456e-07, -0.00294625, -0.00347676, -0.00366352, -0.00379983, -0.00391851, -0.00402832, -0.00413284, -0.00423385, -0.0043324, -0.00442913, -0.00452447, -0.00461873, -0.00471212, -0.00480481, -0.00489693, -0.00498857, -0.00507984, -0.0051708, -0.00526155, -0.0053522, -0.00555043, -0.00666497, -0.0523687, -1.00628", \
+					  "1.142, 0.287188, 0.0800925, 0.0312739, 1.14646e-08, -0.00723418, -0.00992853, -0.0106878, -0.0110855, -0.0113853, -0.0116423, -0.0118758, -0.0120944, -0.0123028, -0.0125039, -0.0126994, -0.0128906, -0.0130783, -0.0132632, -0.0134457, -0.0136263, -0.0138054, -0.013983, -0.0141596, -0.0143353, -0.0146875, -0.0152557, -0.0531678, -1.00956", \
+					  "1.14954, 0.313253, 0.0951216, 0.0398408, 2.03019e-09, -0.0121771, -0.0190832, -0.0217962, -0.0228828, -0.0235462, -0.0240555, -0.024489, -0.024878, -0.0252379, -0.0255774, -0.0259019, -0.0262148, -0.0265187, -0.0268153, -0.0271059, -0.0273915, -0.0276729, -0.0279507, -0.0282256, -0.028498, -0.0290379, -0.0296145, -0.0598211, -1.01523", \
+					  "1.15774, 0.339232, 0.109479, 0.0479734, 1.47465e-09, -0.0170398, -0.0288163, -0.0353639, -0.0381894, -0.0395981, -0.0405373, -0.0412731, -0.0418994, -0.0424583, -0.042972, -0.0434532, -0.0439101, -0.0443483, -0.0447715, -0.0451825, -0.0455834, -0.045976, -0.0463614, -0.0467408, -0.0471153, -0.0478527, -0.0485866, -0.0717346, -1.02295", \
+					  "1.16669, 0.364946, 0.123092, 0.055645, 1.4182e-09, -0.0216361, -0.0382136, -0.0494918, -0.0556607, -0.0586039, -0.0602971, -0.0614974, -0.0624569, -0.0632779, -0.06401, -0.0646806, -0.0653065, -0.0658983, -0.0664635, -0.0670073, -0.0675336, -0.0680453, -0.0685448, -0.0690339, -0.0695143, -0.0704545, -0.0713768, -0.0883964, -1.0324", \
+					  "1.17651, 0.390198, 0.135956, 0.0628575, 1.38834e-09, -0.0259319, -0.0470622, -0.0631131, -0.0737686, -0.0795276, -0.0825663, -0.084499, -0.0859347, -0.0871047, -0.0881132, -0.0890143, -0.0898393, -0.0906078, -0.0913328, -0.0920234, -0.0926861, -0.0933259, -0.0939464, -0.0945506, -0.0951412, -0.0962896, -0.0974071, -0.109426, -1.04335", \
+					  "1.18736, 0.414773, 0.148085, 0.0696239, 1.36069e-09, -0.029931, -0.0553269, -0.0759227, -0.0913323, -0.101171, -0.106522, -0.109631, -0.111761, -0.113403, -0.114765, -0.115949, -0.11701, -0.117983, -0.118889, -0.119742, -0.120554, -0.121332, -0.122082, -0.122808, -0.123513, -0.124877, -0.126193, -0.134535, -1.05559", \
+					  "1.19945, 0.438456, 0.159503, 0.075962, 1.33467e-09, -0.0336475, -0.0630199, -0.0878743, -0.107861, -0.12238, -0.131233, -0.136224, -0.139383, -0.141673, -0.143492, -0.145026, -0.14637, -0.147581, -0.148692, -0.149728, -0.150704, -0.151632, -0.15252, -0.153375, -0.154201, -0.155787, -0.157306, -0.163475, -1.06894", \
+					  "1.21305, 0.461049, 0.170239, 0.0818916, 1.31056e-09, -0.0370979, -0.0701682, -0.0989902, -0.123261, -0.142436, -0.155702, -0.163548, -0.168247, -0.171441, -0.173861, -0.175832, -0.177517, -0.179006, -0.180353, -0.181593, -0.182751, -0.183842, -0.184879, -0.185871, -0.186825, -0.188643, -0.19037, -0.195804, -1.08325", \
+					  "1.22849, 0.482412, 0.18032, 0.0874333, 1.28854e-09, -0.0402995, -0.0768034, -0.109312, -0.137563, -0.161111, -0.179086, -0.190807, -0.197778, -0.202248, -0.205465, -0.207989, -0.210089, -0.211906, -0.213525, -0.214996, -0.216355, -0.217625, -0.218823, -0.219962, -0.221052, -0.223114, -0.225056, -0.230648, -1.09839", \
+					  "1.26626, 0.521242, 0.198636, 0.0974348, 1.25144e-09, -0.0460216, -0.0886646, -0.127765, -0.16312, -0.194433, -0.221138, -0.242092, -0.256301, -0.265103, -0.270857, -0.275007, -0.278248, -0.280925, -0.283225, -0.285258, -0.287094, -0.288778, -0.290343, -0.291811, -0.2932, -0.295788, -0.298186, -0.304777, -1.13072", \
+					  "1.31298, 0.555118, 0.214683, 0.106126, 1.22526e-09, -0.0509367, -0.0988522, -0.14361, -0.185051, -0.222962, -0.256995, -0.286436, -0.309885, -0.326173, -0.336588, -0.343535, -0.348581, -0.352522, -0.355769, -0.358547, -0.360991, -0.363188, -0.365194, -0.367049, -0.368783, -0.371966, -0.374865, -0.382594, -1.16506", \
+					  "1.41186, 0.632714, 0.251379, 0.125741, 1.24996e-09, -0.061845, -0.12146, -0.178764, -0.233669, -0.286073, -0.335848, -0.382817, -0.42668, -0.466852, -0.502128, -0.530576, -0.551105, -0.565142, -0.575027, -0.582418, -0.588262, -0.593088, -0.597208, -0.600817, -0.604039, -0.609646, -0.614465, -0.626243, -1.2738", \
+					  "1.49594, 0.705692, 0.285048, 0.143407, 2.02172e-09, -0.0714808, -0.141477, -0.209952, -0.276863, -0.34217, -0.405824, -0.467775, -0.527961, -0.586307, -0.642709, -0.697008, -0.748933, -0.797984, -0.843203, -0.882961, -0.915394, -0.939961, -0.958032, -0.971566, -0.982094, -0.997718, -1.00917, -1.0324, -1.46082");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1189972, 0.2574779, 0.4130698, 0.5922232, 0.8424859");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1910833, 0.3289591, 0.4850985, 0.6637704, 0.9136702");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0286899, 0.0559697, 0.0837750, 0.1117561, 0.1501011");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1016355, 0.1287563, 0.1565778, 0.1853735, 0.2236401");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("26.2461");
+						index_3("0.001");
+						index_4("13.0953835, 13.8383088, 16.8761153, 18.9283161, 19.4861626");
+						values("0.400775, 0.64124, 0.80155, 0.64124, 0.400775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("15.9446");
+						index_3("0.001");
+						index_4("7.9613579, 8.4656068, 10.6244630, 11.8918340, 12.2542449");
+						values("0.411309, 0.658094, 0.822618, 0.658094, 0.411309");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("10.822");
+						index_3("0.001");
+						index_4("5.4084265, 5.7859002, 7.4459567, 8.2810855, 8.5460507");
+						values("0.414641, 0.663426, 0.829282, 0.663426, 0.414641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("13.1231");
+						index_3("0.001");
+						index_4("6.6054211, 7.0092687, 8.6369292, 9.5008618, 9.7835943");
+						values("0.213784, 0.342055, 0.427569, 0.342055, 0.213784");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("7.97228");
+						index_3("0.001");
+						index_4("4.0237697, 4.2994896, 5.4180011, 5.9650548, 6.1532621");
+						values("0.21864, 0.349825, 0.437281, 0.349825, 0.21864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("5.41101");
+						index_3("0.001");
+						index_4("2.7366513, 2.9440249, 3.7434494, 4.1537936, 4.2926943");
+						values("0.221495, 0.354391, 0.442989, 0.354391, 0.221495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("23.9169");
+						index_3("0.001");
+						index_4("11.8726166, 12.6359265, 15.5726371, 17.7621405, 18.3251376");
+						values("0.576246, 0.921993, 1.15249, 0.921993, 0.576246");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("3.45431");
+						index_3("0.001");
+						index_4("1.7375928, 1.8866642, 2.6282233, 3.1592069, 3.3855415");
+						values("1.19296, 0.948736, 0.78592, 0.948736, 1.19296");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("2.01883");
+						index_3("0.001");
+						index_4("1.0187831, 1.1187040, 1.6088235, 1.9377474, 2.0975840");
+						values("1.18631, 0.9381, 0.772624, 0.9381, 1.18631");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("1.37702");
+						index_3("0.001");
+						index_4("0.6969738, 0.7727375, 1.1389132, 1.3699782, 1.5170153");
+						values("1.18279, 0.932462, 0.765578, 0.932462, 1.18279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("1.72716");
+						index_3("0.001");
+						index_4("0.8795537, 0.9592592, 1.3528277, 1.6005593, 1.7376588");
+						values("1.39872, 1.27796, 1.19745, 1.27796, 1.39872");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("1.00942");
+						index_3("0.001");
+						index_4("0.5171869, 0.5707879, 0.8351597, 0.9877772, 1.0936520");
+						values("1.39687, 1.27499, 1.19374, 1.27499, 1.39687");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("0.688508");
+						index_3("0.001");
+						index_4("0.3545197, 0.3949625, 0.5735328, 0.7001388, 0.8030241");
+						values("1.39411, 1.27058, 1.18822, 1.27058, 1.39411");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("3.02825");
+						index_3("0.001");
+						index_4("1.5236606, 1.6749978, 2.3960311, 2.8656646, 3.0979251");
+						values("0.971095, 0.593752, 0.34219, 0.593752, 0.971095");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.050800;
+			capacitance : 0.051200;
+			rise_capacitance : 0.051599;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0119384, -0.0121619, -0.0123855, -0.0123867, -0.0123878, -0.0123889, -0.0123901");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0121638, 0.0122941, 0.0124244, 0.0124067, 0.0123897, 0.0123720, 0.0123543");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00125409";
+				miller_cap_rise : "0.000530199";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.21863, 0.228223, 0.215816, 0.211412, 0.206115, 0.202999, 0.199461, 0.195386, 0.190638, 0.185092, 0.178696, 0.171464, 0.163418, 0.15456, 0.144885, 0.13438, 0.123031, 0.110824, 0.0977423, 0.0837713, 0.0688945, 0.0530957, 0.0363583, 0.0186654, -6.87701e-09, -0.0391835, -0.0803074, -0.23011, -1.60147", \
+					  "2.13457, 0.114693, 0.106717, 0.105035, 0.103139, 0.10209, 0.100956, 0.099722, 0.0983642, 0.096853, 0.0951458, 0.0931814, 0.0908689, 0.0880779, 0.0846468, 0.0804346, 0.0753581, 0.0693738, 0.062453, 0.0545721, 0.045709, 0.035842, 0.0249495, 0.0130095, -6.20516e-09, -0.028492, -0.0598217, -0.18215, -1.59219", \
+					  "2.09295, 0.058434, 0.0515776, 0.0508141, 0.0499817, 0.049534, 0.0490611, 0.0485593, 0.0480236, 0.0474478, 0.0468238, 0.0461408, 0.045384, 0.0445319, 0.0435524, 0.0423927, 0.0409578, 0.0390692, 0.036474, 0.032981, 0.0285021, 0.0229941, 0.016426, 0.00877018, -7.30729e-09, -0.0205291, -0.0446785, -0.146585, -1.58539", \
+					  "2.08148, 0.0433784, 0.0362623, 0.0357206, 0.0351368, 0.0348258, 0.0344999, 0.0341567, 0.0337938, 0.0334077, 0.0329942, 0.0325479, 0.0320615, 0.0315247, 0.0309232, 0.0302348, 0.0294226, 0.0284148, 0.0270509, 0.0250428, 0.0221381, 0.0182242, 0.0132515, 0.00718661, -7.64926e-09, -0.0175656, -0.0390678, -0.133355, -1.58295", \
+					  "2.07162, 0.0316956, 0.0230201, 0.0226627, 0.0222823, 0.0220818, 0.0218732, 0.0216556, 0.0214276, 0.0211876, 0.0209337, 0.0206632, 0.0203729, 0.0200585, 0.0197139, 0.0193306, 0.0188955, 0.0183864, 0.0177581, 0.0168895, 0.0154827, 0.0132029, 0.00989737, 0.00550838, -5.3655e-09, -0.0144327, -0.033151, -0.119367, -1.58041", \
+					  "2.06737, 0.0273623, 0.0172967, 0.017018, 0.0167234, 0.016569, 0.0164092, 0.0162431, 0.0160701, 0.0158889, 0.0156983, 0.0154968, 0.0152822, 0.0150518, 0.0148021, 0.0145278, 0.0142216, 0.0138715, 0.0134556, 0.012921, 0.0120961, 0.0106047, 0.00815112, 0.0046312, -6.58765e-09, -0.0128001, -0.0300742, -0.11208, -1.57911", \
+					  "2.06364, 0.0240809, 0.0122404, 0.0120316, 0.0118128, 0.0116989, 0.0115814, 0.0114601, 0.0113343, 0.0112034, 0.0110666, 0.010923, 0.0107714, 0.0106101, 0.0104371, 0.0102495, 0.0100434, 0.00981251, 0.00954657, 0.00922428, 0.00878008, 0.00796753, 0.00635872, 0.00372626, -4.89438e-09, -0.0111219, -0.0269175, -0.104605, -1.57779", \
+					  "2.06045, 0.0218743, 0.00791213, 0.00776466, 0.00761195, 0.00753302, 0.0074521, 0.00736895, 0.00728327, 0.00719472, 0.00710288, 0.00700722, 0.00690709, 0.00680166, 0.00668985, 0.00657023, 0.0064408, 0.00629863, 0.00613919, 0.0059542, 0.00572346, 0.00536188, 0.00452789, 0.002792, -3.71235e-09, -0.00939712, -0.0236814, -0.0969602, -1.57647", \
+					  "2.05788, 0.020792, 0.00440982, 0.00431356, 0.00421733, 0.00416802, 0.00411778, 0.0040665, 0.00401404, 0.00396025, 0.00390492, 0.00384782, 0.00378866, 0.00372706, 0.00366257, 0.00359455, 0.00352217, 0.00344425, 0.00335903, 0.00326363, 0.00315231, 0.00300698, 0.002715, 0.0018371, -6.001e-09, -0.00762399, -0.0203687, -0.08919, -1.57517", \
+					  "2.05606, 0.0209592, 0.00191495, 0.00185178, 0.00180115, 0.00177551, 0.00174957, 0.0017233, 0.00169666, 0.00166958, 0.001642, 0.00161384, 0.001585, 0.00155537, 0.00152479, 0.00149306, 0.00145991, 0.001425, 0.00138779, 0.0013475, 0.00130273, 0.0012503, 0.00117542, 0.000926097, -7.6374e-09, -0.00580234, -0.0169883, -0.0813603, -1.57388", \
+					  "2.05512, 0.022493, 0.00062161, 0.000533617, 0.000513822, 0.00050411, 0.000494361, 0.000484567, 0.000474718, 0.000464802, 0.000454805, 0.000444712, 0.0004345, 0.000424148, 0.000413622, 0.000402881, 0.000391876, 0.000380534, 0.000368753, 0.00035639, 0.000343205, 0.000328732, 0.000311538, 0.000277025, -1.23424e-08, -0.00394766, -0.0135664, -0.0735495, -1.57263", \
+					  "2.05491, 0.0251099, 0.000440484, 0.000103927, 9.67325e-05, 9.43385e-05, 9.19608e-05, 8.95875e-05, 8.72147e-05, 8.48424e-05, 8.24697e-05, 8.00919e-05, 7.77076e-05, 7.53126e-05, 7.29067e-05, 7.04781e-05, 6.80278e-05, 6.55401e-05, 6.30005e-05, 6.03982e-05, 5.76966e-05, 5.484e-05, 5.16888e-05, 4.71954e-05, -3.79956e-08, -0.00216687, -0.0101778, -0.0658339, -1.57142", \
+					  "2.05503, 0.02822, 0.00113274, 2.7793e-05, 1.40129e-05, 1.35397e-05, 1.31393e-05, 1.2745e-05, 1.23546e-05, 1.19646e-05, 1.15769e-05, 1.11882e-05, 1.08028e-05, 1.04161e-05, 1.00342e-05, 9.65336e-06, 9.2684e-06, 8.8821e-06, 8.48886e-06, 8.09132e-06, 7.6788e-06, 7.25456e-06, 6.78973e-06, 6.18972e-06, -1.61352e-07, -0.000815794, -0.00697672, -0.0582771, -1.57025", \
+					  "2.05528, 0.0314959, 0.00247582, 7.48047e-05, 1.70078e-06, 1.16881e-06, 1.03817e-06, 9.37075e-07, 8.4362e-07, 7.52443e-07, 6.64932e-07, 5.78208e-07, 5.00496e-07, 4.43133e-07, 3.93656e-07, 3.46064e-07, 2.96672e-07, 2.45217e-07, 1.96024e-07, 1.46733e-07, 9.57953e-08, 4.16548e-08, -1.22575e-08, -8.72298e-08, -8.39532e-07, -0.00018826, -0.00416811, -0.0509281, -1.56913", \
+					  "2.05559, 0.0348345, 0.00422999, 0.000366521, 1.90967e-07, -2.54937e-06, -3.01782e-06, -3.32032e-06, -3.58226e-06, -3.82546e-06, -4.05553e-06, -4.25325e-06, -4.34579e-06, -4.36989e-06, -4.38445e-06, -4.39683e-06, -4.40813e-06, -4.4186e-06, -4.43236e-06, -4.44143e-06, -4.45388e-06, -4.46457e-06, -4.47408e-06, -4.48674e-06, -4.57031e-06, -3.46027e-05, -0.0019877, -0.0438237, -1.56805", \
+					  "2.05598, 0.0381944, 0.00621603, 0.00114912, 2.56522e-08, -1.58625e-05, -1.85622e-05, -2.02452e-05, -2.16746e-05, -2.2982e-05, -2.41528e-05, -2.48589e-05, -2.50219e-05, -2.50779e-05, -2.51172e-05, -2.51503e-05, -2.518e-05, -2.52072e-05, -2.52326e-05, -2.52565e-05, -2.52837e-05, -2.53054e-05, -2.53254e-05, -2.53479e-05, -2.53746e-05, -2.96253e-05, -0.0006818, -0.0370023, -1.56704", \
+					  "2.05645, 0.0415444, 0.00829705, 0.00231848, 8.37602e-09, -9.63801e-05, -0.00011388, -0.000123467, -0.000131113, -0.000137682, -0.000142502, -0.000144163, -0.000144547, -0.000144752, -0.00014491, -0.000145046, -0.000145169, -0.000145283, -0.00014539, -0.000145491, -0.000145588, -0.000145681, -0.00014577, -0.000145856, -0.000145942, -0.000146636, -0.000287904, -0.0305688, -1.56613", \
+					  "2.05696, 0.0448531, 0.0103558, 0.00359159, 6.59591e-09, -0.000458626, -0.000578938, -0.00062936, -0.00066305, -0.000687463, -0.00070087, -0.000704915, -0.000706312, -0.000707161, -0.000707816, -0.000708371, -0.000708862, -0.000709309, -0.000709723, -0.000710111, -0.000710479, -0.00071083, -0.000711167, -0.000711492, -0.000711806, -0.000712466, -0.000736857, -0.0249035, -1.56556", \
+					  "2.05747, 0.0480875, 0.0123007, 0.00478557, 6.2526e-09, -0.00115613, -0.00168797, -0.00190513, -0.00201769, -0.00208347, -0.00211517, -0.0021268, -0.00213204, -0.0021354, -0.00213795, -0.00214006, -0.00214188, -0.00214352, -0.002145, -0.00214637, -0.00214765, -0.00214886, -0.00215001, -0.0021511, -0.00215216, -0.00215415, -0.00215968, -0.0205125, -1.56558", \
+					  "2.05797, 0.0512127, 0.01408, 0.00583911, 6.38271e-09, -0.00185241, -0.00303496, -0.00368429, -0.00401349, -0.00417992, -0.00425657, -0.00428936, -0.00430643, -0.00431771, -0.00432626, -0.00433323, -0.00433917, -0.0043444, -0.0043491, -0.00435339, -0.00435735, -0.00436105, -0.00436453, -0.00436782, -0.00437095, -0.00437682, -0.00438277, -0.0174537, -1.56616", \
+					  "2.05844, 0.0541578, 0.0156781, 0.00674955, 6.37806e-09, -0.00241702, -0.00417899, -0.00535883, -0.00607196, -0.00645752, -0.0066432, -0.00673165, -0.00678171, -0.00681564, -0.00684138, -0.00686225, -0.00687991, -0.00689531, -0.00690903, -0.00692144, -0.00693283, -0.00694337, -0.00695322, -0.00696248, -0.00697123, -0.0069875, -0.0070025, -0.0155774, -1.56704", \
+					  "2.05888, 0.056963, 0.0171008, 0.00753248, 6.37271e-09, -0.00286398, -0.0050714, -0.00667386, -0.00774486, -0.00838441, -0.00872398, -0.0089035, -0.0090126, -0.00908909, -0.009148, -0.00919612, -0.009237, -0.00927271, -0.00930453, -0.00933334, -0.00935975, -0.00938419, -0.009407, -0.00942843, -0.00944869, -0.00948627, -0.00952069, -0.0146551, -1.56794", \
+					  "2.05929, 0.0595745, 0.0183631, 0.0082068, 6.36687e-09, -0.00322281, -0.00577276, -0.00768731, -0.00901981, -0.00985316, -0.010322, -0.0105866, -0.0107553, -0.0108768, -0.0109717, -0.0110501, -0.0111171, -0.0111759, -0.0112285, -0.0112763, -0.0113202, -0.011361, -0.0113991, -0.011435, -0.011469, -0.0115322, -0.0115902, -0.01446, -1.56862", \
+					  "2.05967, 0.0619838, 0.019483, 0.00879005, 6.365e-09, -0.00351638, -0.0063363, -0.00848686, -0.0100101, -0.0109832, -0.0115478, -0.0118789, -0.012096, -0.0122548, -0.0123802, -0.0124843, -0.0125737, -0.0126525, -0.0127231, -0.0127874, -0.0128466, -0.0129017, -0.0129532, -0.0130018, -0.0130478, -0.0131336, -0.0132126, -0.0148493, -1.56907", \
+					  "2.06001, 0.064193, 0.0204779, 0.00929725, 6.36773e-09, -0.00376076, -0.00679881, -0.00913393, -0.010802, -0.0118795, -0.0125167, -0.0128995, -0.013155, -0.013344, -0.0134941, -0.0136193, -0.013727, -0.0138222, -0.0139076, -0.0139855, -0.0140573, -0.0141241, -0.0141867, -0.0142457, -0.0143017, -0.0144061, -0.0145022, -0.0155979, -1.56939", \
+					  "2.06061, 0.0680533, 0.0221549, 0.0101312, 6.37811e-09, -0.00414407, -0.00751332, -0.0101194, -0.0119938, -0.013218, -0.0139583, -0.0144162, -0.0147286, -0.0149628, -0.0151502, -0.0153072, -0.0154429, -0.0155629, -0.0156709, -0.0157695, -0.0158604, -0.0159451, -0.0160245, -0.0160995, -0.0161706, -0.0163032, -0.0164255, -0.0172816, -1.56989", \
+					  "2.06109, 0.0712643, 0.0235007, 0.0107836, 6.3849e-09, -0.00443082, -0.0080401, -0.0108365, -0.0128521, -0.0141755, -0.0149862, -0.0154964, -0.0158492, -0.0161157, -0.01633, -0.01651, -0.0166659, -0.0168039, -0.0169283, -0.0170419, -0.0171467, -0.0172444, -0.017336, -0.0174225, -0.0175046, -0.0176577, -0.0177988, -0.0186651, -1.57048", \
+					  "2.06206, 0.0780594, 0.0262486, 0.0120797, 6.3798e-09, -0.0049755, -0.00902558, -0.0121608, -0.0144217, -0.015916, -0.0168495, -0.0174526, -0.017878, -0.0182033, -0.0184668, -0.0186891, -0.0188822, -0.0190535, -0.0192081, -0.0193494, -0.01948, -0.0196017, -0.0197158, -0.0198236, -0.0199259, -0.0201168, -0.0202927, -0.0212433, -1.57206", \
+					  "2.06283, 0.0840227, 0.0286419, 0.0131837, 6.36305e-09, -0.0054227, -0.00982284, -0.0132194, -0.0156655, -0.0172882, -0.0183151, -0.01899, -0.0194724, -0.0198442, -0.0201469, -0.0204031, -0.020626, -0.0208242, -0.0210031, -0.0211668, -0.0213181, -0.0214591, -0.0215915, -0.0217164, -0.021835, -0.0220563, -0.0222602, -0.0232833, -1.5734");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3333445, 0.8068742, 1.2145999, 1.5640818, 2.0252790");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4073131, 0.8806020, 1.2887534, 1.6376458, 2.0989806");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.4202169, 0.8850260, 1.3609316, 1.9823262, 2.9695873");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4950134, 0.9596912, 1.4359485, 2.0575429, 3.0436005");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("85.7623");
+						index_3("0.001");
+						index_4("43.1397546, 45.5912424, 64.7550852, 69.4971884, 71.8869145");
+						values("0.375075, 0.60012, 0.75015, 0.60012, 0.375075");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("51.9262");
+						index_3("0.001");
+						index_4("26.1843178, 27.8833451, 40.3305421, 43.2447995, 44.9263383");
+						values("0.395634, 0.633014, 0.791267, 0.633014, 0.395634");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("34.9206");
+						index_3("0.001");
+						index_4("17.6458184, 18.9148938, 27.6117080, 29.7502118, 31.0300307");
+						values("0.40005, 0.64008, 0.8001, 0.64008, 0.40005");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("42.8811");
+						index_3("0.001");
+						index_4("21.6081693, 22.8531814, 31.8343387, 35.0143962, 36.2920722");
+						values("0.179824, 0.287719, 0.359648, 0.287719, 0.179824");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("25.9631");
+						index_3("0.001");
+						index_4("13.1090231, 13.9713166, 19.4608931, 21.8285825, 22.7589223");
+						values("0.187399, 0.299839, 0.374799, 0.299839, 0.187399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("17.4603");
+						index_3("0.001");
+						index_4("8.8404938, 9.4868618, 13.3989730, 15.0274606, 15.7739816");
+						values("0.187897, 0.300636, 0.375794, 0.300636, 0.187897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("77.8894");
+						index_3("0.001");
+						index_4("39.4179193, 41.6380455, 59.8315581, 64.6009424, 66.8595760");
+						values("0.647868, 1.03659, 1.29574, 1.03659, 0.647868");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("96.5048");
+						index_3("0.001");
+						index_4("48.7054384, 51.3820253, 71.3682122, 79.6113570, 82.0792349");
+						values("1.19057, 0.944911, 0.781139, 0.944911, 1.19057");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("55.8588");
+						index_3("0.001");
+						index_4("28.2469086, 29.9951723, 42.5358683, 47.4429995, 49.0357534");
+						values("1.17669, 0.922711, 0.753389, 0.922711, 1.17669");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("37.6851");
+						index_3("0.001");
+						index_4("19.0955256, 20.4066170, 29.5593760, 32.7200469, 33.8886532");
+						values("1.1697, 0.911523, 0.739404, 0.911523, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("48.2524");
+						index_3("0.001");
+						index_4("24.6023383, 26.1489138, 36.7422013, 39.9570163, 41.2163889");
+						values("1.40586, 1.28937, 1.21171, 1.28937, 1.40586");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("27.9294");
+						index_3("0.001");
+						index_4("14.3076010, 15.3136033, 21.9241552, 23.8137521, 24.6426119");
+						values("1.39914, 1.27862, 1.19827, 1.27862, 1.39914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("18.8425");
+						index_3("0.001");
+						index_4("9.7042858, 10.4687826, 14.7701691, 16.4045902, 17.0420683");
+						values("1.39504, 1.27206, 1.19008, 1.27206, 1.39504");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("83.7883");
+						index_3("0.001");
+						index_4("41.9025013, 44.1832468, 62.1012954, 71.4251090, 73.5904663");
+						values("1.01287, 0.660589, 0.425736, 0.660589, 1.01287");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016687;
+			capacitance : 0.016507;
+			fall_capacitance : 0.016327;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0177023, 0.0173015, 0.0169008, 0.0168346, 0.0167711, 0.0167049, 0.0166387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0206866, 0.0203479, 0.0200092, 0.0199618, 0.0199163, 0.0198689, 0.0198215");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000757039";
+				miller_cap_rise : "0.00050014";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.96739, 0.445156, 0.416165, 0.403119, 0.386983, 0.377496, 0.367, 0.355475, 0.342913, 0.329311, 0.314665, 0.298973, 0.282237, 0.264454, 0.245625, 0.225751, 0.204833, 0.18287, 0.159864, 0.135816, 0.110728, 0.0846, 0.057435, 0.0292343, -3.92092e-09, -0.0583582, -0.115006, -0.295747, -1.5178", \
+					  "1.88543, 0.287376, 0.272477, 0.266818, 0.260573, 0.257153, 0.253472, 0.24946, 0.245018, 0.240002, 0.234207, 0.227371, 0.21924, 0.20965, 0.198524, 0.185831, 0.171556, 0.155693, 0.138237, 0.119186, 0.0985392, 0.0762966, 0.0524584, 0.0270256, -2.23071e-09, -0.055612, -0.111351, -0.295026, -1.52158", \
+					  "1.8268, 0.167797, 0.1583, 0.155017, 0.151554, 0.149736, 0.147847, 0.145874, 0.143799, 0.141598, 0.13924, 0.136678, 0.133843, 0.130624, 0.126834, 0.122149, 0.116122, 0.108393, 0.0987923, 0.0872569, 0.0737619, 0.058295, 0.0408486, 0.021418, -1.51785e-09, -0.0465535, -0.0961564, -0.271274, -1.5174", \
+					  "1.8109, 0.131302, 0.120217, 0.117586, 0.114846, 0.113423, 0.111959, 0.110446, 0.108873, 0.10723, 0.1055, 0.103661, 0.101682, 0.0995157, 0.0970883, 0.0942671, 0.0907923, 0.0861956, 0.0799593, 0.0717952, 0.0616005, 0.0493379, 0.0349899, 0.0185464, -1.52817e-09, -0.0417394, -0.0878874, -0.257346, -1.51475", \
+					  "1.79792, 0.11085, 0.0845072, 0.0824722, 0.0803785, 0.0793042, 0.0782077, 0.0770852, 0.0759323, 0.074743, 0.0735099, 0.0722231, 0.0708692, 0.0694294, 0.0678759, 0.0661641, 0.0642147, 0.061858, 0.0586965, 0.0540853, 0.04755, 0.0389158, 0.0281269, 0.0151596, -1.54038e-09, -0.0359616, -0.0778542, -0.239887, -1.51142", \
+					  "1.7926, 0.108444, 0.0681001, 0.0663431, 0.064547, 0.0636306, 0.0626992, 0.0617504, 0.0607811, 0.0597874, 0.0587645, 0.057706, 0.0566034, 0.0554452, 0.0542147, 0.0528869, 0.0514196, 0.049731, 0.047621, 0.0445857, 0.0399179, 0.0332148, 0.0243514, 0.0132865, -1.54708e-09, -0.0327224, -0.0721813, -0.22977, -1.50949", \
+					  "1.78817, 0.110432, 0.0529724, 0.0514801, 0.0499653, 0.0491967, 0.0484191, 0.0476307, 0.0468297, 0.0460135, 0.0451792, 0.0443227, 0.0434391, 0.0425214, 0.0415602, 0.0405413, 0.0394431, 0.038227, 0.0368083, 0.0349425, 0.0319969, 0.0272468, 0.020379, 0.0113072, -1.5549e-09, -0.0292648, -0.0660889, -0.21871, -1.50738", \
+					  "1.78472, 0.116298, 0.0393612, 0.0381177, 0.0368682, 0.0362381, 0.0356034, 0.0349632, 0.0343163, 0.0336612, 0.0329962, 0.032319, 0.0316267, 0.0309155, 0.0301801, 0.0294132, 0.0286039, 0.0277343, 0.0267702, 0.025624, 0.0239879, 0.0210906, 0.0162482, 0.00923752, -1.57267e-09, -0.0256077, -0.0596014, -0.206708, -1.50509", \
+					  "1.78233, 0.125754, 0.0275249, 0.0264806, 0.0254814, 0.0249809, 0.0244792, 0.0239758, 0.0234701, 0.0229614, 0.0224485, 0.0219305, 0.0214058, 0.0208724, 0.0203277, 0.0197682, 0.0191888, 0.0185816, 0.0179331, 0.0172147, 0.0163354, 0.0149025, 0.0120194, 0.00710029, -1.75448e-09, -0.0217761, -0.0527541, -0.193782, -1.50263", \
+					  "1.78108, 0.138623, 0.0178747, 0.0167803, 0.0160173, 0.0156383, 0.0152607, 0.014884, 0.0145081, 0.0141326, 0.0137571, 0.013381, 0.0130037, 0.0126244, 0.0122419, 0.0118548, 0.0114608, 0.0110571, 0.0106387, 0.0101966, 0.00970884, 0.00908185, 0.00781582, 0.00493614, -4.72146e-09, -0.0178038, -0.0455947, -0.179979, -1.49999", \
+					  "1.78106, 0.154775, 0.0113971, 0.00922583, 0.00867979, 0.00841601, 0.00815507, 0.00789689, 0.0076414, 0.00738848, 0.007138, 0.00688978, 0.00664361, 0.00639922, 0.00615623, 0.00591416, 0.00567234, 0.00542981, 0.00518512, 0.00493588, 0.00467721, 0.00439427, 0.00399215, 0.00284458, -1.90778e-08, -0.0137382, -0.03819, -0.165395, -1.4972", \
+					  "1.78232, 0.17411, 0.010293, 0.00407989, 0.00367139, 0.00351717, 0.00336659, 0.00321954, 0.00307599, 0.00293589, 0.0027992, 0.00266588, 0.00253585, 0.00240903, 0.00228532, 0.00216458, 0.00204664, 0.00193126, 0.0018181, 0.00170664, 0.00159599, 0.00148407, 0.00136196, 0.00112086, -8.59442e-07, -0.0096593, -0.0306441, -0.150211, -1.49431", \
+					  "1.78495, 0.196505, 0.0163855, 0.00172894, 0.00105513, 0.000991557, 0.000932439, 0.000875977, 0.000822057, 0.000770597, 0.000721517, 0.000674739, 0.000630177, 0.000587745, 0.000547356, 0.000508912, 0.000472315, 0.000437454, 0.000404205, 0.00037242, 0.000341899, 0.000312311, 0.000282741, 0.000244965, -1.27668e-05, -0.00576493, -0.0231631, -0.134708, -1.49138", \
+					  "1.78884, 0.22143, 0.0286599, 0.00276103, 0.000212519, 0.000171606, 0.000152022, 0.000133821, 0.000116464, 9.98327e-05, 8.38595e-05, 6.84943e-05, 5.36932e-05, 3.94157e-05, 2.5624e-05, 1.22816e-05, -6.46865e-07, -1.31965e-05, -2.54029e-05, -3.73046e-05, -4.8946e-05, -6.03898e-05, -7.17731e-05, -8.40182e-05, -0.000137379, -0.00268916, -0.0162426, -0.119339, -1.48861", \
+					  "1.79352, 0.247689, 0.0446568, 0.008178, 4.02997e-05, -0.000154852, -0.000199207, -0.000238494, -0.000276883, -0.000315007, -0.000353067, -0.000391148, -0.000429295, -0.000467536, -0.000505885, -0.000544357, -0.000582958, -0.000621696, -0.000660579, -0.000699613, -0.000738806, -0.000778174, -0.000817743, -0.000857673, -0.000905673, -0.00170784, -0.0109998, -0.105135, -1.4866", \
+					  "1.79849, 0.274407, 0.0626036, 0.0176753, 7.76892e-06, -0.00103554, -0.00123949, -0.00139747, -0.00154775, -0.00169548, -0.00184226, -0.00198871, -0.00213519, -0.00228186, -0.00242883, -0.00257617, -0.00272391, -0.00287209, -0.00302073, -0.00316984, -0.00331943, -0.00346953, -0.00362016, -0.00377138, -0.00392459, -0.00437068, -0.00965701, -0.0944166, -1.48687", \
+					  "1.80361, 0.301271, 0.0814262, 0.0290098, 1.3151e-06, -0.00408242, -0.00504932, -0.00554796, -0.00597603, -0.00638061, -0.00677424, -0.00716187, -0.00754595, -0.00792783, -0.00830831, -0.00868793, -0.00906703, -0.00944587, -0.00982462, -0.0102034, -0.0105824, -0.0109617, -0.0113413, -0.0117215, -0.0121025, -0.0128926, -0.0155695, -0.0905853, -1.49151", \
+					  "1.80884, 0.328144, 0.100305, 0.0403909, 1.48009e-07, -0.00964825, -0.013477, -0.0148947, -0.0158428, -0.0166599, -0.0174206, -0.0181511, -0.0188633, -0.0195633, -0.020255, -0.0209405, -0.0216216, -0.0222992, -0.0229742, -0.0236471, -0.0243185, -0.0249887, -0.0256582, -0.0263272, -0.0269961, -0.0283404, -0.0301433, -0.0948458, -1.50084", \
+					  "1.81418, 0.354927, 0.118605, 0.0511764, 1.09622e-08, -0.0159824, -0.0250074, -0.0288286, -0.0307546, -0.032172, -0.0333982, -0.0345298, -0.0356064, -0.0366477, -0.0376647, -0.0386641, -0.0396504, -0.0406265, -0.0415947, -0.0425565, -0.0435131, -0.0444656, -0.0454148, -0.0463614, -0.0473063, -0.049195, -0.0511675, -0.105475, -1.51339", \
+					  "1.81962, 0.381539, 0.13593, 0.0612152, 1.96182e-09, -0.0221112, -0.037062, -0.045213, -0.0490415, -0.0513796, -0.0532059, -0.0548018, -0.0562716, -0.0576636, -0.0590034, -0.0603062, -0.0615815, -0.0628357, -0.0640735, -0.065298, -0.0665118, -0.0677168, -0.0689146, -0.0701065, -0.071294, -0.0736607, -0.0760403, -0.120722, -1.52792", \
+					  "1.82516, 0.407899, 0.15211, 0.0704958, 1.51467e-09, -0.0277914, -0.0485169, -0.0620512, -0.0691577, -0.0729732, -0.075616, -0.0777725, -0.0796805, -0.0814416, -0.0831075, -0.0847072, -0.0862585, -0.0877733, -0.0892596, -0.0907231, -0.0921682, -0.0935981, -0.0950155, -0.0964226, -0.0978215, -0.100602, -0.103375, -0.139586, -1.54378", \
+					  "1.8308, 0.433926, 0.167122, 0.079047, 1.48774e-09, -0.0329934, -0.0591175, -0.0781556, -0.0897906, -0.0959407, -0.0997395, -0.102603, -0.105019, -0.107183, -0.109189, -0.111088, -0.11291, -0.114675, -0.116395, -0.118081, -0.119738, -0.121372, -0.122987, -0.124586, -0.126172, -0.129315, -0.132438, -0.161474, -1.56062", \
+					  "1.83655, 0.459536, 0.181006, 0.0869106, 1.48922e-09, -0.0377395, -0.0688463, -0.0931124, -0.109884, -0.119425, -0.124887, -0.128672, -0.131696, -0.134314, -0.136686, -0.138895, -0.140991, -0.143003, -0.14495, -0.146847, -0.148704, -0.150528, -0.152324, -0.154098, -0.155854, -0.159321, -0.162754, -0.185977, -1.57822", \
+					  "1.84239, 0.484633, 0.193824, 0.0941317, 1.50606e-09, -0.0420634, -0.0777455, -0.106863, -0.128781, -0.142654, -0.150476, -0.155487, -0.159261, -0.162404, -0.16518, -0.167721, -0.170099, -0.17236, -0.174533, -0.176636, -0.178685, -0.18069, -0.182657, -0.184594, -0.186506, -0.19027, -0.193983, -0.212785, -1.59641", \
+					  "1.84834, 0.509109, 0.205644, 0.100756, 1.5431e-09, -0.0460002, -0.0858729, -0.119459, -0.146234, -0.164959, -0.175975, -0.182637, -0.187353, -0.191118, -0.19435, -0.197251, -0.199929, -0.202447, -0.204848, -0.207157, -0.209395, -0.211575, -0.213707, -0.215799, -0.217858, -0.2219, -0.22587, -0.241651, -1.61506", \
+					  "1.86056, 0.555677, 0.226549, 0.112385, 1.70649e-09, -0.0528436, -0.100052, -0.1415, -0.176862, -0.205155, -0.22471, -0.236473, -0.243947, -0.249381, -0.253752, -0.257503, -0.260855, -0.263935, -0.266817, -0.269551, -0.27217, -0.274697, -0.277149, -0.27954, -0.28188, -0.286438, -0.29088, -0.304542, -1.65336", \
+					  "1.8732, 0.598025, 0.244216, 0.122118, 2.02939e-09, -0.0585068, -0.111833, -0.159877, -0.202429, -0.238903, -0.26776, -0.287312, -0.299369, -0.30738, -0.313358, -0.31822, -0.322404, -0.326142, -0.329568, -0.332765, -0.335788, -0.338675, -0.341453, -0.344141, -0.346756, -0.35181, -0.35669, -0.371024, -1.69253", \
+					  "1.91289, 0.690339, 0.281888, 0.142548, 5.0597e-09, -0.0702166, -0.136365, -0.198384, -0.25619, -0.309638, -0.358412, -0.401719, -0.43773, -0.464191, -0.481776, -0.493705, -0.502492, -0.509475, -0.515341, -0.520466, -0.525072, -0.529296, -0.53323, -0.536936, -0.54046, -0.547086, -0.553294, -0.570587, -1.81109", \
+					  "1.95932, 0.757391, 0.3112, 0.158039, 2.27696e-08, -0.079003, -0.155143, -0.22839, -0.29871, -0.366064, -0.430404, -0.491655, -0.549688, -0.604245, -0.654765, -0.700033, -0.737954, -0.76683, -0.787543, -0.802611, -0.814154, -0.823474, -0.83133, -0.838169, -0.844273, -0.854949, -0.864243, -0.887635, -2.00031");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0412758, 0.0804001, 0.1207574, 0.1631514, 0.2269966");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1152098, 0.1544195, 0.1950266, 0.2374467, 0.3010282");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0207166, 0.0338345, 0.0473220, 0.0624993, 0.0843353");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0908851, 0.1058203, 0.1194388, 0.1345616, 0.1564956");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("6.1201");
+						index_3("0.001");
+						index_4("3.0591338, 3.2664808, 3.9241300, 4.5175139, 4.6923212");
+						values("0.397171, 0.635474, 0.794342, 0.635474, 0.397171");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("3.63806");
+						index_3("0.001");
+						index_4("1.8242748, 1.9673113, 2.4931477, 2.7927980, 2.9070911");
+						values("0.411273, 0.658037, 0.822547, 0.658037, 0.411273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("2.49642");
+						index_3("0.001");
+						index_4("1.2551436, 1.3642787, 1.7149092, 1.9727940, 2.0575111");
+						values("0.420461, 0.672738, 0.840922, 0.672738, 0.420461");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("3.06005");
+						index_3("0.001");
+						index_4("1.5445368, 1.6564730, 2.0191217, 2.2924712, 2.3786366");
+						values("0.209092, 0.334546, 0.418183, 0.334546, 0.209092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("1.81903");
+						index_3("0.001");
+						index_4("0.9226166, 0.9994876, 1.2292397, 1.4177552, 1.4762563");
+						values("0.213969, 0.342351, 0.427938, 0.342351, 0.213969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("1.24821");
+						index_3("0.001");
+						index_4("0.6359782, 0.6945705, 0.8698399, 1.0018331, 1.0461282");
+						values("0.217945, 0.348711, 0.435889, 0.348711, 0.217945");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("5.45709");
+						index_3("0.001");
+						index_4("2.7200092, 2.9196730, 3.6946373, 4.1477019, 4.3155592");
+						values("0.587518, 0.940028, 1.17504, 0.940028, 0.587518");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("1.96303");
+						index_3("0.001");
+						index_4("0.9839952, 1.0576146, 1.4221995, 1.6470744, 1.7510248");
+						values("1.19292, 0.948672, 0.78584, 0.948672, 1.19292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.13486");
+						index_3("0.001");
+						index_4("0.5708908, 0.6204132, 0.8261284, 1.0015715, 1.0729300");
+						values("1.1803, 0.928487, 0.760609, 0.928487, 1.1803");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.758979");
+						index_3("0.001");
+						index_4("0.3837780, 0.4208785, 0.5933087, 0.6974604, 0.7501521");
+						values("1.17547, 0.920757, 0.750947, 0.920757, 1.17547");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("0.981513");
+						index_3("0.001");
+						index_4("0.5000952, 0.5413296, 0.7077653, 0.8390098, 0.8997585");
+						values("1.38991, 1.26385, 1.17981, 1.26385, 1.38991");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("0.567428");
+						index_3("0.001");
+						index_4("0.2919827, 0.3196240, 0.4245786, 0.5124911, 0.5558410");
+						values("1.38841, 1.26145, 1.17681, 1.26145, 1.38841");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.37949");
+						index_3("0.001");
+						index_4("0.1970661, 0.2181356, 0.3054647, 0.3583264, 0.3911840");
+						values("1.38625, 1.258, 1.1725, 1.258, 1.38625");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.70228");
+						index_3("0.001");
+						index_4("0.8465674, 0.9156882, 1.2596035, 1.4864485, 1.5869335");
+						values("1.01412, 0.662585, 0.428231, 0.662585, 1.01412");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035033;
+			capacitance : 0.035678;
+			rise_capacitance : 0.036324;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7043819, -3.5694831, -3.4562177, -3.3560194, -3.2862366, -3.2196478, -3.1599318", \
+					  "-4.1364505, -4.0091812, -3.8944081, -3.7943734, -3.7192262, -3.6590170, -3.6042078", \
+					  "-4.6010362, -4.4677943, -4.3534587, -4.2498038, -4.1506858, -4.1120931, -4.0565864", \
+					  "-5.0487989, -4.9139001, -4.7958155, -4.7464918, -4.6260761, -4.5644352, -4.5058750", \
+					  "-5.4581483, -5.3232491, -5.2088775, -5.1082607, -5.0338993, -4.9744854, -4.9138153", \
+					  "-5.8407641, -5.7137954, -5.5877806, -5.4924018, -5.4241524, -5.3593349, -5.2890125", \
+					  "-6.1996685, -6.0647698, -5.9586267, -5.8802232, -5.7772895, -5.7019529, -5.6567446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4089106, -2.2999480, -2.1575876, -3.1190623, -1.8561995, -1.7445084, -1.6287131", \
+					  "-2.8501874, -2.7427504, -2.5870032, -2.4198116, -2.3046373, -3.2304567, -3.0604387", \
+					  "-3.3056413, -3.1966787, -3.0503735, -2.9106357, -2.7521389, -2.6417430, -2.5133761", \
+					  "-3.7397860, -4.8347417, -3.4988763, -3.3292202, -3.2041478, -3.0964086, -2.9276439", \
+					  "-4.1432584, -4.0205627, -3.8776717, -4.8441377, -3.6030427, -3.4785132, -3.3035316", \
+					  "-4.5077925, -4.3827082, -4.2359846, -4.1054357, -3.9641283, -4.8626669, -3.6850149", \
+					  "-4.9284858, -4.8027384, -4.6501658, -4.4889663, -4.3769838, -4.2318373, -4.0925931");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9998252, 3.8588220, 3.7455894, 3.6631092, 3.5603165, 3.4834325, 3.4094412", \
+					  "4.4152804, 4.2941133, 4.1806059, 4.1009225, 3.9879787, 3.9145281, 3.8633766", \
+					  "4.8860474, 4.7620399, 4.6238998, 4.5510016, 4.4663751, 4.3727060, 4.3177677", \
+					  "5.3218534, 5.1915310, 5.0719205, 4.9854252, 4.9116576, 4.8176674, 4.7472948", \
+					  "5.7102343, 5.5832310, 5.4877665, 5.3605265, 5.2829330, 5.2304626, 5.1383171", \
+					  "6.1177022, 5.9477075, 5.8876200, 5.7367536, 5.6415719, 5.6262806, 5.5095451", \
+					  "6.5048973, 6.3537134, 6.2512007, 6.1389061, 6.0608108, 5.9879331, 5.9288905");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7389023, 3.5670554, 3.3699868, 3.1740564, 2.9911596, 2.8379888, 2.6749390", \
+					  "4.1995849, 4.0292639, 3.8231962, 3.6283654, 3.4513557, 3.3103467, 3.1264747", \
+					  "4.6459985, 4.4756770, 4.2789202, 4.0811668, 3.8938047, 3.7435515, 3.5865698", \
+					  "5.0700984, 4.9284752, 4.7003439, 4.5020510, 4.3277948, 4.1960851, 4.0244024", \
+					  "5.5127017, 5.3408547, 5.1260723, 4.9407268, 4.7668543, 4.6072029, 4.4283158", \
+					  "5.8976262, 5.7257792, 5.5273775, 5.3280156, 5.1508680, 4.9824370, 4.8256224", \
+					  "6.2357326, 6.0654111, 5.8707699, 5.6592858, 5.4958312, 5.3561731, 5.1578278");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1100509, 0.1402729, 0.1704948, 0.2003868, 0.2290831, 0.2589750, 0.2888670");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1367426, 0.1896642, 0.2425858, 0.2469757, 0.2511901, 0.2555800, 0.2599699");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00217425";
+				miller_cap_rise : "0.0017395";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10609, 0.943219, 0.883767, 0.852949, 0.814525, 0.792354, 0.768196, 0.742049, 0.713916, 0.683803, 0.651716, 0.617663, 0.581652, 0.543691, 0.503788, 0.461953, 0.418194, 0.372521, 0.324943, 0.27547, 0.22411, 0.170873, 0.11577, 0.0588089, -6.62279e-09, -0.118939, -0.237725, -0.634801, -2.57728", \
+					  "2.87144, 0.531706, 0.512266, 0.504404, 0.495377, 0.490257, 0.484591, 0.478213, 0.47087, 0.462178, 0.451599, 0.438585, 0.422766, 0.403978, 0.382161, 0.357306, 0.329423, 0.298529, 0.264647, 0.2278, 0.188014, 0.145314, 0.0997279, 0.051281, -6.69173e-09, -0.10701, -0.217611, -0.607544, -2.58107", \
+					  "2.73578, 0.277813, 0.26536, 0.261646, 0.257654, 0.255527, 0.253294, 0.250934, 0.248422, 0.245724, 0.242793, 0.239562, 0.235926, 0.231707, 0.226563, 0.219797, 0.21038, 0.197502, 0.180827, 0.160271, 0.135836, 0.10755, 0.0754535, 0.0395884, -6.80193e-09, -0.0875096, -0.183691, -0.55315, -2.57609", \
+					  "2.70013, 0.217932, 0.190158, 0.187392, 0.184466, 0.18293, 0.181334, 0.179671, 0.177926, 0.176086, 0.17413, 0.17203, 0.169747, 0.167222, 0.164362, 0.160988, 0.156695, 0.150562, 0.141313, 0.128153, 0.110837, 0.0893311, 0.0636595, 0.0338658, -6.85627e-09, -0.0778857, -0.166883, -0.525442, -2.57255", \
+					  "2.67182, 0.1905, 0.122861, 0.120909, 0.118876, 0.117822, 0.116739, 0.115622, 0.114466, 0.113265, 0.11201, 0.110689, 0.10929, 0.107791, 0.106163, 0.10436, 0.102304, 0.099817, 0.0963981, 0.0907767, 0.0814647, 0.0678035, 0.0496598, 0.0270434, -6.92147e-09, -0.0663757, -0.146753, -0.491869, -2.56785", \
+					  "2.66103, 0.189001, 0.093186, 0.0915994, 0.0899587, 0.0891137, 0.0882492, 0.0873627, 0.0864507, 0.0855091, 0.0845328, 0.0835153, 0.0824479, 0.0813191, 0.0801128, 0.0788052, 0.0773584, 0.0757017, 0.0736626, 0.0706689, 0.0652212, 0.055779, 0.0417964, 0.023194, -6.95826e-09, -0.0598622, -0.135348, -0.472673, -2.56502", \
+					  "2.65282, 0.195727, 0.0667594, 0.0655062, 0.0642261, 0.0635709, 0.0629038, 0.0622232, 0.0615271, 0.0608132, 0.0600783, 0.0593189, 0.05853, 0.0577054, 0.0568366, 0.0559113, 0.0549116, 0.0538075, 0.0525394, 0.0509404, 0.0483483, 0.0430006, 0.0333718, 0.019049, -6.99894e-09, -0.0528239, -0.123011, -0.451759, -2.5618", \
+					  "2.64748, 0.210785, 0.0441278, 0.0431181, 0.0421683, 0.0416852, 0.0411957, 0.0406989, 0.0401937, 0.0396788, 0.0391527, 0.0386133, 0.0380584, 0.0374845, 0.0368877, 0.036262, 0.0355993, 0.034887, 0.0341034, 0.0332017, 0.0320242, 0.0297942, 0.0244669, 0.0146262, -7.05707e-09, -0.0452568, -0.109725, -0.429044, -2.55809", \
+					  "2.64532, 0.234259, 0.0262194, 0.0249917, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230094, 0.0226672, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181092, 0.0173181, 0.0153837, 0.0100039, -7.42796e-09, -0.0371739, -0.095493, -0.404448, -2.55305", \
+					  "2.64676, 0.266248, 0.0153565, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.0096315, 0.00942346, 0.00921144, 0.0089944, 0.00877084, 0.00853856, 0.00829397, 0.00803008, 0.0077268, 0.00725593, 0.00547698, -2.29814e-08, -0.028626, -0.0803558, -0.377899, -2.54525", \
+					  "2.65217, 0.306708, 0.0156899, 0.00423619, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285059, 0.00275885, 0.00266549, 0.00256978, 0.00247049, 0.00236484, 0.00224158, 0.00195401, -3.98099e-07, -0.0197767, -0.0644516, -0.349338, -2.53688", \
+					  "2.6613, 0.354364, 0.0283608, 0.00200111, 0.000984578, 0.000953294, 0.000924644, 0.000896207, 0.00086792, 0.000839766, 0.000811735, 0.000783813, 0.000755988, 0.00072824, 0.000700551, 0.000672893, 0.000645228, 0.000617508, 0.000589661, 0.00056158, 0.00053308, 0.000503783, 0.000472481, 0.000427441, -6.96082e-06, -0.0112028, -0.0482156, -0.318761, -2.52792", \
+					  "2.67283, 0.40642, 0.0500367, 0.00492732, 0.000213863, 0.000170622, 0.000159697, 0.000149773, 0.000140108, 0.000130577, 0.000121137, 0.000111768, 0.000102453, 9.31882e-05, 8.39629e-05, 7.47718e-05, 6.56066e-05, 5.64605e-05, 4.73178e-05, 3.81621e-05, 2.89627e-05, 1.96596e-05, 1.00691e-05, -1.31579e-06, -8.81718e-05, -0.00461365, -0.0327962, -0.286392, -2.51844", \
+					  "2.68576, 0.460811, 0.0775249, 0.0148038, 4.03949e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374846, -0.000395172, -0.000415324, -0.000435359, -0.000455312, -0.000475209, -0.000495068, -0.0005149, -0.000534722, -0.000554536, -0.00057436, -0.000594208, -0.000614113, -0.000634333, -0.000668083, -0.00190926, -0.020105, -0.253221, -2.5088", \
+					  "2.69976, 0.516621, 0.108753, 0.0308962, 5.30601e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305515, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.00344759, -0.00385041, -0.0130621, -0.22201, -2.50034", \
+					  "2.71479, 0.573367, 0.141946, 0.0496878, 4.21304e-07, -0.00705462, -0.00845087, -0.00891356, -0.00923962, -0.00951923, -0.00977583, -0.0100188, -0.0102528, -0.0104804, -0.0107034, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124052, -0.0126129, -0.0128202, -0.0132813, -0.0168565, -0.198127, -2.49607", \
+					  "2.73087, 0.630682, 0.175505, 0.0687438, 2.5947e-08, -0.0163332, -0.0227546, -0.0246049, -0.0255367, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282854, -0.0287327, -0.0291667, -0.0295904, -0.0300058, -0.0304146, -0.0308178, -0.0312164, -0.0316112, -0.0320028, -0.0323917, -0.0327785, -0.0335554, -0.0350696, -0.18617, -2.49856", \
+					  "2.74808, 0.688247, 0.208339, 0.0872477, 2.79597e-09, -0.0268105, -0.0422271, -0.0484676, -0.0509589, -0.0524511, -0.0535829, -0.0545387, -0.0553918, -0.0561782, -0.0569179, -0.0576234, -0.0583026, -0.0589613, -0.0596033, -0.0602317, -0.0608487, -0.0614562, -0.0620556, -0.0626484, -0.0632356, -0.0643987, -0.0656982, -0.186797, -2.50817", \
+					  "2.76649, 0.745744, 0.239934, 0.104965, 1.5647e-09, -0.0371686, -0.0628067, -0.0771414, -0.0833792, -0.0864774, -0.088528, -0.090125, -0.0914789, -0.0926832, -0.0937873, -0.0948196, -0.0957983, -0.0967355, -0.0976398, -0.0985171, -0.0993724, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105775, -0.199256, -2.52424", \
+					  "2.78623, 0.802852, 0.270111, 0.121826, 1.48659e-09, -0.0470638, -0.0828508, -0.107029, -0.12017, -0.126438, -0.130051, -0.132612, -0.134657, -0.136404, -0.13796, -0.139383, -0.14071, -0.141964, -0.14316, -0.14431, -0.145423, -0.146504, -0.147558, -0.14859, -0.149604, -0.151586, -0.153532, -0.222818, -2.54598", \
+					  "2.80745, 0.859228, 0.298828, 0.137816, 1.46745e-09, -0.0564182, -0.101943, -0.13614, -0.15848, -0.170361, -0.17665, -0.180681, -0.183689, -0.186144, -0.188262, -0.190155, -0.191888, -0.193502, -0.195024, -0.196473, -0.197863, -0.199205, -0.200506, -0.201772, -0.20301, -0.205416, -0.207757, -0.256824, -2.57268", \
+					  "2.83032, 0.914506, 0.326092, 0.152942, 1.45317e-09, -0.0652237, -0.119983, -0.163846, -0.196079, -0.216072, -0.226786, -0.233082, -0.237446, -0.240834, -0.243656, -0.246114, -0.248322, -0.250347, -0.252233, -0.254011, -0.255703, -0.257323, -0.258885, -0.260397, -0.261867, -0.264707, -0.267449, -0.300644, -2.60368", \
+					  "2.85509, 0.968298, 0.351929, 0.167224, 1.44275e-09, -0.0734931, -0.136963, -0.190002, -0.231926, -0.261461, -0.278809, -0.288576, -0.29487, -0.299496, -0.303205, -0.306347, -0.309112, -0.311607, -0.313902, -0.316044, -0.318063, -0.319983, -0.321821, -0.323592, -0.325305, -0.328592, -0.331741, -0.353694, -2.6384", \
+					  "2.88203, 1.02021, 0.376376, 0.180687, 1.43804e-09, -0.0812468, -0.152908, -0.214602, -0.265734, -0.30505, -0.330989, -0.345893, -0.354947, -0.361231, -0.366064, -0.370039, -0.37346, -0.376496, -0.379252, -0.381795, -0.384171, -0.386414, -0.388548, -0.390591, -0.392558, -0.396307, -0.399873, -0.415297, -2.67631", \
+					  "2.91152, 1.06988, 0.399474, 0.19336, 1.44135e-09, -0.0885078, -0.167854, -0.237686, -0.297485, -0.346198, -0.38175, -0.403704, -0.416678, -0.425201, -0.431471, -0.436466, -0.440664, -0.444324, -0.4476, -0.450589, -0.453356, -0.455948, -0.458397, -0.460729, -0.462962, -0.467192, -0.471184, -0.484143, -2.71697", \
+					  "2.97977, 1.1615, 0.4418, 0.216461, 1.48375e-09, -0.101649, -0.194932, -0.279547, -0.35509, -0.42086, -0.475289, -0.515504, -0.540996, -0.556656, -0.56719, -0.575006, -0.581239, -0.586464, -0.591001, -0.595042, -0.598711, -0.602092, -0.605244, -0.60821, -0.611021, -0.616275, -0.621158, -0.634841, -2.80502", \
+					  "3.04612, 1.24231, 0.479282, 0.236781, 1.59845e-09, -0.113106, -0.218566, -0.316118, -0.405436, -0.486027, -0.556913, -0.615872, -0.659389, -0.687414, -0.705206, -0.717466, -0.726675, -0.734053, -0.740244, -0.745613, -0.750385, -0.754707, -0.758678, -0.762368, -0.765829, -0.772213, -0.778054, -0.79385, -2.89992", \
+					  "3.21153, 1.4283, 0.566484, 0.283526, 2.75987e-09, -0.139117, -0.272303, -0.399389, -0.520182, -0.634451, -0.741871, -0.841896, -0.933431, -1.01408, -1.07955, -1.12665, -1.15823, -1.17996, -1.19591, -1.20836, -1.21855, -1.2272, -1.23474, -1.24144, -1.24751, -1.25821, -1.26754, -1.29081, -3.20128", \
+					  "3.41027, 1.6049, 0.648484, 0.326739, 1.00728e-08, -0.162792, -0.321456, -0.475906, -0.626049, -0.771786, -0.913005, -1.04957, -1.18132, -1.308, -1.42921, -1.54421, -1.65152, -1.74829, -1.83005, -1.89325, -1.93912, -1.97235, -1.99733, -2.01696, -2.033, -2.05825, -2.07785, -2.12007, -3.70852");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0766252, 0.1443729, 0.2175079, 0.3027121, 0.4273146");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1479861, 0.2157110, 0.2889447, 0.3738479, 0.4978575");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0267871, 0.0462872, 0.0662096, 0.0866975, 0.1162931");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0984737, 0.1179702, 0.1378280, 0.1583835, 0.1878842");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.9016");
+						index_3("0.001");
+						index_4("6.9541410, 7.3391498, 8.8528809, 10.0997899, 10.4163950");
+						values("0.419988, 0.671981, 0.839976, 0.671981, 0.419988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.49114");
+						index_3("0.001");
+						index_4("4.2554720, 4.5216267, 5.7295876, 6.3779119, 6.5905454");
+						values("0.432901, 0.692641, 0.865801, 0.692641, 0.432901");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.73725");
+						index_3("0.001");
+						index_4("2.8810213, 3.0811243, 3.9529765, 4.4247611, 4.5821423");
+						values("0.437101, 0.699362, 0.874203, 0.699362, 0.437101");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.95081");
+						index_3("0.001");
+						index_4("3.5148237, 3.7304946, 4.4896486, 5.0767527, 5.2377423");
+						values("0.221735, 0.354776, 0.44347, 0.354776, 0.221735");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.24557");
+						index_3("0.001");
+						index_4("2.1560476, 2.3049820, 2.8418689, 3.1984489, 3.3125169");
+						values("0.22843, 0.365488, 0.45686, 0.365488, 0.22843");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.86862");
+						index_3("0.001");
+						index_4("1.4641907, 1.5768628, 2.0255541, 2.2240450, 2.3059591");
+						values("0.230231, 0.368369, 0.460461, 0.368369, 0.230231");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.7367");
+						index_3("0.001");
+						index_4("6.3394863, 6.7282359, 8.5444503, 9.5258727, 9.8482833");
+						values("0.603941, 0.966306, 1.20788, 0.966306, 0.603941");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.62845");
+						index_3("0.001");
+						index_4("1.3275445, 1.4457187, 2.0005622, 2.3521917, 2.4979574");
+						values("1.1656, 0.904965, 0.731206, 0.904965, 1.1656");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.53128");
+						index_3("0.001");
+						index_4("0.7772650, 0.8568353, 1.2324570, 1.4345538, 1.5335758");
+						values("1.16029, 0.896463, 0.720579, 0.896463, 1.16029");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.04215");
+						index_3("0.001");
+						index_4("0.5308896, 0.5903220, 0.8176050, 1.0107610, 1.0894645");
+						values("1.15884, 0.89414, 0.717675, 0.89414, 1.15884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.31423");
+						index_3("0.001");
+						index_4("0.6741472, 0.7375558, 0.9865233, 1.1934942, 1.2788755");
+						values("1.39177, 1.26683, 1.18353, 1.26683, 1.39177");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.765642");
+						index_3("0.001");
+						index_4("0.3961692, 0.4386193, 0.6483293, 0.7320630, 0.7922588");
+						values("1.39127, 1.26603, 1.18254, 1.26603, 1.39127");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.521075");
+						index_3("0.001");
+						index_4("0.2721006, 0.3050607, 0.4431317, 0.5185584, 0.5705949");
+						values("1.38996, 1.26393, 1.17991, 1.26393, 1.38996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.29693");
+						index_3("0.001");
+						index_4("1.1597463, 1.2756429, 1.8122408, 2.1256938, 2.2702790");
+						values("0.930507, 0.528811, 0.261014, 0.528811, 0.930507");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009441;
+			capacitance : 0.009687;
+			rise_capacitance : 0.009934;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0040147, 0.0182602, 0.0405350, 0.2355953, 0.4228531, 0.6179133, 0.8129736");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352494, 0.0506913, 0.0661331, 0.1050723, 0.1424539, 0.1813931, 0.2203323");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_H"; */
+				miller_cap_fall : "0.00155884";
+				miller_cap_rise : "0.00118822";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("2.71446, 0.263742, 0.248352, 0.243264, 0.237113, 0.233476, 0.229329, 0.224531, 0.218913, 0.212336, 0.204759, 0.196229, 0.186787, 0.176446, 0.165204, 0.153049, 0.139967, 0.125943, 0.11096, 0.0950027, 0.0780536, 0.0600961, 0.041113, 0.0210869, -4.0142e-09, -0.0440901, -0.0901134, -0.257539, -1.62616", \
+					  "2.62712, 0.13893, 0.127312, 0.125302, 0.123029, 0.121765, 0.120395, 0.118897, 0.117243, 0.115391, 0.113286, 0.110845, 0.107947, 0.104425, 0.1001, 0.0948481, 0.0886098, 0.0813525, 0.0730507, 0.0636805, 0.053219, 0.0416433, 0.0289304, 0.0150571, -3.68788e-09, -0.0327248, -0.0683652, -0.206877, -1.61764", \
+					  "2.58304, 0.0759242, 0.0645753, 0.0636247, 0.0625836, 0.0620213, 0.0614258, 0.0607915, 0.0601118, 0.0593779, 0.0585784, 0.0576979, 0.0567147, 0.0555974, 0.0542977, 0.0527362, 0.0507735, 0.0481783, 0.0446924, 0.040158, 0.0345049, 0.0276931, 0.0196911, 0.0104696, -1.65415e-09, -0.0241422, -0.0520794, -0.168696, -1.61105", \
+					  "2.57097, 0.0590565, 0.0467188, 0.0460288, 0.0452816, 0.044882, 0.0444618, 0.0440179, 0.0435466, 0.043043, 0.042501, 0.0419125, 0.0412666, 0.0405478, 0.0397339, 0.0387899, 0.0376562, 0.0362177, 0.0342423, 0.0314116, 0.0275151, 0.0224659, 0.0162193, 0.00874116, -2.98091e-09, -0.0209227, -0.0460008, -0.154359, -1.60869", \
+					  "2.56067, 0.0459863, 0.0309775, 0.030507, 0.0300036, 0.0297371, 0.0294591, 0.0291679, 0.0288615, 0.0285376, 0.0281931, 0.0278241, 0.0274255, 0.0269904, 0.026509, 0.0259673, 0.0253429, 0.0245972, 0.0236481, 0.0222892, 0.0201457, 0.0169303, 0.0125321, 0.00690091, -3.10643e-09, -0.0175038, -0.0395637, -0.139118, -1.60622", \
+					  "2.55625, 0.0411709, 0.0240366, 0.0236609, 0.0232617, 0.0230516, 0.0228332, 0.0226056, 0.0223672, 0.0221166, 0.0218517, 0.0215698, 0.0212678, 0.020941, 0.0205834, 0.0201865, 0.019737, 0.0192136, 0.0185745, 0.0177151, 0.0163451, 0.0140489, 0.0106049, 0.00593616, -3.81476e-09, -0.0157158, -0.0362051, -0.131141, -1.60495", \
+					  "2.55236, 0.0374698, 0.0177945, 0.017504, 0.0171977, 0.0170375, 0.0168717, 0.0166996, 0.0165205, 0.0163332, 0.0161364, 0.0159286, 0.0157076, 0.0154708, 0.0152145, 0.0149335, 0.0146207, 0.0142642, 0.0138439, 0.0133142, 0.0125309, 0.0111004, 0.00862072, 0.0049395, -3.88744e-09, -0.0138737, -0.0327507, -0.122924, -1.60366", \
+					  "2.54904, 0.0348784, 0.0123151, 0.0121, 0.0118757, 0.0117591, 0.0116391, 0.0115152, 0.0113869, 0.0112537, 0.0111147, 0.0109689, 0.0108153, 0.0106522, 0.0104776, 0.0102888, 0.0100818, 0.00985069, 0.00958595, 0.00926897, 0.00884838, 0.00811574, 0.00658064, 0.00390841, -1.83682e-09, -0.0119759, -0.0291996, -0.114479, -1.60236", \
+					  "2.54633, 0.0334143, 0.00767829, 0.00752697, 0.00737404, 0.00729518, 0.00721445, 0.00713163, 0.00704644, 0.00695855, 0.00686757, 0.006773, 0.00667423, 0.00657049, 0.00646075, 0.00634366, 0.00621737, 0.00607919, 0.00592498, 0.00574753, 0.00553094, 0.0052152, 0.00450015, 0.00284175, -2.15278e-09, -0.0100209, -0.0255525, -0.105839, -1.60106", \
+					  "2.54431, 0.0331543, 0.0040263, 0.00391637, 0.003824, 0.00377685, 0.00372891, 0.00368007, 0.00363022, 0.00357922, 0.0035269, 0.00347305, 0.00341742, 0.00335968, 0.00329943, 0.00323613, 0.00316905, 0.00309718, 0.00301901, 0.00293216, 0.00283215, 0.00270686, 0.00248527, 0.00175751, -4.2949e-09, -0.00800668, -0.021814, -0.0970701, -1.59978", \
+					  "2.54314, 0.0342751, 0.00164799, 0.0014945, 0.00144937, 0.00142685, 0.00140413, 0.00138118, 0.00135797, 0.00133444, 0.00131055, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115507, 0.00112577, 0.00109476, 0.00106146, 0.00102488, 0.000982936, 0.000927799, 0.000769705, -1.16892e-08, -0.00593466, -0.0179983, -0.0882592, -1.59853", \
+					  "2.5429, 0.0368472, 0.000919705, 0.000366696, 0.00034858, 0.000341216, 0.000333855, 0.000326479, 0.00031908, 0.000311651, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265826, 0.000257806, 0.000249586, 0.000241109, 0.00023228, 0.000222957, 0.000212863, 0.000201258, 0.000181869, -5.40064e-08, -0.00383664, -0.0141488, -0.0794999, -1.59731", \
+					  "2.5433, 0.0403722, 0.00179854, 7.91151e-05, 5.63979e-05, 5.47951e-05, 5.32903e-05, 5.17959e-05, 5.03058e-05, 4.88185e-05, 4.73338e-05, 4.58482e-05, 4.43621e-05, 4.28747e-05, 4.13809e-05, 3.9879e-05, 3.83638e-05, 3.68314e-05, 3.52769e-05, 3.36882e-05, 3.20451e-05, 3.03235e-05, 2.84434e-05, 2.59313e-05, -3.01094e-07, -0.00190435, -0.0103782, -0.0708745, -1.59613", \
+					  "2.54391, 0.0441927, 0.00372817, 0.00012705, 7.16368e-06, 6.29377e-06, 5.97967e-06, 5.70456e-06, 5.43896e-06, 5.17852e-06, 4.92171e-06, 4.66598e-06, 4.41287e-06, 4.16012e-06, 3.90763e-06, 3.65584e-06, 3.40227e-06, 3.14832e-06, 2.89319e-06, 2.63419e-06, 2.36522e-06, 2.09623e-06, 1.80532e-06, 1.44537e-06, -1.76024e-06, -0.000610603, -0.00688218, -0.0624488, -1.595", \
+					  "2.54455, 0.0479886, 0.00621157, 0.00059878, 7.76817e-07, -3.2311e-06, -3.91512e-06, -4.36889e-06, -4.76524e-06, -5.13415e-06, -5.48933e-06, -5.83479e-06, -6.1735e-06, -6.50728e-06, -6.83731e-06, -7.16434e-06, -7.48882e-06, -7.81479e-06, -8.13881e-06, -8.4602e-06, -8.78696e-06, -9.10629e-06, -9.43195e-06, -9.76904e-06, -1.04024e-05, -0.000127335, -0.00389877, -0.0542766, -1.59392", \
+					  "2.54518, 0.0516055, 0.00884674, 0.00174935, 8.30275e-08, -2.45783e-05, -2.86727e-05, -3.12183e-05, -3.33809e-05, -3.53697e-05, -3.72573e-05, -3.90783e-05, -4.08524e-05, -4.25922e-05, -4.4306e-05, -4.59998e-05, -4.7678e-05, -4.9344e-05, -5.10001e-05, -5.26484e-05, -5.42902e-05, -5.59315e-05, -5.75671e-05, -5.92002e-05, -6.08603e-05, -8.07423e-05, -0.00174215, -0.0464329, -1.59293", \
+					  "2.54577, 0.0549366, 0.0112934, 0.00325695, 1.39572e-08, -0.000150777, -0.000177561, -0.000191506, -0.00020247, -0.000212117, -0.000220995, -0.000229361, -0.000237359, -0.000245078, -0.000252579, -0.000259905, -0.000267089, -0.000274154, -0.000281118, -0.000287998, -0.000294803, -0.000301545, -0.000308231, -0.00031487, -0.000321468, -0.000336659, -0.000822061, -0.039132, -1.59217", \
+					  "2.54632, 0.0579221, 0.0133986, 0.00467055, 7.29352e-09, -0.000595314, -0.000742545, -0.000799816, -0.000838, -0.000868809, -0.000895602, -0.000919813, -0.000942204, -0.000963233, -0.000983205, -0.00100233, -0.00102076, -0.00103861, -0.00105597, -0.00107291, -0.00108948, -0.00110574, -0.00112171, -0.00113742, -0.00115291, -0.00118354, -0.00130125, -0.0327648, -1.59188", \
+					  "2.54681, 0.0605565, 0.0151715, 0.00585415, 6.65239e-09, -0.0012839, -0.0017784, -0.00195375, -0.00204808, -0.00211589, -0.00217098, -0.00221848, -0.00226089, -0.0022996, -0.00233552, -0.00236925, -0.0024012, -0.00243169, -0.00246095, -0.00248918, -0.0025165, -0.00254304, -0.00256889, -0.00259414, -0.00261884, -0.00266685, -0.00272685, -0.0274178, -1.59205", \
+					  "2.54725, 0.0628712, 0.0166693, 0.0068289, 6.58674e-09, -0.00195978, -0.00299402, -0.00342708, -0.00362709, -0.00375215, -0.00384591, -0.00392272, -0.00398886, -0.0040476, -0.00410091, -0.00415005, -0.00419589, -0.00423905, -0.00427999, -0.00431907, -0.00435655, -0.00439265, -0.00442756, -0.00446141, -0.00449433, -0.00455775, -0.00462038, -0.0229886, -1.59252", \
+					  "2.54763, 0.0649106, 0.0179477, 0.00763841, 6.57671e-09, -0.0025354, -0.004146, -0.00498844, -0.00538167, -0.00559739, -0.00574415, -0.00585741, -0.00595109, -0.00603191, -0.00610362, -0.00616855, -0.0062282, -0.00628366, -0.0063357, -0.00638488, -0.00643166, -0.00647639, -0.00651933, -0.00656072, -0.00660074, -0.00667727, -0.00675012, -0.019521, -1.59318", \
+					  "2.54796, 0.066718, 0.0190505, 0.00831947, 6.57268e-09, -0.00301078, -0.0051431, -0.00646468, -0.00716398, -0.00752735, -0.00775022, -0.00791017, -0.00803635, -0.00814168, -0.00823288, -0.00831388, -0.00838717, -0.00845443, -0.00851686, -0.00857531, -0.00863045, -0.00868278, -0.0087327, -0.00878054, -0.00882654, -0.00891389, -0.00899612, -0.0171886, -1.59395", \
+					  "2.54826, 0.0683301, 0.0200116, 0.00889982, 6.56974e-09, -0.00340331, -0.00598009, -0.00776413, -0.00884943, -0.00944086, -0.00977783, -0.0100005, -0.0101663, -0.0102994, -0.0104114, -0.0105088, -0.0105955, -0.010674, -0.010746, -0.0108127, -0.0108752, -0.010934, -0.0109898, -0.0110429, -0.0110938, -0.0111896, -0.0112791, -0.0161685, -1.59478", \
+					  "2.54852, 0.0697772, 0.0208566, 0.00940005, 6.56732e-09, -0.00373006, -0.00667807, -0.00886824, -0.0103514, -0.0112447, -0.0117514, -0.0120621, -0.0122783, -0.0124437, -0.0125784, -0.0126926, -0.0127923, -0.0128812, -0.0129618, -0.0130358, -0.0131044, -0.0131685, -0.0132288, -0.013286, -0.0133404, -0.0134423, -0.0135366, -0.0164087, -1.59564", \
+					  "2.54876, 0.0710838, 0.0216055, 0.0098356, 6.56527e-09, -0.00400487, -0.00726171, -0.00979414, -0.0116384, -0.012862, -0.0135981, -0.0140343, -0.0143173, -0.0145217, -0.0146814, -0.0148129, -0.0149251, -0.0150235, -0.0151114, -0.0151912, -0.0152644, -0.0153323, -0.0153958, -0.0154556, -0.0155121, -0.0156172, -0.0157137, -0.0175682, -1.59651", \
+					  "2.54916, 0.0733506, 0.0228739, 0.0105569, 6.56198e-09, -0.00443877, -0.00816953, -0.0112193, -0.0136215, -0.0154194, -0.0166733, -0.0174779, -0.017971, -0.0182865, -0.0185073, -0.0186748, -0.0188094, -0.018922, -0.0190192, -0.0191048, -0.0191816, -0.0192515, -0.0193156, -0.0193751, -0.0194307, -0.0195324, -0.019624, -0.0208726, -1.59822", \
+					  "2.54948, 0.07525, 0.0239071, 0.0111295, 6.55946e-09, -0.00476373, -0.00883304, -0.0122351, -0.0150033, -0.01718, -0.0188185, -0.0199843, -0.0207588, -0.0212449, -0.0215513, -0.021758, -0.0219092, -0.0220271, -0.0221235, -0.022205, -0.0222757, -0.0223383, -0.0223945, -0.0224457, -0.0224927, -0.022577, -0.0226513, -0.0237717, -1.59978", \
+					  "2.55015, 0.0794569, 0.0261038, 0.0123039, 6.55454e-09, -0.00537566, -0.01003, -0.0139757, -0.0172294, -0.0198181, -0.0217919, -0.023239, -0.0242791, -0.025029, -0.0255749, -0.0259714, -0.0262525, -0.0264433, -0.0265689, -0.0266531, -0.026713, -0.0267585, -0.0267948, -0.0268249, -0.0268508, -0.0268935, -0.0269283, -0.027928, -1.60237", \
+					  "2.55071, 0.0836557, 0.0281803, 0.0133623, 6.55038e-09, -0.00586628, -0.0109293, -0.0151802, -0.0186135, -0.0212442, -0.0231467, -0.0244802, -0.0254326, -0.0261466, -0.0267085, -0.0271683, -0.027555, -0.0278867, -0.0281747, -0.0284262, -0.0286457, -0.0288358, -0.0289976, -0.0291311, -0.0292364, -0.0293695, -0.0294358, -0.0304395, -1.60403");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1319318, 0.2388661, 0.3445142, 0.4559897, 0.6160467");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2095338, 0.3164698, 0.4220795, 0.5333810, 0.6935154");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2532284, 0.4409282, 0.6303947, 0.8372298, 1.1441795");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3109820, 0.4984489, 0.6871742, 0.8945616, 1.2026180");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("22.6524");
+						index_3("0.001");
+						index_4("11.4597951, 12.1770100, 16.5012127, 18.3582729, 19.1446841");
+						values("0.470114, 0.752183, 0.940228, 0.752183, 0.470114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("13.0954");
+						index_3("0.001");
+						index_4("6.6540111, 7.1380961, 9.6775703, 11.0125008, 11.5573065");
+						values("0.481259, 0.770015, 0.962518, 0.770015, 0.481259");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("8.74077");
+						index_3("0.001");
+						index_4("4.4608198, 4.8210121, 6.7452998, 7.5703425, 7.9903046");
+						values("0.487861, 0.780577, 0.975721, 0.780577, 0.487861");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("11.3262");
+						index_3("0.001");
+						index_4("5.8114680, 6.2448606, 8.4230301, 9.2844781, 9.7000872");
+						values("0.228679, 0.365887, 0.457359, 0.365887, 0.228679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("6.54772");
+						index_3("0.001");
+						index_4("3.3881103, 3.6797992, 5.0701654, 5.5738752, 5.8762329");
+						values("0.230416, 0.368666, 0.460832, 0.368666, 0.230416");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("4.37039");
+						index_3("0.001");
+						index_4("2.2819859, 2.5032272, 3.3340566, 3.8459980, 4.0830431");
+						values("0.231071, 0.369714, 0.462143, 0.369714, 0.231071");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("19.6432");
+						index_3("0.001");
+						index_4("9.8951663, 10.5471351, 14.5192578, 16.4235450, 17.2067636");
+						values("0.705296, 1.12847, 1.41059, 1.12847, 0.705296");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("37.3754");
+						index_3("0.001");
+						index_4("18.8442667, 20.0872260, 27.0706594, 30.0332137, 31.0007880");
+						values("1.1711, 0.883763, 0.692204, 0.883763, 1.1711");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("21.2218");
+						index_3("0.001");
+						index_4("10.7392540, 11.5658689, 16.0016465, 17.6542240, 18.2768357");
+						values("1.15403, 0.856452, 0.658065, 0.856452, 1.15403");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("14.0398");
+						index_3("0.001");
+						index_4("7.1347059, 7.7741213, 10.8126884, 11.9930814, 12.4478364");
+						values("1.14557, 0.842917, 0.641147, 0.842917, 1.14557");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.6877");
+						index_3("0.001");
+						index_4("9.5662354, 10.3012048, 13.8528391, 15.1331031, 15.6279728");
+						values("1.40825, 1.26321, 1.16651, 1.26321, 1.40825");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.6109");
+						index_3("0.001");
+						index_4("5.4837108, 5.9858733, 8.2039161, 8.9036135, 9.2242013");
+						values("1.40279, 1.25446, 1.15558, 1.25446, 1.40279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("7.0199");
+						index_3("0.001");
+						index_4("3.6599522, 4.0318475, 5.6178392, 6.0544869, 6.2895494");
+						values("1.40079, 1.25126, 1.15158, 1.25126, 1.40079");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.8327");
+						index_3("0.001");
+						index_4("15.9441740, 17.0712557, 23.6699244, 26.3810721, 27.2847679");
+						values("0.946351, 0.524162, 0.242703, 0.524162, 0.946351");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006154;
+			capacitance : 0.006304;
+			rise_capacitance : 0.006454;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1463138, 4.0020665, 3.8751218, 3.7767836, 3.6900163, 3.6360970, 3.5550232", \
+					  "4.5892448, 4.4449980, 4.3240774, 4.2170501, 4.1253341, 4.0530115, 4.0010402", \
+					  "5.0478100, 4.9035627, 4.7810882, 4.6797999, 4.5930382, 4.4993691, 4.4699087", \
+					  "5.4821021, 5.3348031, 5.2111345, 5.1095175, 5.0227527, 4.9321354, 4.8912956", \
+					  "5.8689718, 5.7262500, 5.5978580, 5.5009617, 5.4126746, 5.3492204, 5.2925116", \
+					  "6.2352588, 6.0884283, 5.9642094, 5.8672452, 5.7774361, 5.7051291, 5.6685894", \
+					  "6.6513397, 6.5070925, 6.3946224, 6.2818100, 6.1938901, 6.1105288, 6.0674011");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7282313, 3.5319959, 3.3566896, 3.1650611, 3.0079466, 2.7850691, 2.6079277", \
+					  "4.1728748, 3.9829849, 3.8023685, 3.5953003, 3.4541159, 3.2310641, 3.0525478", \
+					  "4.6282456, 4.4383553, 4.2624911, 4.0565389, 3.9133961, 3.6894415, 3.5194217", \
+					  "5.0544580, 4.8660940, 4.6958187, 4.4981221, 4.3387510, 4.1150388, 3.9658857", \
+					  "5.4542897, 5.2613481, 5.0903453, 4.8965785, 4.7117575, 4.5227127, 4.3611157", \
+					  "5.8577563, 5.6667749, 5.4890344, 5.3046010, 5.0880436, 4.9099225, 4.7503995", \
+					  "6.2166768, 6.0267243, 5.8577257, 5.6546916, 5.4861922, 5.2649616, 5.0922353");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6631870, -3.5235554, -3.3964664, -3.3073732, -3.2231273, -3.1666276, -3.0669955", \
+					  "-4.1028853, -3.9647793, -3.8453695, -3.7409677, -3.6669312, -3.5925928, -3.5081562", \
+					  "-4.5583152, -4.4202097, -4.2992243, -4.2040275, -4.1151293, -4.0790892, -3.9776375", \
+					  "-5.0091302, -4.8694983, -4.7565140, -4.6675283, -4.5569763, -4.5217001, -4.4130732", \
+					  "-5.4139015, -5.2757960, -5.1605357, -5.0596138, -4.9676505, -4.8694575, -4.8236714", \
+					  "-5.7995694, -5.6598967, -5.5315216, -5.4452813, -5.3539073, -5.2969315, -5.2001840", \
+					  "-6.1584739, -6.0184512, -5.8904501, -5.8013676, -5.7335476, -5.6240330, -5.5442004");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800902, -3.3240030, -3.1410014, -2.2699051, -2.7936593, -2.6014053, -2.4308857", \
+					  "-3.9228400, -3.7637011, -3.0065170, -3.4171832, -3.2346017, -3.0396640, -2.8631594", \
+					  "-4.3767445, -4.2191314, -4.0377650, -3.9075789, -3.6823686, -3.4914091, -3.3017564", \
+					  "-4.8077757, -4.6544907, -3.8945045, -4.3414865, -4.1131940, -3.9547505, -3.7360534", \
+					  "-5.2323307, -5.0747177, -4.8980239, -4.0298082, -3.9622742, -4.3439898, -4.1723204", \
+					  "-4.8993096, -5.4765336, -5.2979516, -5.1147977, -4.9053461, -4.7290937, -4.5455819", \
+					  "-5.9769027, -5.8210087, -5.6562143, -5.5042763, -5.2833943, -5.0991808, -4.9001169");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263645, 0.0309374, 0.0355104, 0.0353904, 0.0352752, 0.0351553, 0.0350353");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0258229, 0.0291348, 0.0290027, 0.0288759, 0.0287439, 0.0286118");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987757";
+				miller_cap_rise : "0.000928142";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72797e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14695e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49626e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.264e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93546e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19687e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36265e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33027e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57187e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39923e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1040598, 0.2117060, 0.3324728, 0.4724376, 0.6698057");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1745466, 0.2827524, 0.4023334, 0.5433291, 0.7391765");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277421, 0.0492401, 0.0709281, 0.0927373, 0.1218279");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997198, 0.1213935, 0.1430590, 0.1649557, 0.1940202");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.008");
+						index_3("0.001");
+						index_4("10.4917672, 11.0902812, 13.4053852, 15.1484025, 15.5887812");
+						values("0.411783, 0.658853, 0.823566, 0.658853, 0.411783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7657");
+						index_3("0.001");
+						index_4("6.3817341, 6.7889306, 8.5561303, 9.5160983, 9.8065975");
+						values("0.423095, 0.676953, 0.846191, 0.676953, 0.423095");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.66518");
+						index_3("0.001");
+						index_4("4.3365782, 4.6418895, 5.7955110, 6.6297995, 6.8413086");
+						values("0.426166, 0.681866, 0.852332, 0.681866, 0.426166");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.504");
+						index_3("0.001");
+						index_4("5.2954894, 5.6311976, 6.9092520, 7.6053512, 7.8310778");
+						values("0.220343, 0.352549, 0.440686, 0.352549, 0.220343");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38283");
+						index_3("0.001");
+						index_4("3.2287539, 3.4538015, 4.3632481, 4.7786066, 4.9278868");
+						values("0.224804, 0.359686, 0.449608, 0.359686, 0.224804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33259");
+						index_3("0.001");
+						index_4("2.1989228, 2.3670899, 2.9445583, 3.3266688, 3.4374590");
+						values("0.225257, 0.360412, 0.450515, 0.360412, 0.225257");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1485");
+						index_3("0.001");
+						index_4("9.5116162, 10.1261183, 12.4892493, 14.2180763, 14.6638558");
+						values("0.590829, 0.945327, 1.18166, 0.945327, 0.590829");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86346");
+						index_3("0.001");
+						index_4("1.4451185, 1.5708993, 2.2065743, 2.6182102, 2.8042553");
+						values("1.16754, 0.90806, 0.735075, 0.90806, 1.16754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67353");
+						index_3("0.001");
+						index_4("0.8479551, 0.9325051, 1.2821714, 1.6050006, 1.7374971");
+						values("1.15876, 0.894013, 0.717517, 0.894013, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14164");
+						index_3("0.001");
+						index_4("0.5812782, 0.6454362, 0.9554680, 1.1359472, 1.2559615");
+						values("1.15708, 0.891329, 0.714161, 0.891329, 1.15708");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43173");
+						index_3("0.001");
+						index_4("0.7322647, 0.7990891, 1.0838620, 1.3285846, 1.4403199");
+						values("1.38707, 1.25931, 1.17413, 1.25931, 1.38707");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.836763");
+						index_3("0.001");
+						index_4("0.4323215, 0.4775443, 0.6763978, 0.8197854, 0.9048375");
+						values("1.38644, 1.25831, 1.17289, 1.25831, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.57082");
+						index_3("0.001");
+						index_4("0.2972458, 0.3317164, 0.4840129, 0.5822623, 0.6652957");
+						values("1.38406, 1.25449, 1.16811, 1.25449, 1.38406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51029");
+						index_3("0.001");
+						index_4("1.2680668, 1.3927565, 1.9750487, 2.3748066, 2.5635721");
+						values("0.92675, 0.522799, 0.253499, 0.522799, 0.92675");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017243;
+			capacitance : 0.017403;
+			rise_capacitance : 0.017563;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0592545, 0.0885380, 0.1178214, 0.1480761, 0.1771206, 0.2073752, 0.2376299");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0656024, 0.1179989, 0.1703955, 0.1748871, 0.1791991, 0.1836908, 0.1881824");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000744298";
+				miller_cap_rise : "0.000492995";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.99507, 0.444487, 0.415259, 0.40205, 0.385704, 0.376112, 0.365518, 0.353906, 0.341271, 0.32761, 0.31292, 0.297203, 0.280459, 0.262689, 0.243894, 0.224075, 0.203235, 0.181375, 0.158497, 0.134604, 0.109698, 0.0837824, 0.0568587, 0.0289302, -4.07686e-09, -0.0577521, -0.113836, -0.291728, -1.42417", \
+					  "1.92305, 0.287125, 0.272206, 0.266528, 0.260257, 0.256819, 0.253116, 0.249075, 0.244595, 0.239528, 0.233667, 0.226748, 0.218528, 0.208854, 0.197659, 0.184915, 0.170612, 0.154747, 0.137317, 0.118325, 0.0977708, 0.075658, 0.0519893, 0.0267686, -2.24712e-09, -0.0550518, -0.110222, -0.29098, -1.4278", \
+					  "1.87186, 0.167679, 0.158207, 0.154922, 0.151455, 0.149634, 0.147743, 0.145766, 0.143687, 0.141481, 0.139115, 0.136544, 0.133695, 0.130458, 0.12664, 0.121912, 0.115831, 0.10805, 0.0984106, 0.0868581, 0.0733724, 0.0579455, 0.0405746, 0.0212591, -1.51249e-09, -0.046147, -0.095267, -0.267651, -1.42369", \
+					  "1.85853, 0.131125, 0.120161, 0.117529, 0.114787, 0.113364, 0.111898, 0.110383, 0.108809, 0.107164, 0.105431, 0.103589, 0.101605, 0.0994326, 0.096996, 0.0941603, 0.0906609, 0.0860265, 0.0797491, 0.0715544, 0.061348, 0.0490985, 0.0347937, 0.0184283, -1.5234e-09, -0.0414055, -0.0871203, -0.253975, -1.42113", \
+					  "1.84799, 0.11061, 0.0844761, 0.082441, 0.0803472, 0.0792727, 0.0781759, 0.0770532, 0.0758998, 0.0747099, 0.073476, 0.0721882, 0.0708331, 0.0693915, 0.0678355, 0.0661202, 0.064165, 0.0617975, 0.0586151, 0.0539758, 0.0474183, 0.0387778, 0.0280051, 0.0150819, -1.53637e-09, -0.0357061, -0.0772254, -0.236831, -1.41791", \
+					  "1.84381, 0.108258, 0.0680784, 0.0663215, 0.0645255, 0.0636091, 0.0626777, 0.0617288, 0.0607593, 0.0597654, 0.0587422, 0.0576832, 0.05658, 0.055421, 0.0541894, 0.05286, 0.0513902, 0.0496974, 0.0475781, 0.0445244, 0.0398353, 0.0331204, 0.0242628, 0.0132275, -1.54382e-09, -0.0325064, -0.0716256, -0.226895, -1.41604", \
+					  "1.84045, 0.110347, 0.052958, 0.051466, 0.0499513, 0.0491828, 0.0484052, 0.0476169, 0.0468158, 0.0459996, 0.0451652, 0.0443086, 0.0434248, 0.0425068, 0.0415451, 0.0405257, 0.0394266, 0.0382088, 0.0367871, 0.0349135, 0.0319528, 0.0271892, 0.0203197, 0.0112652, -1.55191e-09, -0.0290876, -0.0656073, -0.216032, -1.414", \
+					  "1.83798, 0.116347, 0.0393522, 0.038109, 0.0368597, 0.0362297, 0.0355951, 0.034955, 0.0343081, 0.0336531, 0.0329881, 0.0323109, 0.0316186, 0.0309073, 0.0301718, 0.0294048, 0.0285952, 0.027725, 0.02676, 0.0256117, 0.0239693, 0.0210612, 0.016213, 0.00921014, -1.5702e-09, -0.0254675, -0.0591937, -0.204242, -1.41179", \
+					  "1.83647, 0.125957, 0.0275192, 0.0264758, 0.0254767, 0.0249763, 0.0244747, 0.0239714, 0.0234658, 0.0229571, 0.0224443, 0.0219263, 0.0214016, 0.0208683, 0.0203236, 0.0197641, 0.0191847, 0.0185774, 0.0179287, 0.0172098, 0.0163292, 0.0148915, 0.0120025, 0.00708473, -1.75219e-09, -0.0216703, -0.0524185, -0.191542, -1.40941", \
+					  "1.83599, 0.138996, 0.0178698, 0.0167779, 0.016015, 0.0156362, 0.0152586, 0.014882, 0.0145061, 0.0141307, 0.0137552, 0.0133792, 0.0130019, 0.0126226, 0.0122402, 0.0118531, 0.0114592, 0.0110555, 0.010637, 0.0101949, 0.00970696, 0.0090792, 0.00781027, 0.00492914, -4.71673e-09, -0.0177288, -0.045328, -0.177976, -1.40687", \
+					  "1.8366, 0.155327, 0.0113912, 0.00922486, 0.00867895, 0.0084152, 0.00815429, 0.00789615, 0.00764069, 0.0073878, 0.00713734, 0.00688916, 0.00664302, 0.00639865, 0.00615568, 0.00591364, 0.00567183, 0.00542932, 0.00518465, 0.00493542, 0.00467675, 0.00439378, 0.00399129, 0.0028426, -1.37995e-08, -0.0136895, -0.0379873, -0.163638, -1.40419", \
+					  "1.83837, 0.174847, 0.010293, 0.00407948, 0.00367118, 0.00351697, 0.00336641, 0.00321937, 0.00307583, 0.00293574, 0.00279907, 0.00266575, 0.00253573, 0.00240892, 0.00228522, 0.00216449, 0.00204655, 0.00193118, 0.00181802, 0.00170657, 0.00159593, 0.00148401, 0.0013619, 0.00112066, -8.57188e-07, -0.00963178, -0.0304987, -0.148702, -1.40141", \
+					  "1.84136, 0.19743, 0.0164138, 0.00172874, 0.00105511, 0.000991535, 0.000932419, 0.000875959, 0.000822041, 0.000770582, 0.000721505, 0.000674727, 0.000630167, 0.000587737, 0.000547348, 0.000508905, 0.000472309, 0.000437448, 0.0004042, 0.000372415, 0.000341895, 0.000312308, 0.000282738, 0.000244959, -1.27653e-05, -0.00575271, -0.0230668, -0.13344, -1.3986", \
+					  "1.84548, 0.22254, 0.0287394, 0.00276243, 0.000212514, 0.000171605, 0.000152021, 0.00013382, 0.000116464, 9.9832e-05, 8.38589e-05, 6.84938e-05, 5.36927e-05, 3.94153e-05, 2.56236e-05, 1.22813e-05, -6.47143e-07, -1.31967e-05, -2.54032e-05, -3.73048e-05, -4.89462e-05, -6.039e-05, -7.17733e-05, -8.40183e-05, -0.000137375, -0.00268569, -0.0161854, -0.118298, -1.39596", \
+					  "1.85028, 0.248985, 0.0448063, 0.0081899, 4.02957e-05, -0.000154854, -0.000199208, -0.000238495, -0.000276885, -0.000315009, -0.000353068, -0.00039115, -0.000429297, -0.000467538, -0.000505888, -0.000544359, -0.000582961, -0.000621699, -0.000660582, -0.000699616, -0.00073881, -0.000778178, -0.000817747, -0.000857678, -0.000905673, -0.0017072, -0.0109699, -0.104295, -1.39406", \
+					  "1.85536, 0.275894, 0.0628393, 0.0177153, 7.76563e-06, -0.00103559, -0.00123952, -0.0013975, -0.00154778, -0.00169552, -0.00184229, -0.00198875, -0.00213523, -0.0022819, -0.00242888, -0.00257622, -0.00272397, -0.00287215, -0.00302079, -0.0031699, -0.0033195, -0.0034696, -0.00362023, -0.00377146, -0.00392467, -0.00437051, -0.00964201, -0.0937415, -1.39444", \
+					  "1.86058, 0.302955, 0.0817634, 0.0290954, 1.31348e-06, -0.00408343, -0.00504972, -0.0055483, -0.00597637, -0.00638096, -0.0067746, -0.00716225, -0.00754635, -0.00792824, -0.00830874, -0.00868838, -0.0090675, -0.00944636, -0.00982513, -0.010204, -0.010583, -0.0109622, -0.0113419, -0.0117221, -0.0121032, -0.0128931, -0.0155604, -0.0900315, -1.39914", \
+					  "1.86591, 0.330027, 0.10076, 0.0405361, 1.47651e-07, -0.00965666, -0.0134807, -0.0148969, -0.0158447, -0.0166616, -0.0174224, -0.0181529, -0.0188651, -0.0195652, -0.0202569, -0.0209425, -0.0216236, -0.0223013, -0.0229763, -0.0236493, -0.0243208, -0.024991, -0.0256605, -0.0263296, -0.0269986, -0.0283429, -0.0301405, -0.0943751, -1.40852", \
+					  "1.87135, 0.357015, 0.119194, 0.0513916, 1.09216e-08, -0.0160097, -0.0250275, -0.0288383, -0.0307613, -0.0321777, -0.0334035, -0.034535, -0.0356116, -0.0366529, -0.0376699, -0.0386694, -0.0396557, -0.0406319, -0.0416002, -0.0425621, -0.0435188, -0.0444714, -0.0454207, -0.0463674, -0.0473125, -0.0492013, -0.0511724, -0.105063, -1.4211", \
+					  "1.87688, 0.383833, 0.136665, 0.0615078, 1.95203e-09, -0.0221675, -0.0371199, -0.0452462, -0.0490603, -0.0513937, -0.0532182, -0.0548132, -0.0562826, -0.0576743, -0.0590141, -0.0603168, -0.0615921, -0.0628465, -0.0640843, -0.065309, -0.0665228, -0.0677279, -0.0689258, -0.0701179, -0.0713056, -0.0736726, -0.0760522, -0.120354, -1.43564", \
+					  "1.88252, 0.410403, 0.152999, 0.0708706, 1.50517e-09, -0.0278841, -0.0486311, -0.0621357, -0.0692042, -0.0730036, -0.0756402, -0.0777939, -0.0797004, -0.0814607, -0.0831261, -0.0847254, -0.0862766, -0.0877913, -0.0892776, -0.0907412, -0.0921863, -0.0936163, -0.0950339, -0.0964412, -0.0978402, -0.100621, -0.103394, -0.139254, -1.4515", \
+					  "1.88825, 0.436645, 0.168168, 0.0795069, 1.47663e-09, -0.0331276, -0.0593013, -0.0783197, -0.0898922, -0.0960011, -0.0997833, -0.10264, -0.105051, -0.107213, -0.109218, -0.111116, -0.112938, -0.114702, -0.116422, -0.118108, -0.119765, -0.121399, -0.123014, -0.124613, -0.1262, -0.129343, -0.132466, -0.161177, -1.46834", \
+					  "1.89408, 0.462475, 0.182209, 0.0874567, 1.47644e-09, -0.0379183, -0.0691088, -0.0933762, -0.110076, -0.119538, -0.124962, -0.12873, -0.131746, -0.13436, -0.136729, -0.138936, -0.141031, -0.143041, -0.144988, -0.146885, -0.148741, -0.150565, -0.152362, -0.154136, -0.155891, -0.159359, -0.162792, -0.185718, -1.48592", \
+					  "1.90002, 0.487801, 0.195181, 0.0947639, 1.49154e-09, -0.0422886, -0.0780924, -0.10724, -0.129097, -0.142853, -0.150599, -0.155577, -0.159335, -0.162469, -0.16524, -0.167777, -0.170153, -0.172412, -0.174584, -0.176687, -0.178735, -0.180739, -0.182706, -0.184643, -0.186555, -0.190319, -0.194032, -0.212573, -1.50408", \
+					  "1.90605, 0.512516, 0.207152, 0.101473, 1.52671e-09, -0.0462724, -0.0863073, -0.119956, -0.146694, -0.165284, -0.176173, -0.182773, -0.187459, -0.191208, -0.194431, -0.197326, -0.2, -0.202515, -0.204914, -0.207222, -0.209458, -0.211637, -0.213768, -0.21586, -0.217919, -0.221961, -0.225931, -0.241504, -1.52269", \
+					  "1.91843, 0.55961, 0.228345, 0.113265, 1.68533e-09, -0.0532083, -0.100663, -0.142249, -0.177641, -0.205833, -0.225173, -0.236766, -0.244154, -0.249544, -0.253891, -0.257627, -0.260969, -0.264042, -0.26692, -0.26965, -0.272266, -0.27479, -0.277241, -0.279631, -0.28197, -0.286527, -0.290968, -0.304565, -1.56091", \
+					  "1.93121, 0.602566, 0.246276, 0.123148, 2.00154e-09, -0.0589585, -0.112615, -0.160876, -0.203533, -0.239985, -0.268648, -0.287905, -0.299758, -0.307664, -0.313585, -0.318414, -0.322577, -0.326301, -0.329717, -0.332906, -0.335924, -0.338806, -0.341581, -0.344266, -0.346879, -0.351929, -0.356808, -0.371129, -1.59996", \
+					  "1.97117, 0.696819, 0.284579, 0.143936, 4.97204e-09, -0.0708787, -0.137573, -0.200025, -0.258156, -0.311821, -0.360691, -0.403926, -0.439609, -0.465548, -0.482705, -0.49438, -0.503021, -0.509915, -0.515724, -0.52081, -0.525388, -0.529591, -0.533508, -0.537201, -0.540715, -0.547325, -0.553523, -0.570803, -1.7181", \
+					  "2.01766, 0.76533, 0.314455, 0.15974, 2.23139e-08, -0.0798517, -0.156747, -0.230658, -0.301552, -0.369394, -0.434135, -0.495701, -0.553956, -0.608624, -0.659095, -0.70405, -0.741309, -0.769359, -0.7894, -0.804026, -0.815288, -0.824425, -0.832154, -0.838904, -0.844942, -0.855526, -0.864762, -0.888066, -1.90668");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0532962, 0.1164085, 0.1813058, 0.2502645, 0.3510651");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1273617, 0.1906818, 0.2556366, 0.3244453, 0.4253668");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0247755, 0.0458702, 0.0679639, 0.0922574, 0.1270162");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0960268, 0.1176206, 0.1398183, 0.1640589, 0.1986304");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("9.4203");
+						index_3("0.001");
+						index_4("4.6987122, 5.0139785, 6.0092810, 6.9565790, 7.2268171");
+						values("0.379821, 0.607714, 0.759642, 0.607714, 0.379821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("5.59997");
+						index_3("0.001");
+						index_4("2.7975166, 3.0135330, 3.7222505, 4.3007233, 4.4768596");
+						values("0.395866, 0.633385, 0.791731, 0.633385, 0.395866");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("3.8425");
+						index_3("0.001");
+						index_4("1.9229443, 2.0880286, 2.6148077, 3.0375345, 3.1689454");
+						values("0.404932, 0.647891, 0.809864, 0.647891, 0.404932");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("4.71015");
+						index_3("0.001");
+						index_4("2.3646207, 2.5321322, 3.0859696, 3.5278772, 3.6613608");
+						values("0.202854, 0.324566, 0.405708, 0.324566, 0.202854");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("2.79998");
+						index_3("0.001");
+						index_4("1.4101202, 1.5271114, 1.8797496, 2.1807894, 2.2707915");
+						values("0.207758, 0.332413, 0.415517, 0.332413, 0.207758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("1.92125");
+						index_3("0.001");
+						index_4("0.9704518, 1.0580654, 1.3239404, 1.5399026, 1.6096363");
+						values("0.211294, 0.33807, 0.422588, 0.33807, 0.211294");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("8.39995");
+						index_3("0.001");
+						index_4("4.1784931, 4.4860587, 5.5609351, 6.3854093, 6.6469788");
+						values("0.563338, 0.90134, 1.12668, 0.90134, 0.563338");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("2.99541");
+						index_3("0.001");
+						index_4("1.4968313, 1.6078118, 2.1347620, 2.5120513, 2.6726054");
+						values("1.21059, 0.976947, 0.821183, 0.976947, 1.21059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("1.73173");
+						index_3("0.001");
+						index_4("0.8675804, 0.9419412, 1.2755231, 1.5274573, 1.6353887");
+						values("1.19859, 0.957748, 0.797185, 0.957748, 1.19859");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("1.15818");
+						index_3("0.001");
+						index_4("0.5820265, 0.6381582, 0.8809192, 1.0615978, 1.1438231");
+						values("1.19212, 0.947395, 0.784243, 0.947395, 1.19212");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("1.49771");
+						index_3("0.001");
+						index_4("0.7573862, 0.8174991, 1.0607351, 1.2781419, 1.3721204");
+						values("1.39754, 1.27607, 1.19509, 1.27607, 1.39754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("0.865864");
+						index_3("0.001");
+						index_4("0.4405668, 0.4810424, 0.6590119, 0.7796020, 0.8466494");
+						values("1.39366, 1.26985, 1.18732, 1.26985, 1.39366");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("0.579092");
+						index_3("0.001");
+						index_4("0.2960353, 0.3264005, 0.4389243, 0.5435620, 0.5950410");
+						values("1.39067, 1.26507, 1.18133, 1.26507, 1.39067");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("2.59759");
+						index_3("0.001");
+						index_4("1.2889997, 1.3938822, 1.8333276, 2.2676232, 2.4210315");
+						values("1.03805, 0.700874, 0.476093, 0.700874, 1.03805");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004824;
+			capacitance : 0.004921;
+			rise_capacitance : 0.005018;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5899558, -3.4475908, -3.3217448, -3.2206821, -3.1603086, -3.0719180, -2.9951370", \
+					  "-4.0311800, -3.8872889, -3.7812808, -3.6593714, -3.6011347, -3.5181635, -3.4409386", \
+					  "-4.4866104, -4.3427392, -4.2257741, -4.1125269, -4.0188037, -3.9337584, -3.8719549", \
+					  "-4.9358990, -4.7935342, -4.6697914, -4.5737402, -4.4961321, -4.3861569, -4.3471837", \
+					  "-5.3421962, -5.1995405, -5.0953386, -4.9683073, -4.9026411, -4.7863755, -4.7412738", \
+					  "-5.7278641, -5.5854994, -5.4686009, -5.3624156, -5.2828410, -5.1906379, -5.1285764", \
+					  "-6.0867686, -5.9444038, -5.8274667, -5.7175995, -5.6417530, -5.5905300, -5.4893816");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4098828, -3.3280622, -3.1486198, -2.9350448, -2.7528033, -2.5781805, -2.4168047", \
+					  "-3.8450032, -3.7799676, -3.5684062, -3.3732354, -3.2349598, -3.0481293, -2.8443201", \
+					  "-4.3050112, -4.2353975, -4.0391030, -3.8331406, -3.6824026, -3.5065128, -3.3087204", \
+					  "-4.7360424, -4.6510308, -4.4377095, -4.2657679, -4.0997008, -3.9246652, -3.7124621", \
+					  "-5.1363879, -5.0713515, -4.8467894, -4.6703572, -4.4573218, -4.3068062, -4.1183951", \
+					  "-5.4962503, -5.4266962, -5.2039339, -5.0292363, -4.8505077, -4.6875390, -4.4970922", \
+					  "-5.9111664, -5.8280373, -5.6273659, -5.4400370, -5.2590236, -5.1172348, -4.9290760");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868147, 3.9397408, 3.8081194, 3.6958932, 3.6156691, 3.5170034, 3.4304682", \
+					  "4.5313653, 4.3858169, 4.2656183, 4.1563458, 4.0569097, 3.9615509, 3.8831390", \
+					  "4.9859626, 4.8395548, 4.6984197, 4.5804492, 4.5129347, 4.4085471, 4.3465461", \
+					  "5.4177128, 5.2691130, 5.1526039, 5.0267917, 4.9404323, 4.8479090, 4.7649092", \
+					  "5.8143848, 5.6627270, 5.5690792, 5.4495953, 5.3412903, 5.2461522, 5.1657796", \
+					  "6.1889887, 6.0373380, 5.9360310, 5.7966288, 5.7084073, 5.6544709, 5.5450616", \
+					  "6.5884718, 6.4429234, 6.3126564, 6.1913521, 6.1142156, 6.0420231, 5.9461314");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6824442, 3.5161523, 3.3170496, 3.1118515, 2.9583771, 2.7616880, 2.5895690", \
+					  "4.1427260, 3.9749082, 3.7681878, 3.5626411, 3.4158223, 3.2402867, 3.0527257", \
+					  "4.5970759, 4.4292581, 4.2394744, 4.0249771, 3.8717805, 3.6747938, 3.5070582", \
+					  "5.0195575, 4.8517397, 4.6535960, 4.4519155, 4.2876465, 4.1198931, 3.9259367", \
+					  "5.3950349, 5.2288274, 5.0343492, 4.8234073, 4.6712388, 4.4939943, 4.3096520", \
+					  "5.8215897, 5.6537715, 5.4584047, 5.2441045, 5.0924845, 4.9139353, 4.7251663", \
+					  "6.1813428, 6.0150509, 5.8328856, 5.6082639, 5.4504102, 5.2712677, 5.0866189");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221885, 0.0283796, 0.0345707, 0.0344056, 0.0342470, 0.0340818, 0.0339166");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0267267, 0.0333573, 0.0399879, 0.0398996, 0.0398147, 0.0397264, 0.0396380");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0009802";
+				miller_cap_rise : "0.000957";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.81867, 0.385238, 0.37022, 0.362958, 0.353271, 0.347068, 0.339734, 0.331211, 0.321508, 0.310637, 0.2986, 0.285388, 0.270989, 0.255387, 0.238567, 0.220515, 0.201214, 0.18065, 0.158805, 0.135666, 0.111216, 0.0854396, 0.0583218, 0.029847, -1.73236e-09, -0.0609779, -0.121811, -0.312481, -1.97083", \
+					  "2.69467, 0.188368, 0.182221, 0.179871, 0.177184, 0.175669, 0.174004, 0.172147, 0.170039, 0.167593, 0.164676, 0.161094, 0.15661, 0.151018, 0.144195, 0.136076, 0.126623, 0.115808, 0.103606, 0.0899926, 0.0749467, 0.0584461, 0.0404691, 0.0209942, 1.20862e-09, -0.0447024, -0.0915929, -0.252256, -1.95882", \
+					  "2.63871, 0.0919581, 0.0870507, 0.0859636, 0.084786, 0.0841547, 0.0834886, 0.0827815, 0.0820251, 0.0812085, 0.0803166, 0.0793281, 0.0782107, 0.0769131, 0.0753454, 0.0733361, 0.0705841, 0.066745, 0.0616032, 0.055062, 0.0470723, 0.0375996, 0.0266149, 0.0140905, 3.62618e-09, -0.0319565, -0.0679224, -0.204525, -1.94865", \
+					  "2.62769, 0.074494, 0.0610369, 0.0602447, 0.0593997, 0.0589527, 0.0584863, 0.0579972, 0.0574813, 0.0569335, 0.0563469, 0.0557123, 0.0550167, 0.0542405, 0.0533531, 0.0523, 0.0509694, 0.0491117, 0.0463227, 0.042276, 0.0368258, 0.0299089, 0.0214863, 0.0115265, 4.41974e-09, -0.0272068, -0.0590915, -0.186488, -1.94486", \
+					  "2.62128, 0.0725924, 0.0388063, 0.0382615, 0.037689, 0.0373901, 0.0370813, 0.0367611, 0.0364277, 0.0360788, 0.0357115, 0.0353221, 0.0349055, 0.0344548, 0.0339596, 0.033404, 0.0327604, 0.0319708, 0.0308809, 0.0291116, 0.0261984, 0.0219004, 0.0161297, 0.00884103, 5.11625e-09, -0.0222197, -0.0498094, -0.167346, -1.94087", \
+					  "2.61996, 0.0774649, 0.0293009, 0.0288637, 0.0284078, 0.0281713, 0.0279281, 0.0276773, 0.0274178, 0.0271481, 0.0268664, 0.0265705, 0.0262573, 0.0259227, 0.0255611, 0.025164, 0.0247175, 0.0241965, 0.0235406, 0.0225615, 0.0208012, 0.0178031, 0.0133778, 0.0074569, 5.38871e-09, -0.0196424, -0.0450073, -0.157354, -1.9388", \
+					  "2.61999, 0.0862429, 0.0209786, 0.0206364, 0.0202851, 0.0201041, 0.0199188, 0.0197288, 0.0195333, 0.0193315, 0.0191223, 0.0189045, 0.0186763, 0.0184355, 0.018179, 0.0179024, 0.017599, 0.0172578, 0.0168562, 0.0163315, 0.0154502, 0.0136764, 0.0105899, 0.00604939, 5.56322e-09, -0.0170136, -0.0401043, -0.147081, -1.93669", \
+					  "2.62142, 0.0989581, 0.0139512, 0.0136676, 0.0134097, 0.0132776, 0.0131431, 0.0130058, 0.0128655, 0.0127216, 0.0125735, 0.0124207, 0.0122621, 0.0120967, 0.0119228, 0.0117385, 0.0115405, 0.0113241, 0.0110809, 0.0107922, 0.0103927, 0.00959227, 0.00778927, 0.00462573, 5.53496e-09, -0.0143385, -0.035108, -0.136529, -1.93453", \
+					  "2.62431, 0.115619, 0.0084731, 0.00806137, 0.00788526, 0.00779585, 0.00770527, 0.00761334, 0.0075199, 0.00742473, 0.00732756, 0.00722806, 0.00712583, 0.00702034, 0.00691092, 0.00679665, 0.00667625, 0.00654786, 0.00640846, 0.00625245, 0.0060654, 0.00578066, 0.00504011, 0.00320529, 4.77939e-09, -0.0116254, -0.0300294, -0.125704, -1.93232", \
+					  "2.62874, 0.136213, 0.00536273, 0.00395628, 0.00384539, 0.00379206, 0.00373829, 0.00368403, 0.00362921, 0.00357373, 0.00351751, 0.00346042, 0.00340231, 0.00334299, 0.00328222, 0.00321968, 0.00315493, 0.00308736, 0.00301605, 0.00293947, 0.00285451, 0.00275135, 0.00256235, 0.00184992, -2.99446e-09, -0.00889033, -0.0248872, -0.114622, -1.93007", \
+					  "2.63481, 0.160665, 0.00646497, 0.00151296, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998448, 0.000965512, 0.000929389, 0.000883879, 0.000747893, -1.29315e-07, -0.00617266, -0.0197199, -0.103329, -1.92779", \
+					  "2.64242, 0.18856, 0.0129116, 0.00076407, 0.00037576, 0.000365798, 0.000356667, 0.000347555, 0.000338439, 0.000329313, 0.000320172, 0.00031101, 0.00030182, 0.000292595, 0.000283324, 0.000273992, 0.000264584, 0.000255073, 0.000245425, 0.000235586, 0.000225466, 0.000214878, 0.000203192, 0.000183789, -2.33474e-06, -0.00359667, -0.0146288, -0.0919219, -1.92549", \
+					  "2.65115, 0.218967, 0.0238448, 0.00200393, 8.36698e-05, 6.84276e-05, 6.46532e-05, 6.12153e-05, 5.78539e-05, 5.45252e-05, 5.12135e-05, 4.79114e-05, 4.46142e-05, 4.13185e-05, 3.80214e-05, 3.47199e-05, 3.14107e-05, 2.80894e-05, 2.47503e-05, 2.13849e-05, 1.79787e-05, 1.45032e-05, 1.08708e-05, 6.33123e-06, -3.14337e-05, -0.00156575, -0.00989363, -0.0806093, -1.92323", \
+					  "2.66061, 0.25105, 0.0380128, 0.00651114, 1.57616e-05, -9.51763e-05, -0.000107435, -0.000116484, -0.000124833, -0.000132888, -0.000140786, -0.000148592, -0.000156339, -0.000164048, -0.000171731, -0.000179399, -0.000187057, -0.000194711, -0.000202365, -0.000210022, -0.000217689, -0.00022537, -0.000233084, -0.000240945, -0.000254729, -0.000705241, -0.00609046, -0.069824, -1.92124", \
+					  "2.67068, 0.284329, 0.0544644, 0.0144192, 2.03309e-06, -0.000683421, -0.000758546, -0.000802911, -0.000841416, -0.000877532, -0.00091237, -0.000946425, -0.000979963, -0.00101314, -0.00104606, -0.00107878, -0.00111136, -0.00114383, -0.00117621, -0.00120854, -0.00124082, -0.00127308, -0.00130532, -0.00133759, -0.00137062, -0.00152802, -0.0042927, -0.0605926, -1.92024", \
+					  "2.68128, 0.318478, 0.0723462, 0.0241211, 1.66431e-07, -0.00296062, -0.00348035, -0.00366584, -0.00380183, -0.00392038, -0.00403013, -0.00413462, -0.00423562, -0.00433418, -0.00443092, -0.00452627, -0.00462055, -0.00471396, -0.00480668, -0.00489882, -0.00499049, -0.00508178, -0.00517278, -0.00526355, -0.00535424, -0.0055533, -0.00670435, -0.0551665, -1.92188", \
+					  "2.6924, 0.353236, 0.0908656, 0.034294, 1.192e-08, -0.00737783, -0.00997927, -0.0107097, -0.011101, -0.0113983, -0.0116542, -0.011887, -0.0121052, -0.0123134, -0.0125143, -0.0127097, -0.0129008, -0.0130885, -0.0132734, -0.013456, -0.0136366, -0.0138157, -0.0139934, -0.0141701, -0.0143458, -0.0146986, -0.0152919, -0.0557091, -1.92763", \
+					  "2.70404, 0.388377, 0.109427, 0.0444459, 2.1669e-09, -0.0126768, -0.0194297, -0.0219327, -0.022958, -0.0236016, -0.0241022, -0.0245308, -0.0249169, -0.0252748, -0.025613, -0.0259365, -0.0262488, -0.0265522, -0.0268484, -0.0271387, -0.0274241, -0.0277054, -0.0279832, -0.0282581, -0.0285306, -0.0290708, -0.029658, -0.0623479, -1.93741", \
+					  "2.71617, 0.423701, 0.127694, 0.0544153, 1.59386e-09, -0.0181244, -0.0299178, -0.0359633, -0.038473, -0.0397767, -0.0406738, -0.0413883, -0.0420022, -0.0425531, -0.0430612, -0.0435384, -0.0439924, -0.0444283, -0.0448498, -0.0452595, -0.0456594, -0.0460511, -0.0464359, -0.0468149, -0.047189, -0.0479263, -0.0486639, -0.0743834, -1.95059", \
+					  "2.72879, 0.459023, 0.145508, 0.0641346, 1.55206e-09, -0.0235027, -0.0405141, -0.0512181, -0.0565554, -0.0590966, -0.0606354, -0.061764, -0.062684, -0.0634805, -0.0641961, -0.0648551, -0.0654724, -0.0660577, -0.0666177, -0.0671575, -0.0676805, -0.0681896, -0.068687, -0.0691744, -0.0696534, -0.0705921, -0.071515, -0.091205, -1.96665", \
+					  "2.74188, 0.494174, 0.162793, 0.0735678, 1.54059e-09, -0.0287444, -0.0509362, -0.0666519, -0.0760571, -0.0807534, -0.0833246, -0.085053, -0.0863831, -0.0874908, -0.0884587, -0.0893317, -0.0901362, -0.0908893, -0.0916024, -0.0922835, -0.0929385, -0.093572, -0.0941873, -0.0947874, -0.0953746, -0.0965183, -0.097634, -0.112331, -1.9852", \
+					  "2.75544, 0.52899, 0.179512, 0.0826926, 1.53122e-09, -0.0338229, -0.0610845, -0.0818328, -0.095966, -0.103918, -0.10811, -0.110704, -0.112582, -0.114083, -0.115357, -0.116481, -0.1175, -0.118441, -0.119323, -0.120157, -0.120954, -0.121719, -0.122458, -0.123175, -0.123874, -0.125227, -0.126537, -0.137362, -2.00589", \
+					  "2.76944, 0.563307, 0.19564, 0.0914941, 1.52244e-09, -0.0387247, -0.0709123, -0.0966024, -0.115645, -0.127768, -0.134369, -0.138201, -0.14081, -0.142807, -0.14445, -0.145868, -0.147132, -0.148283, -0.14935, -0.150351, -0.151299, -0.152204, -0.153073, -0.153912, -0.154726, -0.156292, -0.157799, -0.165956, -2.02841", \
+					  "2.78387, 0.596961, 0.211165, 0.0999627, 1.51432e-09, -0.043442, -0.0803939, -0.110892, -0.134802, -0.151599, -0.161483, -0.167056, -0.170637, -0.173257, -0.175346, -0.177107, -0.17865, -0.180036, -0.181306, -0.182488, -0.183598, -0.184651, -0.185656, -0.186622, -0.187555, -0.18934, -0.191045, -0.197753, -2.05249", \
+					  "2.79867, 0.629782, 0.226076, 0.108092, 1.50704e-09, -0.0479701, -0.0895138, -0.124666, -0.153318, -0.174932, -0.188861, -0.196802, -0.201668, -0.20507, -0.207696, -0.209858, -0.211717, -0.213365, -0.214859, -0.216235, -0.217519, -0.218729, -0.219878, -0.220976, -0.222031, -0.22404, -0.225947, -0.232227, -2.07791", \
+					  "2.82843, 0.692257, 0.25404, 0.123321, 1.49621e-09, -0.0564495, -0.106636, -0.15059, -0.188248, -0.219226, -0.242422, -0.257179, -0.2659, -0.271518, -0.275568, -0.27874, -0.281368, -0.283633, -0.28564, -0.287456, -0.289124, -0.290676, -0.292134, -0.293514, -0.294829, -0.297305, -0.299624, -0.306472, -2.13199", \
+					  "2.84626, 0.74953, 0.279532, 0.137177, 1.49329e-09, -0.0641594, -0.122252, -0.174303, -0.220274, -0.259922, -0.292394, -0.316014, -0.330794, -0.339874, -0.346002, -0.350553, -0.354178, -0.35721, -0.359834, -0.362165, -0.364274, -0.366211, -0.36801, -0.369699, -0.371294, -0.374265, -0.377013, -0.384807, -2.18927", \
+					  "2.8897, 0.886069, 0.341726, 0.17084, 8.72084e-10, -0.0828624, -0.160331, -0.232422, -0.299128, -0.360381, -0.415947, -0.465128, -0.506169, -0.536546, -0.556462, -0.569411, -0.57845, -0.585257, -0.590694, -0.595228, -0.599132, -0.602574, -0.605666, -0.608484, -0.611082, -0.61577, -0.619954, -0.630873, -2.36948", \
+					  "2.933, 1.01886, 0.404387, 0.204449, 2.67642e-09, -0.101532, -0.19879, -0.29178, -0.380508, -0.464969, -0.545133, -0.620925, -0.692151, -0.758347, -0.818408, -0.870044, -0.910321, -0.938807, -0.958465, -0.972589, -0.983322, -0.99189, -0.998999, -1.00508, -1.01039, -1.0194, -1.02693, -1.04457, -2.67232");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1137164, 0.2371759, 0.3752265, 0.5325143, 0.7542476");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1848564, 0.3093312, 0.4458783, 0.6044216, 0.8253704");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0284867, 0.0527123, 0.0772274, 0.1026872, 0.1351921");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1007103, 0.1249713, 0.1493420, 0.1739168, 0.2063203");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("23.7825");
+						index_3("0.001");
+						index_4("11.8711976, 12.5499252, 15.0895695, 17.1562072, 17.6572956");
+						values("0.409174, 0.654679, 0.818348, 0.654679, 0.409174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("14.4516");
+						index_3("0.001");
+						index_4("7.2226649, 7.6846024, 9.4211165, 10.7764734, 11.1063447");
+						values("0.41891, 0.670256, 0.83782, 0.670256, 0.41891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("9.80956");
+						index_3("0.001");
+						index_4("4.9084553, 5.2542168, 6.6199276, 7.4997073, 7.7434526");
+						values("0.422775, 0.67644, 0.84555, 0.67644, 0.422775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("11.8913");
+						index_3("0.001");
+						index_4("5.9897244, 6.3635826, 7.6688858, 8.6120639, 8.8684122");
+						values("0.216248, 0.345997, 0.432496, 0.345997, 0.216248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("7.22579");
+						index_3("0.001");
+						index_4("3.6484224, 3.9004620, 4.7619324, 5.4108588, 5.5803664");
+						values("0.222153, 0.355445, 0.444307, 0.355445, 0.222153");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("4.90478");
+						index_3("0.001");
+						index_4("2.4847892, 2.6725687, 3.3122624, 3.7683260, 3.8933398");
+						values("0.222623, 0.356196, 0.445246, 0.356196, 0.222623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("21.6774");
+						index_3("0.001");
+						index_4("10.7693602, 11.4644503, 14.3770201, 16.1016868, 16.6050355");
+						values("0.587803, 0.940484, 1.17561, 0.940484, 0.587803");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("3.15257");
+						index_3("0.001");
+						index_4("1.5883992, 1.7250324, 2.3324912, 2.8825134, 3.0881883");
+						values("1.17874, 0.92599, 0.757487, 0.92599, 1.17874");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("1.84323");
+						index_3("0.001");
+						index_4("0.9324958, 1.0252115, 1.4581160, 1.7692125, 1.9156677");
+						values("1.17052, 0.912826, 0.741033, 0.912826, 1.17052");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("1.25766");
+						index_3("0.001");
+						index_4("0.6386877, 0.7082930, 1.0249667, 1.2521476, 1.3850943");
+						values("1.16686, 0.90697, 0.733712, 0.90697, 1.16686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("1.57629");
+						index_3("0.001");
+						index_4("0.8042125, 0.8771687, 1.2548584, 1.4653449, 1.5884164");
+						values("1.39184, 1.26694, 1.18368, 1.26694, 1.39184");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("0.921616");
+						index_3("0.001");
+						index_4("0.4742330, 0.5239927, 0.7532785, 0.9023005, 0.9983047");
+						values("1.3899, 1.26384, 1.1798, 1.26384, 1.3899");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("0.62883");
+						index_3("0.001");
+						index_4("0.3258460, 0.3641411, 0.5188624, 0.6416617, 0.7338855");
+						values("1.38934, 1.26294, 1.17867, 1.26294, 1.38934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("2.76485");
+						index_3("0.001");
+						index_4("1.3933931, 1.5303517, 2.1499441, 2.6182551, 2.8270986");
+						values("0.94787, 0.556592, 0.29574, 0.556592, 0.94787");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004852;
+			capacitance : 0.004649;
+			fall_capacitance : 0.004446;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074624, -0.0074270, -0.0073917, -0.0073595, -0.0073287, -0.0072965, -0.0072644");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074093, 0.0073762, 0.0073432, 0.0073523, 0.0073610, 0.0073701, 0.0073792");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0257693, 4.4686977, 4.9257356, 5.3584988, 5.7792631, 6.1299577, 6.5308082", \
+					  "3.8799966, 4.3244508, 4.7810683, 5.2304599, 5.6365417, 5.9811332, 6.3850332", \
+					  "3.7606392, 4.1974267, 4.6407445, 5.0988474, 5.4771701, 5.8434735, 6.2464045", \
+					  "3.6521431, 4.0976367, 4.5683682, 4.9896636, 5.3773633, 5.7451508, 6.1452366", \
+					  "3.5726136, 4.0022277, 4.4500415, 4.9037270, 5.3229654, 5.7100887, 6.0745478", \
+					  "3.4788548, 3.9108515, 4.3665891, 4.8291716, 5.1969240, 5.5586289, 6.0012766", \
+					  "3.4066572, 3.8496076, 4.3335427, 4.7570381, 5.1362326, 5.5013579, 5.9417509");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4770297, -3.9197798, -4.3736843, -4.8229729, -5.2292701, -5.6149380, -5.9829978", \
+					  "-3.3463378, -3.7847252, -4.2429226, -4.6780888, -5.0730582, -5.4716122, -5.8260172", \
+					  "-3.1691105, -3.6637897, -4.1176917, -4.5563746, -4.9581496, -5.3329587, -5.7208546", \
+					  "-3.1143516, -3.5596652, -4.0204921, -4.4640449, -4.8739246, -5.2583037, -5.6151494", \
+					  "-2.9921556, -3.4333711, -3.9115686, -4.3453145, -4.7527153, -5.1846555, -5.5253994", \
+					  "-2.9316733, -3.4117584, -3.8376908, -4.3466263, -4.6824582, -5.0842266, -5.4879640", \
+					  "-2.8809665, -3.3117866, -3.7575140, -4.2210779, -4.6115744, -4.9858288, -5.3561464");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7043819, -4.1364505, -4.6010362, -5.0487989, -5.4581483, -5.8407641, -6.1996685", \
+					  "-3.5694831, -4.0091812, -4.4677943, -4.9139001, -5.3232491, -5.7137954, -6.0647698", \
+					  "-3.4562177, -3.8944081, -4.3534587, -4.7958155, -5.2088775, -5.5877806, -5.9586267", \
+					  "-3.3560194, -3.7943734, -4.2498038, -4.7464918, -5.1082607, -5.4924018, -5.8802232", \
+					  "-3.2862366, -3.7192262, -4.1506858, -4.6260761, -5.0338993, -5.4241524, -5.7772895", \
+					  "-3.2196478, -3.6590170, -4.1120931, -4.5644352, -4.9744854, -5.3593349, -5.7019529", \
+					  "-3.1599318, -3.6042078, -4.0565864, -4.5058750, -4.9138153, -5.2890125, -5.6567446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9998252, 4.4152804, 4.8860474, 5.3218534, 5.7102343, 6.1177022, 6.5048973", \
+					  "3.8588220, 4.2941133, 4.7620399, 5.1915310, 5.5832310, 5.9477075, 6.3537134", \
+					  "3.7455894, 4.1806059, 4.6238998, 5.0719205, 5.4877665, 5.8876200, 6.2512007", \
+					  "3.6631092, 4.1009225, 4.5510016, 4.9854252, 5.3605265, 5.7367536, 6.1389061", \
+					  "3.5603165, 3.9879787, 4.4663751, 4.9116576, 5.2829330, 5.6415719, 6.0608108", \
+					  "3.4834325, 3.9145281, 4.3727060, 4.8176674, 5.2304626, 5.6262806, 5.9879331", \
+					  "3.4094412, 3.8633766, 4.3177677, 4.7472948, 5.1383171, 5.5095451, 5.9288905");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1463138, 4.5892448, 5.0478100, 5.4821021, 5.8689718, 6.2352588, 6.6513397", \
+					  "4.0020665, 4.4449980, 4.9035627, 5.3348031, 5.7262500, 6.0884283, 6.5070925", \
+					  "3.8751218, 4.3240774, 4.7810882, 5.2111345, 5.5978580, 5.9642094, 6.3946224", \
+					  "3.7767836, 4.2170501, 4.6797999, 5.1095175, 5.5009617, 5.8672452, 6.2818100", \
+					  "3.6900163, 4.1253341, 4.5930382, 5.0227527, 5.4126746, 5.7774361, 6.1938901", \
+					  "3.6360970, 4.0530115, 4.4993691, 4.9321354, 5.3492204, 5.7051291, 6.1105288", \
+					  "3.5550232, 4.0010402, 4.4699087, 4.8912956, 5.2925116, 5.6685894, 6.0674011");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6631870, -4.1028853, -4.5583152, -5.0091302, -5.4139015, -5.7995694, -6.1584739", \
+					  "-3.5235554, -3.9647793, -4.4202097, -4.8694983, -5.2757960, -5.6598967, -6.0184512", \
+					  "-3.3964664, -3.8453695, -4.2992243, -4.7565140, -5.1605357, -5.5315216, -5.8904501", \
+					  "-3.3073732, -3.7409677, -4.2040275, -4.6675283, -5.0596138, -5.4452813, -5.8013676", \
+					  "-3.2231273, -3.6669312, -4.1151293, -4.5569763, -4.9676505, -5.3539073, -5.7335476", \
+					  "-3.1666276, -3.5925928, -4.0790892, -4.5217001, -4.8694575, -5.2969315, -5.6240330", \
+					  "-3.0669955, -3.5081562, -3.9776375, -4.4130732, -4.8236714, -5.2001840, -5.5442004");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5899558, -4.0311800, -4.4866104, -4.9358990, -5.3421962, -5.7278641, -6.0867686", \
+					  "-3.4475908, -3.8872889, -4.3427392, -4.7935342, -5.1995405, -5.5854994, -5.9444038", \
+					  "-3.3217448, -3.7812808, -4.2257741, -4.6697914, -5.0953386, -5.4686009, -5.8274667", \
+					  "-3.2206821, -3.6593714, -4.1125269, -4.5737402, -4.9683073, -5.3624156, -5.7175995", \
+					  "-3.1603086, -3.6011347, -4.0188037, -4.4961321, -4.9026411, -5.2828410, -5.6417530", \
+					  "-3.0719180, -3.5181635, -3.9337584, -4.3861569, -4.7863755, -5.1906379, -5.5905300", \
+					  "-2.9951370, -3.4409386, -3.8719549, -4.3471837, -4.7412738, -5.1285764, -5.4893816");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868147, 4.5313653, 4.9859626, 5.4177128, 5.8143848, 6.1889887, 6.5884718", \
+					  "3.9397408, 4.3858169, 4.8395548, 5.2691130, 5.6627270, 6.0373380, 6.4429234", \
+					  "3.8081194, 4.2656183, 4.6984197, 5.1526039, 5.5690792, 5.9360310, 6.3126564", \
+					  "3.6958932, 4.1563458, 4.5804492, 5.0267917, 5.4495953, 5.7966288, 6.1913521", \
+					  "3.6156691, 4.0569097, 4.5129347, 4.9404323, 5.3412903, 5.7084073, 6.1142156", \
+					  "3.5170034, 3.9615509, 4.4085471, 4.8479090, 5.2461522, 5.6544709, 6.0420231", \
+					  "3.4304682, 3.8831390, 4.3465461, 4.7649092, 5.1657796, 5.5450616, 5.9461314");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5167255, -3.9610015, -4.4133796, -4.8459367, -5.2447559, -5.6046183, -6.0135383", \
+					  "-3.3625486, -3.8100933, -4.2575283, -4.6850055, -5.0999513, -5.4582882, -5.8662534", \
+					  "-3.2542020, -3.6881544, -4.1481624, -4.5792096, -4.9810642, -5.3378752, -5.7486120", \
+					  "-3.1387739, -3.5920799, -4.0457821, -4.4798238, -4.8785591, -5.2384245, -5.6484224", \
+					  "-3.0693274, -3.5075022, -3.9735110, -4.4000498, -4.7664967, -5.1600543, -5.5317939", \
+					  "-2.9882865, -3.4390359, -3.8845262, -4.3054087, -4.7119661, -5.0842701, -5.4391360", \
+					  "-2.8915696, -3.3557714, -3.7827272, -4.2196985, -4.6077992, -4.9920518, -5.4053963");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9815398, 4.4244686, 4.8830320, 5.3142697, 5.7026617, 6.0704715, 6.4865769", \
+					  "3.8335928, 4.2741835, 4.7344042, 5.1661273, 5.5562411, 5.9209991, 6.3386299", \
+					  "3.7056543, 4.1490378, 4.6072819, 5.0388012, 5.4282896, 5.7992067, 6.1989896", \
+					  "3.5907031, 4.0355379, 4.4921012, 4.9258107, 5.3139589, 5.6802485, 6.0861874", \
+					  "3.5038592, 3.9452617, 4.4231510, 4.8365892, 5.2310847, 5.5900136, 6.0063623", \
+					  "3.4300268, 3.8752351, 4.3269413, 4.7673343, 5.1557268, 5.5185614, 5.9279739", \
+					  "3.3373775, 3.7675927, 4.2270852, 4.6881368, 5.0791249, 5.4169729, 5.8261341");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425549, -4.1746235, -4.6376833, -5.0869719, -5.4963214, -5.8774116, -6.2378415", \
+					  "-3.5992073, -4.0305570, -4.4899020, -4.9375248, -5.3430995, -5.7241760, -6.0846197", \
+					  "-3.4702567, -3.9161360, -4.3694148, -4.8260122, -5.2265269, -5.6191542, -5.9753875", \
+					  "-3.3867189, -3.8172618, -4.2848993, -4.7358887, -5.1389592, -5.5261530, -5.8850575", \
+					  "-3.3228575, -3.7138514, -4.2164602, -4.6535879, -5.0644171, -5.4592399, -5.8288256", \
+					  "-3.2397171, -3.6762672, -4.1093344, -4.5658510, -4.9911099, -5.3578050, -5.7061651", \
+					  "-3.1812943, -3.6362513, -4.0794743, -4.4967196, -4.9045432, -5.3024178, -5.6704776");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0425754, 4.4534845, 4.9257920, 5.3646854, 5.7485330, 6.1270653, 6.5475095", \
+					  "3.8969719, 4.3307689, 4.7981963, 5.2465479, 5.6550087, 6.0058752, 6.3902681", \
+					  "3.7834236, 4.2218360, 4.6804110, 5.1242715, 5.5046774, 5.9319445, 6.2945820", \
+					  "3.6882648, 4.1190103, 4.5959350, 5.0348177, 5.4094811, 5.7672314, 6.1989043", \
+					  "3.6057879, 4.0322717, 4.5137234, 4.9655394, 5.3318868, 5.7512437, 6.1186565", \
+					  "3.5200534, 3.9522721, 4.4047959, 4.8543705, 5.2397436, 5.6030183, 6.0314929", \
+					  "3.4518799, 3.8963058, 4.3614790, 4.7912170, 5.2195144, 5.6036356, 5.9771897");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6702337, 4.1131701, 4.5732634, 5.0251197, 5.4267673, 5.7592085, 6.1650905", \
+					  "3.5198409, 3.9642883, 4.4228557, 4.8768690, 5.2745486, 5.6088017, 6.0145029", \
+					  "3.3975003, 3.8388246, 4.2959843, 4.7271789, 5.1200931, 5.4876280, 5.8878580", \
+					  "3.3083210, 3.7451720, 4.1945747, 4.6609381, 5.0355767, 5.4504492, 5.8056953", \
+					  "3.2146268, 3.6620960, 4.1320687, 4.5633548, 4.9611198, 5.3594913, 5.7098959", \
+					  "3.1211727, 3.5998307, 4.0599238, 4.5117801, 4.8746805, 5.2236018, 5.6375738", \
+					  "3.0709049, 3.5169021, 3.9556694, 4.4129232, 4.7678495, 5.2190845, 5.5447352");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5029632, -3.9472392, -4.3996173, -4.8489063, -5.2552036, -5.6408715, -5.9936724", \
+					  "-3.3634679, -3.8045053, -4.2600472, -4.7109349, -5.1155215, -5.5029756, -5.8603373", \
+					  "-3.2426701, -3.6667919, -4.1269696, -4.5821921, -4.9959727, -5.3695796, -5.7332048", \
+					  "-3.1428861, -3.1031506, -4.0466148, -4.4981980, -4.9016237, -5.2888611, -5.6551809", \
+					  "-3.0694176, -3.0207734, -3.9689724, -3.9099974, -4.8451791, -5.2381363, -5.5801072", \
+					  "-3.0279989, -3.4256395, -3.9195093, -4.3587060, -4.7694672, -5.1207138, -5.5030595", \
+					  "-2.8999482, -3.3738001, -3.7910832, -4.2861485, -4.6759300, -5.0552253, -5.4354922");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486577, 4.4900442, 4.9486102, 5.3989917, 5.7697165, 6.1374966, 6.5537645", \
+					  "3.9028881, 4.3473268, 4.8045068, 5.2355762, 5.6225298, 5.9932542, 6.4079935", \
+					  "3.7804100, 4.2233530, 4.6819093, 5.1276923, 5.5000100, 5.8662002, 6.2810009", \
+					  "3.6750361, 4.1235586, 4.5794216, 5.0058294, 5.3971164, 5.7740619, 6.1814423", \
+					  "3.5923600, 4.0261175, 4.4938291, 4.9289162, 5.3225738, 5.6798542, 6.0887951", \
+					  "3.4941135, 3.9334867, 4.3849016, 4.8283278, 5.2151717, 5.5805443, 6.0031125", \
+					  "3.4416415, 3.8860802, 4.3502395, 4.7798697, 5.1697564, 5.5057206, 5.9463988");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5472203, -3.9930221, -4.4423484, -4.8749055, -5.2752511, -5.6335880, -6.0425071", \
+					  "-3.3948328, -3.8396568, -4.2935426, -4.7276576, -5.1276349, -5.4863262, -5.8967712", \
+					  "-3.2744229, -3.7278764, -4.1832575, -4.6160166, -5.0264279, -5.3805993, -5.7920617", \
+					  "-3.1672682, -3.6086371, -4.0653580, -4.5026744, -4.8958777, -5.2557622, -5.6764772", \
+					  "-3.0867590, -3.6030454, -4.0614931, -4.4929084, -4.8586488, -5.1894988, -5.6472846", \
+					  "-3.0460851, -3.4286331, -3.9246612, -4.3569838, -4.7422614, -5.1385542, -5.4999265", \
+					  "-2.9502038, -3.4180505, -3.8313123, -4.2649250, -4.6941763, -5.0613755, -5.4711530");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0774063, 0.3661463, 0.8100215, 1.2330517, 1.6375096, 2.0307056, 2.3902809", \
+					  "-0.2179084, 0.2348286, 0.6798310, 1.0977495, 1.4743166, 1.8985833, 2.2737785", \
+					  "-0.3410472, 0.1089237, 0.5683376, 0.9919647, 1.3807624, 1.7442802, 2.1104909", \
+					  "-0.4334523, 0.0112132, 0.4777308, 0.9080393, 1.3032093, 1.6643387, 2.0137639", \
+					  "-0.5171666, -0.0750987, 0.3983915, 0.8305834, 1.2229366, 1.5993514, 1.9664968", \
+					  "-0.5998993, -0.1649495, 0.3179179, 0.7447017, 1.1410475, 1.5238088, 1.8813478", \
+					  "-0.6736859, -0.2375447, 0.2240285, 0.6670371, 1.0862151, 1.4715047, 1.8183169");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4735606, 0.0230268, -0.4160068, -0.8114308, -1.2177282, -1.6079736, -1.9699297", \
+					  "0.6247309, 0.1697260, -0.2765302, -0.6931115, -1.0810984, -1.4362486, -1.7646354", \
+					  "0.7478874, 0.2960048, -0.1554966, -0.5784480, -0.9662728, -1.3412595, -1.6849051", \
+					  "0.8430556, 0.3907732, -0.0633616, -0.4892964, -0.8713668, -1.2506404, -1.5988637", \
+					  "0.9353136, 0.4523912, 0.0010369, -0.4171149, -0.7943160, -1.1656906, -1.5247306", \
+					  "1.0211272, 0.5426262, 0.0802300, -0.3164848, -0.7185361, -1.0812457, -1.4352299", \
+					  "1.0796092, 0.6114209, 0.1594369, -0.2390226, -0.6322401, -1.0642398, -1.3783122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6662346, -4.1059327, -4.5613630, -5.0106516, -5.4169493, -5.8026168, -6.1615212", \
+					  "-3.5235174, -3.9632157, -4.4186456, -4.8694606, -5.2742319, -5.6584188, -6.0170732", \
+					  "-3.3934146, -3.8300729, -4.2904664, -4.7411730, -5.1470985, -5.5404780, -5.8888927", \
+					  "-3.3058473, -3.7394418, -4.2025016, -4.6691115, -5.0580879, -5.4437558, -5.8187590", \
+					  "-3.2231564, -3.6684357, -4.1136096, -4.5554331, -4.9632056, -5.3539934, -5.7334903", \
+					  "-3.1864502, -3.5971705, -4.0806729, -4.5217241, -4.8771167, -5.3003728, -5.6270679", \
+					  "-3.0699307, -3.5253731, -3.9775736, -4.4160344, -4.8343527, -5.2123911, -5.5442017");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0806974, 4.5221394, 4.9807214, 5.4135290, 5.8019765, 6.1683279, 6.5855639", \
+					  "3.9348789, 4.3778468, 4.8365381, 5.2661846, 5.6608290, 6.0301384, 6.4397463", \
+					  "3.8082438, 4.2508435, 4.7078997, 5.1437590, 5.5352574, 5.9000829, 6.3160853", \
+					  "3.7097141, 4.1511948, 4.6082398, 5.0425471, 5.4328176, 5.7944696, 6.2060306", \
+					  "3.6250385, 4.0585142, 4.5229014, 4.9541836, 5.3411038, 5.7431298, 6.1267234", \
+					  "3.5277477, 4.0081394, 4.4462348, 4.8727216, 5.2474849, 5.6340708, 6.0590922", \
+					  "3.4686543, 3.9381456, 4.3721829, 4.8019387, 5.1919112, 5.5860328, 5.9887395");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "ENABLE_H"; */
+				miller_cap_fall : "0.00155778";
+				miller_cap_rise : "0.00119597";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.24376, 0.26334, 0.248167, 0.243049, 0.236855, 0.233188, 0.229005, 0.224161, 0.21849, 0.211858, 0.204235, 0.19567, 0.186201, 0.175844, 0.164593, 0.152439, 0.139368, 0.125366, 0.110418, 0.0945094, 0.0776239, 0.0597462, 0.0408604, 0.0209503, -6.06366e-09, -0.043778, -0.089445, -0.25448, -1.4972", \
+					  "3.17111, 0.138731, 0.127274, 0.12526, 0.122981, 0.121714, 0.12034, 0.118838, 0.117178, 0.115319, 0.113205, 0.110752, 0.107838, 0.104296, 0.0999484, 0.0946754, 0.0884204, 0.0811522, 0.0728465, 0.0634809, 0.0530337, 0.0414835, 0.0288089, 0.0149884, -3.94803e-09, -0.0325487, -0.0679646, -0.204838, -1.48875", \
+					  "3.13456, 0.0759111, 0.064566, 0.0636146, 0.0625727, 0.0620099, 0.0614138, 0.0607789, 0.0600983, 0.0593635, 0.0585629, 0.0576809, 0.0566959, 0.0555762, 0.0542733, 0.0527072, 0.0507375, 0.0481324, 0.0446358, 0.0400933, 0.0344369, 0.0276282, 0.0196375, 0.010437, -4.34854e-09, -0.024043, -0.0518341, -0.167323, -1.48226", \
+					  "3.12474, 0.0591341, 0.0467139, 0.0460236, 0.045276, 0.0448761, 0.0444557, 0.0440115, 0.0435399, 0.0430359, 0.0424934, 0.0419043, 0.0412577, 0.040538, 0.0397229, 0.0387772, 0.0376411, 0.0361987, 0.0342167, 0.0313783, 0.0274762, 0.0224258, 0.0161841, 0.00871876, -3.51971e-09, -0.0208467, -0.0458038, -0.153207, -1.47994", \
+					  "3.11646, 0.0461944, 0.0309753, 0.0305046, 0.0300011, 0.0297346, 0.0294564, 0.0291651, 0.0288586, 0.0285346, 0.02819, 0.0278208, 0.0274219, 0.0269865, 0.0265047, 0.0259625, 0.0253375, 0.0245908, 0.0236399, 0.0222773, 0.0201288, 0.01691, 0.0125122, 0.00688725, -4.59167e-09, -0.017449, -0.0394121, -0.13818, -1.47753", \
+					  "3.11296, 0.0414606, 0.0240352, 0.0236594, 0.0232602, 0.02305, 0.0228316, 0.0226039, 0.0223655, 0.0221148, 0.0218498, 0.0215679, 0.0212656, 0.0209387, 0.020581, 0.0201838, 0.019734, 0.0192101, 0.0185703, 0.0177092, 0.0163359, 0.0140362, 0.0105913, 0.00592621, -7.3843e-10, -0.0156707, -0.0360748, -0.130306, -1.47628", \
+					  "3.10992, 0.0378475, 0.0177937, 0.0175032, 0.0171969, 0.0170366, 0.0168708, 0.0166987, 0.0165195, 0.0163322, 0.0161354, 0.0159275, 0.0157065, 0.0154696, 0.0152132, 0.0149321, 0.0146191, 0.0142625, 0.0138418, 0.0133116, 0.0125269, 0.0110937, 0.00861234, 0.00493277, -2.97822e-09, -0.0138376, -0.0326407, -0.12219, -1.47502", \
+					  "3.10736, 0.0353472, 0.0123147, 0.0120996, 0.0118753, 0.0117587, 0.0116386, 0.0115147, 0.0113865, 0.0112532, 0.0111142, 0.0109684, 0.0108148, 0.0106516, 0.010477, 0.0102881, 0.0100811, 0.00984991, 0.00958508, 0.00926792, 0.00884694, 0.00811304, 0.0065763, 0.00390435, -2.24528e-09, -0.0119481, -0.0291086, -0.113841, -1.47375", \
+					  "3.10532, 0.033974, 0.0076781, 0.00752679, 0.00737386, 0.00729499, 0.00721426, 0.00713144, 0.00704624, 0.00695835, 0.00686737, 0.00677279, 0.00667402, 0.00657026, 0.00646051, 0.00634342, 0.00621712, 0.00607892, 0.00592467, 0.00574718, 0.0055305, 0.00521449, 0.00449856, 0.00283974, -1.93636e-09, -0.0100005, -0.0254794, -0.105291, -1.47248", \
+					  "3.10386, 0.0338015, 0.00402622, 0.00391631, 0.00382395, 0.00377679, 0.00372885, 0.00368001, 0.00363016, 0.00357916, 0.00352684, 0.00347299, 0.00341736, 0.00335962, 0.00329937, 0.00323606, 0.00316898, 0.0030971, 0.00301893, 0.00293207, 0.00283205, 0.00270674, 0.00248499, 0.00175684, -4.37271e-09, -0.00799268, -0.0217571, -0.0966051, -1.47123", \
+					  "3.10312, 0.0350028, 0.00164796, 0.00149449, 0.00144936, 0.00142684, 0.00140412, 0.00138117, 0.00135795, 0.00133443, 0.00131054, 0.00128624, 0.00126144, 0.00123606, 0.00120998, 0.00118304, 0.00115506, 0.00112576, 0.00109475, 0.00106145, 0.00102486, 0.000982922, 0.000927777, 0.000769621, -1.35145e-08, -0.00592603, -0.017956, -0.0878691, -1.47001", \
+					  "3.10316, 0.0376452, 0.000920054, 0.000366695, 0.000348579, 0.000341215, 0.000333854, 0.000326478, 0.000319079, 0.000311649, 0.000304183, 0.000296668, 0.000289092, 0.000281441, 0.000273693, 0.000265825, 0.000257805, 0.000249584, 0.000241108, 0.000232279, 0.000222954, 0.000212863, 0.000201255, 0.000181865, -5.67266e-08, -0.00383224, -0.0141192, -0.0791762, -1.46882", \
+					  "3.10373, 0.0412325, 0.00180117, 7.91156e-05, 5.63979e-05, 5.4795e-05, 5.32901e-05, 5.17957e-05, 5.03055e-05, 4.88181e-05, 4.73334e-05, 4.58477e-05, 4.43615e-05, 4.28741e-05, 4.13803e-05, 3.98783e-05, 3.8363e-05, 3.68305e-05, 3.5276e-05, 3.36872e-05, 3.2044e-05, 3.03224e-05, 2.84423e-05, 2.59282e-05, -3.03123e-07, -0.00190283, -0.0103592, -0.0706092, -1.46767", \
+					  "3.10447, 0.0451103, 0.0037363, 0.000127073, 7.16368e-06, 6.29371e-06, 5.97955e-06, 5.70437e-06, 5.43871e-06, 5.17819e-06, 4.92132e-06, 4.66553e-06, 4.41236e-06, 4.15954e-06, 3.90699e-06, 3.65513e-06, 3.40149e-06, 3.14748e-06, 2.89229e-06, 2.63322e-06, 2.36419e-06, 2.09513e-06, 1.80416e-06, 1.44416e-06, -1.76102e-06, -0.000610372, -0.00687143, -0.0622343, -1.46657", \
+					  "3.10524, 0.0489599, 0.00622796, 0.000599231, 7.76816e-07, -3.23117e-06, -3.91525e-06, -4.36909e-06, -4.76549e-06, -5.13448e-06, -5.48972e-06, -5.83524e-06, -6.17402e-06, -6.50786e-06, -6.83796e-06, -7.16505e-06, -7.48959e-06, -7.81564e-06, -8.13971e-06, -8.46117e-06, -8.78799e-06, -9.10739e-06, -9.43311e-06, -9.77027e-06, -1.04038e-05, -0.000127326, -0.00389401, -0.0541062, -1.46552", \
+					  "3.10599, 0.0526275, 0.0088726, 0.00175185, 8.30271e-08, -2.45784e-05, -2.86729e-05, -3.12185e-05, -3.33812e-05, -3.53701e-05, -3.72578e-05, -3.90788e-05, -4.0853e-05, -4.25928e-05, -4.43067e-05, -4.60006e-05, -4.76789e-05, -4.93449e-05, -5.10011e-05, -5.26494e-05, -5.42913e-05, -5.59327e-05, -5.75683e-05, -5.92015e-05, -6.08617e-05, -8.07462e-05, -0.00174083, -0.0463004, -1.46456", \
+					  "3.10669, 0.0560061, 0.0113285, 0.0032631, 1.39568e-08, -0.000150782, -0.000177563, -0.000191508, -0.000202471, -0.000212118, -0.000220997, -0.000229363, -0.000237361, -0.000245079, -0.000252581, -0.000259907, -0.000267091, -0.000274156, -0.000281121, -0.000288, -0.000294806, -0.000301548, -0.000308233, -0.000314872, -0.000321471, -0.000336658, -0.000821876, -0.0390322, -1.46383", \
+					  "3.10735, 0.0590352, 0.0134422, 0.00468086, 7.29295e-09, -0.000595417, -0.000742582, -0.000799839, -0.000838018, -0.000868824, -0.000895617, -0.000919827, -0.000942217, -0.000963246, -0.000983218, -0.00100234, -0.00102077, -0.00103862, -0.00105598, -0.00107292, -0.0010895, -0.00110575, -0.00112172, -0.00113743, -0.00115292, -0.00118355, -0.00130124, -0.032691, -1.46357", \
+					  "3.10794, 0.0617085, 0.0152228, 0.00586858, 6.65135e-09, -0.00128455, -0.0017787, -0.0019539, -0.00204818, -0.00211598, -0.00217105, -0.00221855, -0.00226094, -0.00229966, -0.00233558, -0.0023693, -0.00240125, -0.00243174, -0.002461, -0.00248922, -0.00251654, -0.00254308, -0.00256893, -0.00259418, -0.00261888, -0.00266689, -0.00272689, -0.0273682, -1.46378", \
+					  "3.10846, 0.0640574, 0.0167278, 0.00684723, 6.5853e-09, -0.00196158, -0.00299527, -0.00342767, -0.00362743, -0.0037524, -0.00384611, -0.0039229, -0.00398902, -0.00404775, -0.00410104, -0.00415018, -0.00419601, -0.00423916, -0.0042801, -0.00431917, -0.00435665, -0.00439275, -0.00442766, -0.00446151, -0.00449443, -0.00455784, -0.00462047, -0.022957, -1.4643", \
+					  "3.10891, 0.0661267, 0.0180127, 0.00766036, 6.57495e-09, -0.0025387, -0.00414909, -0.00499019, -0.0053826, -0.005598, -0.00574461, -0.00585779, -0.00595141, -0.0060322, -0.00610389, -0.00616879, -0.00622843, -0.00628388, -0.0063359, -0.00638508, -0.00643185, -0.00647657, -0.00651951, -0.00656089, -0.00660091, -0.00667742, -0.00675027, -0.0194993, -1.46499", \
+					  "3.10932, 0.0679601, 0.0191216, 0.00834476, 6.57065e-09, -0.00301566, -0.00514864, -0.00646861, -0.00716617, -0.00752865, -0.00775112, -0.00791087, -0.00803693, -0.00814218, -0.00823332, -0.00831428, -0.00838754, -0.00845478, -0.00851718, -0.00857562, -0.00863074, -0.00868306, -0.00873297, -0.0087808, -0.0088268, -0.00891413, -0.00899635, -0.017178, -1.4658", \
+					  "3.10967, 0.0695951, 0.0200882, 0.00892818, 6.56749e-09, -0.00340972, -0.00598829, -0.00777103, -0.00885386, -0.00944342, -0.00977947, -0.0100017, -0.0101672, -0.0103002, -0.0104121, -0.0105094, -0.010596, -0.0106745, -0.0107464, -0.0108132, -0.0108756, -0.0109344, -0.0109902, -0.0110433, -0.0110941, -0.01119, -0.0112794, -0.0161625, -1.46666", \
+					  "3.10998, 0.0710624, 0.0209384, 0.00943123, 6.57119e-09, -0.00373792, -0.00668888, -0.00887844, -0.010359, -0.0112493, -0.0117542, -0.012064, -0.0122797, -0.0124449, -0.0125794, -0.0126934, -0.0127931, -0.0128819, -0.0129625, -0.0130364, -0.0131049, -0.013169, -0.0132293, -0.0132865, -0.0133409, -0.0134427, -0.013537, -0.0164052, -1.46756", \
+					  "3.11026, 0.072387, 0.0216921, 0.00986936, 6.56048e-09, -0.00401405, -0.00727496, -0.00980761, -0.0116495, -0.0128697, -0.0136029, -0.0140373, -0.0143194, -0.0145233, -0.0146827, -0.014814, -0.0149261, -0.0150244, -0.0151122, -0.0151919, -0.0152651, -0.015333, -0.0153964, -0.0154561, -0.0155126, -0.0156177, -0.0157142, -0.0175661, -1.46846", \
+					  "3.11073, 0.0746843, 0.022969, 0.0105952, 6.55763e-09, -0.00445021, -0.00818699, -0.0112385, -0.0136395, -0.0154341, -0.0166839, -0.0174848, -0.0179754, -0.0182895, -0.0185095, -0.0186766, -0.0188108, -0.0189233, -0.0190203, -0.0191058, -0.0191825, -0.0192523, -0.0193164, -0.0193758, -0.0194314, -0.019533, -0.0196246, -0.0208712, -1.47024", \
+					  "3.11111, 0.0766084, 0.0240096, 0.0111718, 6.55607e-09, -0.00477702, -0.00885386, -0.0122588, -0.0150266, -0.0172006, -0.0188349, -0.0199963, -0.0207668, -0.0212499, -0.0215546, -0.0217604, -0.021911, -0.0220286, -0.0221247, -0.022206, -0.0222766, -0.0223391, -0.0223953, -0.0224464, -0.0224934, -0.0225776, -0.0226518, -0.0237703, -1.47184", \
+					  "3.11187, 0.0808676, 0.0262236, 0.0123549, 6.55111e-09, -0.0053927, -0.0100572, -0.0140075, -0.0172611, -0.0198465, -0.0218151, -0.0232569, -0.0242925, -0.025039, -0.0255824, -0.0259769, -0.0262564, -0.0264459, -0.0265706, -0.0266543, -0.0267139, -0.0267592, -0.0267953, -0.0268254, -0.0268512, -0.0268938, -0.0269286, -0.0279262, -1.47446", \
+					  "3.11247, 0.0851575, 0.0283184, 0.0134222, 6.54661e-09, -0.00588657, -0.0109615, -0.015217, -0.018649, -0.0212743, -0.0231698, -0.0244974, -0.0254457, -0.0261569, -0.026717, -0.0271754, -0.0275612, -0.0278921, -0.0281795, -0.0284304, -0.0286494, -0.028839, -0.0290003, -0.0291333, -0.0292381, -0.0293704, -0.0294362, -0.0304375, -1.47613");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1309730, 0.2371170, 0.3420117, 0.4526331, 0.6099858");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2073817, 0.3133037, 0.4188167, 0.5283915, 0.6861403");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2509568, 0.4357425, 0.6226989, 0.8279100, 1.1338153");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3083766, 0.4931356, 0.6792439, 0.8854154, 1.1913813");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("22.484");
+						index_3("0.001");
+						index_4("11.3745905, 12.0872720, 16.3178160, 18.2132519, 19.0043720");
+						values("0.471486, 0.754378, 0.942972, 0.754378, 0.471486");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("12.9979");
+						index_3("0.001");
+						index_4("6.6047282, 7.0860247, 9.7474123, 10.9281021, 11.4725545");
+						values("0.483317, 0.773307, 0.966634, 0.773307, 0.483317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("8.67567");
+						index_3("0.001");
+						index_4("4.4274141, 4.7878914, 6.6719357, 7.5148186, 7.9330275");
+						values("0.490657, 0.785052, 0.981314, 0.785052, 0.490657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("11.242");
+						index_3("0.001");
+						index_4("5.7690770, 6.1959274, 8.4035012, 9.2115606, 9.6290735");
+						values("0.229623, 0.367397, 0.459247, 0.367397, 0.229623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("6.49896");
+						index_3("0.001");
+						index_4("3.3633434, 3.6607024, 4.9709928, 5.5392091, 5.8363835");
+						values("0.234143, 0.374629, 0.468286, 0.374629, 0.234143");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("4.33783");
+						index_3("0.001");
+						index_4("2.2661142, 2.4847230, 3.3473163, 3.8178808, 4.0533022");
+						values("0.233643, 0.373828, 0.467285, 0.373828, 0.233643");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("19.4969");
+						index_3("0.001");
+						index_4("9.8240248, 10.4758334, 14.4701022, 16.2972580, 17.0762854");
+						values("0.707674, 1.13228, 1.41535, 1.13228, 0.707674");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("36.913");
+						index_3("0.001");
+						index_4("18.6093099, 19.8236073, 26.9412435, 29.6569476, 30.6186266");
+						values("1.17025, 0.882393, 0.690492, 0.882393, 1.17025");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("20.9592");
+						index_3("0.001");
+						index_4("10.6078296, 11.4355820, 15.8304765, 17.4398414, 18.0516346");
+						values("1.1539, 0.856241, 0.657802, 0.856241, 1.1539");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("13.8661");
+						index_3("0.001");
+						index_4("7.0454962, 7.6670466, 10.6531207, 11.8478000, 12.2971720");
+						values("1.14442, 0.84107, 0.638837, 0.84107, 1.14442");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.4565");
+						index_3("0.001");
+						index_4("9.4538595, 10.1897596, 13.8021770, 14.9491994, 15.4348016");
+						values("1.40794, 1.2627, 1.16587, 1.2627, 1.40794");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.4177413, 5.9103789, 8.0571401, 8.7938356, 9.1105962");
+						values("1.40159, 1.25254, 1.15318, 1.25254, 1.40159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("6.93307");
+						index_3("0.001");
+						index_4("3.6190009, 3.9930486, 5.4793910, 5.9768558, 6.2116371");
+						values("1.39933, 1.24893, 1.14866, 1.24893, 1.39933");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.4389");
+						index_3("0.001");
+						index_4("15.7426590, 16.8669744, 23.4200578, 26.0596418, 26.9523575");
+						values("0.94701, 0.525217, 0.244021, 0.525217, 0.94701");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023133;
+			capacitance : 0.023996;
+			rise_capacitance : 0.024297;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425549, -3.5992073, -3.4702567, -3.3867189, -3.3228575, -3.2397171, -3.1812943", \
+					  "-4.1746235, -4.0305570, -3.9161360, -3.8172618, -3.7138514, -3.6762672, -3.6362513", \
+					  "-4.6376833, -4.4899020, -4.3694148, -4.2848993, -4.2164602, -4.1093344, -4.0794743", \
+					  "-5.0869719, -4.9375248, -4.8260122, -4.7358887, -4.6535879, -4.5658510, -4.4967196", \
+					  "-5.4963214, -5.3430995, -5.2265269, -5.1389592, -5.0644171, -4.9911099, -4.9045432", \
+					  "-5.8774116, -5.7241760, -5.6191542, -5.5261530, -5.4592399, -5.3578050, -5.3024178", \
+					  "-6.2378415, -6.0846197, -5.9753875, -5.8850575, -5.8288256, -5.7061651, -5.6704776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4272388, -2.3075830, -2.1680082, -3.1717672, -1.8639438, -1.7261979, -1.6636713", \
+					  "-2.8730931, -2.7488598, -2.5814594, -2.3936479, -2.3099755, -2.1040242, -3.0936768", \
+					  "-3.3285469, -3.2043137, -3.0912361, -2.8468332, -2.7694220, -2.6376116, -2.5562332", \
+					  "-3.7642176, -4.8667903, -3.4887093, -3.3123901, -3.1818527, -3.0256128, -2.9418094", \
+					  "-4.1631127, -4.0266725, -3.8669767, -4.8937971, -3.6137244, -3.4832015, -3.3661098", \
+					  "-4.5306991, -4.3678076, -4.2353189, -4.1190120, -3.9903065, -4.8794659, -3.7204095", \
+					  "-4.9908315, -4.8635462, -4.6417942, -4.4961845, -4.3708612, -4.2641581, -4.1488175");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0425754, 3.8969719, 3.7834236, 3.6882648, 3.6057879, 3.5200534, 3.4518799", \
+					  "4.4534845, 4.3307689, 4.2218360, 4.1190103, 4.0322717, 3.9522721, 3.8963058", \
+					  "4.9257920, 4.7981963, 4.6804110, 4.5959350, 4.5137234, 4.4047959, 4.3614790", \
+					  "5.3646854, 5.2465479, 5.1242715, 5.0348177, 4.9655394, 4.8543705, 4.7912170", \
+					  "5.7485330, 5.6550087, 5.5046774, 5.4094811, 5.3318868, 5.2397436, 5.2195144", \
+					  "6.1270653, 6.0058752, 5.9319445, 5.7672314, 5.7512437, 5.6030183, 5.6036356", \
+					  "6.5475095, 6.3902681, 6.2945820, 6.1989043, 6.1186565, 6.0314929, 5.9771897");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7816415, 3.6040862, 3.4046404, 3.2194889, 3.0395276, 2.8634859, 2.7034964", \
+					  "4.2169400, 4.0469182, 3.8345960, 3.6505222, 3.4855133, 3.2675103, 3.1494718", \
+					  "4.6816069, 4.5039553, 4.3043887, 4.1204056, 3.9256792, 3.7747932, 3.6050006", \
+					  "5.1143696, 4.9314928, 4.7370226, 4.5634428, 4.3706663, 4.1512005, 4.0362898", \
+					  "5.5042871, 5.3121572, 5.1323291, 4.9903124, 4.7497955, 4.5829974, 4.4205957", \
+					  "5.8705683, 5.6800438, 5.4865142, 5.2985796, 5.1183092, 4.9711642, 4.8017957", \
+					  "6.2765169, 6.1003916, 5.9056682, 5.7341170, 5.5451228, 5.3710870, 5.2071470");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00226308";
+				miller_cap_rise : "0.00175641";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10942, 0.943241, 0.883804, 0.852995, 0.814579, 0.792412, 0.768256, 0.742112, 0.713981, 0.68387, 0.651784, 0.617731, 0.58172, 0.543757, 0.503852, 0.462015, 0.418253, 0.372576, 0.324993, 0.275513, 0.224147, 0.170903, 0.11579, 0.0588196, -6.73738e-09, -0.118962, -0.23777, -0.634969, -2.57966", \
+					  "2.87452, 0.531711, 0.512272, 0.504411, 0.495384, 0.490265, 0.4846, 0.478223, 0.470882, 0.462192, 0.451616, 0.438604, 0.422789, 0.404003, 0.382189, 0.357336, 0.329453, 0.298559, 0.264676, 0.227827, 0.188038, 0.145334, 0.0997427, 0.051289, -7.19632e-09, -0.107028, -0.217649, -0.607707, -2.58345", \
+					  "2.73872, 0.277819, 0.265362, 0.261648, 0.257656, 0.255529, 0.253296, 0.250936, 0.248424, 0.245726, 0.242796, 0.239565, 0.235929, 0.231711, 0.226567, 0.219803, 0.210388, 0.197511, 0.180837, 0.160282, 0.135847, 0.107561, 0.0754616, 0.0395931, -8.85509e-09, -0.0875222, -0.18372, -0.553297, -2.57847", \
+					  "2.70303, 0.217946, 0.190159, 0.187393, 0.184467, 0.182931, 0.181335, 0.179672, 0.177927, 0.176087, 0.174131, 0.172031, 0.169748, 0.167224, 0.164364, 0.16099, 0.156698, 0.150566, 0.141318, 0.128159, 0.110844, 0.0893378, 0.063665, 0.0338691, -4.53996e-09, -0.0778959, -0.166908, -0.525582, -2.57493", \
+					  "2.67469, 0.190519, 0.122861, 0.12091, 0.118876, 0.117823, 0.116739, 0.115623, 0.114467, 0.113265, 0.11201, 0.11069, 0.10929, 0.107791, 0.106163, 0.104361, 0.102305, 0.0998179, 0.0963994, 0.0907788, 0.0814676, 0.0678069, 0.049663, 0.0270456, -6.09334e-09, -0.0663834, -0.146773, -0.492, -2.57024", \
+					  "2.66389, 0.189024, 0.0931863, 0.0915996, 0.0899589, 0.0891139, 0.0882495, 0.0873629, 0.0864509, 0.0855094, 0.0845331, 0.0835156, 0.0824482, 0.0813194, 0.0801131, 0.0788056, 0.0773588, 0.0757022, 0.0736632, 0.0706698, 0.0652226, 0.0557811, 0.0417985, 0.0231955, -5.72163e-09, -0.0598686, -0.135366, -0.472798, -2.5674", \
+					  "2.65567, 0.195755, 0.0667595, 0.0655063, 0.0642262, 0.063571, 0.062904, 0.0622234, 0.0615273, 0.0608133, 0.0600785, 0.059319, 0.0585302, 0.0577056, 0.0568367, 0.0559115, 0.0549118, 0.0538077, 0.0525396, 0.0509407, 0.0483489, 0.0430016, 0.0333731, 0.01905, -6.82278e-09, -0.052829, -0.123026, -0.451878, -2.56418", \
+					  "2.65032, 0.210819, 0.0441279, 0.0431181, 0.0421684, 0.0416853, 0.0411958, 0.040699, 0.0401937, 0.0396789, 0.0391527, 0.0386134, 0.0380584, 0.0374846, 0.0368877, 0.0362621, 0.0355994, 0.0348871, 0.0341035, 0.0332018, 0.0320244, 0.0297945, 0.0244675, 0.0146268, -9.87035e-09, -0.0452608, -0.109738, -0.429156, -2.56047", \
+					  "2.64816, 0.2343, 0.0262196, 0.0249918, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230095, 0.0226673, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181093, 0.0173182, 0.0153839, 0.0100042, -7.71041e-09, -0.0371767, -0.0955031, -0.404553, -2.55542", \
+					  "2.64959, 0.2663, 0.0153568, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.00963151, 0.00942346, 0.00921145, 0.00899441, 0.00877085, 0.00853857, 0.00829398, 0.00803009, 0.00772681, 0.00725595, 0.00547703, -2.08438e-08, -0.0286279, -0.0803637, -0.377996, -2.54763", \
+					  "2.65501, 0.306773, 0.0156907, 0.0042362, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285058, 0.00275885, 0.00266549, 0.00256978, 0.0024705, 0.00236484, 0.00224158, 0.00195401, -3.98572e-07, -0.0197777, -0.0644572, -0.349426, -2.53925", \
+					  "2.66414, 0.354445, 0.0283632, 0.00200113, 0.000984578, 0.000953294, 0.000924645, 0.000896207, 0.00086792, 0.000839765, 0.000811735, 0.000783813, 0.000755987, 0.000728239, 0.000700551, 0.000672893, 0.000645229, 0.00061751, 0.000589663, 0.000561578, 0.000533079, 0.000503784, 0.000472482, 0.000427442, -6.96365e-06, -0.0112032, -0.0482193, -0.318841, -2.53028", \
+					  "2.67567, 0.40652, 0.0500423, 0.00492745, 0.000213863, 0.000170622, 0.000159697, 0.000149772, 0.000140108, 0.000130577, 0.000121137, 0.000111767, 0.000102454, 9.31884e-05, 8.39638e-05, 7.4772e-05, 6.5605e-05, 5.64593e-05, 4.73185e-05, 3.81602e-05, 2.8963e-05, 1.96592e-05, 1.00694e-05, -1.31394e-06, -8.81734e-05, -0.00461375, -0.0327983, -0.286461, -2.52079", \
+					  "2.68861, 0.460931, 0.0775351, 0.0148046, 4.0395e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374847, -0.000395172, -0.000415324, -0.000435358, -0.000455312, -0.00047521, -0.000495066, -0.000514902, -0.000534721, -0.000554534, -0.000574358, -0.000594206, -0.000614111, -0.000634333, -0.000668081, -0.00190928, -0.020106, -0.253279, -2.51114", \
+					  "2.70262, 0.516762, 0.108769, 0.0308989, 5.30608e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305516, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.0034476, -0.00385041, -0.0130626, -0.222058, -2.50269", \
+					  "2.71765, 0.573529, 0.14197, 0.0496935, 4.21319e-07, -0.00705468, -0.00845089, -0.00891357, -0.00923963, -0.00951924, -0.00977584, -0.0100188, -0.0102528, -0.0104804, -0.0107035, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124053, -0.0126129, -0.0128202, -0.0132813, -0.0168568, -0.198165, -2.49841", \
+					  "2.73374, 0.630867, 0.175538, 0.0687536, 2.5949e-08, -0.0163337, -0.0227549, -0.024605, -0.0255368, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282855, -0.0287327, -0.0291667, -0.0295904, -0.0300059, -0.0304146, -0.0308179, -0.0312165, -0.0316113, -0.0320028, -0.0323917, -0.0327786, -0.0335555, -0.0350698, -0.186201, -2.50091", \
+					  "2.75095, 0.688455, 0.208383, 0.0872623, 2.79635e-09, -0.0268123, -0.0422284, -0.0484682, -0.0509592, -0.0524513, -0.0535831, -0.0545389, -0.0553919, -0.0561783, -0.056918, -0.0576235, -0.0583028, -0.0589614, -0.0596034, -0.0602318, -0.0608488, -0.0614563, -0.0620557, -0.0626485, -0.0632357, -0.0643988, -0.0656984, -0.186825, -2.51053", \
+					  "2.76937, 0.745976, 0.239989, 0.104985, 1.56496e-09, -0.0371723, -0.0628106, -0.0771437, -0.0833802, -0.0864781, -0.0885285, -0.0901255, -0.0914792, -0.0926836, -0.0937876, -0.0948199, -0.0957986, -0.0967358, -0.09764, -0.0985174, -0.0993726, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105776, -0.199281, -2.52661", \
+					  "2.78911, 0.803108, 0.270178, 0.121853, 1.48686e-09, -0.0470699, -0.0828586, -0.107036, -0.120173, -0.12644, -0.130052, -0.132613, -0.134658, -0.136405, -0.13796, -0.139384, -0.140711, -0.141964, -0.143161, -0.144311, -0.145423, -0.146504, -0.147559, -0.148591, -0.149604, -0.151587, -0.153533, -0.222842, -2.54837", \
+					  "2.81032, 0.85951, 0.298908, 0.137848, 1.46774e-09, -0.0564272, -0.101955, -0.136152, -0.158489, -0.170366, -0.176653, -0.180683, -0.18369, -0.186146, -0.188264, -0.190156, -0.191889, -0.193503, -0.195025, -0.196474, -0.197864, -0.199206, -0.200507, -0.201773, -0.203011, -0.205416, -0.207757, -0.256846, -2.57509", \
+					  "2.83319, 0.914814, 0.326185, 0.152981, 1.45349e-09, -0.065236, -0.120002, -0.163866, -0.196095, -0.216082, -0.226791, -0.233086, -0.237449, -0.240837, -0.243658, -0.246116, -0.248323, -0.250348, -0.252234, -0.254013, -0.255704, -0.257325, -0.258886, -0.260398, -0.261868, -0.264708, -0.26745, -0.300665, -2.60613", \
+					  "2.85795, 0.968632, 0.352036, 0.16727, 1.44311e-09, -0.073509, -0.136988, -0.19003, -0.231952, -0.261479, -0.27882, -0.288583, -0.294875, -0.2995, -0.303208, -0.30635, -0.309114, -0.311609, -0.313905, -0.316046, -0.318065, -0.319985, -0.321823, -0.323594, -0.325306, -0.328593, -0.331743, -0.353712, -2.64088", \
+					  "2.88488, 1.02057, 0.376496, 0.18074, 1.43843e-09, -0.0812663, -0.15294, -0.21464, -0.265772, -0.305082, -0.33101, -0.345905, -0.354955, -0.361237, -0.366069, -0.370044, -0.373464, -0.3765, -0.379255, -0.381798, -0.384174, -0.386417, -0.388551, -0.390594, -0.39256, -0.39631, -0.399875, -0.41531, -2.67882", \
+					  "2.91434, 1.07028, 0.399607, 0.193421, 1.44177e-09, -0.0885312, -0.167894, -0.237735, -0.297536, -0.346245, -0.381784, -0.403725, -0.416691, -0.425211, -0.431479, -0.436473, -0.44067, -0.444329, -0.447604, -0.450593, -0.45336, -0.455952, -0.458401, -0.460732, -0.462966, -0.467195, -0.471187, -0.484151, -2.71951", \
+					  "2.9825, 1.16196, 0.441959, 0.216537, 1.48425e-09, -0.10168, -0.194987, -0.279618, -0.35517, -0.42094, -0.475361, -0.515557, -0.54103, -0.556678, -0.567205, -0.575019, -0.58125, -0.586473, -0.591008, -0.595049, -0.598718, -0.602098, -0.60525, -0.608215, -0.611026, -0.61628, -0.621163, -0.634846, -2.80765", \
+					  "3.04864, 1.24282, 0.479467, 0.236871, 1.59904e-09, -0.113145, -0.218636, -0.31621, -0.405544, -0.486143, -0.557028, -0.615973, -0.659462, -0.687462, -0.705238, -0.71749, -0.726693, -0.734069, -0.740257, -0.745625, -0.750396, -0.754717, -0.758687, -0.762376, -0.765837, -0.77222, -0.778061, -0.793857, -2.90263", \
+					  "3.21358, 1.42897, 0.566736, 0.283653, 2.7611e-09, -0.139177, -0.272415, -0.399544, -0.520374, -0.634672, -0.742113, -0.84215, -0.933685, -1.01432, -1.07974, -1.12679, -1.15833, -1.18003, -1.19596, -1.2084, -1.21859, -1.22723, -1.23476, -1.24147, -1.24753, -1.25823, -1.26756, -1.29082, -3.20426", \
+					  "3.41214, 1.60571, 0.648809, 0.326906, 1.0078e-08, -0.162874, -0.321615, -0.476134, -0.62634, -0.772134, -0.913404, -1.05002, -1.1818, -1.30851, -1.42974, -1.54475, -1.65205, -1.74878, -1.83047, -1.89357, -1.93935, -1.97253, -1.99747, -2.01707, -2.0331, -2.05832, -2.0779, -2.12011, -3.71194");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0751929, 0.1425939, 0.2154001, 0.3002747, 0.4244672");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1469966, 0.2144195, 0.2877457, 0.3719209, 0.4951714");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0255361, 0.0449254, 0.0647596, 0.0852475, 0.1147706");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0972117, 0.1166955, 0.1364795, 0.1570171, 0.1864380");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.7613");
+						index_3("0.001");
+						index_4("6.8862347, 7.2676286, 8.8206699, 9.9952784, 10.3095043");
+						values("0.41686, 0.666976, 0.83372, 0.666976, 0.41686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.4054");
+						index_3("0.001");
+						index_4("4.2115909, 4.4746304, 5.5221119, 6.3128945, 6.5237900");
+						values("0.430771, 0.689234, 0.861543, 0.689234, 0.430771");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.67932");
+						index_3("0.001");
+						index_4("2.8500052, 3.0473715, 3.8177136, 4.3808007, 4.5349297");
+						values("0.435845, 0.697353, 0.871691, 0.697353, 0.435845");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.88063");
+						index_3("0.001");
+						index_4("3.4785954, 3.6913950, 4.6395857, 5.0256917, 5.1852435");
+						values("0.221221, 0.353953, 0.442442, 0.353953, 0.221221");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.2027");
+						index_3("0.001");
+						index_4("2.1337621, 2.2813222, 2.9291086, 3.1731174, 3.2820710");
+						values("0.226971, 0.363154, 0.453942, 0.363154, 0.226971");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.83966");
+						index_3("0.001");
+						index_4("1.4494273, 1.5606367, 1.9947137, 2.2005266, 2.2818503");
+						values("0.228035, 0.364855, 0.456069, 0.364855, 0.228035");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.6081");
+						index_3("0.001");
+						index_4("6.2743771, 6.6609437, 8.2644248, 9.4253320, 9.7466409");
+						values("0.60152, 0.962433, 1.20304, 0.962433, 0.60152");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.56289");
+						index_3("0.001");
+						index_4("1.2943391, 1.4092226, 1.9780497, 2.2948003, 2.4371362");
+						values("1.17556, 0.920894, 0.751118, 0.920894, 1.17556");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.49309");
+						index_3("0.001");
+						index_4("0.7572725, 0.8350104, 1.1850984, 1.3989022, 1.4952117");
+						values("1.1697, 0.911525, 0.739407, 0.911525, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.01616");
+						index_3("0.001");
+						index_4("0.5169897, 0.5754980, 0.8488364, 0.9855231, 1.0630974");
+						values("1.16603, 0.905649, 0.732061, 0.905649, 1.16603");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.28144");
+						index_3("0.001");
+						index_4("0.6571300, 0.7201951, 1.0207358, 1.1647871, 1.2488612");
+						values("1.39546, 1.27274, 1.19092, 1.27274, 1.39546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.746544");
+						index_3("0.001");
+						index_4("0.3855548, 0.4282105, 0.5881290, 0.7138073, 0.7728802");
+						values("1.39473, 1.27158, 1.18947, 1.27158, 1.39473");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.508078");
+						index_3("0.001");
+						index_4("0.2643969, 0.2961246, 0.4427336, 0.5056108, 0.5571669");
+						values("1.39534, 1.27255, 1.19068, 1.27255, 1.39534");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.23963");
+						index_3("0.001");
+						index_4("1.1301031, 1.2435884, 1.7693928, 2.0737030, 2.2146965");
+						values("0.944019, 0.55043, 0.288038, 0.55043, 0.944019");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006354;
+			capacitance : 0.006212;
+			fall_capacitance : 0.006069;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6702337, 3.5198409, 3.3975003, 3.3083210, 3.2146268, 3.1211727, 3.0709049", \
+					  "4.1131701, 3.9642883, 3.8388246, 3.7451720, 3.6620960, 3.5998307, 3.5169021", \
+					  "4.5732634, 4.4228557, 4.2959843, 4.1945747, 4.1320687, 4.0599238, 3.9556694", \
+					  "5.0251197, 4.8768690, 4.7271789, 4.6609381, 4.5633548, 4.5117801, 4.4129232", \
+					  "5.4267673, 5.2745486, 5.1200931, 5.0355767, 4.9611198, 4.8746805, 4.7678495", \
+					  "5.7592085, 5.6088017, 5.4876280, 5.4504492, 5.3594913, 5.2236018, 5.2190845", \
+					  "6.1650905, 6.0145029, 5.8878580, 5.8056953, 5.7098959, 5.6375738, 5.5447352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1890445, 4.0170709, 3.8346697, 3.6463932, 3.4744268, 3.2819883, 3.0849455", \
+					  "4.6478233, 4.4773761, 4.2933881, 4.1012219, 3.9290072, 3.7271751, 3.5578023", \
+					  "5.1022780, 4.9327043, 4.7478424, 4.5530191, 4.3951370, 4.1968685, 4.0139095", \
+					  "5.5260594, 5.3540863, 5.1704532, 4.9936777, 4.8181457, 4.5996773, 4.4285893", \
+					  "5.9412158, 5.7295691, 5.5440553, 5.3529588, 5.1904115, 4.9980502, 4.7952871", \
+					  "6.3401509, 6.1697037, 5.9783940, 5.7965231, 5.6242698, 5.4335157, 5.2341627", \
+					  "6.6893977, 6.5158988, 6.3336246, 6.1516479, 5.9734722, 5.7719481, 5.5843319");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5029632, -3.3634679, -3.2426701, -3.1428861, -3.0694176, -3.0279989, -2.8999482", \
+					  "-3.9472392, -3.8045053, -3.6667919, -3.1031506, -3.0207734, -3.4256395, -3.3738001", \
+					  "-4.3996173, -4.2600472, -4.1269696, -4.0466148, -3.9689724, -3.9195093, -3.7910832", \
+					  "-4.8489063, -4.7109349, -4.5821921, -4.4981980, -3.9099974, -4.3587060, -4.2861485", \
+					  "-5.2552036, -5.1155215, -4.9959727, -4.9016237, -4.8451791, -4.7694672, -4.6759300", \
+					  "-5.6408715, -5.5029756, -5.3695796, -5.2888611, -5.2381363, -5.1207138, -5.0552253", \
+					  "-5.9936724, -5.8603373, -5.7332048, -5.6551809, -5.5801072, -5.5030595, -5.4354922");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6784508, -3.5284175, -3.3353782, -3.1470124, -2.9435629, -2.7728439, -2.5948712", \
+					  "-4.1181487, -3.9696417, -3.7799525, -3.5732290, -3.4365530, -3.2156553, -3.0376343", \
+					  "-4.5735790, -4.4235457, -4.2459096, -4.0313008, -3.8479990, -3.6742262, -3.4915570", \
+					  "-5.0061361, -4.8561028, -4.6710269, -4.4668031, -4.2880816, -4.1046204, -3.9485328", \
+					  "-5.4049558, -5.2564483, -5.0683934, -4.8627735, -4.6764048, -4.4949233, -4.3014123", \
+					  "-5.7648177, -5.6149043, -5.4309310, -5.2241084, -5.0586177, -4.8592110, -4.6667352", \
+					  "-6.1782083, -6.0277598, -5.8519946, -5.6459452, -5.4644708, -5.2792088, -5.0901130");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263749, 0.0309620, 0.0355490, 0.0354413, 0.0353379, 0.0352302, 0.0351225");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224572, 0.0256981, 0.0289390, 0.0288389, 0.0287429, 0.0286428, 0.0285428");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.000988256";
+				miller_cap_rise : "0.000933588";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72805e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50166e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27045e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94293e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37091e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33867e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57987e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39291e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1038168, 0.2119605, 0.3317199, 0.4723342, 0.6694523");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1743548, 0.2822474, 0.4025512, 0.5445606, 0.7476207");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274997, 0.0489806, 0.0704971, 0.0917145, 0.1207964");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996057, 0.1212619, 0.1427823, 0.1643646, 0.1933294");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9591");
+						index_3("0.001");
+						index_4("10.4676679, 11.0648362, 13.3736406, 15.1131982, 15.5525648");
+						values("0.411066, 0.657706, 0.822132, 0.657706, 0.411066");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.736");
+						index_3("0.001");
+						index_4("6.3666665, 6.7742603, 8.5651160, 9.4968956, 9.7851099");
+						values("0.422248, 0.675596, 0.844495, 0.675596, 0.422248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64503");
+						index_3("0.001");
+						index_4("4.3267116, 4.6315711, 5.7864281, 6.6141170, 6.8251749");
+						values("0.425305, 0.680488, 0.85061, 0.680488, 0.425305");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.2846331, 5.6100521, 6.9203377, 7.5878338, 7.8119420");
+						values("0.21916, 0.350655, 0.438319, 0.350655, 0.21916");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.36798");
+						index_3("0.001");
+						index_4("3.2212822, 3.4467277, 4.3358957, 4.7664820, 4.9163113");
+						values("0.224426, 0.359081, 0.448852, 0.359081, 0.224426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32252");
+						index_3("0.001");
+						index_4("2.1941583, 2.3640283, 3.0198706, 3.3203460, 3.4299969");
+						values("0.225287, 0.360459, 0.450573, 0.360459, 0.225287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1039");
+						index_3("0.001");
+						index_4("9.4904305, 10.0992563, 12.5727974, 14.1861360, 14.6298090");
+						values("0.589983, 0.943972, 1.17997, 0.943972, 0.589983");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84381");
+						index_3("0.001");
+						index_4("1.4351560, 1.5600420, 2.1484236, 2.6012848, 2.7860726");
+						values("1.17048, 0.912762, 0.740952, 0.912762, 1.17048");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.66204");
+						index_3("0.001");
+						index_4("0.8424910, 0.9263899, 1.2751291, 1.5938573, 1.7252852");
+						values("1.16344, 0.901496, 0.72687, 0.901496, 1.16344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13381");
+						index_3("0.001");
+						index_4("0.5772234, 0.6409423, 0.9494789, 1.1282934, 1.2483425");
+						values("1.16017, 0.896273, 0.720341, 0.896273, 1.16017");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42191");
+						index_3("0.001");
+						index_4("0.7276572, 0.7944659, 1.1512676, 1.3223284, 1.4317136");
+						values("1.3906, 1.26495, 1.18119, 1.26495, 1.3906");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.831022");
+						index_3("0.001");
+						index_4("0.4292196, 0.4743594, 0.6682208, 0.8151679, 0.8995267");
+						values("1.38772, 1.26035, 1.17544, 1.26035, 1.38772");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.566904");
+						index_3("0.001");
+						index_4("0.2950762, 0.3293197, 0.4817812, 0.5783775, 0.6616633");
+						values("1.3852, 1.25632, 1.1704, 1.25632, 1.3852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.49307");
+						index_3("0.001");
+						index_4("1.2592985, 1.3834208, 1.9554018, 2.3587111, 2.5464943");
+						values("0.931197, 0.529914, 0.262393, 0.529914, 0.931197");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014607;
+			capacitance : 0.015082;
+			rise_capacitance : 0.015556;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116067, -0.0013654, 0.0088758, 0.0208884, 0.0324205, 0.0444331, 0.0564456");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439349, 0.1026500, 0.1613651, 0.4213280, 0.6708923, 0.9308551, 1.1908180");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00140765";
+				miller_cap_rise : "0.000949824";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("1.86224, 0.261617, 0.248448, 0.243376, 0.237248, 0.233626, 0.229499, 0.224725, 0.219135, 0.212586, 0.205034, 0.196522, 0.187094, 0.176763, 0.165526, 0.15337, 0.140282, 0.126246, 0.111245, 0.0952619, 0.0782795, 0.0602801, 0.0412459, 0.0211586, -8.94047e-10, -0.0442542, -0.0904651, -0.258781, -1.6818", \
+					  "1.78141, 0.136589, 0.127332, 0.125325, 0.123054, 0.121791, 0.120423, 0.118928, 0.117277, 0.115429, 0.113329, 0.110894, 0.108004, 0.104493, 0.10018, 0.0949387, 0.0887092, 0.0814577, 0.0731579, 0.0637854, 0.0533164, 0.0417272, 0.0289942, 0.0150932, -1.74633e-10, -0.0328174, -0.0685758, -0.207488, -1.67134", \
+					  "1.74053, 0.0740282, 0.0645802, 0.0636299, 0.0625893, 0.0620273, 0.061432, 0.0607981, 0.0601188, 0.0593855, 0.0585866, 0.0577068, 0.0567245, 0.0556084, 0.0543104, 0.0527514, 0.0507923, 0.0482024, 0.0447221, 0.040192, 0.0345406, 0.0277272, 0.0197192, 0.0104867, 5.33415e-10, -0.0241943, -0.0522083, -0.168879, -1.66313", \
+					  "1.72923, 0.0573232, 0.0467214, 0.0460315, 0.0452846, 0.044885, 0.0444649, 0.0440212, 0.0435501, 0.0430467, 0.042505, 0.0419168, 0.0412712, 0.040553, 0.0397397, 0.0387965, 0.0376641, 0.0362277, 0.0342557, 0.031429, 0.0275356, 0.022487, 0.0162378, 0.00875293, 8.20408e-10, -0.0209627, -0.0461043, -0.154397, -1.66017", \
+					  "1.71953, 0.044579, 0.0309787, 0.0305082, 0.0300049, 0.0297385, 0.0294605, 0.0291693, 0.028863, 0.0285392, 0.0281948, 0.0278259, 0.0274274, 0.0269924, 0.0265112, 0.0259698, 0.0253458, 0.0246006, 0.0236525, 0.0222955, 0.0201546, 0.016941, 0.0125425, 0.0069081, 1.12378e-09, -0.0175326, -0.0396434, -0.13901, -1.65706", \
+					  "1.71535, 0.0398515, 0.0240373, 0.0236617, 0.0232625, 0.0230524, 0.0228341, 0.0226064, 0.0223681, 0.0221176, 0.0218527, 0.0215709, 0.0212689, 0.0209422, 0.0205847, 0.0201879, 0.0197386, 0.0192154, 0.0185768, 0.0177182, 0.01635, 0.0140555, 0.010612, 0.00594139, 1.27631e-09, -0.0157395, -0.0362736, -0.130962, -1.65545", \
+					  "1.71166, 0.0362215, 0.0177949, 0.0175045, 0.0171982, 0.0170379, 0.0168721, 0.0167001, 0.016521, 0.0163337, 0.016137, 0.0159292, 0.0157082, 0.0154714, 0.0152151, 0.0149343, 0.0146215, 0.0142651, 0.0138449, 0.0133156, 0.012533, 0.011104, 0.00862512, 0.00494304, 1.42097e-09, -0.0138926, -0.0328085, -0.122674, -1.65382", \
+					  "1.70848, 0.0336962, 0.0123153, 0.0121002, 0.0118759, 0.0117593, 0.0116393, 0.0115154, 0.0113872, 0.0112539, 0.0111149, 0.0109692, 0.0108156, 0.0106525, 0.0104779, 0.0102891, 0.0100821, 0.00985109, 0.00958641, 0.00926952, 0.00884914, 0.00811715, 0.00658291, 0.00391055, 1.52218e-09, -0.0119905, -0.0292473, -0.11416, -1.65216", \
+					  "1.70587, 0.0323074, 0.00767831, 0.00752706, 0.00737413, 0.00729527, 0.00721454, 0.00713172, 0.00704654, 0.00695865, 0.00686768, 0.00677311, 0.00667435, 0.0065706, 0.00646087, 0.00634379, 0.00621751, 0.00607934, 0.00592514, 0.00574772, 0.00553117, 0.00521557, 0.00450099, 0.00284281, 1.37866e-09, -0.0100316, -0.0255909, -0.105454, -1.65052", \
+					  "1.70391, 0.0321495, 0.00402614, 0.00391639, 0.00382403, 0.00377688, 0.00372893, 0.0036801, 0.00363025, 0.00357925, 0.00352693, 0.00347308, 0.00341745, 0.00335972, 0.00329947, 0.00323617, 0.00316909, 0.00309721, 0.00301905, 0.00293221, 0.0028322, 0.00270693, 0.00248542, 0.00175786, -1.14917e-10, -0.00801403, -0.0218439, -0.0966208, -1.64888", \
+					  "1.70273, 0.033496, 0.00164763, 0.0014945, 0.00144937, 0.00142685, 0.00140414, 0.00138119, 0.00135797, 0.00133444, 0.00131056, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115508, 0.00112578, 0.00109477, 0.00106147, 0.00102489, 0.000982948, 0.000927812, 0.000769753, -8.54995e-09, -0.00593919, -0.0180205, -0.0877504, -1.64728", \
+					  "1.70243, 0.0364574, 0.000919792, 0.00036669, 0.000348577, 0.000341213, 0.000333853, 0.000326477, 0.000319078, 0.00031165, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265827, 0.000257807, 0.000249589, 0.000241111, 0.000232284, 0.00022296, 0.000212868, 0.000201262, 0.000181873, -5.14829e-08, -0.00383895, -0.0141643, -0.0789358, -1.64573", \
+					  "1.70272, 0.0405129, 0.00180641, 7.91113e-05, 5.63946e-05, 5.47923e-05, 5.32877e-05, 5.17934e-05, 5.03037e-05, 4.88171e-05, 4.73322e-05, 4.58478e-05, 4.43624e-05, 4.2874e-05, 4.13805e-05, 3.98788e-05, 3.83652e-05, 3.68345e-05, 3.52795e-05, 3.36896e-05, 3.20482e-05, 3.03252e-05, 2.84459e-05, 2.59347e-05, -2.97927e-07, -0.00190515, -0.0103883, -0.0702594, -1.64422", \
+					  "1.70323, 0.0450727, 0.00378492, 0.000127138, 7.16037e-06, 6.29059e-06, 5.97675e-06, 5.70171e-06, 5.43694e-06, 5.17716e-06, 4.92042e-06, 4.66574e-06, 4.41246e-06, 4.16005e-06, 3.90805e-06, 3.656e-06, 3.40335e-06, 3.14944e-06, 2.8934e-06, 2.634e-06, 2.36931e-06, 2.09596e-06, 1.80567e-06, 1.44921e-06, -1.75896e-06, -0.000610722, -0.00688781, -0.0617867, -1.64276", \
+					  "1.70379, 0.0498975, 0.00644802, 0.000602003, 7.73509e-07, -3.23894e-06, -3.92429e-06, -4.37863e-06, -4.77532e-06, -5.14594e-06, -5.50154e-06, -5.84742e-06, -6.18662e-06, -6.52106e-06, -6.85206e-06, -7.18057e-06, -7.5073e-06, -7.83281e-06, -8.15757e-06, -8.48203e-06, -8.8066e-06, -9.13185e-06, -9.45879e-06, -9.79268e-06, -1.04301e-05, -0.000127367, -0.00390126, -0.0535714, -1.64137", \
+					  "1.70437, 0.0549244, 0.0095639, 0.00179965, 7.9723e-08, -2.47782e-05, -2.8928e-05, -3.15158e-05, -3.37174e-05, -3.57445e-05, -3.76702e-05, -3.95298e-05, -4.13431e-05, -4.31229e-05, -4.48777e-05, -4.66135e-05, -4.83349e-05, -5.00453e-05, -5.17472e-05, -5.34427e-05, -5.51334e-05, -5.68208e-05, -5.8506e-05, -6.01903e-05, -6.1904e-05, -8.18974e-05, -0.00174397, -0.0456892, -1.64007", \
+					  "1.70497, 0.0601292, 0.0129673, 0.00355753, 1.0655e-08, -0.000157998, -0.000186955, -0.000202281, -0.000214406, -0.000225118, -0.000235011, -0.000244363, -0.000253327, -0.000262004, -0.000270459, -0.000278738, -0.000286877, -0.000294902, -0.000302832, -0.000310685, -0.000318472, -0.000326205, -0.000333892, -0.000341541, -0.00034916, -0.000366432, -0.000853672, -0.038387, -1.63895", \
+					  "1.70559, 0.0654931, 0.0165234, 0.005559, 3.9929e-09, -0.000685905, -0.00086878, -0.000944572, -0.000996147, -0.00103813, -0.00107485, -0.00110817, -0.00113911, -0.00116827, -0.00119605, -0.00122274, -0.00124854, -0.0012736, -0.00129804, -0.00132195, -0.00134542, -0.00136849, -0.00139122, -0.00141366, -0.00143583, -0.00147974, -0.00161028, -0.0322875, -1.63829", \
+					  "1.70622, 0.0709982, 0.0201276, 0.00761682, 3.35102e-09, -0.00163337, -0.00230506, -0.00257297, -0.00272669, -0.0028397, -0.00293242, -0.00301282, -0.00308488, -0.00315088, -0.00321227, -0.00327003, -0.00332486, -0.00337728, -0.00342769, -0.00347638, -0.0035236, -0.00356954, -0.00361437, -0.00365822, -0.0037012, -0.00378493, -0.00387956, -0.027801, -1.6382", \
+					  "1.70686, 0.0766265, 0.0237085, 0.00965235, 3.28282e-09, -0.00272644, -0.00420939, -0.00489866, -0.00525904, -0.0054982, -0.00568176, -0.00583383, -0.00596557, -0.00608304, -0.00618991, -0.00628861, -0.0063808, -0.00646769, -0.00655019, -0.00662899, -0.00670463, -0.00677753, -0.00684806, -0.0069165, -0.00698309, -0.00711151, -0.00723646, -0.0248657, -1.63862", \
+					  "1.70751, 0.0823593, 0.0272232, 0.0116353, 3.2693e-09, -0.00383068, -0.00627705, -0.00763145, -0.00835307, -0.00879674, -0.00911542, -0.00936744, -0.00957851, -0.00976189, -0.00992532, -0.0100737, -0.0102102, -0.0103373, -0.0104566, -0.0105694, -0.0106767, -0.0107793, -0.0108778, -0.0109727, -0.0110645, -0.01124, -0.0114066, -0.0234809, -1.63942", \
+					  "1.70817, 0.0881779, 0.0306485, 0.0135544, 3.26125e-09, -0.00490811, -0.00835984, -0.0105433, -0.0118064, -0.0125628, -0.0130758, -0.013463, -0.0137763, -0.0140415, -0.0142731, -0.0144798, -0.0146675, -0.0148401, -0.0150005, -0.0151507, -0.0152925, -0.015427, -0.0155554, -0.0156783, -0.0157964, -0.0160205, -0.0162312, -0.0237947, -1.64055", \
+					  "1.70883, 0.0940625, 0.0339732, 0.0154058, 3.25401e-09, -0.00594818, -0.0104009, -0.0134895, -0.0154494, -0.01665, -0.0174353, -0.0180028, -0.0184462, -0.0188117, -0.0191243, -0.0193988, -0.0196446, -0.0198681, -0.0200737, -0.0202647, -0.0204436, -0.0206122, -0.0207719, -0.0209241, -0.0210696, -0.0213438, -0.0215994, -0.0259489, -1.64197", \
+					  "1.70951, 0.0999926, 0.0371928, 0.0171891, 3.24712e-09, -0.00694817, -0.0123795, -0.0163945, -0.0191513, -0.0209284, -0.0220819, -0.022887, -0.023495, -0.0239828, -0.0243912, -0.0247438, -0.0250553, -0.0253353, -0.0255905, -0.0258256, -0.0260441, -0.0262487, -0.0264415, -0.0266242, -0.026798, -0.0271234, -0.0274245, -0.0298691, -1.64365", \
+					  "1.71019, 0.105947, 0.0403065, 0.0189056, 3.24054e-09, -0.00790803, -0.0142882, -0.0192235, -0.0228257, -0.0252869, -0.0269141, -0.028027, -0.0288423, -0.0294789, -0.0300004, -0.030443, -0.0308285, -0.0311712, -0.0314804, -0.031763, -0.0320237, -0.0322664, -0.0324938, -0.0327082, -0.0329113, -0.0332892, -0.0336362, -0.03519, -1.64559", \
+					  "1.71158, 0.117843, 0.0462218, 0.0221462, 3.22822e-09, -0.00971186, -0.0178918, -0.024605, -0.0299204, -0.0339275, -0.0367849, -0.0387606, -0.0401552, -0.041192, -0.0420051, -0.0426708, -0.0432342, -0.0437231, -0.0441558, -0.0445447, -0.0448986, -0.0452239, -0.0455254, -0.045807, -0.0460714, -0.0465578, -0.0469986, -0.0482125, -1.65025", \
+					  "1.71298, 0.129577, 0.0517392, 0.0251464, 3.21693e-09, -0.0113715, -0.0212209, -0.0296043, -0.0365744, -0.0421826, -0.0464955, -0.0496482, -0.0518899, -0.0535093, -0.0547305, -0.0556947, -0.0564862, -0.0571561, -0.057737, -0.0582501, -0.0587103, -0.0591281, -0.0595113, -0.0598656, -0.0601956, -0.0607961, -0.0613336, -0.0627001, -1.65594", \
+					  "1.71722, 0.161618, 0.0661652, 0.0328956, 3.1882e-09, -0.0156116, -0.0297669, -0.0425078, -0.0538718, -0.0638894, -0.0725781, -0.0799365, -0.0859511, -0.0906475, -0.0941744, -0.0967958, -0.098782, -0.100337, -0.101597, -0.102647, -0.103544, -0.104326, -0.105019, -0.10564, -0.106204, -0.107197, -0.108054, -0.110105, -1.676", \
+					  "1.7238, 0.2061, 0.0845438, 0.0425795, 3.15313e-09, -0.0208195, -0.0403278, -0.0585539, -0.0755251, -0.0912657, -0.105795, -0.119124, -0.131251, -0.142151, -0.151766, -0.160013, -0.166819, -0.172218, -0.176392, -0.179612, -0.182138, -0.184167, -0.185838, -0.187247, -0.188457, -0.190454, -0.192058, -0.195552, -1.71252");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2122330, 0.4867790, 0.7831018, 1.1114446, 1.5636206");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2877912, 0.5623319, 0.8586944, 1.1873077, 1.6396450");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2055833, 0.4672801, 0.7370559, 1.0235279, 1.3948394");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2805561, 0.5422927, 0.8121293, 1.0993198, 1.4700826");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("52.2339");
+						index_3("0.001");
+						index_4("26.1541236, 27.6505147, 37.1014323, 42.0474896, 43.5931979");
+						values("0.412108, 0.659373, 0.824217, 0.659373, 0.412108");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("30.1967");
+						index_3("0.001");
+						index_4("15.1396745, 16.1385692, 22.2428547, 25.1236573, 26.1645489");
+						values("0.421628, 0.674606, 0.843257, 0.674606, 0.421628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("20.1553");
+						index_3("0.001");
+						index_4("10.1175095, 10.8671952, 15.0546332, 17.2171260, 17.9911448");
+						values("0.427598, 0.684157, 0.855196, 0.684157, 0.427598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("26.117");
+						index_3("0.001");
+						index_4("13.1823588, 14.0107462, 18.9627243, 21.1484436, 21.9735772");
+						values("0.213742, 0.341987, 0.427483, 0.341987, 0.213742");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("15.0983");
+						index_3("0.001");
+						index_4("7.6489540, 8.1981236, 11.3134178, 12.6510235, 13.2080064");
+						values("0.217478, 0.347965, 0.434956, 0.347965, 0.217478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("10.0776");
+						index_3("0.001");
+						index_4("5.1227389, 5.5300310, 7.7815878, 8.6673996, 9.0976946");
+						values("0.219756, 0.351609, 0.439512, 0.351609, 0.219756");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("45.295");
+						index_3("0.001");
+						index_4("22.5910643, 24.0639499, 32.6848062, 37.5073632, 39.0444014");
+						values("0.603101, 0.964962, 1.2062, 0.964962, 0.603101");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("42.5441");
+						index_3("0.001");
+						index_4("21.3278776, 22.7283334, 30.8832079, 35.4805496, 36.8679901");
+						values("1.2365, 0.988407, 0.823009, 0.988407, 1.2365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("24.7316");
+						index_3("0.001");
+						index_4("12.4194279, 13.3640485, 18.4132848, 21.3209441, 22.2649565");
+						values("1.22642, 0.972279, 0.802848, 0.972279, 1.22642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("16.629");
+						index_3("0.001");
+						index_4("8.3661721, 9.0807386, 13.0418651, 14.7209776, 15.4224954");
+						values("1.22074, 0.963184, 0.79148, 0.963184, 1.22074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("21.272");
+						index_3("0.001");
+						index_4("10.7472139, 11.4980763, 16.2472373, 17.8640017, 18.5909708");
+						values("1.44076, 1.31522, 1.23152, 1.31522, 1.44076");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("12.3658");
+						index_3("0.001");
+						index_4("6.2738625, 6.7825978, 9.3554857, 10.7459615, 11.2525635");
+						values("1.43725, 1.3096, 1.2245, 1.3096, 1.43725");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("8.31451");
+						index_3("0.001");
+						index_4("4.2364397, 4.6267034, 6.6311838, 7.4235934, 7.8120434");
+						values("1.43524, 1.30638, 1.22048, 1.30638, 1.43524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("37.0974");
+						index_3("0.001");
+						index_4("18.5507857, 19.9419269, 27.3350433, 31.7967924, 33.1806411");
+						values("1.02915, 0.656634, 0.408292, 0.656634, 1.02915");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.030962;
+			capacitance : 0.030976;
+			fall_capacitance : 0.030989;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044549, -0.0042680, -0.0040812, -0.0042472, -0.0044066, -0.0045726, -0.0047387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0528958, 0.0764351, 0.0999744, 0.0998857, 0.0998006, 0.0997119, 0.0996232");
+				}
+			}
+			/* Copied over from enable_vswitch_h. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006596;
+			capacitance : 0.006450;
+			fall_capacitance : 0.006304;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0774063, -0.2179084, -0.3410472, -0.4334523, -0.5171666, -0.5998993, -0.6736859", \
+					  "0.3661463, 0.2348286, 0.1089237, 0.0112132, -0.0750987, -0.1649495, -0.2375447", \
+					  "0.8100215, 0.6798310, 0.5683376, 0.4777308, 0.3983915, 0.3179179, 0.2240285", \
+					  "1.2330517, 1.0977495, 0.9919647, 0.9080393, 0.8305834, 0.7447017, 0.6670371", \
+					  "1.6375096, 1.4743166, 1.3807624, 1.3032093, 1.2229366, 1.1410475, 1.0862151", \
+					  "2.0307056, 1.8985833, 1.7442802, 1.6643387, 1.5993514, 1.5238088, 1.4715047", \
+					  "2.3902809, 2.2737785, 2.1104909, 2.0137639, 1.9664968, 1.8813478, 1.8183169");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3337404, -0.5047814, -0.6894201, -0.8709674, -1.0385685, -1.2259194, -1.3586933", \
+					  "0.1026319, -0.0726835, -0.2539427, -0.4496022, -0.6248265, -0.7973195, -0.9794963", \
+					  "0.5418514, 0.3785308, 0.2083960, 0.0168109, -0.1710050, -0.3624440, -0.5466349", \
+					  "0.9643008, 0.8079360, 0.6279105, 0.4525999, 0.2778543, 0.0776511, -0.0907839", \
+					  "1.3470207, 1.1782561, 1.0378817, 0.8449287, 0.6714458, 0.4904320, 0.2908434", \
+					  "1.7627210, 1.5380087, 1.4043649, 1.2118081, 1.0440019, 0.8910825, 0.7013185", \
+					  "2.1337665, 1.9425022, 1.7481360, 1.5851616, 1.4199513, 1.2593131, 1.0493598");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4735606, 0.6247309, 0.7478874, 0.8430556, 0.9353136, 1.0211272, 1.0796092", \
+					  "0.0230268, 0.1697260, 0.2960048, 0.3907732, 0.4523912, 0.5426262, 0.6114209", \
+					  "-0.4160068, -0.2765302, -0.1554966, -0.0633616, 0.0010369, 0.0802300, 0.1594369", \
+					  "-0.8114308, -0.6931115, -0.5784480, -0.4892964, -0.4171149, -0.3164848, -0.2390226", \
+					  "-1.2177282, -1.0810984, -0.9662728, -0.8713668, -0.7943160, -0.7185361, -0.6322401", \
+					  "-1.6079736, -1.4362486, -1.3412595, -1.2506404, -1.1656906, -1.0812457, -1.0642398", \
+					  "-1.9699297, -1.7646354, -1.6849051, -1.5988637, -1.5247306, -1.4352299, -1.3783122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4415065, 0.6369806, 0.7896292, 0.9954749, 1.1430934, 1.3024875, 1.5234444", \
+					  "-0.0102981, 0.1719051, 0.3696177, 0.5565603, 0.7029893, 0.8842736, 1.0736562", \
+					  "-0.4328029, -0.2753332, -0.0788555, 0.0937090, 0.2866518, 0.4754247, 0.6529799", \
+					  "-0.8426939, -0.6690556, -0.5074518, -0.3259332, -0.1542790, 0.0412818, 0.2036161", \
+					  "-1.2315603, -1.0643869, -0.8909955, -0.7141967, -0.5360245, -0.3447124, -0.1857252", \
+					  "-1.5737718, -1.4247721, -1.2835794, -1.0831789, -0.9186146, -0.7835795, -0.6017080", \
+					  "-1.9699411, -1.7329334, -1.6195395, -1.4507585, -1.2828433, -1.0820365, -0.9417408");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263717, 0.0308353, 0.0352989, 0.0351979, 0.0351008, 0.0349998, 0.0348987");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225191, 0.0257149, 0.0289107, 0.0288101, 0.0287134, 0.0286128, 0.0285121");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987884";
+				miller_cap_rise : "0.000932598";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72796e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14966e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50173e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27052e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.943e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20486e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37097e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33874e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57997e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39283e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1034027, 0.2100632, 0.3304757, 0.4688756, 0.6654270");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1740046, 0.2812572, 0.4008897, 0.5422689, 0.7444183");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274444, 0.0488197, 0.0702212, 0.0913668, 0.1202208");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0995425, 0.1211047, 0.1425229, 0.1640048, 0.1928435");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.8784");
+						index_3("0.001");
+						index_4("10.4272256, 11.0221904, 13.3180288, 15.0551278, 15.4926824");
+						values("0.411714, 0.658743, 0.823428, 0.658743, 0.411714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.6869");
+						index_3("0.001");
+						index_4("6.3419616, 6.7482301, 8.5138193, 9.4600746, 9.7473619");
+						values("0.423087, 0.676939, 0.846173, 0.676939, 0.423087");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.61173");
+						index_3("0.001");
+						index_4("4.3100144, 4.6136258, 5.7631878, 6.5887420, 6.7989178");
+						values("0.425964, 0.681543, 0.851928, 0.681543, 0.425964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4392");
+						index_3("0.001");
+						index_4("5.2634097, 5.5889536, 6.7345715, 7.5600376, 7.7828200");
+						values("0.219506, 0.35121, 0.439013, 0.35121, 0.219506");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.34345");
+						index_3("0.001");
+						index_4("3.2090473, 3.4337905, 4.3158534, 4.7483073, 4.8973404");
+						values("0.224875, 0.3598, 0.44975, 0.3598, 0.224875");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.30586");
+						index_3("0.001");
+						index_4("2.1847635, 2.3521617, 2.9146560, 3.3077238, 3.4167086");
+						values("0.225488, 0.360781, 0.450976, 0.360781, 0.225488");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.0303");
+						index_3("0.001");
+						index_4("9.4537725, 10.0611516, 12.5044236, 14.1306665, 14.5735003");
+						values("0.590784, 0.945255, 1.18157, 0.945255, 0.590784");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.83124");
+						index_3("0.001");
+						index_4("1.4289035, 1.5532746, 2.1406801, 2.5900774, 2.7740609");
+						values("1.17023, 0.912372, 0.740465, 0.912372, 1.17023");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.65469");
+						index_3("0.001");
+						index_4("0.8386711, 0.9223326, 1.3322537, 1.5880203, 1.7189978");
+						values("1.16173, 0.898761, 0.723451, 0.898761, 1.16173");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.12879");
+						index_3("0.001");
+						index_4("0.5747143, 0.6381486, 0.9469523, 1.1234158, 1.2420637");
+						values("1.16003, 0.896049, 0.720061, 0.896049, 1.16003");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.41562");
+						index_3("0.001");
+						index_4("0.7245504, 0.7913956, 1.0564779, 1.3147487, 1.4238732");
+						values("1.39051, 1.26481, 1.18101, 1.26481, 1.39051");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.827347");
+						index_3("0.001");
+						index_4("0.4274108, 0.4723740, 0.6656033, 0.8115374, 0.8954472");
+						values("1.38773, 1.26037, 1.17546, 1.26037, 1.38773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.564397");
+						index_3("0.001");
+						index_4("0.2938385, 0.3279803, 0.4794403, 0.5758489, 0.6587186");
+						values("1.38519, 1.2563, 1.17037, 1.2563, 1.38519");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.48204");
+						index_3("0.001");
+						index_4("1.2538393, 1.3774614, 1.9482284, 2.3481997, 2.5351272");
+						values("0.930639, 0.529023, 0.261278, 0.529023, 0.930639");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013755;
+			capacitance : 0.013699;
+			fall_capacitance : 0.013642;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6662346, -3.5235174, -3.3934146, -3.3058473, -3.2231564, -3.1864502, -3.0699307", \
+					  "-4.1059327, -3.9632157, -3.8300729, -3.7394418, -3.6684357, -3.5971705, -3.5253731", \
+					  "-4.5613630, -4.4186456, -4.2904664, -4.2025016, -4.1136096, -4.0806729, -3.9775736", \
+					  "-5.0106516, -4.8694606, -4.7411730, -4.6691115, -4.5554331, -4.5217241, -4.4160344", \
+					  "-5.4169493, -5.2742319, -5.1470985, -5.0580879, -4.9632056, -4.8771167, -4.8343527", \
+					  "-5.8026168, -5.6584188, -5.5404780, -5.4437558, -5.3539934, -5.3003728, -5.2123911", \
+					  "-6.1615212, -6.0170732, -5.8888927, -5.8187590, -5.7334903, -5.6270679, -5.5442017");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5273901, -3.3309342, -3.1347271, -3.0188067, -2.8150812, -2.6167812, -2.4410111", \
+					  "-3.9716658, -3.7651897, -3.5983718, -3.4627929, -3.2277847, -3.0450233, -2.8965661", \
+					  "-4.4091559, -4.2112609, -4.0416650, -3.9030847, -3.6781953, -3.4868825, -3.3212781", \
+					  "-4.8733330, -4.6920150, -4.5148194, -4.3734063, -4.1423724, -3.9512106, -3.7836061", \
+					  "-5.2796302, -5.0762063, -4.9122188, -4.7760224, -4.5328694, -4.3571086, -4.2380887", \
+					  "-5.6652982, -5.4651094, -5.2995010, -5.1628206, -4.9251822, -4.7323311, -4.6179675", \
+					  "-6.0242026, -5.8264257, -5.6691120, -5.5121207, -5.2856126, -5.1096285, -4.9443074");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0806974, 3.9348789, 3.8082438, 3.7097141, 3.6250385, 3.5277477, 3.4686543", \
+					  "4.5221394, 4.3778468, 4.2508435, 4.1511948, 4.0585142, 4.0081394, 3.9381456", \
+					  "4.9807214, 4.8365381, 4.7078997, 4.6082398, 4.5229014, 4.4462348, 4.3721829", \
+					  "5.4135290, 5.2661846, 5.1437590, 5.0425471, 4.9541836, 4.8727216, 4.8019387", \
+					  "5.8019765, 5.6608290, 5.5352574, 5.4328176, 5.3411038, 5.2474849, 5.1919112", \
+					  "6.1683279, 6.0301384, 5.9000829, 5.7944696, 5.7431298, 5.6340708, 5.5860328", \
+					  "6.5855639, 6.4397463, 6.3160853, 6.2060306, 6.1267234, 6.0590922, 5.9887395");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7160133, 3.5771102, 3.3600310, 3.1590442, 2.9905761, 2.8211904, 2.6293406", \
+					  "4.1587603, 4.0351813, 3.8165482, 3.6405810, 3.4535009, 3.2777359, 3.0888705", \
+					  "4.6267699, 4.4878672, 4.2575426, 4.0937656, 3.9202342, 3.7258437, 3.5354344", \
+					  "5.0387439, 4.9311859, 4.6994056, 4.5053244, 4.3151103, 4.1763431, 3.9871558", \
+					  "5.4902454, 5.3280578, 5.1354559, 4.9181608, 4.7608284, 4.5768387, 4.3799722", \
+					  "5.8596832, 5.7207806, 5.5095500, 5.3145206, 5.1326044, 4.9679903, 4.7618472", \
+					  "6.2128514, 6.0765933, 5.8659222, 5.6749146, 5.5193315, 5.3119258, 5.1001126");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224721, 0.0257553, 0.0290384, 0.0289108, 0.0287884, 0.0286608, 0.0285332");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263728, 0.0309450, 0.0355171, 0.0354051, 0.0352975, 0.0351854, 0.0350733");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000984778";
+				miller_cap_rise : "0.000925039";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72806e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50165e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27043e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94291e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37089e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33864e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57986e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39292e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039606, 0.2121597, 0.3321481, 0.4731003, 0.6703353");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744746, 0.2828639, 0.4027054, 0.5434460, 0.7407177");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0275871, 0.0490914, 0.0706230, 0.0919709, 0.1210138");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996582, 0.1213416, 0.1429670, 0.1647125, 0.1938020");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9869");
+						index_3("0.001");
+						index_4("10.4711798, 11.0726242, 13.3119249, 15.1413414, 15.5798103");
+						values("0.414729, 0.663567, 0.829458, 0.663567, 0.414729");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7528");
+						index_3("0.001");
+						index_4("6.3754004, 6.7832083, 8.5244658, 9.5056741, 9.7967010");
+						values("0.422515, 0.676023, 0.845029, 0.676023, 0.422515");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.65649");
+						index_3("0.001");
+						index_4("4.3322928, 4.6376993, 5.7992672, 6.6229889, 6.8343549");
+						values("0.425618, 0.680989, 0.851237, 0.680989, 0.425618");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4934");
+						index_3("0.001");
+						index_4("5.2901455, 5.6180763, 6.7353850, 7.6001417, 7.8239655");
+						values("0.218709, 0.349934, 0.437418, 0.349934, 0.218709");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37642");
+						index_3("0.001");
+						index_4("3.2254724, 3.4506936, 4.3534319, 4.7738382, 4.9229052");
+						values("0.224455, 0.359129, 0.448911, 0.359129, 0.224455");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32824");
+						index_3("0.001");
+						index_4("2.1970765, 2.3672250, 3.0199840, 3.3247972, 3.4345379");
+						values("0.225361, 0.360577, 0.450721, 0.360577, 0.225361");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1293");
+						index_3("0.001");
+						index_4("9.5022611, 10.1160056, 12.4915030, 14.2038938, 14.6492185");
+						values("0.590062, 0.944099, 1.18012, 0.944099, 0.590062");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84955");
+						index_3("0.001");
+						index_4("1.4380760, 1.5631958, 2.1531860, 2.6065543, 2.7916954");
+						values("1.16999, 0.911977, 0.739971, 0.911977, 1.16999");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.6654");
+						index_3("0.001");
+						index_4("0.8440436, 0.9281940, 1.3404670, 1.5982726, 1.7301052");
+						values("1.16142, 0.898275, 0.722844, 0.898275, 1.16142");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.1361");
+						index_3("0.001");
+						index_4("0.5783598, 0.6421138, 0.8835333, 1.1300784, 1.2496487");
+						values("1.15978, 0.895652, 0.719565, 0.895652, 1.15978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42478");
+						index_3("0.001");
+						index_4("0.7293547, 0.7960119, 1.0787765, 1.3217579, 1.4330570");
+						values("1.38986, 1.26377, 1.17971, 1.26377, 1.38986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.832699");
+						index_3("0.001");
+						index_4("0.4301439, 0.4753052, 0.6709363, 0.8160215, 0.9007391");
+						values("1.38755, 1.26007, 1.17509, 1.26007, 1.38755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.568048");
+						index_3("0.001");
+						index_4("0.2957065, 0.3299757, 0.4829697, 0.5795484, 0.6627410");
+						values("1.38499, 1.25599, 1.16998, 1.25599, 1.38499");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.4981");
+						index_3("0.001");
+						index_4("1.2618608, 1.3861710, 1.9601760, 2.3634569, 2.5515635");
+						values("0.930491, 0.528785, 0.260982, 0.528785, 0.930491");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.518265;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.4709746, 0.4784262, 0.4891377, 0.5070763, 0.5436270, 0.6014861, 0.8635238", \
+					  "-0.5070500, -0.5019151, -0.4888559, -0.4718216, -0.4326391, -0.3757808, -0.1111515", \
+					  "-0.3454389, -0.3443206, -0.3461385, -0.3424343, -0.3307182, -0.3032259, -0.0434266", \
+					  "-0.1616749, -0.1594890, -0.1694245, -0.1592875, -0.1578880, -0.1586050, 0.0184719", \
+					  "0.1281601, 0.1376252, 0.1282466, 0.1375793, 0.1398359, 0.1398585, 0.1356698", \
+					  "0.1806815, 0.1887427, 0.1804351, 0.1904643, 0.1916735, 0.1884371, 0.1923620", \
+					  "0.2237826, 0.2350515, 0.2257350, 0.2358357, 0.2373518, 0.2338525, 0.2387410");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5907662, 0.5947313, 0.6031021, 0.6166587, 0.6355970, 0.6637926, 0.7099852", \
+					  "1.6073442, 1.6116546, 1.6196162, 1.6328216, 1.6513697, 1.6786980, 1.7240927", \
+					  "1.5553413, 1.5603922, 1.5717227, 1.5899883, 1.6166741, 1.6617276, 1.7195207", \
+					  "1.6541184, 1.6428316, 1.6436759, 1.6449052, 1.6442837, 1.6476672, 1.6726718", \
+					  "1.7966565, 1.7895452, 1.7877775, 1.7889608, 1.7921617, 1.7916933, 1.8026735", \
+					  "1.8532736, 1.8457260, 1.8446343, 1.8453342, 1.8581365, 1.8480241, 1.8606562", \
+					  "1.8586261, 1.8558636, 1.8463917, 1.8465917, 1.8598445, 1.8493696, 1.8617453");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.6178647, 0.6309351, 0.6617586, 0.7316540, 0.8351122, 0.8446205, 0.8405930", \
+					  "-0.2565612, -0.2363088, -0.2066968, -0.1367518, -0.0335200, -0.0206961, -0.0275122", \
+					  "-0.0538115, -0.0325179, -0.0054390, 0.0658555, 0.1681093, 0.1789904, 0.1766773", \
+					  "0.3938975, 0.4057211, 0.4062303, 0.4064106, 0.4152651, 0.3866411, 0.3558577", \
+					  "0.5849112, 0.5973873, 0.5968771, 0.5986233, 0.6025923, 0.5961155, 0.5630792", \
+					  "0.7409620, 0.7519683, 0.7485825, 0.7482560, 0.7571819, 0.7445995, 0.7502841", \
+					  "0.8869987, 0.9021626, 0.8948058, 0.8962456, 0.9015050, 0.8908563, 0.8950149");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.9054365, 0.9103299, 0.9210225, 0.9407611, 0.9735643, 1.0199269, 1.0568733", \
+					  "1.9070685, 1.9123264, 1.9231444, 1.9421749, 1.9815096, 2.0213460, 2.0580820", \
+					  "1.9058323, 1.9109382, 1.9212774, 1.9409389, 1.9833957, 2.0195193, 2.0580553", \
+					  "1.8968436, 1.9026291, 1.9132716, 1.9283826, 1.9785332, 2.0156108, 2.0517518", \
+					  "1.8523085, 1.8582850, 1.8714101, 1.8950948, 1.9448278, 1.9986246, 2.0409638", \
+					  "1.9225554, 1.9224856, 1.9251426, 1.9355420, 1.9389820, 1.9576474, 1.9989281", \
+					  "1.9915688, 1.9898343, 2.0021797, 2.0040380, 2.0043260, 2.0078891, 1.9982336");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5608986, 0.5737073, 0.6039250, 0.6744709, 0.7753316, 0.7867645, 0.7820514", \
+					  "-0.4948568, -0.4833666, -0.4532519, -0.3841467, -0.2775042, -0.2686824, -0.2740053", \
+					  "-0.4388933, -0.4295184, -0.4163075, -0.3500179, -0.2590556, -0.2524994, -0.2577239", \
+					  "-0.1672225, -0.1653720, -0.1756160, -0.1655129, -0.1626196, -0.1648940, -0.2394040", \
+					  "-0.1533508, -0.1516854, -0.1634999, -0.1514087, -0.1467682, -0.1500592, -0.1548485", \
+					  "-0.1519710, -0.1387075, -0.1487752, -0.1457202, -0.1361274, -0.1371411, -0.1486350", \
+					  "-0.1345895, -0.1257354, -0.1356978, -0.1331073, -0.1205961, -0.1228208, -0.1352894");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.8494760, 0.8551666, 0.8667456, 0.8864118, 0.9213918, 0.9713479, 1.0114220", \
+					  "1.8668400, 1.8726392, 1.8846571, 1.9046327, 1.9379583, 1.9877351, 2.0283690", \
+					  "1.8602977, 1.8660750, 1.8770022, 1.8978319, 1.9313949, 1.9803501, 2.0215218", \
+					  "1.8567758, 1.8624386, 1.8734545, 1.8938163, 1.9270781, 1.9769913, 2.0180936", \
+					  "1.8512585, 1.8584973, 1.8684670, 1.8853046, 1.9233460, 1.9749152, 2.0148074", \
+					  "1.8286466, 1.8364526, 1.8472778, 1.8723814, 1.9089895, 1.9670947, 2.0094646", \
+					  "1.7942172, 1.8129210, 1.8141714, 1.8472960, 1.8767312, 1.9414418, 1.9869588");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.7277388, 0.7312817, 0.7387439, 0.7500709, 0.7656809, 0.7863175, 0.8214479", \
+					  "0.7238581, 0.7274020, 0.7347568, 0.7461155, 0.7619933, 0.7824899, 0.8181965", \
+					  "0.7200900, 0.7238813, 0.7312614, 0.7420972, 0.7577154, 0.7781677, 0.8141652", \
+					  "0.7199398, 0.7234913, 0.7309290, 0.7424840, 0.7581558, 0.7785604, 0.8154305", \
+					  "0.7193072, 0.7230259, 0.7301485, 0.7423652, 0.7582237, 0.7787188, 0.8152205", \
+					  "0.7199528, 0.7235038, 0.7309405, 0.7424246, 0.7574091, 0.7779352, 0.8157831", \
+					  "0.7195848, 0.7231488, 0.7305956, 0.7422809, 0.7580433, 0.7785329, 0.8149253");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("1.5317099, 1.5348844, 1.5328816, 1.5321826, 1.5328207, 1.5387555, 1.5459022", \
+					  "1.5274920, 1.5302229, 1.5283372, 1.5264311, 1.5281858, 1.5324618, 1.5420560", \
+					  "1.5210548, 1.5213597, 1.5223566, 1.5209493, 1.5227135, 1.5286339, 1.5361728", \
+					  "1.5233775, 1.5217771, 1.5228654, 1.5218806, 1.5231030, 1.5267988, 1.5365534", \
+					  "1.5234757, 1.5216895, 1.5228012, 1.5217105, 1.5233906, 1.5286092, 1.5353348", \
+					  "1.5214304, 1.5224651, 1.5230878, 1.5213923, 1.5234987, 1.5289293, 1.5374130", \
+					  "1.5208298, 1.5202800, 1.5224818, 1.5208511, 1.5225327, 1.5284066, 1.5369430");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.2930626, 2.3110573, 2.3434308, 2.4099336, 2.5415505, 2.8045943, 3.3327849", \
+					  "5.0356714, 5.0521063, 5.0854636, 5.1514886, 5.2826601, 5.5464264, 6.0748406", \
+					  "7.4251094, 7.4446360, 7.4707778, 7.5414555, 7.6753537, 7.9364906, 8.4672953", \
+					  "7.9563351, 7.9781568, 8.0021012, 8.0740135, 8.2088958, 8.4690415, 9.0009324", \
+					  "11.1497680, 11.1671460, 11.2006510, 11.2644720, 11.3978210, 11.6585140, 12.1899440", \
+					  "13.8204830, 13.8316400, 13.8703600, 13.9291510, 14.0623390, 14.3027700, 14.8544330", \
+					  "15.8372270, 15.8513060, 15.8864530, 15.9525900, 16.0820460, 16.3220260, 16.8738640", \
+					  "18.6645630, 18.6769980, 18.7220980, 18.8014630, 18.9404420, 19.1999660, 19.7120240", \
+					  "57.5485370, 57.5485402, 57.5817440, 57.5873820, 57.6897920, 58.0805480, 58.5291670", \
+					  "82.1715100, 82.2746170, 82.2801030, 82.2801095, 82.4090950, 82.7555060, 83.1950190", \
+					  "187.5941000, 187.7265400, 187.7265549, 187.7265702, 187.9584200, 187.9584275, 188.4463900", \
+					  "565.5955400, 565.9700300, 565.9700623, 565.9701233, 565.9701844, 566.0920100, 566.6565800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1386815, 0.1589119, 0.2002555, 0.2840771, 0.4548312, 0.8025699, 1.4997412", \
+					  "0.1396229, 0.1601819, 0.2026080, 0.2860384, 0.4576300, 0.8011217, 1.4994250", \
+					  "0.1398499, 0.1600271, 0.2017482, 0.2863201, 0.4578997, 0.8013858, 1.4981991", \
+					  "0.1392020, 0.1600908, 0.2019525, 0.2863172, 0.4564276, 0.8013903, 1.4980832", \
+					  "0.1392087, 0.1605605, 0.2019157, 0.2863564, 0.4571320, 0.8013849, 1.4976781", \
+					  "0.1399797, 0.1605659, 0.2019693, 0.2863545, 0.4571173, 0.8013820, 1.4976332", \
+					  "0.1393548, 0.1605036, 0.2019083, 0.2872687, 0.4571955, 0.8010202, 1.4988192", \
+					  "0.1402773, 0.1600328, 0.2018042, 0.2865808, 0.4579999, 0.8004943, 1.4988676", \
+					  "0.1396036, 0.1599786, 0.2025141, 0.2872529, 0.4579240, 0.8013616, 1.4996482", \
+					  "0.1397625, 0.1600168, 0.2017498, 0.2872375, 0.4576020, 0.8004959, 1.4995925", \
+					  "0.1396003, 0.1599786, 0.2026033, 0.2863488, 0.4573081, 0.8007378, 1.5002515", \
+					  "0.1397832, 0.1599251, 0.2018096, 0.2872875, 0.4579646, 0.8004512, 1.4997524");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.3580646, 2.3708377, 2.3969171, 2.4428497, 2.5258815, 2.6635951, 2.8836804", \
+					  "5.3044822, 5.3181091, 5.3438267, 5.3903433, 5.4729656, 5.6103366, 5.8289258", \
+					  "8.0281177, 8.0281187, 8.0520168, 8.0991622, 8.1794731, 8.3190157, 8.5281480", \
+					  "8.6140934, 8.6280130, 8.6536414, 8.7013303, 8.7799998, 8.9213446, 9.1264960", \
+					  "12.1566750, 12.1566759, 12.1927770, 12.2406950, 12.2966190, 12.4587960, 12.6817510", \
+					  "15.0187450, 15.0230140, 15.0591860, 15.1129260, 15.1980400, 15.3309670, 15.5569800", \
+					  "17.1851210, 17.1994990, 17.2255860, 17.2695830, 17.3398660, 17.4895530, 17.7017530", \
+					  "20.1785420, 20.2112780, 20.2112799, 20.2589070, 20.3188030, 20.4817430, 20.6731400", \
+					  "59.2247820, 59.2247830, 59.2490930, 59.2995060, 59.3954360, 59.5329190, 59.7391930", \
+					  "82.6979940, 82.7111820, 82.7463470, 82.7723450, 82.8609360, 82.9965780, 83.2226310", \
+					  "177.6548700, 177.6548844, 177.7326900, 177.7543200, 177.7959000, 177.9520200, 178.1602900", \
+					  "484.0951500, 484.1300600, 484.1373900, 484.2265800, 484.2266083, 484.4984000, 484.5850900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1207172, 0.1307149, 0.1514868, 0.1921159, 0.2642620, 0.3835136, 0.5884186", \
+					  "0.1225172, 0.1320003, 0.1525892, 0.1932378, 0.2660008, 0.3854695, 0.5908589", \
+					  "0.1214421, 0.1326179, 0.1524224, 0.1932451, 0.2671570, 0.3861113, 0.5913175", \
+					  "0.1220256, 0.1327283, 0.1536009, 0.1929156, 0.2670359, 0.3860580, 0.5912681", \
+					  "0.1207527, 0.1327153, 0.1535951, 0.1928217, 0.2669988, 0.3867603, 0.5912818", \
+					  "0.1212368, 0.1326201, 0.1523653, 0.1927945, 0.2667100, 0.3867553, 0.5922063", \
+					  "0.1218025, 0.1334584, 0.1538809, 0.1928617, 0.2659427, 0.3859928, 0.5909632", \
+					  "0.1210274, 0.1326385, 0.1523383, 0.1927612, 0.2668819, 0.3860145, 0.5911078", \
+					  "0.1210542, 0.1315997, 0.1522236, 0.1938447, 0.2678565, 0.3860707, 0.5922262", \
+					  "0.1225066, 0.1318587, 0.1528801, 0.1936820, 0.2657919, 0.3860602, 0.5918305", \
+					  "0.1216780, 0.1318278, 0.1524516, 0.1935275, 0.2670152, 0.3859639, 0.5913105", \
+					  "0.1215631, 0.1321812, 0.1526301, 0.1945292, 0.2664702, 0.3866517, 0.5919623");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2531689, 4.2719490, 4.3026582, 4.3673554, 4.4995798, 4.7560675, 5.2790088", \
+					  "6.8029786, 6.8165145, 6.8492110, 6.9143478, 7.0438215, 7.3144077, 7.8231785", \
+					  "8.9190841, 8.9384979, 8.9707557, 9.0360848, 9.1660848, 9.4251345, 9.9453459", \
+					  "9.3950803, 9.4153920, 9.4430224, 9.5132435, 9.6428208, 9.9001056, 10.4223110", \
+					  "12.2628820, 12.2795460, 12.3155300, 12.3763220, 12.5090080, 12.7588620, 13.2822460", \
+					  "14.6371240, 14.6530210, 14.6880150, 14.7528030, 14.8827900, 15.1370310, 15.6553240", \
+					  "16.4366350, 16.4528800, 16.4858900, 16.5410120, 16.6703110, 16.9393650, 17.4607390", \
+					  "18.9810920, 19.0004580, 19.0347610, 19.0805580, 19.2125850, 19.4838870, 20.0093450", \
+					  "53.7803150, 54.1346880, 54.2754820, 54.2834330, 54.3275220, 54.5791040, 55.2067580", \
+					  "76.6029230, 76.6089910, 76.7110390, 76.7847940, 76.9161520, 77.1481790, 77.4408450", \
+					  "171.8614400, 171.8614426, 171.8614579, 172.0884200, 172.2177700, 172.3520000, 172.9971800", \
+					  "509.1110300, 509.3563100, 509.3563386, 509.3563691, 509.8671600, 509.8671723, 510.4539600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1376396, 0.1572643, 0.1985125, 0.2814957, 0.4500355, 0.7916477, 1.4801095", \
+					  "0.1382594, 0.1587162, 0.2000052, 0.2834929, 0.4546684, 0.7925402, 1.4832018", \
+					  "0.1384689, 0.1581112, 0.1988658, 0.2832482, 0.4546514, 0.7939327, 1.4818323", \
+					  "0.1383698, 0.1583023, 0.2012446, 0.2834565, 0.4545356, 0.7925295, 1.4807174", \
+					  "0.1382974, 0.1582145, 0.1998807, 0.2834932, 0.4544820, 0.7927151, 1.4822592", \
+					  "0.1383916, 0.1583962, 0.1999190, 0.2838588, 0.4545980, 0.7916290, 1.4845232", \
+					  "0.1385740, 0.1591145, 0.1997858, 0.2837872, 0.4546555, 0.7929237, 1.4823468", \
+					  "0.1382094, 0.1583892, 0.1997405, 0.2835155, 0.4545292, 0.7931170, 1.4819055", \
+					  "0.1383472, 0.1586341, 0.2001315, 0.2838756, 0.4545064, 0.7930043, 1.4822734", \
+					  "0.1385932, 0.1588582, 0.1996984, 0.2835950, 0.4545059, 0.7936514, 1.4820137", \
+					  "0.1384571, 0.1587907, 0.1997147, 0.2834606, 0.4544087, 0.7917039, 1.4819780", \
+					  "0.1386835, 0.1588422, 0.1997599, 0.2837557, 0.4544899, 0.7918107, 1.4819497");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.8705062, 4.8815822, 4.9063917, 4.9512616, 5.0279372, 5.1563691, 5.3677693", \
+					  "7.6084170, 7.6214448, 7.6460758, 7.6892777, 7.7661602, 7.8941689, 8.1034708", \
+					  "10.7899560, 10.8028790, 10.8259410, 10.8690460, 10.9465510, 11.0752150, 11.2903880", \
+					  "11.5134580, 11.5265650, 11.5509260, 11.5962160, 11.6689720, 11.7973980, 12.0127230", \
+					  "15.7160260, 15.7292900, 15.7466130, 15.7944430, 15.8545120, 16.0012660, 16.2104980", \
+					  "19.0792570, 19.0877430, 19.1041800, 19.1582570, 19.2385750, 19.3620230, 19.5613530", \
+					  "21.5786610, 21.6040360, 21.6270770, 21.6767260, 21.7476030, 21.8764240, 22.0868140", \
+					  "25.0534690, 25.0785790, 25.1029220, 25.1573320, 25.2270880, 25.3500390, 25.5692760", \
+					  "69.6638910, 69.6875380, 69.6875420, 69.7367210, 69.7916960, 69.9444770, 70.1565100", \
+					  "96.4651010, 96.4651070, 96.4759790, 96.5304420, 96.5785110, 96.7288540, 96.9601220", \
+					  "204.7047300, 204.7525700, 204.7630800, 204.8029300, 204.8735300, 205.0122100, 205.2022600", \
+					  "561.5658000, 561.5781300, 561.7035900, 561.7220600, 561.7901600, 561.8462600, 562.1180900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1162635, 0.1255679, 0.1444844, 0.1814018, 0.2484505, 0.3624281, 0.5663413", \
+					  "0.1179360, 0.1277874, 0.1466143, 0.1828558, 0.2505059, 0.3659450, 0.5696028", \
+					  "0.1172952, 0.1266687, 0.1475922, 0.1838997, 0.2503299, 0.3657057, 0.5686674", \
+					  "0.1171797, 0.1261893, 0.1469185, 0.1835033, 0.2510064, 0.3667798, 0.5694142", \
+					  "0.1172144, 0.1274245, 0.1466232, 0.1833425, 0.2510182, 0.3667360, 0.5697942", \
+					  "0.1168016, 0.1270220, 0.1459823, 0.1832671, 0.2505376, 0.3657802, 0.5684017", \
+					  "0.1171714, 0.1263574, 0.1470956, 0.1843920, 0.2505373, 0.3657134, 0.5682537", \
+					  "0.1173073, 0.1271209, 0.1467398, 0.1832705, 0.2505532, 0.3664121, 0.5697944", \
+					  "0.1172929, 0.1264910, 0.1466276, 0.1824062, 0.2508920, 0.3665328, 0.5684366", \
+					  "0.1181395, 0.1277495, 0.1467955, 0.1828551, 0.2498669, 0.3663395, 0.5693960", \
+					  "0.1172728, 0.1263953, 0.1468267, 0.1835163, 0.2505540, 0.3665819, 0.5691030", \
+					  "0.1174358, 0.1276869, 0.1459466, 0.1836819, 0.2498721, 0.3666889, 0.5681879");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2356514, 4.2520121, 4.2834425, 4.3488249, 4.4767190, 4.7383168, 5.2588480", \
+					  "6.4933765, 6.5097150, 6.5423782, 6.6075461, 6.7366877, 6.9959532, 7.5164008", \
+					  "8.1678104, 8.1842577, 8.2168725, 8.2820995, 8.4114573, 8.6707646, 9.1910071", \
+					  "8.5313028, 8.5478158, 8.5804599, 8.6455483, 8.7750056, 9.0343102, 9.5544284", \
+					  "10.6385430, 10.6552710, 10.6833340, 10.7531710, 10.8826940, 11.1415020, 11.6613370", \
+					  "12.3089940, 12.3271460, 12.3592530, 12.4243860, 12.5536180, 12.8184210, 13.3392190", \
+					  "13.5594740, 13.5796770, 13.6122450, 13.6750560, 13.8046130, 14.0630850, 14.5830320", \
+					  "15.3000780, 15.3198400, 15.3494140, 15.4120750, 15.5423180, 15.8031450, 16.3231460", \
+					  "37.8865050, 37.9208700, 37.9509370, 38.0289540, 38.1326610, 38.3877710, 38.8898260", \
+					  "51.7686020, 51.7686024, 51.8179240, 51.8495150, 52.0134690, 52.2435390, 52.7919650", \
+					  "109.1092000, 109.1322400, 109.1765600, 109.2331600, 109.4751400, 109.6138700, 110.1309300", \
+					  "307.7236700, 307.7488500, 307.8271200, 307.8533800, 307.8534089, 307.8674200, 308.4075800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1374130, 0.1572340, 0.1982147, 0.2814156, 0.4503929, 0.7917279, 1.4797809", \
+					  "0.1382261, 0.1587792, 0.2009984, 0.2839066, 0.4545441, 0.7929482, 1.4812880", \
+					  "0.1384854, 0.1585405, 0.2000858, 0.2838477, 0.4544226, 0.7914107, 1.4813888", \
+					  "0.1385700, 0.1588180, 0.1996452, 0.2836245, 0.4545162, 0.7923974, 1.4800222", \
+					  "0.1383242, 0.1584574, 0.1999770, 0.2833917, 0.4545002, 0.7928677, 1.4827378", \
+					  "0.1383125, 0.1592555, 0.2010976, 0.2838433, 0.4554416, 0.7925599, 1.4816468", \
+					  "0.1383719, 0.1587234, 0.1999030, 0.2835429, 0.4544733, 0.7925181, 1.4814128", \
+					  "0.1382247, 0.1587806, 0.1999618, 0.2835952, 0.4545134, 0.7926167, 1.4833142", \
+					  "0.1382699, 0.1587091, 0.1998329, 0.2834994, 0.4546674, 0.7934992, 1.4812072", \
+					  "0.1386469, 0.1586740, 0.1999031, 0.2836117, 0.4544953, 0.7934914, 1.4822805", \
+					  "0.1383923, 0.1586938, 0.1996988, 0.2837076, 0.4544670, 0.7924799, 1.4830890", \
+					  "0.1382581, 0.1581824, 0.1999832, 0.2835486, 0.4545797, 0.7926368, 1.4819690");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("7.1102715, 7.1228330, 7.1447004, 7.1911548, 7.2688899, 7.3974196, 7.6067632", \
+					  "9.4443866, 9.4574251, 9.4819788, 9.5252943, 9.6013988, 9.7283426, 9.9386703", \
+					  "12.5835800, 12.6002860, 12.6212760, 12.6645010, 12.7395070, 12.8676540, 13.0781610", \
+					  "13.3351970, 13.3494610, 13.3789880, 13.4184330, 13.4956520, 13.6218900, 13.8338750", \
+					  "17.9649340, 17.9776210, 17.9989210, 18.0370950, 18.1211170, 18.2490880, 18.4412910", \
+					  "21.6744860, 21.6744872, 21.7098820, 21.7218440, 21.8292480, 21.9567420, 22.1670200", \
+					  "24.4123830, 24.4407130, 24.4640250, 24.4856860, 24.5857590, 24.7070420, 24.9198210", \
+					  "28.2363200, 28.2551800, 28.2702540, 28.3152170, 28.3872050, 28.5187520, 28.7277790", \
+					  "76.0655110, 76.0913750, 76.0913811, 76.1406230, 76.2084510, 76.3609760, 76.5314210", \
+					  "104.5619300, 104.5619317, 104.5886700, 104.6373400, 104.7075300, 104.8392000, 105.0395800", \
+					  "219.2238400, 219.2460200, 219.2527300, 219.2833600, 219.4069100, 219.5089100, 219.7190300", \
+					  "596.6686000, 596.7045200, 596.7481800, 596.7481995, 596.8429900, 596.9404200, 597.1718300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1158933, 0.1257108, 0.1443264, 0.1813256, 0.2484507, 0.3624084, 0.5663046", \
+					  "0.1183798, 0.1272546, 0.1462182, 0.1823519, 0.2502411, 0.3667171, 0.5687876", \
+					  "0.1173391, 0.1277712, 0.1455357, 0.1834016, 0.2509281, 0.3666661, 0.5681536", \
+					  "0.1175568, 0.1265284, 0.1458407, 0.1834461, 0.2507020, 0.3658934, 0.5684475", \
+					  "0.1175445, 0.1263464, 0.1458254, 0.1824152, 0.2502076, 0.3662423, 0.5687668", \
+					  "0.1189683, 0.1267444, 0.1468097, 0.1826428, 0.2504751, 0.3664901, 0.5693485", \
+					  "0.1175741, 0.1275268, 0.1458252, 0.1826300, 0.2502364, 0.3657257, 0.5692573", \
+					  "0.1173744, 0.1269971, 0.1466332, 0.1834062, 0.2505153, 0.3657433, 0.5683947", \
+					  "0.1181370, 0.1277867, 0.1474522, 0.1832261, 0.2505556, 0.3662719, 0.5691883", \
+					  "0.1171742, 0.1265690, 0.1471225, 0.1833388, 0.2508187, 0.3657494, 0.5689429", \
+					  "0.1171058, 0.1268908, 0.1466947, 0.1844952, 0.2505772, 0.3659632, 0.5691499", \
+					  "0.1174904, 0.1271821, 0.1468685, 0.1839186, 0.2499116, 0.3667333, 0.5686056");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("21.5350160, 21.5525040, 21.5846930, 21.6493670, 21.7795030, 22.0388670, 22.5613930", \
+					  "21.6311320, 21.6493490, 21.6781060, 21.7454040, 21.8727650, 22.1348880, 22.6548100", \
+					  "21.7278300, 21.7442690, 21.7772450, 21.8420410, 21.9719070, 22.2316480, 22.7539520", \
+					  "21.8337900, 21.8529160, 21.8824190, 21.9506870, 22.0770810, 22.3376110, 22.8591240", \
+					  "21.9318130, 21.9472900, 21.9817550, 22.0450610, 22.1764170, 22.4356370, 22.9584620", \
+					  "22.0389910, 22.0553000, 22.0890670, 22.1530860, 22.2836970, 22.5427530, 23.0657680", \
+					  "22.1391690, 22.1578860, 22.1904680, 22.2556340, 22.3851300, 22.6429840, 23.1671750", \
+					  "22.2436660, 22.2624920, 22.2919670, 22.3602720, 22.4866280, 22.7474890, 23.2686720", \
+					  "22.3432260, 22.3590500, 22.3930240, 22.4568260, 22.5876830, 22.8470420, 23.3697290", \
+					  "22.4461050, 22.4642100, 22.4952960, 22.5620070, 22.6899580, 22.9500880, 23.4720050", \
+					  "22.5407040, 22.5599410, 22.5911610, 22.6579020, 22.7858180, 23.0445210, 23.5678610", \
+					  "22.6372560, 22.6584560, 22.6863200, 22.7515820, 22.8809790, 23.1410680, 23.6630220");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1386605, 0.1587379, 0.2001442, 0.2837853, 0.4546486, 0.7983536, 1.4978744", \
+					  "0.1386606, 0.1587384, 0.2001455, 0.2837865, 0.4546473, 0.7983588, 1.4978694", \
+					  "0.1386604, 0.1587391, 0.2001442, 0.2837874, 0.4546490, 0.7983609, 1.4978780", \
+					  "0.1386583, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7984410, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001442, 0.2838156, 0.4546490, 0.7984408, 1.4978782", \
+					  "0.1386578, 0.1587391, 0.2001455, 0.2837839, 0.4546491, 0.7983875, 1.4978693", \
+					  "0.1386606, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7983582, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001458, 0.2838149, 0.4546484, 0.7984412, 1.4978796", \
+					  "0.1386605, 0.1587393, 0.2001442, 0.2837856, 0.4546490, 0.7983527, 1.4978790", \
+					  "0.1386597, 0.1587375, 0.2001440, 0.2837877, 0.4546494, 0.7983671, 1.4978836", \
+					  "0.1386606, 0.1587488, 0.2001458, 0.2835736, 0.4546481, 0.7983456, 1.4978747", \
+					  "0.1386603, 0.1587372, 0.2001444, 0.2837883, 0.4546492, 0.7983644, 1.4978819");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("14.9028260, 14.9139830, 14.9381950, 14.9810010, 15.0582850, 15.1841790, 15.3914230", \
+					  "14.9904600, 15.0034690, 15.0276700, 15.0633670, 15.1447940, 15.2731160, 15.4745190", \
+					  "15.0557470, 15.0687440, 15.0929690, 15.1369890, 15.2106220, 15.3382040, 15.5467750", \
+					  "15.1214630, 15.1344860, 15.1586710, 15.2027530, 15.2788720, 15.4043760, 15.6138440", \
+					  "15.1843620, 15.1973210, 15.2214760, 15.2593810, 15.3355070, 15.4655060, 15.6705300", \
+					  "15.2388660, 15.2520730, 15.2761380, 15.3198570, 15.3937200, 15.5205640, 15.7299760", \
+					  "15.2781240, 15.2911250, 15.3153720, 15.3580300, 15.4340030, 15.5611120, 15.7689560", \
+					  "15.3325900, 15.3455970, 15.3698560, 15.4057760, 15.4887680, 15.6130470, 15.8165210", \
+					  "15.3652810, 15.3784400, 15.4025200, 15.4533060, 15.5212640, 15.6471140, 15.8631400", \
+					  "15.4154590, 15.4285670, 15.4527470, 15.4875630, 15.5699380, 15.6969640, 15.8985200", \
+					  "15.4585250, 15.4716990, 15.4959030, 15.5417760, 15.6144960, 15.7403650, 15.9515940", \
+					  "15.4938620, 15.5070200, 15.5311000, 15.5767670, 15.6528830, 15.7756940, 15.9878560");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1159085, 0.1255768, 0.1447270, 0.1809250, 0.2480104, 0.3630184, 0.5663965", \
+					  "0.1158124, 0.1256810, 0.1452476, 0.1810095, 0.2489983, 0.3627330, 0.5663726", \
+					  "0.1158854, 0.1257243, 0.1452266, 0.1805826, 0.2474456, 0.3624151, 0.5669380", \
+					  "0.1163781, 0.1255762, 0.1444503, 0.1809337, 0.2477774, 0.3627393, 0.5663887", \
+					  "0.1175072, 0.1260286, 0.1442827, 0.1809976, 0.2477801, 0.3633141, 0.5663658", \
+					  "0.1160718, 0.1253969, 0.1440108, 0.1816857, 0.2486090, 0.3631893, 0.5668572", \
+					  "0.1164697, 0.1255783, 0.1449660, 0.1809734, 0.2478307, 0.3626987, 0.5667155", \
+					  "0.1164801, 0.1263675, 0.1450277, 0.1809824, 0.2477925, 0.3630187, 0.5668076", \
+					  "0.1159052, 0.1254795, 0.1441581, 0.1805014, 0.2474492, 0.3630453, 0.5669510", \
+					  "0.1156340, 0.1251579, 0.1450615, 0.1815192, 0.2485884, 0.3631700, 0.5667557", \
+					  "0.1158939, 0.1255759, 0.1447629, 0.1805275, 0.2476408, 0.3630359, 0.5669473", \
+					  "0.1159033, 0.1254804, 0.1441599, 0.1809335, 0.2477776, 0.3630444, 0.5663892");
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )";
+				miller_cap_fall : "0.00962652";
+				miller_cap_rise : "0.00470468";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("8.6697, 3.61874, 3.39598, 3.28311, 3.13934, 3.05516, 2.96277, 2.86221, 2.75357, 2.63695, 2.51246, 2.3802, 2.24026, 2.09277, 1.93782, 1.77552, 1.60596, 1.42925, 1.24548, 1.05475, 0.857161, 0.6528, 0.441759, 0.22413, -4.20017e-09, -0.451873, -0.899455, -2.26819, -6.08284", \
+					  "7.75019, 2.19254, 2.10616, 2.0706, 2.02955, 2.00623, 1.98044, 1.95143, 1.91804, 1.87844, 1.83032, 1.7718, 1.70191, 1.62039, 1.52727, 1.42269, 1.30684, 1.17995, 1.04222, 0.893862, 0.735097, 0.566128, 0.387158, 0.198384, -4.69425e-09, -0.411349, -0.832312, -2.18203, -6.06173", \
+					  "7.15363, 1.19471, 1.14984, 1.13329, 1.11521, 1.10545, 1.09512, 1.08411, 1.07229, 1.05948, 1.04545, 1.02982, 1.01203, 0.990954, 0.964349, 0.928561, 0.880331, 0.818211, 0.741922, 0.651608, 0.547538, 0.430026, 0.299388, 0.155943, -8.50078e-09, -0.340031, -0.708064, -1.97657, -5.99376", \
+					  "7.00255, 0.901347, 0.844849, 0.832741, 0.819717, 0.812783, 0.805515, 0.797861, 0.789754, 0.78111, 0.771821, 0.761737, 0.750643, 0.738207, 0.723832, 0.706207, 0.682348, 0.647754, 0.599235, 0.535778, 0.457352, 0.364216, 0.256708, 0.135184, -9.04021e-09, -0.304823, -0.646332, -1.87092, -5.95916", \
+					  "6.8837, 0.723965, 0.566218, 0.557839, 0.548959, 0.544291, 0.539445, 0.534397, 0.529115, 0.523562, 0.517689, 0.511434, 0.504714, 0.497415, 0.489362, 0.480269, 0.469538, 0.455566, 0.434337, 0.400582, 0.351556, 0.286733, 0.206293, 0.110586, -5.40921e-09, -0.26298, -0.572829, -1.74357, -5.91831", \
+					  "6.83717, 0.688155, 0.441163, 0.434401, 0.427289, 0.423575, 0.419737, 0.41576, 0.411623, 0.407302, 0.402766, 0.397976, 0.392882, 0.387415, 0.381479, 0.374927, 0.367501, 0.35861, 0.346464, 0.326404, 0.292896, 0.243561, 0.178105, 0.09679, -6.30043e-09, -0.239457, -0.531455, -1.67128, -5.89558", \
+					  "6.80022, 0.682613, 0.328098, 0.32278, 0.317248, 0.314378, 0.311427, 0.308385, 0.305239, 0.301974, 0.298571, 0.295008, 0.291253, 0.287269, 0.283002, 0.278375, 0.273268, 0.267459, 0.260374, 0.25, 0.230961, 0.197597, 0.147969, 0.0819949, -6.262e-09, -0.214174, -0.486941, -1.59306, -5.87135", \
+					  "6.77372, 0.705039, 0.229086, 0.224881, 0.220749, 0.21862, 0.216441, 0.214208, 0.211912, 0.209545, 0.207096, 0.204551, 0.201895, 0.199107, 0.196157, 0.193007, 0.189602, 0.18585, 0.181567, 0.176207, 0.167509, 0.149318, 0.116043, 0.0662405, -3.28102e-09, -0.187143, -0.439288, -1.50882, -5.84572", \
+					  "6.75857, 0.755084, 0.147406, 0.142679, 0.139767, 0.138279, 0.136765, 0.135221, 0.133644, 0.13203, 0.130372, 0.128663, 0.126897, 0.125061, 0.123143, 0.121124, 0.118979, 0.116672, 0.114137, 0.111237, 0.107471, 0.100301, 0.0827819, 0.0496582, -1.67922e-08, -0.158418, -0.388554, -1.41855, -5.81879", \
+					  "6.75576, 0.833384, 0.0924164, 0.078367, 0.0764499, 0.075505, 0.0745489, 0.0735803, 0.0725974, 0.0715983, 0.0705803, 0.0695408, 0.068476, 0.0673816, 0.0662519, 0.0650795, 0.0638547, 0.0625636, 0.061185, 0.0596811, 0.0579576, 0.0555801, 0.0497022, 0.0326781, -1.62579e-07, -0.12813, -0.33488, -1.32239, -5.79079", \
+					  "6.76646, 0.940776, 0.0810841, 0.0346763, 0.0331028, 0.0325931, 0.0320814, 0.0315666, 0.0310478, 0.0305245, 0.0299959, 0.0294609, 0.0289185, 0.0283671, 0.027805, 0.02723, 0.0266388, 0.0260276, 0.0253904, 0.0247177, 0.0239916, 0.0231574, 0.0218476, 0.0167517, -2.1022e-06, -0.0965798, -0.278569, -1.22073, -5.76202", \
+					  "6.79104, 1.07633, 0.118793, 0.0151225, 0.0103144, 0.0100925, 0.00988332, 0.0096745, 0.00946565, 0.00925657, 0.00904704, 0.00883685, 0.00862572, 0.00841335, 0.00819934, 0.00798317, 0.00776423, 0.00754164, 0.00731421, 0.00708014, 0.00683637, 0.0065759, 0.00626863, 0.00550886, -2.63062e-05, -0.0645958, -0.220333, -1.11448, -5.73293", \
+					  "6.8261, 1.23296, 0.193948, 0.0247211, 0.00241881, 0.00219979, 0.0021253, 0.00205601, 0.0019882, 0.00192113, 0.00185454, 0.00178825, 0.00172217, 0.00165619, 0.00159021, 0.00152414, 0.00145786, 0.00139121, 0.001324, 0.00125592, 0.00118652, 0.00111483, 0.00103762, 0.000921659, -0.000285924, -0.0350077, -0.162219, -1.00539, -5.70413", \
+					  "6.86559, 1.39994, 0.290994, 0.0649303, 0.000512561, -0.00093327, -0.00107199, -0.00115739, -0.00123015, -0.00129734, -0.0013615, -0.00142385, -0.00148506, -0.00154557, -0.00160567, -0.00166557, -0.00172542, -0.00178535, -0.00184549, -0.00190594, -0.00196685, -0.0020284, -0.00209117, -0.00215964, -0.00244362, -0.0156494, -0.109934, -0.897251, -5.67743", \
+					  "6.90587, 1.57071, 0.399698, 0.125161, 9.83312e-05, -0.00926724, -0.0104023, -0.0108862, -0.0112457, -0.0115542, -0.0118353, -0.0120995, -0.0123526, -0.0125979, -0.0128378, -0.0130738, -0.0133069, -0.0135379, -0.0137675, -0.0139962, -0.0142243, -0.0144522, -0.0146804, -0.0149098, -0.0151791, -0.0189241, -0.0773033, -0.800947, -5.65988", \
+					  "6.94571, 1.74229, 0.51353, 0.191922, 1.38908e-05, -0.033625, -0.0422873, -0.0448017, -0.0462916, -0.0474353, -0.0484081, -0.0492799, -0.0500853, -0.0508443, -0.0515692, -0.0522682, -0.0529471, -0.05361, -0.0542601, -0.0548997, -0.0555308, -0.0561549, -0.0567734, -0.0573875, -0.0580036, -0.0598676, -0.0878231, -0.736985, -5.66463", \
+					  "6.98464, 1.91288, 0.627395, 0.258426, 1.21508e-06, -0.0694279, -0.101866, -0.112406, -0.117128, -0.120227, -0.122632, -0.12466, -0.126453, -0.128086, -0.129604, -0.131035, -0.132399, -0.133709, -0.134975, -0.136205, -0.137406, -0.13858, -0.139734, -0.140869, -0.14199, -0.144318, -0.154788, -0.716844, -5.69748", \
+					  "7.02238, 2.0811, 0.737583, 0.322324, 7.9733e-08, -0.107377, -0.174614, -0.205865, -0.218651, -0.22571, -0.230643, -0.234534, -0.237816, -0.240703, -0.243314, -0.24572, -0.247971, -0.250099, -0.252128, -0.254076, -0.255956, -0.257778, -0.259551, -0.261283, -0.262979, -0.266308, -0.271296, -0.738148, -5.7549", \
+					  "7.05876, 2.24573, 0.841832, 0.382622, 9.81164e-09, -0.144018, -0.248102, -0.311203, -0.340958, -0.35556, -0.364694, -0.371402, -0.376796, -0.381377, -0.385409, -0.389047, -0.392391, -0.395506, -0.398438, -0.401221, -0.40388, -0.406436, -0.408904, -0.411296, -0.413623, -0.418127, -0.422812, -0.795838, -5.83205", \
+					  "7.09363, 2.40565, 0.938907, 0.438758, 5.99902e-09, -0.17834, -0.318038, -0.416767, -0.47372, -0.5018, -0.517709, -0.52855, -0.536841, -0.543638, -0.549465, -0.554616, -0.559271, -0.563546, -0.567524, -0.57126, -0.574799, -0.578173, -0.581407, -0.584521, -0.587532, -0.593308, -0.598904, -0.885626, -5.92498", \
+					  "7.12687, 2.55978, 1.02815, 0.490381, 5.72108e-09, -0.209973, -0.383019, -0.516705, -0.607065, -0.656608, -0.683179, -0.699988, -0.712184, -0.721824, -0.729872, -0.736843, -0.74304, -0.748658, -0.753825, -0.758634, -0.763149, -0.767423, -0.771491, -0.775386, -0.779132, -0.78626, -0.793041, -1.00381, -6.03022", \
+					  "7.15839, 2.70703, 1.10925, 0.537301, 5.62891e-09, -0.23875, -0.442457, -0.608849, -0.733683, -0.812158, -0.854863, -0.880216, -0.897634, -0.910883, -0.921646, -0.930778, -0.938768, -0.945917, -0.952423, -0.958421, -0.96401, -0.969262, -0.974232, -0.978963, -0.98349, -0.992044, -1.0001, -1.14718, -6.1448", \
+					  "7.18812, 2.84624, 1.1821, 0.579451, 5.55347e-09, -0.264616, -0.496114, -0.692436, -0.849885, -0.961281, -1.02668, -1.06416, -1.08855, -1.10637, -1.12044, -1.13212, -1.14218, -1.15106, -1.15906, -1.16637, -1.17313, -1.17943, -1.18537, -1.19099, -1.19634, -1.20639, -1.21578, -1.31318, -6.26611", \
+					  "7.21603, 2.97613, 1.24678, 0.616872, 5.48689e-09, -0.287594, -0.543968, -0.767272, -0.954435, -1.09874, -1.19272, -1.24706, -1.28081, -1.30444, -1.32253, -1.33722, -1.34965, -1.36049, -1.37014, -1.37889, -1.38692, -1.39436, -1.40133, -1.40789, -1.41412, -1.42573, -1.43648, -1.49983, -6.39206", \
+					  "7.24208, 3.09529, 1.3035, 0.649694, 5.42865e-09, -0.307771, -0.586147, -0.833475, -1.04721, -1.22195, -1.34765, -1.42437, -1.47068, -1.50176, -1.52476, -1.54301, -1.55818, -1.57123, -1.58273, -1.59305, -1.60245, -1.61111, -1.61918, -1.62674, -1.63387, -1.64711, -1.65929, -1.70464, -6.52086", \
+					  "7.28862, 3.29562, 1.39443, 0.702373, 5.33541e-09, -0.340279, -0.654519, -0.941402, -1.19915, -1.42455, -1.61034, -1.7448, -1.82935, -1.88262, -1.91938, -1.94699, -1.96904, -1.98744, -2.00327, -2.01722, -2.02974, -2.04112, -2.0516, -2.06133, -2.07043, -2.08714, -2.10229, -2.14359, -6.78186", \
+					  "7.32783, 3.43844, 1.4583, 0.739564, 5.26957e-09, -0.363502, -0.703881, -1.02009, -1.31083, -1.57413, -1.80608, -1.99785, -2.13735, -2.22758, -2.28649, -2.32812, -2.3598, -2.3853, -2.40664, -2.42505, -2.44129, -2.45585, -2.46909, -2.48126, -2.49256, -2.51306, -2.53141, -2.57922, -7.04143", \
+					  "7.40458, 3.57473, 1.52819, 0.782211, 5.55672e-09, -0.392529, -0.768368, -1.12697, -1.46775, -1.79002, -2.09292, -2.37517, -2.63445, -2.86578, -3.05955, -3.20601, -3.30856, -3.38084, -3.43449, -3.47651, -3.51087, -3.53991, -3.5651, -3.58736, -3.60735, -3.64224, -3.67217, -3.74425, -7.7624", \
+					  "7.41784, 3.3572, 1.4377, 0.7376, 1.73555e-07, -0.373638, -0.736578, -1.08861, -1.42951, -1.75906, -2.07702, -2.38314, -2.67716, -2.95875, -3.22755, -3.48306, -3.72448, -3.95041, -4.15816, -4.34277, -4.49777, -4.62014, -4.71401, -4.78683, -4.84503, -4.93374, -5.00019, -5.13671, -8.64859");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.010293");
+						index_3("0.0545636, 0.0917173, 0.1308509, 0.1732732, 0.2376701");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0308789");
+						index_3("0.0609734, 0.1107882, 0.1623901, 0.2201634, 0.3036868");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.010293");
+						index_3("0.1263100, 0.1633707, 0.2023868, 0.2443721, 0.3095759");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0308789");
+						index_3("0.1332102, 0.1828610, 0.2348382, 0.2911351, 0.3763128");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0306352");
+						index_3("0.0314097, 0.0479892, 0.0651044, 0.0832883, 0.1104319");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0919055");
+						index_3("0.0377169, 0.0665311, 0.0963583, 0.1277727, 0.1753800");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0306352");
+						index_3("0.1002939, 0.1176335, 0.1345917, 0.1530421, 0.1801675");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0919055");
+						index_3("0.1071031, 0.1360642, 0.1658217, 0.1979066, 0.2454586");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("7.62522");
+						index_3("0.010293");
+						index_4("3.8337357, 4.0770029, 4.9179661, 5.4747170, 5.6650709");
+						values("0.469397, 0.751035, 0.938793, 0.751035, 0.469397");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.010293");
+						index_4("2.2588947, 2.4225899, 2.9332853, 3.3488684, 3.4727944");
+						values("0.479995, 0.767992, 0.95999, 0.767992, 0.479995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.010293");
+						index_4("1.5351265, 1.6583389, 2.0454891, 2.3391165, 2.4317905");
+						values("0.491205, 0.785928, 0.98241, 0.785928, 0.491205");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("3.81261");
+						index_3("0.010293");
+						index_4("1.9402442, 2.0742183, 2.5306684, 2.7597908, 2.8570575");
+						values("0.243243, 0.389189, 0.486486, 0.389189, 0.243243");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("1.51689");
+						index_3("0.010293");
+						index_4("0.7839721, 0.8525489, 1.0838052, 1.1784136, 1.2275510");
+						values("0.250169, 0.400271, 0.500339, 0.400271, 0.250169");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.0308789");
+						index_4("2.2597316, 2.4248352, 2.9580699, 3.3543495, 3.4812145");
+						values("0.370225, 0.592361, 0.740451, 0.592361, 0.370225");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.0308789");
+						index_4("1.5374357, 1.6631725, 2.0753561, 2.3385861, 2.4356722");
+						values("0.375269, 0.60043, 0.750538, 0.60043, 0.375269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("2.61018");
+						index_3("0.0306352");
+						index_4("1.3199179, 1.4316841, 1.9454758, 2.2459780, 2.3854088");
+						values("1.09424, 0.790787, 0.588484, 0.790787, 1.09424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0306352");
+						index_4("0.7681387, 0.8440038, 1.1366017, 1.3658155, 1.4589926");
+						values("1.08743, 0.779888, 0.57486, 0.779888, 1.08743");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0306352");
+						index_4("0.5206576, 0.5781154, 0.7883555, 0.9559100, 1.0260409");
+						values("1.08457, 0.77531, 0.569137, 0.77531, 1.08457");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("1.30509");
+						index_3("0.0306352");
+						index_4("0.6728631, 0.7335997, 0.9470179, 1.1409765, 1.2168932");
+						values("1.35187, 1.203, 1.10375, 1.203, 1.35187");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("0.507552");
+						index_3("0.0306352");
+						index_4("0.2697505, 0.3013451, 0.4205573, 0.4901257, 0.5328035");
+						values("1.3507, 1.20112, 1.1014, 1.20112, 1.3507");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0919055");
+						index_4("0.7707941, 0.8484786, 1.1474702, 1.3742190, 1.4802847");
+						values("1.30235, 1.12376, 1.0047, 1.12376, 1.30235");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0919055");
+						index_4("0.5230601, 0.5815993, 0.8218618, 0.9636587, 1.0442316");
+						values("1.30226, 1.12361, 1.00451, 1.12361, 1.30226");
+					}
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00962652";
+				miller_cap_rise : "0.00470468";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("8.6697, 3.61874, 3.39598, 3.28311, 3.13934, 3.05516, 2.96277, 2.86221, 2.75357, 2.63695, 2.51246, 2.3802, 2.24026, 2.09277, 1.93782, 1.77552, 1.60596, 1.42925, 1.24548, 1.05475, 0.857161, 0.6528, 0.441759, 0.22413, -4.20017e-09, -0.451873, -0.899455, -2.26819, -6.08284", \
+					  "7.75019, 2.19254, 2.10616, 2.0706, 2.02955, 2.00623, 1.98044, 1.95143, 1.91804, 1.87844, 1.83032, 1.7718, 1.70191, 1.62039, 1.52727, 1.42269, 1.30684, 1.17995, 1.04222, 0.893862, 0.735097, 0.566128, 0.387158, 0.198384, -4.69425e-09, -0.411349, -0.832312, -2.18203, -6.06173", \
+					  "7.15363, 1.19471, 1.14984, 1.13329, 1.11521, 1.10545, 1.09512, 1.08411, 1.07229, 1.05948, 1.04545, 1.02982, 1.01203, 0.990954, 0.964349, 0.928561, 0.880331, 0.818211, 0.741922, 0.651608, 0.547538, 0.430026, 0.299388, 0.155943, -8.50078e-09, -0.340031, -0.708064, -1.97657, -5.99376", \
+					  "7.00255, 0.901347, 0.844849, 0.832741, 0.819717, 0.812783, 0.805515, 0.797861, 0.789754, 0.78111, 0.771821, 0.761737, 0.750643, 0.738207, 0.723832, 0.706207, 0.682348, 0.647754, 0.599235, 0.535778, 0.457352, 0.364216, 0.256708, 0.135184, -9.04021e-09, -0.304823, -0.646332, -1.87092, -5.95916", \
+					  "6.8837, 0.723965, 0.566218, 0.557839, 0.548959, 0.544291, 0.539445, 0.534397, 0.529115, 0.523562, 0.517689, 0.511434, 0.504714, 0.497415, 0.489362, 0.480269, 0.469538, 0.455566, 0.434337, 0.400582, 0.351556, 0.286733, 0.206293, 0.110586, -5.40921e-09, -0.26298, -0.572829, -1.74357, -5.91831", \
+					  "6.83717, 0.688155, 0.441163, 0.434401, 0.427289, 0.423575, 0.419737, 0.41576, 0.411623, 0.407302, 0.402766, 0.397976, 0.392882, 0.387415, 0.381479, 0.374927, 0.367501, 0.35861, 0.346464, 0.326404, 0.292896, 0.243561, 0.178105, 0.09679, -6.30043e-09, -0.239457, -0.531455, -1.67128, -5.89558", \
+					  "6.80022, 0.682613, 0.328098, 0.32278, 0.317248, 0.314378, 0.311427, 0.308385, 0.305239, 0.301974, 0.298571, 0.295008, 0.291253, 0.287269, 0.283002, 0.278375, 0.273268, 0.267459, 0.260374, 0.25, 0.230961, 0.197597, 0.147969, 0.0819949, -6.262e-09, -0.214174, -0.486941, -1.59306, -5.87135", \
+					  "6.77372, 0.705039, 0.229086, 0.224881, 0.220749, 0.21862, 0.216441, 0.214208, 0.211912, 0.209545, 0.207096, 0.204551, 0.201895, 0.199107, 0.196157, 0.193007, 0.189602, 0.18585, 0.181567, 0.176207, 0.167509, 0.149318, 0.116043, 0.0662405, -3.28102e-09, -0.187143, -0.439288, -1.50882, -5.84572", \
+					  "6.75857, 0.755084, 0.147406, 0.142679, 0.139767, 0.138279, 0.136765, 0.135221, 0.133644, 0.13203, 0.130372, 0.128663, 0.126897, 0.125061, 0.123143, 0.121124, 0.118979, 0.116672, 0.114137, 0.111237, 0.107471, 0.100301, 0.0827819, 0.0496582, -1.67922e-08, -0.158418, -0.388554, -1.41855, -5.81879", \
+					  "6.75576, 0.833384, 0.0924164, 0.078367, 0.0764499, 0.075505, 0.0745489, 0.0735803, 0.0725974, 0.0715983, 0.0705803, 0.0695408, 0.068476, 0.0673816, 0.0662519, 0.0650795, 0.0638547, 0.0625636, 0.061185, 0.0596811, 0.0579576, 0.0555801, 0.0497022, 0.0326781, -1.62579e-07, -0.12813, -0.33488, -1.32239, -5.79079", \
+					  "6.76646, 0.940776, 0.0810841, 0.0346763, 0.0331028, 0.0325931, 0.0320814, 0.0315666, 0.0310478, 0.0305245, 0.0299959, 0.0294609, 0.0289185, 0.0283671, 0.027805, 0.02723, 0.0266388, 0.0260276, 0.0253904, 0.0247177, 0.0239916, 0.0231574, 0.0218476, 0.0167517, -2.1022e-06, -0.0965798, -0.278569, -1.22073, -5.76202", \
+					  "6.79104, 1.07633, 0.118793, 0.0151225, 0.0103144, 0.0100925, 0.00988332, 0.0096745, 0.00946565, 0.00925657, 0.00904704, 0.00883685, 0.00862572, 0.00841335, 0.00819934, 0.00798317, 0.00776423, 0.00754164, 0.00731421, 0.00708014, 0.00683637, 0.0065759, 0.00626863, 0.00550886, -2.63062e-05, -0.0645958, -0.220333, -1.11448, -5.73293", \
+					  "6.8261, 1.23296, 0.193948, 0.0247211, 0.00241881, 0.00219979, 0.0021253, 0.00205601, 0.0019882, 0.00192113, 0.00185454, 0.00178825, 0.00172217, 0.00165619, 0.00159021, 0.00152414, 0.00145786, 0.00139121, 0.001324, 0.00125592, 0.00118652, 0.00111483, 0.00103762, 0.000921659, -0.000285924, -0.0350077, -0.162219, -1.00539, -5.70413", \
+					  "6.86559, 1.39994, 0.290994, 0.0649303, 0.000512561, -0.00093327, -0.00107199, -0.00115739, -0.00123015, -0.00129734, -0.0013615, -0.00142385, -0.00148506, -0.00154557, -0.00160567, -0.00166557, -0.00172542, -0.00178535, -0.00184549, -0.00190594, -0.00196685, -0.0020284, -0.00209117, -0.00215964, -0.00244362, -0.0156494, -0.109934, -0.897251, -5.67743", \
+					  "6.90587, 1.57071, 0.399698, 0.125161, 9.83312e-05, -0.00926724, -0.0104023, -0.0108862, -0.0112457, -0.0115542, -0.0118353, -0.0120995, -0.0123526, -0.0125979, -0.0128378, -0.0130738, -0.0133069, -0.0135379, -0.0137675, -0.0139962, -0.0142243, -0.0144522, -0.0146804, -0.0149098, -0.0151791, -0.0189241, -0.0773033, -0.800947, -5.65988", \
+					  "6.94571, 1.74229, 0.51353, 0.191922, 1.38908e-05, -0.033625, -0.0422873, -0.0448017, -0.0462916, -0.0474353, -0.0484081, -0.0492799, -0.0500853, -0.0508443, -0.0515692, -0.0522682, -0.0529471, -0.05361, -0.0542601, -0.0548997, -0.0555308, -0.0561549, -0.0567734, -0.0573875, -0.0580036, -0.0598676, -0.0878231, -0.736985, -5.66463", \
+					  "6.98464, 1.91288, 0.627395, 0.258426, 1.21508e-06, -0.0694279, -0.101866, -0.112406, -0.117128, -0.120227, -0.122632, -0.12466, -0.126453, -0.128086, -0.129604, -0.131035, -0.132399, -0.133709, -0.134975, -0.136205, -0.137406, -0.13858, -0.139734, -0.140869, -0.14199, -0.144318, -0.154788, -0.716844, -5.69748", \
+					  "7.02238, 2.0811, 0.737583, 0.322324, 7.9733e-08, -0.107377, -0.174614, -0.205865, -0.218651, -0.22571, -0.230643, -0.234534, -0.237816, -0.240703, -0.243314, -0.24572, -0.247971, -0.250099, -0.252128, -0.254076, -0.255956, -0.257778, -0.259551, -0.261283, -0.262979, -0.266308, -0.271296, -0.738148, -5.7549", \
+					  "7.05876, 2.24573, 0.841832, 0.382622, 9.81164e-09, -0.144018, -0.248102, -0.311203, -0.340958, -0.35556, -0.364694, -0.371402, -0.376796, -0.381377, -0.385409, -0.389047, -0.392391, -0.395506, -0.398438, -0.401221, -0.40388, -0.406436, -0.408904, -0.411296, -0.413623, -0.418127, -0.422812, -0.795838, -5.83205", \
+					  "7.09363, 2.40565, 0.938907, 0.438758, 5.99902e-09, -0.17834, -0.318038, -0.416767, -0.47372, -0.5018, -0.517709, -0.52855, -0.536841, -0.543638, -0.549465, -0.554616, -0.559271, -0.563546, -0.567524, -0.57126, -0.574799, -0.578173, -0.581407, -0.584521, -0.587532, -0.593308, -0.598904, -0.885626, -5.92498", \
+					  "7.12687, 2.55978, 1.02815, 0.490381, 5.72108e-09, -0.209973, -0.383019, -0.516705, -0.607065, -0.656608, -0.683179, -0.699988, -0.712184, -0.721824, -0.729872, -0.736843, -0.74304, -0.748658, -0.753825, -0.758634, -0.763149, -0.767423, -0.771491, -0.775386, -0.779132, -0.78626, -0.793041, -1.00381, -6.03022", \
+					  "7.15839, 2.70703, 1.10925, 0.537301, 5.62891e-09, -0.23875, -0.442457, -0.608849, -0.733683, -0.812158, -0.854863, -0.880216, -0.897634, -0.910883, -0.921646, -0.930778, -0.938768, -0.945917, -0.952423, -0.958421, -0.96401, -0.969262, -0.974232, -0.978963, -0.98349, -0.992044, -1.0001, -1.14718, -6.1448", \
+					  "7.18812, 2.84624, 1.1821, 0.579451, 5.55347e-09, -0.264616, -0.496114, -0.692436, -0.849885, -0.961281, -1.02668, -1.06416, -1.08855, -1.10637, -1.12044, -1.13212, -1.14218, -1.15106, -1.15906, -1.16637, -1.17313, -1.17943, -1.18537, -1.19099, -1.19634, -1.20639, -1.21578, -1.31318, -6.26611", \
+					  "7.21603, 2.97613, 1.24678, 0.616872, 5.48689e-09, -0.287594, -0.543968, -0.767272, -0.954435, -1.09874, -1.19272, -1.24706, -1.28081, -1.30444, -1.32253, -1.33722, -1.34965, -1.36049, -1.37014, -1.37889, -1.38692, -1.39436, -1.40133, -1.40789, -1.41412, -1.42573, -1.43648, -1.49983, -6.39206", \
+					  "7.24208, 3.09529, 1.3035, 0.649694, 5.42865e-09, -0.307771, -0.586147, -0.833475, -1.04721, -1.22195, -1.34765, -1.42437, -1.47068, -1.50176, -1.52476, -1.54301, -1.55818, -1.57123, -1.58273, -1.59305, -1.60245, -1.61111, -1.61918, -1.62674, -1.63387, -1.64711, -1.65929, -1.70464, -6.52086", \
+					  "7.28862, 3.29562, 1.39443, 0.702373, 5.33541e-09, -0.340279, -0.654519, -0.941402, -1.19915, -1.42455, -1.61034, -1.7448, -1.82935, -1.88262, -1.91938, -1.94699, -1.96904, -1.98744, -2.00327, -2.01722, -2.02974, -2.04112, -2.0516, -2.06133, -2.07043, -2.08714, -2.10229, -2.14359, -6.78186", \
+					  "7.32783, 3.43844, 1.4583, 0.739564, 5.26957e-09, -0.363502, -0.703881, -1.02009, -1.31083, -1.57413, -1.80608, -1.99785, -2.13735, -2.22758, -2.28649, -2.32812, -2.3598, -2.3853, -2.40664, -2.42505, -2.44129, -2.45585, -2.46909, -2.48126, -2.49256, -2.51306, -2.53141, -2.57922, -7.04143", \
+					  "7.40458, 3.57473, 1.52819, 0.782211, 5.55672e-09, -0.392529, -0.768368, -1.12697, -1.46775, -1.79002, -2.09292, -2.37517, -2.63445, -2.86578, -3.05955, -3.20601, -3.30856, -3.38084, -3.43449, -3.47651, -3.51087, -3.53991, -3.5651, -3.58736, -3.60735, -3.64224, -3.67217, -3.74425, -7.7624", \
+					  "7.41784, 3.3572, 1.4377, 0.7376, 1.73555e-07, -0.373638, -0.736578, -1.08861, -1.42951, -1.75906, -2.07702, -2.38314, -2.67716, -2.95875, -3.22755, -3.48306, -3.72448, -3.95041, -4.15816, -4.34277, -4.49777, -4.62014, -4.71401, -4.78683, -4.84503, -4.93374, -5.00019, -5.13671, -8.64859");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.010293");
+						index_3("0.0545636, 0.0917173, 0.1308509, 0.1732732, 0.2376701");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0308789");
+						index_3("0.0609734, 0.1107882, 0.1623901, 0.2201634, 0.3036868");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.010293");
+						index_3("0.1263100, 0.1633707, 0.2023868, 0.2443721, 0.3095759");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0308789");
+						index_3("0.1332102, 0.1828610, 0.2348382, 0.2911351, 0.3763128");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0306352");
+						index_3("0.0314097, 0.0479892, 0.0651044, 0.0832883, 0.1104319");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0919055");
+						index_3("0.0377169, 0.0665311, 0.0963583, 0.1277727, 0.1753800");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0306352");
+						index_3("0.1002939, 0.1176335, 0.1345917, 0.1530421, 0.1801675");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0919055");
+						index_3("0.1071031, 0.1360642, 0.1658217, 0.1979066, 0.2454586");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("7.62522");
+						index_3("0.010293");
+						index_4("3.8337357, 4.0770029, 4.9179661, 5.4747170, 5.6650709");
+						values("0.469397, 0.751035, 0.938793, 0.751035, 0.469397");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.010293");
+						index_4("2.2588947, 2.4225899, 2.9332853, 3.3488684, 3.4727944");
+						values("0.479995, 0.767992, 0.95999, 0.767992, 0.479995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.010293");
+						index_4("1.5351265, 1.6583389, 2.0454891, 2.3391165, 2.4317905");
+						values("0.491205, 0.785928, 0.98241, 0.785928, 0.491205");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("3.81261");
+						index_3("0.010293");
+						index_4("1.9402442, 2.0742183, 2.5306684, 2.7597908, 2.8570575");
+						values("0.243243, 0.389189, 0.486486, 0.389189, 0.243243");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("1.51689");
+						index_3("0.010293");
+						index_4("0.7839721, 0.8525489, 1.0838052, 1.1784136, 1.2275510");
+						values("0.250169, 0.400271, 0.500339, 0.400271, 0.250169");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.0308789");
+						index_4("2.2597316, 2.4248352, 2.9580699, 3.3543495, 3.4812145");
+						values("0.370225, 0.592361, 0.740451, 0.592361, 0.370225");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.0308789");
+						index_4("1.5374357, 1.6631725, 2.0753561, 2.3385861, 2.4356722");
+						values("0.375269, 0.60043, 0.750538, 0.60043, 0.375269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("2.61018");
+						index_3("0.0306352");
+						index_4("1.3199179, 1.4316841, 1.9454758, 2.2459780, 2.3854088");
+						values("1.09424, 0.790787, 0.588484, 0.790787, 1.09424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0306352");
+						index_4("0.7681387, 0.8440038, 1.1366017, 1.3658155, 1.4589926");
+						values("1.08743, 0.779888, 0.57486, 0.779888, 1.08743");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0306352");
+						index_4("0.5206576, 0.5781154, 0.7883555, 0.9559100, 1.0260409");
+						values("1.08457, 0.77531, 0.569137, 0.77531, 1.08457");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("1.30509");
+						index_3("0.0306352");
+						index_4("0.6728631, 0.7335997, 0.9470179, 1.1409765, 1.2168932");
+						values("1.35187, 1.203, 1.10375, 1.203, 1.35187");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("0.507552");
+						index_3("0.0306352");
+						index_4("0.2697505, 0.3013451, 0.4205573, 0.4901257, 0.5328035");
+						values("1.3507, 1.20112, 1.1014, 1.20112, 1.3507");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0919055");
+						index_4("0.7707941, 0.8484786, 1.1474702, 1.3742190, 1.4802847");
+						values("1.30235, 1.12376, 1.0047, 1.12376, 1.30235");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0919055");
+						index_4("0.5230601, 0.5815993, 0.8218618, 0.9636587, 1.0442316");
+						values("1.30226, 1.12361, 1.00451, 1.12361, 1.30226");
+					}
+				}
+			}
+
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.269730;
+			max_transition : 3.753621;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8621691, 0.8633481, 0.8658625, 0.8686618, 0.8721933, 0.8735460, 0.8764354", \
+					  "-0.1145392, -0.1112146, -0.1098834, -0.1073944, -0.1038753, -0.1036655, -0.1085014", \
+					  "-0.0453887, -0.0433508, -0.0410149, -0.0375365, -0.0319422, -0.0360801, -0.0458073", \
+					  "0.0350019, 0.0331303, 0.0278132, 0.0210977, 0.0207153, 0.0180354, 0.0055296", \
+					  "0.1350445, 0.1376471, 0.1389392, 0.1371992, 0.1141766, 0.0774131, 0.0420544", \
+					  "0.1798185, 0.1894635, 0.1909543, 0.1920059, 0.1955422, 0.1669435, 0.1121089", \
+					  "0.2251633, 0.2351252, 0.2378119, 0.2403611, 0.2413163, 0.2435412, 0.1544157");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8600709, 0.8630368, 0.8699072, 0.8824406, 0.8975953, 0.9081171, 0.9126347", \
+					  "1.8746617, 1.8883647, 1.8851552, 1.8957362, 1.9162456, 1.9213916, 1.9313761", \
+					  "1.8692209, 1.8850978, 1.8803824, 1.8907243, 1.9171292, 1.9165240, 1.9325908", \
+					  "1.8634202, 1.8828600, 1.8755099, 1.8868724, 1.9139835, 1.9140412, 1.9311347", \
+					  "1.8119402, 1.8267410, 1.8283042, 1.8432499, 1.8819018, 1.9037332, 1.9301563", \
+					  "1.8443699, 1.8573691, 1.8559674, 1.8486801, 1.8639923, 1.8569059, 1.9141340", \
+					  "1.8468159, 1.8588985, 1.8573979, 1.8506386, 1.8646189, 1.8542307, 1.8761765");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.9775958, 0.9824053, 0.9892748, 1.0033059, 1.0222016, 1.0367533, 1.0439450", \
+					  "1.9944543, 2.0000093, 2.0059132, 2.0207562, 2.0420625, 2.0541849, 2.0616317", \
+					  "1.9867317, 2.0030635, 2.0032929, 2.0133428, 2.0453669, 2.0472311, 2.0549972", \
+					  "1.9842914, 2.0032602, 2.0085854, 2.0129308, 2.0407907, 2.0442153, 2.0546106", \
+					  "1.9803120, 1.9983826, 2.0033400, 2.0090813, 2.0395862, 2.0432905, 2.0596779", \
+					  "1.9746151, 1.9926758, 1.9986291, 2.0127279, 2.0369715, 2.0394842, 2.0580872", \
+					  "1.9390975, 1.9571196, 1.9685087, 1.9865687, 2.0170246, 2.0435973, 2.0557911");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.7816796, 0.7831884, 0.7846140, 0.7868220, 0.7886683, 0.7898475, 0.7937747", \
+					  "-0.2725442, -0.2711102, -0.2696947, -0.2668318, -0.2645205, -0.2651968, -0.2710517", \
+					  "-0.2557752, -0.2547015, -0.2531830, -0.2508655, -0.2490924, -0.2451033, -0.2513796", \
+					  "-0.1650174, -0.1660685, -0.1639803, -0.1633643, -0.1892793, -0.2304942, -0.2413083", \
+					  "-0.1518482, -0.1524116, -0.1503292, -0.1487443, -0.1450485, -0.1504047, -0.2260569", \
+					  "-0.1394160, -0.1473626, -0.1432080, -0.1417528, -0.1345531, -0.1289820, -0.1918134", \
+					  "-0.1238265, -0.1331743, -0.1326800, -0.1304831, -0.1190560, -0.1121293, -0.1251196");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.8398649, 0.8414281, 0.8428542, 0.8450968, 0.8475089, 0.8479262, 0.8406724", \
+					  "-0.0259023, -0.0246497, -0.0226298, -0.0187588, -0.0176221, -0.0177190, -0.0370652", \
+					  "0.1745119, 0.1755734, 0.1775564, 0.1802013, 0.1788936, 0.1798898, 0.1768402", \
+					  "0.4121564, 0.4102253, 0.4045979, 0.3909827, 0.3626632, 0.3560604, 0.3621693", \
+					  "0.5973888, 0.5979758, 0.5962665, 0.5998600, 0.5892618, 0.5419915, 0.5155428", \
+					  "0.7523925, 0.7535196, 0.7513079, 0.7545370, 0.7504548, 0.7597354, 0.6833437", \
+					  "0.9007112, 0.9006900, 0.8928470, 0.9032447, 0.8972046, 0.9028748, 0.8544818");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0260633, 1.0293521, 1.0362834, 1.0487062, 1.0667343, 1.0792436, 1.0854227", \
+					  "2.0276083, 2.0317977, 2.0384935, 2.0515954, 2.0672498, 2.0807457, 2.0880739", \
+					  "2.0263320, 2.0306461, 2.0381967, 2.0502842, 2.0658000, 2.0800113, 2.0862602", \
+					  "2.0194831, 2.0237143, 2.0301560, 2.0442498, 2.0619309, 2.0744480, 2.0810773", \
+					  "1.9957850, 1.9969712, 2.0107104, 2.0303566, 2.0660576, 2.0698413, 2.0898181", \
+					  "1.9571816, 1.9727724, 1.9675147, 1.9881670, 2.0255076, 2.0501986, 2.0854627", \
+					  "1.9926814, 2.0016308, 1.9937663, 1.9942537, 2.0075306, 2.0147035, 2.0659511");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.1633199, 1.1753314, 1.2042299, 1.2508779, 1.5327675, 1.5342545, 1.5325688", \
+					  "1.1604377, 1.1709821, 1.1996931, 1.2456099, 1.5277282, 1.5305480, 1.5375488", \
+					  "1.1544929, 1.1649846, 1.1936620, 1.2408844, 1.5213471, 1.5253719, 1.5205182", \
+					  "1.1558718, 1.1663882, 1.1940649, 1.2414241, 1.5226887, 1.5243313, 1.5225425", \
+					  "1.1559876, 1.1665263, 1.1942496, 1.2405337, 1.5222754, 1.5250656, 1.5321827", \
+					  "1.1525785, 1.1675075, 1.1953897, 1.2414672, 1.5232929, 1.5267319, 1.5234386", \
+					  "1.1542470, 1.1663812, 1.1943778, 1.2414297, 1.5236935, 1.5240195, 1.5226932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0384333, 1.0398685, 1.0463638, 1.0579181, 1.0752513, 1.0949284, 1.1095916", \
+					  "1.0339646, 1.0370218, 1.0420632, 1.0535591, 1.0711960, 1.0913954, 1.1038314", \
+					  "1.0301979, 1.0323923, 1.0378180, 1.0493748, 1.0672794, 1.0869292, 1.1016310", \
+					  "1.0308302, 1.0320766, 1.0387633, 1.0492418, 1.0667437, 1.0873520, 1.0986396", \
+					  "1.0293494, 1.0325841, 1.0370686, 1.0479616, 1.0675350, 1.0863053, 1.1016267", \
+					  "1.0298705, 1.0320902, 1.0388141, 1.0461664, 1.0661461, 1.0872648, 1.0994856", \
+					  "1.0302960, 1.0319112, 1.0384407, 1.0465599, 1.0670273, 1.0869125, 1.1008002");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.0763576, 5.1130993, 5.1896660, 5.3447771, 5.6550307, 6.3007026, 7.7127114", \
+					  "7.8166025, 7.8531510, 7.9296951, 8.0849185, 8.3950855, 9.0423731, 10.4523150", \
+					  "10.2078320, 10.2443320, 10.3195690, 10.4712720, 10.7888390, 11.4358970, 12.8444180", \
+					  "10.7421670, 10.7787410, 10.8511160, 11.0070500, 11.3241110, 11.9714160, 13.3769920", \
+					  "13.9306250, 13.9534610, 14.0453490, 14.2003250, 14.5116400, 15.1589390, 16.5664390", \
+					  "16.5969500, 16.6212860, 16.7134400, 16.8643500, 17.1752440, 17.8219480, 19.2365500", \
+					  "18.6199750, 18.6574450, 18.7316790, 18.8774470, 19.1952300, 19.8440540, 21.2548910", \
+					  "21.4715610, 21.5082030, 21.5647430, 21.7116550, 22.0366550, 22.6899580, 24.1083540", \
+					  "60.2987950, 60.3164170, 60.3164197, 60.5726740, 60.8711870, 61.5202110, 62.9010870", \
+					  "84.9363740, 84.9721860, 85.0019150, 85.0019188, 85.5115450, 86.1626010, 87.5577730", \
+					  "190.1562600, 190.3607900, 190.4441800, 190.6370000, 190.9918000, 191.4202700, 192.9376500", \
+					  "568.3576800, 568.3576966, 568.4674400, 568.6061400, 569.0966400, 569.7299300, 571.2885200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4212255, 0.4564317, 0.5280314, 0.6827377, 1.0393275, 1.8520833, 3.7561594", \
+					  "0.4232254, 0.4562903, 0.5279098, 0.6825938, 1.0334066, 1.8596173, 3.7131389", \
+					  "0.4229929, 0.4561951, 0.5280896, 0.6831706, 1.0327872, 1.8452493, 3.7748884", \
+					  "0.4249673, 0.4572304, 0.5306064, 0.6839773, 1.0325909, 1.8494084, 3.7119661", \
+					  "0.4232570, 0.4564206, 0.5279984, 0.6825467, 1.0344569, 1.8597093, 3.7151782", \
+					  "0.4231310, 0.4564182, 0.5280348, 0.6827450, 1.0339195, 1.8583275, 3.7287915", \
+					  "0.4231377, 0.4563513, 0.5280341, 0.6843007, 1.0364587, 1.8590649, 3.7182435", \
+					  "0.4218282, 0.4564184, 0.5283467, 0.6854980, 1.0368122, 1.8590358, 3.7286076", \
+					  "0.4232563, 0.4564214, 0.5280474, 0.6828913, 1.0351573, 1.8596846, 3.7125503", \
+					  "0.4230819, 0.4564153, 0.5280257, 0.6828055, 1.0336970, 1.8584754, 3.7291588", \
+					  "0.4231742, 0.4563997, 0.5280889, 0.6830423, 1.0341386, 1.8532551, 3.7282919", \
+					  "0.4230540, 0.4564110, 0.5280378, 0.6829202, 1.0345573, 1.8577214, 3.7282346");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.2367750, 5.2616143, 5.3136433, 5.4179523, 5.6162768, 5.9745768, 6.6194348", \
+					  "8.1817175, 8.2073299, 8.2602369, 8.3632141, 8.5652134, 8.9233190, 9.5685904", \
+					  "10.8913380, 10.9118090, 10.9675380, 11.0706270, 11.2739460, 11.6289800, 12.2762140", \
+					  "11.4917820, 11.4951330, 11.5713300, 11.6748430, 11.8759460, 12.2324980, 12.8783060", \
+					  "15.0311850, 15.0611290, 15.1096950, 15.2144960, 15.4147720, 15.7551200, 16.4198430", \
+					  "17.8982000, 17.9366390, 17.9761910, 18.0880680, 18.2870310, 18.6294460, 19.2713600", \
+					  "20.0373660, 20.0525000, 20.1392380, 20.2209180, 20.4445240, 20.8055530, 21.4441940", \
+					  "23.0742870, 23.0742884, 23.1206790, 23.2570050, 23.4329690, 23.8164600, 24.4414800", \
+					  "62.0915300, 62.1089380, 62.1300130, 62.2370070, 62.4912100, 62.8282710, 63.4394150", \
+					  "85.5748470, 85.5944720, 85.6642330, 85.7387120, 85.9661280, 86.3098460, 86.9561920", \
+					  "180.5238000, 180.5560700, 180.6049400, 180.6897700, 180.9404200, 181.3096900, 181.9155800", \
+					  "486.8611500, 487.0836400, 487.0836640, 487.1653800, 487.3596700, 487.6607700, 488.3019100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3234174, 0.3419862, 0.3834112, 0.4728988, 0.6517988, 0.9742871, 1.6631450", \
+					  "0.3241913, 0.3443534, 0.3860392, 0.4741796, 0.6511540, 0.9771847, 1.6651824", \
+					  "0.3234163, 0.3429322, 0.3865708, 0.4750623, 0.6508727, 0.9735117, 1.6653756", \
+					  "0.3235462, 0.3421738, 0.3838525, 0.4731801, 0.6514313, 0.9775781, 1.6567582", \
+					  "0.3231995, 0.3407662, 0.3843201, 0.4747426, 0.6524494, 0.9744922, 1.6576601", \
+					  "0.3230327, 0.3417478, 0.3857009, 0.4750570, 0.6511837, 0.9739960, 1.6633375", \
+					  "0.3232425, 0.3422611, 0.3830326, 0.4752044, 0.6506353, 0.9744252, 1.6632119", \
+					  "0.3230271, 0.3428512, 0.3833827, 0.4743040, 0.6524367, 0.9742418, 1.6639766", \
+					  "0.3232657, 0.3438666, 0.3852832, 0.4750085, 0.6527191, 0.9769764, 1.6655929", \
+					  "0.3235833, 0.3406809, 0.3863638, 0.4769810, 0.6513056, 0.9753407, 1.6638179", \
+					  "0.3225292, 0.3415117, 0.3855025, 0.4751700, 0.6515005, 0.9734351, 1.6624851", \
+					  "0.3233550, 0.3407443, 0.3837642, 0.4751684, 0.6523417, 0.9778389, 1.6642533");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9188471, 4.9549804, 5.0312767, 5.1854383, 5.4965064, 6.1444734, 7.5617610", \
+					  "7.1788748, 7.2153390, 7.2913235, 7.4456734, 7.7565749, 8.4039158, 9.8190291", \
+					  "8.8537480, 8.8900087, 8.9658121, 9.1196819, 9.4304564, 10.0770690, 11.4966210", \
+					  "9.2173051, 9.2534895, 9.3292307, 9.4838537, 9.7943387, 10.4413330, 11.8553080", \
+					  "11.3250780, 11.3611170, 11.4370330, 11.5915270, 11.9006540, 12.5492950, 13.9713760", \
+					  "12.9974640, 13.0347530, 13.1079050, 13.2683750, 13.5763050, 14.2242080, 15.6442560", \
+					  "14.2513840, 14.2841160, 14.3587600, 14.5182510, 14.8230190, 15.4775070, 16.8876930", \
+					  "15.9860040, 16.0248210, 16.1009460, 16.2534160, 16.5630410, 17.2111550, 18.6268300", \
+					  "38.5769030, 38.6143530, 38.6947470, 38.8488350, 39.1312800, 39.7556050, 41.1786270", \
+					  "52.4547660, 52.4594950, 52.5636070, 52.7195000, 53.0320290, 53.6802890, 55.0697800", \
+					  "109.8232800, 109.8383300, 109.9221300, 110.0791900, 110.3735800, 111.0470700, 112.4556800", \
+					  "308.0465100, 308.4513200, 308.4513398, 308.4513703, 308.6426800, 309.3431600, 310.7063000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4176675, 0.4500745, 0.5213447, 0.6784371, 1.0391777, 1.8624734, 3.7353343", \
+					  "0.4167368, 0.4488195, 0.5214111, 0.6781328, 1.0364011, 1.8654382, 3.7850905", \
+					  "0.4133870, 0.4457932, 0.5202133, 0.6804082, 1.0379603, 1.8531261, 3.7249404", \
+					  "0.4150573, 0.4479499, 0.5224920, 0.6806012, 1.0328302, 1.8564483, 3.7270307", \
+					  "0.4167454, 0.4482493, 0.5216980, 0.6796349, 1.0375995, 1.8635604, 3.7664223", \
+					  "0.4166891, 0.4489861, 0.5214033, 0.6804408, 1.0378653, 1.8498351, 3.7713384", \
+					  "0.4162299, 0.4471662, 0.5202256, 0.6795590, 1.0375955, 1.8625005, 3.7627372", \
+					  "0.4167897, 0.4472880, 0.5223354, 0.6801998, 1.0373636, 1.8450304, 3.7700594", \
+					  "0.4164858, 0.4493818, 0.5210405, 0.6803730, 1.0365884, 1.8607614, 3.7268726", \
+					  "0.4145144, 0.4500650, 0.5215092, 0.6801497, 1.0349263, 1.8598396, 3.7501562", \
+					  "0.4151456, 0.4483284, 0.5207619, 0.6808783, 1.0363581, 1.8511788, 3.7338928", \
+					  "0.4167653, 0.4481938, 0.5217778, 0.6785240, 1.0365443, 1.8594359, 3.7454568");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("7.7040747, 7.7292359, 7.7837301, 7.8916589, 8.1050553, 8.4759302, 9.1405639", \
+					  "10.0395620, 10.0620530, 10.1212220, 10.2280440, 10.4410440, 10.8136100, 11.4747630", \
+					  "13.1789080, 13.2050510, 13.2598070, 13.3673620, 13.5920430, 13.9526040, 14.6053130", \
+					  "13.9314490, 13.9603480, 14.0162420, 14.1260350, 14.3352320, 14.7081720, 15.3673750", \
+					  "18.5590420, 18.5769640, 18.6389450, 18.7460820, 18.9588010, 19.3310360, 19.9932570", \
+					  "22.2662330, 22.2873760, 22.3486830, 22.4547900, 22.6648120, 23.0393840, 23.7020430", \
+					  "25.0253900, 25.0269210, 25.1005300, 25.2093440, 25.4186400, 25.7859010, 26.4555790", \
+					  "28.8336410, 28.8582370, 28.9071720, 29.0153930, 29.2263390, 29.5933680, 30.2594470", \
+					  "76.6634830, 76.6995140, 76.7515760, 76.8453180, 77.0456840, 77.4480640, 78.0796800", \
+					  "105.1464600, 105.1705600, 105.2327000, 105.3388300, 105.5515900, 105.9286300, 106.5888100", \
+					  "219.8125800, 219.8215600, 219.8952000, 220.0133600, 220.2415900, 220.5948800, 221.2662100", \
+					  "597.2787600, 597.3038200, 597.4268300, 597.4268494, 597.7467500, 598.0644500, 598.7299700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3237757, 0.3445484, 0.3890809, 0.4870551, 0.6734222, 1.0063631, 1.6915965", \
+					  "0.3245671, 0.3428980, 0.3897500, 0.4861551, 0.6742714, 1.0068871, 1.6913755", \
+					  "0.3247838, 0.3426799, 0.3899411, 0.4858479, 0.6728305, 1.0064132, 1.6914918", \
+					  "0.3246847, 0.3433630, 0.3900386, 0.4871178, 0.6744959, 1.0070221, 1.6914634", \
+					  "0.3245179, 0.3438653, 0.3898971, 0.4863983, 0.6746235, 1.0064382, 1.6912858", \
+					  "0.3245852, 0.3436288, 0.3872779, 0.4858026, 0.6727694, 1.0064193, 1.6927509", \
+					  "0.3245873, 0.3433801, 0.3897806, 0.4854627, 0.6744260, 1.0051214, 1.6881957", \
+					  "0.3238911, 0.3428703, 0.3896718, 0.4864669, 0.6733699, 1.0060003, 1.6912503", \
+					  "0.3247886, 0.3439568, 0.3899587, 0.4870989, 0.6727933, 1.0063234, 1.6879610", \
+					  "0.3246196, 0.3437770, 0.3897766, 0.4859244, 0.6745408, 1.0065652, 1.6913595", \
+					  "0.3251108, 0.3437435, 0.3903413, 0.4862947, 0.6748602, 1.0069152, 1.6935356", \
+					  "0.3253773, 0.3433078, 0.3905752, 0.4866865, 0.6755877, 1.0079090, 1.6920955");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9399884, 4.9763216, 5.0526006, 5.2048130, 5.5181890, 6.1652066, 7.5823312", \
+					  "7.4858688, 7.5219244, 7.5979578, 7.7524600, 8.0620701, 8.7115053, 10.1257360", \
+					  "9.6081560, 9.6442294, 9.7169757, 9.8746593, 10.1849680, 10.8337730, 12.2398520", \
+					  "10.0848280, 10.1209040, 10.1915930, 10.3518700, 10.6633280, 11.3085350, 12.7234300", \
+					  "12.9492610, 12.9869050, 13.0606560, 13.2197510, 13.5308990, 14.1735610, 15.5859750", \
+					  "15.3215980, 15.3589500, 15.4359090, 15.5917390, 15.9000230, 16.5456360, 17.9693720", \
+					  "17.1216680, 17.1593440, 17.2352140, 17.3940840, 17.7047760, 18.3462350, 19.7650750", \
+					  "19.6699460, 19.7083470, 19.7838440, 19.9419810, 20.2500810, 20.8956550, 22.3141310", \
+					  "54.5022020, 54.8216260, 55.0166810, 55.0560980, 55.4927130, 55.7266300, 57.5381340", \
+					  "77.3369560, 77.3369561, 77.3567520, 77.6505900, 77.9415930, 78.5090890, 79.6493540", \
+					  "172.6628100, 172.6628190, 172.6628342, 172.7176900, 173.0030900, 173.8866000, 175.2690800", \
+					  "509.8124200, 509.8398800, 509.9231000, 510.5415000, 510.5477500, 511.0444500, 512.4030100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4178342, 0.4501365, 0.5211704, 0.6812558, 1.0381715, 1.8632880, 3.7554857", \
+					  "0.4141850, 0.4499696, 0.5211576, 0.6797060, 1.0329311, 1.8616284, 3.7246688", \
+					  "0.4157033, 0.4500337, 0.5208746, 0.6801459, 1.0325580, 1.8456502, 3.7463899", \
+					  "0.4152904, 0.4469074, 0.5222290, 0.6796518, 1.0375337, 1.8574137, 3.7259777", \
+					  "0.4148546, 0.4493207, 0.5220610, 0.6773406, 1.0373533, 1.8514729, 3.7182921", \
+					  "0.4164727, 0.4469331, 0.5220521, 0.6808007, 1.0374851, 1.8609798, 3.7586254", \
+					  "0.4145389, 0.4492618, 0.5219274, 0.6798082, 1.0319896, 1.8633155, 3.7330712", \
+					  "0.4167737, 0.4476843, 0.5223396, 0.6796320, 1.0355371, 1.8596975, 3.7322176", \
+					  "0.4171282, 0.4473725, 0.5224990, 0.6804168, 1.0356999, 1.8595805, 3.7341024", \
+					  "0.4163526, 0.4486855, 0.5220099, 0.6786950, 1.0374478, 1.8533236, 3.7550136", \
+					  "0.4155688, 0.4471999, 0.5224836, 0.6796118, 1.0373368, 1.8548020, 3.7323020", \
+					  "0.4161519, 0.4484460, 0.5224390, 0.6808456, 1.0372750, 1.8526092, 3.7362473");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("5.4644445, 5.4902265, 5.5438778, 5.6539031, 5.8642455, 6.2364198, 6.8983188", \
+					  "8.2033473, 8.2290024, 8.2828464, 8.3914840, 8.6025427, 8.9748768, 9.6369073", \
+					  "11.3839280, 11.4107670, 11.4640160, 11.5832640, 11.7839420, 12.1564230, 12.8182600", \
+					  "12.1073230, 12.1356590, 12.1874870, 12.2997910, 12.5071380, 12.8850730, 13.5405980", \
+					  "16.3113450, 16.3378950, 16.3906580, 16.4985200, 16.7075310, 17.0803530, 17.7437050", \
+					  "19.6554450, 19.7026150, 19.7516690, 19.8472580, 20.0585380, 20.4441420, 21.1072370", \
+					  "22.1809630, 22.2127790, 22.2567230, 22.3765610, 22.5848670, 22.9599200, 23.6055350", \
+					  "25.6707010, 25.6904120, 25.7388240, 25.8741830, 26.0595780, 26.4369180, 27.0825090", \
+					  "70.2649030, 70.2976950, 70.3211280, 70.4485000, 70.6724420, 71.0129560, 71.7014640", \
+					  "97.0437740, 97.0800120, 97.0800133, 97.2176220, 97.4380680, 97.8200280, 98.4965710", \
+					  "205.3192500, 205.3472500, 205.3721300, 205.4906600, 205.7225400, 206.0842900, 206.7427000", \
+					  "562.2481500, 562.3323900, 562.3324280, 562.4281700, 562.6402000, 562.9667000, 563.6364900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3232919, 0.3429214, 0.3877421, 0.4867428, 0.6729060, 1.0060572, 1.6878283", \
+					  "0.3226394, 0.3436849, 0.3894012, 0.4867604, 0.6715449, 1.0042813, 1.6904720", \
+					  "0.3228427, 0.3438175, 0.3897621, 0.4849431, 0.6732195, 1.0059178, 1.6928802", \
+					  "0.3245905, 0.3439901, 0.3897882, 0.4854345, 0.6743621, 1.0063270, 1.6913201", \
+					  "0.3239623, 0.3425449, 0.3876817, 0.4851123, 0.6745773, 1.0064838, 1.6907570", \
+					  "0.3243832, 0.3440225, 0.3897926, 0.4855360, 0.6742106, 1.0049280, 1.6911792", \
+					  "0.3243142, 0.3424449, 0.3895762, 0.4863619, 0.6745630, 1.0066484, 1.6912138", \
+					  "0.3239039, 0.3440947, 0.3898049, 0.4865140, 0.6743930, 1.0066562, 1.6910403", \
+					  "0.3228856, 0.3428709, 0.3898460, 0.4864677, 0.6729202, 1.0055301, 1.6904329", \
+					  "0.3239400, 0.3443651, 0.3899835, 0.4867270, 0.6746098, 1.0068156, 1.6913302", \
+					  "0.3228415, 0.3442987, 0.3894013, 0.4871550, 0.6749701, 1.0068537, 1.6916564", \
+					  "0.3253298, 0.3451120, 0.3904914, 0.4873430, 0.6738542, 1.0078026, 1.6922076");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("19.7117020, 19.7479900, 19.8242740, 19.9792380, 20.2891590, 20.9349960, 22.3499870", \
+					  "19.8100170, 19.8467200, 19.9229770, 20.0747380, 20.3845140, 21.0328790, 22.4491340", \
+					  "19.9050070, 19.9405670, 20.0168130, 20.1717540, 20.4818850, 21.1276120, 22.5426680", \
+					  "20.0111540, 20.0491160, 20.1253130, 20.2802040, 20.5901380, 21.2360260, 22.6505890", \
+					  "20.1083150, 20.1440230, 20.2202570, 20.3751770, 20.6851120, 21.3310450, 22.7461040", \
+					  "20.2157260, 20.2512320, 20.3274360, 20.4824730, 20.7924020, 21.4383110, 22.8529210", \
+					  "20.3186800, 20.3540740, 20.4302780, 20.5851690, 20.8951350, 21.5409880, 22.9555520", \
+					  "20.4217850, 20.4591210, 20.5353490, 20.6902690, 21.0002080, 21.6460700, 23.0611870", \
+					  "20.5221000, 20.5554650, 20.6317420, 20.7867090, 21.0966360, 21.7425530, 23.1576960", \
+					  "20.6256750, 20.6629260, 20.7391860, 20.8914890, 21.2039610, 21.8496010, 23.2626980", \
+					  "20.7205100, 20.7566460, 20.8328470, 20.9872390, 21.2943440, 21.9431070, 23.3582170", \
+					  "20.8174600, 20.8548750, 20.9329600, 21.0813390, 21.3913310, 22.0420220, 23.4571780");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4200498, 0.4529484, 0.5251278, 0.6790626, 1.0291470, 1.8421012, 3.7293959", \
+					  "0.4172782, 0.4529352, 0.5251082, 0.6788649, 1.0291471, 1.8422085, 3.7295620", \
+					  "0.4200567, 0.4530295, 0.5250550, 0.6789032, 1.0291835, 1.8421187, 3.7323957", \
+					  "0.4200578, 0.4532228, 0.5248732, 0.6785916, 1.0296308, 1.8423063, 3.7199408", \
+					  "0.4200643, 0.4531083, 0.5249829, 0.6787820, 1.0291997, 1.8423019, 3.7308836", \
+					  "0.4201170, 0.4529675, 0.5250096, 0.6790792, 1.0290975, 1.8421029, 3.7264343", \
+					  "0.4200601, 0.4532210, 0.5248729, 0.6785919, 1.0296335, 1.8423069, 3.7199068", \
+					  "0.4200642, 0.4531712, 0.5249249, 0.6786732, 1.0293307, 1.8423101, 3.7313450", \
+					  "0.4201116, 0.4529477, 0.5251275, 0.6790622, 1.0291471, 1.8421009, 3.7294825", \
+					  "0.4201669, 0.4531636, 0.5246720, 0.6773505, 1.0298278, 1.8420981, 3.7291099", \
+					  "0.4201238, 0.4492913, 0.5218485, 0.6780007, 1.0290975, 1.8418246, 3.7312722", \
+					  "0.4201419, 0.4531530, 0.5250170, 0.6788443, 1.0291896, 1.8420975, 3.7322434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("18.6871980, 18.7212290, 18.7894940, 18.9244850, 19.1919960, 19.6751010, 20.4743640", \
+					  "18.7751660, 18.8044980, 18.8762430, 19.0071000, 19.2791400, 19.7573390, 20.5617460", \
+					  "18.8424510, 18.8736690, 18.9452100, 19.0779910, 19.3469080, 19.8290100, 20.6307540", \
+					  "18.9062950, 18.9410780, 19.0091040, 19.1461820, 19.4106580, 19.8960630, 20.6947150", \
+					  "18.9680680, 18.9997650, 19.0701960, 19.2030960, 19.4725500, 19.9533170, 20.7544430", \
+					  "19.0262810, 19.0591130, 19.1291750, 19.2628310, 19.5307770, 20.0131360, 20.8147140", \
+					  "19.0634440, 19.0978580, 19.1651530, 19.3016220, 19.5676350, 20.0515070, 20.8500110", \
+					  "19.1166580, 19.1482440, 19.2190990, 19.3492480, 19.6205580, 20.0990890, 20.9044850", \
+					  "19.1502070, 19.1841140, 19.2532030, 19.3953210, 19.6546480, 20.1456150, 20.9386840", \
+					  "19.2004330, 19.2288900, 19.3023800, 19.4339600, 19.7048010, 20.1837320, 20.9868210", \
+					  "19.2434580, 19.2741400, 19.3464590, 19.4837760, 19.7478940, 20.2341130, 21.0319250", \
+					  "19.2787870, 19.3121360, 19.3817850, 19.5201950, 19.7832290, 20.2700720, 21.0672650");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4804170, 0.5019549, 0.5508025, 0.6621381, 0.9006913, 1.3049970, 2.0055955", \
+					  "0.4768005, 0.5021137, 0.5490564, 0.6646866, 0.9023517, 1.3035829, 2.0115358", \
+					  "0.4804821, 0.5025371, 0.5511553, 0.6647458, 0.9022900, 1.3030903, 2.0013031", \
+					  "0.4803619, 0.5017618, 0.5497886, 0.6628396, 0.9010752, 1.3050586, 2.0045097", \
+					  "0.4766956, 0.5024637, 0.5515417, 0.6646403, 0.9036484, 1.3038127, 2.0097393", \
+					  "0.4805230, 0.5012646, 0.5510536, 0.6646296, 0.9016126, 1.3031647, 2.0021187", \
+					  "0.4783475, 0.5020228, 0.5505798, 0.6626954, 0.9030012, 1.3050325, 2.0115445", \
+					  "0.4781941, 0.5025282, 0.5507863, 0.6623032, 0.9007059, 1.3049186, 2.0094526", \
+					  "0.4805151, 0.5024395, 0.5506948, 0.6646216, 0.9008241, 1.3032648, 2.0038264", \
+					  "0.4765897, 0.5025304, 0.5516747, 0.6642417, 0.9034085, 1.3050283, 2.0111992", \
+					  "0.4805217, 0.5021517, 0.5506903, 0.6645453, 0.9008329, 1.3019003, 2.0038242", \
+					  "0.4805165, 0.5025293, 0.5506927, 0.6628431, 0.9008312, 1.3050446, 2.0038287");
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & VTRIP_SEL )";
+				miller_cap_fall : "0.0176808";
+				miller_cap_rise : "0.0164122";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("14.2041, 3.81619, 3.61772, 3.53215, 3.43041, 3.37122, 3.30469, 3.22889, 3.14144, 3.03988, 2.92285, 2.79105, 2.64612, 2.48917, 2.32066, 2.14071, 1.94933, 1.74647, 1.53207, 1.30607, 1.0684, 0.819017, 0.557856, 0.284865, -9.51646e-09, -0.585603, -1.18193, -3.1519, -8.28052", \
+					  "13.4265, 2.03171, 1.93605, 1.89988, 1.85933, 1.83697, 1.8129, 1.78679, 1.7582, 1.72654, 1.69102, 1.6505, 1.60334, 1.5472, 1.47926, 1.39733, 1.30081, 1.18979, 1.06425, 0.924085, 0.769182, 0.599423, 0.414703, 0.214925, -9.04191e-09, -0.456407, -0.936709, -2.63358, -8.07348", \
+					  "13.0192, 1.07008, 0.99865, 0.980674, 0.961159, 0.950694, 0.939666, 0.927988, 0.91555, 0.902212, 0.887792, 0.87205, 0.854656, 0.835143, 0.812823, 0.786627, 0.754818, 0.714574, 0.662131, 0.594482, 0.510267, 0.408957, 0.29027, 0.154006, -1.08964e-08, -0.346477, -0.732262, -2.21724, -7.93459", \
+					  "12.9217, 0.806756, 0.719546, 0.706302, 0.692093, 0.684549, 0.676659, 0.668372, 0.659626, 0.650343, 0.640423, 0.629737, 0.618114, 0.605316, 0.591008, 0.574688, 0.555562, 0.532265, 0.502267, 0.461258, 0.404909, 0.331157, 0.239294, 0.128984, -1.00277e-08, -0.302047, -0.65076, -2.05434, -7.86508", \
+					  "12.8503, 0.634565, 0.468325, 0.459204, 0.449547, 0.444478, 0.439219, 0.433746, 0.428026, 0.42202, 0.41568, 0.408943, 0.401729, 0.39393, 0.385398, 0.375922, 0.365186, 0.352679, 0.337486, 0.317651, 0.288698, 0.244641, 0.182373, 0.100956, -1.16912e-08, -0.252769, -0.561137, -1.87653, -7.78459", \
+					  "12.824, 0.591889, 0.356323, 0.349038, 0.341383, 0.337389, 0.333266, 0.328996, 0.324557, 0.319925, 0.315066, 0.309941, 0.304497, 0.298667, 0.292359, 0.285443, 0.277733, 0.268935, 0.258552, 0.245584, 0.227436, 0.198032, 0.151479, 0.0856773, -1.30337e-08, -0.226102, -0.512976, -1.78136, -7.74269", \
+					  "12.8045, 0.575305, 0.255566, 0.249962, 0.244125, 0.241102, 0.237996, 0.234797, 0.231491, 0.228064, 0.224494, 0.220758, 0.216825, 0.212655, 0.208192, 0.203365, 0.198068, 0.192145, 0.185338, 0.177179, 0.166535, 0.149683, 0.118967, 0.0695021, -1.14952e-08, -0.19797, -0.462426, -1.6817, -7.69969", \
+					  "12.7921, 0.583106, 0.168169, 0.16408, 0.159875, 0.157714, 0.155507, 0.153248, 0.150929, 0.148542, 0.146076, 0.143518, 0.14085, 0.138051, 0.135093, 0.131937, 0.128531, 0.124798, 0.120617, 0.115783, 0.109869, 0.1015, 0.0851886, 0.052477, -1.22903e-08, -0.168304, -0.409397, -1.57756, -7.65605", \
+					  "12.7878, 0.615347, 0.0969482, 0.0941525, 0.0913824, 0.0899718, 0.0885408, 0.0870865, 0.0856055, 0.0840934, 0.0825452, 0.0809548, 0.0793142, 0.0776137, 0.0758406, 0.0739779, 0.0720027, 0.0698822, 0.0675679, 0.064981, 0.0619762, 0.0581824, 0.0517575, 0.0349592, -1.67772e-08, -0.137081, -0.353883, -1.46922, -7.61243", \
+					  "12.793, 0.673754, 0.0457186, 0.0436808, 0.0421127, 0.0413236, 0.0405293, 0.0397285, 0.0389201, 0.0381025, 0.0372739, 0.036432, 0.0355741, 0.0346967, 0.0337954, 0.0328644, 0.0318957, 0.0308787, 0.0297977, 0.0286284, 0.0273299, 0.0258158, 0.0237659, 0.0183731, -5.35294e-08, -0.104441, -0.296097, -1.35732, -7.5694", \
+					  "12.8082, 0.759768, 0.0184874, 0.0149334, 0.0142338, 0.0138925, 0.0135516, 0.013211, 0.0128702, 0.0125289, 0.0121866, 0.0118428, 0.0114968, 0.0111477, 0.0107944, 0.0104356, 0.0100693, 0.00969311, 0.00930332, 0.00889454, 0.00845803, 0.0079774, 0.00740807, 0.0063715, -3.07657e-07, -0.0711018, -0.236847, -1.24269, -7.52743", \
+					  "12.8326, 0.873068, 0.0192153, 0.00374671, 0.00344417, 0.00333939, 0.00323583, 0.00313312, 0.00303118, 0.00292993, 0.0028293, 0.00272917, 0.00262943, 0.00252992, 0.00243046, 0.0023308, 0.00223062, 0.00212948, 0.00202679, 0.00192163, 0.00181259, 0.00169715, 0.0015693, 0.00139312, -1.94958e-06, -0.0397696, -0.17807, -1.12632, -7.48684", \
+					  "12.8619, 0.992922, 0.0536139, 0.00123311, 0.000620511, 0.000595759, 0.000573503, 0.000551692, 0.000530196, 0.000508979, 0.00048802, 0.000467299, 0.000446794, 0.000426479, 0.00040633, 0.0003863, 0.000366352, 0.000346423, 0.000326415, 0.00030621, 0.000285604, 0.00026425, 0.000241341, 0.000212768, -1.2751e-05, -0.0163417, -0.122822, -1.00922, -7.44784", \
+					  "12.8932, 1.12404, 0.116884, 0.00374101, 8.05871e-05, 5.84786e-05, 5.08502e-05, 4.43007e-05, 3.80415e-05, 3.19231e-05, 2.58901e-05, 1.99159e-05, 1.39843e-05, 8.07874e-06, 2.19714e-06, -3.66906e-06, -9.53383e-06, -1.53992e-05, -2.12823e-05, -2.72005e-05, -3.31783e-05, -3.92437e-05, -4.55092e-05, -5.24291e-05, -8.34111e-05, -0.00461713, -0.074692, -0.892518, -7.4106", \
+					  "12.9252, 1.25972, 0.198117, 0.0193601, 7.89078e-06, -0.000118091, -0.000148793, -0.000172599, -0.000194762, -0.000216284, -0.000237519, -0.000258636, -0.000279732, -0.000300856, -0.000322053, -0.000343345, -0.000364746, -0.000386273, -0.00040794, -0.000429741, -0.000451694, -0.000473801, -0.000496065, -0.000518547, -0.000543475, -0.00147423, -0.0378248, -0.777694, -7.37547", \
+					  "12.9575, 1.39871, 0.289593, 0.0586583, 6.76331e-07, -0.000851226, -0.0010539, -0.00120512, -0.00134373, -0.00147714, -0.00160797, -0.00173751, -0.00186646, -0.00199525, -0.00212419, -0.00225345, -0.00238317, -0.00251346, -0.00264438, -0.00277599, -0.00290833, -0.00304142, -0.00317529, -0.00330996, -0.00344563, -0.00385376, -0.0177351, -0.668467, -7.34418", \
+					  "12.9904, 1.5402, 0.386199, 0.11423, 6.18637e-08, -0.00574202, -0.00712983, -0.00801937, -0.00878346, -0.00949151, -0.010168, -0.0108248, -0.0114685, -0.0121034, -0.0127322, -0.013357, -0.0139792, -0.0145997, -0.0152195, -0.0158391, -0.016459, -0.0170796, -0.0177012, -0.0183241, -0.0189485, -0.020218, -0.0249399, -0.578102, -7.32406", \
+					  "13.0236, 1.68345, 0.484179, 0.174824, 1.22901e-08, -0.024908, -0.0328342, -0.0367782, -0.0397104, -0.0422128, -0.0444736, -0.0465789, -0.048576, -0.0504938, -0.0523516, -0.0541628, -0.0559371, -0.0576817, -0.0594022, -0.0611029, -0.0627872, -0.064458, -0.0661174, -0.0677674, -0.0694095, -0.0726765, -0.0765464, -0.53029, -7.32682", \
+					  "13.0572, 1.8278, 0.580781, 0.234597, 8.29527e-09, -0.057066, -0.0832706, -0.0954657, -0.103181, -0.10913, -0.114154, -0.118608, -0.122674, -0.126461, -0.130036, -0.133446, -0.136725, -0.139896, -0.142979, -0.145987, -0.148932, -0.151824, -0.154668, -0.157472, -0.16024, -0.165687, -0.171119, -0.528034, -7.35117", \
+					  "13.0911, 1.97259, 0.674189, 0.291401, 7.87821e-09, -0.0917009, -0.145381, -0.173386, -0.189606, -0.200959, -0.209918, -0.217482, -0.224136, -0.23015, -0.235693, -0.240873, -0.245766, -0.250428, -0.254898, -0.259209, -0.263384, -0.267443, -0.271402, -0.275272, -0.279066, -0.286455, -0.293636, -0.560149, -7.38952", \
+					  "13.1252, 2.11719, 0.763383, 0.344631, 7.74191e-09, -0.124713, -0.208824, -0.259443, -0.289043, -0.308371, -0.322674, -0.334184, -0.343948, -0.352528, -0.360255, -0.36734, -0.373925, -0.380111, -0.385971, -0.391561, -0.396922, -0.402088, -0.407087, -0.411939, -0.416663, -0.425783, -0.434541, -0.621125, -7.43761", \
+					  "13.1595, 2.26101, 0.847924, 0.39425, 7.63514e-09, -0.155292, -0.269474, -0.346382, -0.394419, -0.425025, -0.446494, -0.462984, -0.47648, -0.488013, -0.49817, -0.507315, -0.515686, -0.523446, -0.530714, -0.537577, -0.544101, -0.550338, -0.556328, -0.562104, -0.567693, -0.578396, -0.588575, -0.710336, -7.49317", \
+					  "13.194, 2.40345, 0.927729, 0.440424, 7.53876e-09, -0.183427, -0.326149, -0.43026, -0.500542, -0.546154, -0.5771, -0.599886, -0.617885, -0.632842, -0.645726, -0.657119, -0.667393, -0.676799, -0.685513, -0.693663, -0.701346, -0.708636, -0.71559, -0.722255, -0.728668, -0.740856, -0.752345, -0.828786, -7.55478", \
+					  "13.2287, 2.54394, 1.00291, 0.483385, 7.45066e-09, -0.209291, -0.3787, -0.509409, -0.60387, -0.667948, -0.711105, -0.741841, -0.765308, -0.78427, -0.800243, -0.814115, -0.826441, -0.837587, -0.847803, -0.857273, -0.866127, -0.874469, -0.882375, -0.88991, -0.897121, -0.910731, -0.923455, -0.975089, -7.6215", \
+					  "13.2635, 2.6819, 1.07368, 0.523385, 7.36988e-09, -0.233093, -0.427322, -0.58337, -0.702385, -0.787436, -0.845685, -0.886372, -0.916512, -0.9402, -0.959707, -0.976341, -0.990903, -1.00391, -1.01571, -1.02654, -1.0366, -1.046, -1.05486, -1.06326, -1.07126, -1.08625, -1.10016, -1.14261, -7.69282", \
+					  "13.3334, 2.94801, 1.20298, 0.59545, 7.22714e-09, -0.275306, -0.513982, -0.716241, -0.882186, -1.01199, -1.10754, -1.17501, -1.22317, -1.25918, -1.28753, -1.3108, -1.33056, -1.34777, -1.36305, -1.37683, -1.38943, -1.40105, -1.41188, -1.42203, -1.4316, -1.44932, -1.46553, -1.5082, -7.84905", \
+					  "13.4036, 3.19783, 1.31769, 0.658357, 7.02405e-09, -0.311477, -0.588549, -0.831236, -1.03936, -1.21235, -1.34947, -1.45196, -1.52572, -1.57929, -1.61978, -1.65178, -1.67808, -1.70038, -1.71975, -1.73691, -1.75233, -1.76638, -1.77931, -1.7913, -1.80251, -1.82301, -1.8415, -1.88881, -8.02414", \
+					  "13.613, 3.83027, 1.59222, 0.805343, 6.82905e-09, -0.393735, -0.758838, -1.09518, -1.40252, -1.6804, -1.92781, -2.14295, -2.32312, -2.46646, -2.57519, -2.65615, -2.71732, -2.76501, -2.80347, -2.83545, -2.86271, -2.88643, -2.90743, -2.92628, -2.94339, -2.97356, -2.99968, -3.06264, -8.60508", \
+					  "13.9402, 4.54992, 1.89277, 0.960999, 6.54807e-09, -0.477788, -0.933637, -1.36742, -1.779, -2.16815, -2.53459, -2.87781, -3.19698, -3.49069, -3.75663, -3.99141, -4.1912, -4.35396, -4.48181, -4.58071, -4.65775, -4.719, -4.7689, -4.81054, -4.84603, -4.90399, -4.95013, -5.04985, -9.61024");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00817359");
+						index_3("0.1093526, 0.1675665, 0.2296124, 0.2992661, 0.3949278");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0245208");
+						index_3("0.1159337, 0.1868097, 0.2623773, 0.3460312, 0.4614985");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00817359");
+						index_3("0.1784190, 0.2371510, 0.2989598, 0.3672692, 0.4630493");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0245208");
+						index_3("0.1852996, 0.2567027, 0.3319986, 0.4158026, 0.5328134");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0194774");
+						index_3("0.0549766, 0.0832653, 0.1123346, 0.1451530, 0.1907767");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0584323");
+						index_3("0.0614357, 0.1018761, 0.1450581, 0.1912596, 0.2574815");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0194774");
+						index_3("0.1267705, 0.1548198, 0.1843544, 0.2172432, 0.2636080");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0584323");
+						index_3("0.1335456, 0.1740838, 0.2169563, 0.2629471, 0.3292027");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("14.8812");
+						index_3("0.00817359");
+						index_4("7.5332729, 8.0251091, 10.7510455, 11.6326490, 11.9676034");
+						values("0.530787, 0.849259, 1.06157, 0.849259, 0.530787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.00817359");
+						index_4("4.6334516, 4.9740902, 6.7551156, 7.3247391, 7.5541380");
+						values("0.550007, 0.880011, 1.10001, 0.880011, 0.550007");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.00817359");
+						index_4("3.1408873, 3.4002420, 4.6400835, 5.0503047, 5.2215341");
+						values("0.556074, 0.889719, 1.11215, 0.889719, 0.556074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("7.4406");
+						index_3("0.00817359");
+						index_4("3.8568136, 4.2054578, 5.4630500, 5.8867743, 6.0579768");
+						values("0.278159, 0.445054, 0.556318, 0.445054, 0.278159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("3.07407");
+						index_3("0.00817359");
+						index_4("1.6267323, 1.8149215, 2.3681753, 2.5594059, 2.6496368");
+						values("0.287639, 0.460222, 0.575278, 0.460222, 0.287639");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.0245208");
+						index_4("4.6402033, 4.9848935, 6.7335063, 7.3451161, 7.5759814");
+						values("0.460344, 0.736551, 0.920688, 0.736551, 0.460344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.0245208");
+						index_4("3.1450572, 3.4030731, 4.6837178, 5.0634690, 5.2375071");
+						values("0.463486, 0.741578, 0.926972, 0.741578, 0.463486");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("6.1407");
+						index_3("0.0194774");
+						index_4("3.1106163, 3.3402418, 4.7717281, 5.3459597, 5.5922417");
+						values("1.12287, 0.806588, 0.595735, 0.806588, 1.12287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0194774");
+						index_4("1.7751317, 1.9311172, 2.7798749, 3.1496923, 3.3129867");
+						values("1.10811, 0.782976, 0.566221, 0.782976, 1.10811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0194774");
+						index_4("1.1813254, 1.2985186, 1.9100426, 2.1471153, 2.2685568");
+						values("1.10024, 0.770383, 0.550478, 0.770383, 1.10024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("3.07035");
+						index_3("0.0194774");
+						index_4("1.6008516, 1.7634520, 2.4779834, 2.7202032, 2.8493023");
+						values("1.37548, 1.21077, 1.10096, 1.21077, 1.37548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("1.14757");
+						index_3("0.0194774");
+						index_4("0.6193162, 0.7060366, 0.9866846, 1.1008706, 1.1669501");
+						values("1.36868, 1.19989, 1.08736, 1.19989, 1.36868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0584323");
+						index_4("1.7795489, 1.9351220, 2.8569465, 3.1687095, 3.3384275");
+						values("1.26657, 1.03651, 0.883138, 1.03651, 1.26657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0584323");
+						index_4("1.1846673, 1.3024127, 1.9167492, 2.1611948, 2.2884593");
+						values("1.26064, 1.02702, 0.871276, 1.02702, 1.26064");
+					}
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0176808";
+				miller_cap_rise : "0.0164122";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("14.2041, 3.81619, 3.61772, 3.53215, 3.43041, 3.37122, 3.30469, 3.22889, 3.14144, 3.03988, 2.92285, 2.79105, 2.64612, 2.48917, 2.32066, 2.14071, 1.94933, 1.74647, 1.53207, 1.30607, 1.0684, 0.819017, 0.557856, 0.284865, -9.51646e-09, -0.585603, -1.18193, -3.1519, -8.28052", \
+					  "13.4265, 2.03171, 1.93605, 1.89988, 1.85933, 1.83697, 1.8129, 1.78679, 1.7582, 1.72654, 1.69102, 1.6505, 1.60334, 1.5472, 1.47926, 1.39733, 1.30081, 1.18979, 1.06425, 0.924085, 0.769182, 0.599423, 0.414703, 0.214925, -9.04191e-09, -0.456407, -0.936709, -2.63358, -8.07348", \
+					  "13.0192, 1.07008, 0.99865, 0.980674, 0.961159, 0.950694, 0.939666, 0.927988, 0.91555, 0.902212, 0.887792, 0.87205, 0.854656, 0.835143, 0.812823, 0.786627, 0.754818, 0.714574, 0.662131, 0.594482, 0.510267, 0.408957, 0.29027, 0.154006, -1.08964e-08, -0.346477, -0.732262, -2.21724, -7.93459", \
+					  "12.9217, 0.806756, 0.719546, 0.706302, 0.692093, 0.684549, 0.676659, 0.668372, 0.659626, 0.650343, 0.640423, 0.629737, 0.618114, 0.605316, 0.591008, 0.574688, 0.555562, 0.532265, 0.502267, 0.461258, 0.404909, 0.331157, 0.239294, 0.128984, -1.00277e-08, -0.302047, -0.65076, -2.05434, -7.86508", \
+					  "12.8503, 0.634565, 0.468325, 0.459204, 0.449547, 0.444478, 0.439219, 0.433746, 0.428026, 0.42202, 0.41568, 0.408943, 0.401729, 0.39393, 0.385398, 0.375922, 0.365186, 0.352679, 0.337486, 0.317651, 0.288698, 0.244641, 0.182373, 0.100956, -1.16912e-08, -0.252769, -0.561137, -1.87653, -7.78459", \
+					  "12.824, 0.591889, 0.356323, 0.349038, 0.341383, 0.337389, 0.333266, 0.328996, 0.324557, 0.319925, 0.315066, 0.309941, 0.304497, 0.298667, 0.292359, 0.285443, 0.277733, 0.268935, 0.258552, 0.245584, 0.227436, 0.198032, 0.151479, 0.0856773, -1.30337e-08, -0.226102, -0.512976, -1.78136, -7.74269", \
+					  "12.8045, 0.575305, 0.255566, 0.249962, 0.244125, 0.241102, 0.237996, 0.234797, 0.231491, 0.228064, 0.224494, 0.220758, 0.216825, 0.212655, 0.208192, 0.203365, 0.198068, 0.192145, 0.185338, 0.177179, 0.166535, 0.149683, 0.118967, 0.0695021, -1.14952e-08, -0.19797, -0.462426, -1.6817, -7.69969", \
+					  "12.7921, 0.583106, 0.168169, 0.16408, 0.159875, 0.157714, 0.155507, 0.153248, 0.150929, 0.148542, 0.146076, 0.143518, 0.14085, 0.138051, 0.135093, 0.131937, 0.128531, 0.124798, 0.120617, 0.115783, 0.109869, 0.1015, 0.0851886, 0.052477, -1.22903e-08, -0.168304, -0.409397, -1.57756, -7.65605", \
+					  "12.7878, 0.615347, 0.0969482, 0.0941525, 0.0913824, 0.0899718, 0.0885408, 0.0870865, 0.0856055, 0.0840934, 0.0825452, 0.0809548, 0.0793142, 0.0776137, 0.0758406, 0.0739779, 0.0720027, 0.0698822, 0.0675679, 0.064981, 0.0619762, 0.0581824, 0.0517575, 0.0349592, -1.67772e-08, -0.137081, -0.353883, -1.46922, -7.61243", \
+					  "12.793, 0.673754, 0.0457186, 0.0436808, 0.0421127, 0.0413236, 0.0405293, 0.0397285, 0.0389201, 0.0381025, 0.0372739, 0.036432, 0.0355741, 0.0346967, 0.0337954, 0.0328644, 0.0318957, 0.0308787, 0.0297977, 0.0286284, 0.0273299, 0.0258158, 0.0237659, 0.0183731, -5.35294e-08, -0.104441, -0.296097, -1.35732, -7.5694", \
+					  "12.8082, 0.759768, 0.0184874, 0.0149334, 0.0142338, 0.0138925, 0.0135516, 0.013211, 0.0128702, 0.0125289, 0.0121866, 0.0118428, 0.0114968, 0.0111477, 0.0107944, 0.0104356, 0.0100693, 0.00969311, 0.00930332, 0.00889454, 0.00845803, 0.0079774, 0.00740807, 0.0063715, -3.07657e-07, -0.0711018, -0.236847, -1.24269, -7.52743", \
+					  "12.8326, 0.873068, 0.0192153, 0.00374671, 0.00344417, 0.00333939, 0.00323583, 0.00313312, 0.00303118, 0.00292993, 0.0028293, 0.00272917, 0.00262943, 0.00252992, 0.00243046, 0.0023308, 0.00223062, 0.00212948, 0.00202679, 0.00192163, 0.00181259, 0.00169715, 0.0015693, 0.00139312, -1.94958e-06, -0.0397696, -0.17807, -1.12632, -7.48684", \
+					  "12.8619, 0.992922, 0.0536139, 0.00123311, 0.000620511, 0.000595759, 0.000573503, 0.000551692, 0.000530196, 0.000508979, 0.00048802, 0.000467299, 0.000446794, 0.000426479, 0.00040633, 0.0003863, 0.000366352, 0.000346423, 0.000326415, 0.00030621, 0.000285604, 0.00026425, 0.000241341, 0.000212768, -1.2751e-05, -0.0163417, -0.122822, -1.00922, -7.44784", \
+					  "12.8932, 1.12404, 0.116884, 0.00374101, 8.05871e-05, 5.84786e-05, 5.08502e-05, 4.43007e-05, 3.80415e-05, 3.19231e-05, 2.58901e-05, 1.99159e-05, 1.39843e-05, 8.07874e-06, 2.19714e-06, -3.66906e-06, -9.53383e-06, -1.53992e-05, -2.12823e-05, -2.72005e-05, -3.31783e-05, -3.92437e-05, -4.55092e-05, -5.24291e-05, -8.34111e-05, -0.00461713, -0.074692, -0.892518, -7.4106", \
+					  "12.9252, 1.25972, 0.198117, 0.0193601, 7.89078e-06, -0.000118091, -0.000148793, -0.000172599, -0.000194762, -0.000216284, -0.000237519, -0.000258636, -0.000279732, -0.000300856, -0.000322053, -0.000343345, -0.000364746, -0.000386273, -0.00040794, -0.000429741, -0.000451694, -0.000473801, -0.000496065, -0.000518547, -0.000543475, -0.00147423, -0.0378248, -0.777694, -7.37547", \
+					  "12.9575, 1.39871, 0.289593, 0.0586583, 6.76331e-07, -0.000851226, -0.0010539, -0.00120512, -0.00134373, -0.00147714, -0.00160797, -0.00173751, -0.00186646, -0.00199525, -0.00212419, -0.00225345, -0.00238317, -0.00251346, -0.00264438, -0.00277599, -0.00290833, -0.00304142, -0.00317529, -0.00330996, -0.00344563, -0.00385376, -0.0177351, -0.668467, -7.34418", \
+					  "12.9904, 1.5402, 0.386199, 0.11423, 6.18637e-08, -0.00574202, -0.00712983, -0.00801937, -0.00878346, -0.00949151, -0.010168, -0.0108248, -0.0114685, -0.0121034, -0.0127322, -0.013357, -0.0139792, -0.0145997, -0.0152195, -0.0158391, -0.016459, -0.0170796, -0.0177012, -0.0183241, -0.0189485, -0.020218, -0.0249399, -0.578102, -7.32406", \
+					  "13.0236, 1.68345, 0.484179, 0.174824, 1.22901e-08, -0.024908, -0.0328342, -0.0367782, -0.0397104, -0.0422128, -0.0444736, -0.0465789, -0.048576, -0.0504938, -0.0523516, -0.0541628, -0.0559371, -0.0576817, -0.0594022, -0.0611029, -0.0627872, -0.064458, -0.0661174, -0.0677674, -0.0694095, -0.0726765, -0.0765464, -0.53029, -7.32682", \
+					  "13.0572, 1.8278, 0.580781, 0.234597, 8.29527e-09, -0.057066, -0.0832706, -0.0954657, -0.103181, -0.10913, -0.114154, -0.118608, -0.122674, -0.126461, -0.130036, -0.133446, -0.136725, -0.139896, -0.142979, -0.145987, -0.148932, -0.151824, -0.154668, -0.157472, -0.16024, -0.165687, -0.171119, -0.528034, -7.35117", \
+					  "13.0911, 1.97259, 0.674189, 0.291401, 7.87821e-09, -0.0917009, -0.145381, -0.173386, -0.189606, -0.200959, -0.209918, -0.217482, -0.224136, -0.23015, -0.235693, -0.240873, -0.245766, -0.250428, -0.254898, -0.259209, -0.263384, -0.267443, -0.271402, -0.275272, -0.279066, -0.286455, -0.293636, -0.560149, -7.38952", \
+					  "13.1252, 2.11719, 0.763383, 0.344631, 7.74191e-09, -0.124713, -0.208824, -0.259443, -0.289043, -0.308371, -0.322674, -0.334184, -0.343948, -0.352528, -0.360255, -0.36734, -0.373925, -0.380111, -0.385971, -0.391561, -0.396922, -0.402088, -0.407087, -0.411939, -0.416663, -0.425783, -0.434541, -0.621125, -7.43761", \
+					  "13.1595, 2.26101, 0.847924, 0.39425, 7.63514e-09, -0.155292, -0.269474, -0.346382, -0.394419, -0.425025, -0.446494, -0.462984, -0.47648, -0.488013, -0.49817, -0.507315, -0.515686, -0.523446, -0.530714, -0.537577, -0.544101, -0.550338, -0.556328, -0.562104, -0.567693, -0.578396, -0.588575, -0.710336, -7.49317", \
+					  "13.194, 2.40345, 0.927729, 0.440424, 7.53876e-09, -0.183427, -0.326149, -0.43026, -0.500542, -0.546154, -0.5771, -0.599886, -0.617885, -0.632842, -0.645726, -0.657119, -0.667393, -0.676799, -0.685513, -0.693663, -0.701346, -0.708636, -0.71559, -0.722255, -0.728668, -0.740856, -0.752345, -0.828786, -7.55478", \
+					  "13.2287, 2.54394, 1.00291, 0.483385, 7.45066e-09, -0.209291, -0.3787, -0.509409, -0.60387, -0.667948, -0.711105, -0.741841, -0.765308, -0.78427, -0.800243, -0.814115, -0.826441, -0.837587, -0.847803, -0.857273, -0.866127, -0.874469, -0.882375, -0.88991, -0.897121, -0.910731, -0.923455, -0.975089, -7.6215", \
+					  "13.2635, 2.6819, 1.07368, 0.523385, 7.36988e-09, -0.233093, -0.427322, -0.58337, -0.702385, -0.787436, -0.845685, -0.886372, -0.916512, -0.9402, -0.959707, -0.976341, -0.990903, -1.00391, -1.01571, -1.02654, -1.0366, -1.046, -1.05486, -1.06326, -1.07126, -1.08625, -1.10016, -1.14261, -7.69282", \
+					  "13.3334, 2.94801, 1.20298, 0.59545, 7.22714e-09, -0.275306, -0.513982, -0.716241, -0.882186, -1.01199, -1.10754, -1.17501, -1.22317, -1.25918, -1.28753, -1.3108, -1.33056, -1.34777, -1.36305, -1.37683, -1.38943, -1.40105, -1.41188, -1.42203, -1.4316, -1.44932, -1.46553, -1.5082, -7.84905", \
+					  "13.4036, 3.19783, 1.31769, 0.658357, 7.02405e-09, -0.311477, -0.588549, -0.831236, -1.03936, -1.21235, -1.34947, -1.45196, -1.52572, -1.57929, -1.61978, -1.65178, -1.67808, -1.70038, -1.71975, -1.73691, -1.75233, -1.76638, -1.77931, -1.7913, -1.80251, -1.82301, -1.8415, -1.88881, -8.02414", \
+					  "13.613, 3.83027, 1.59222, 0.805343, 6.82905e-09, -0.393735, -0.758838, -1.09518, -1.40252, -1.6804, -1.92781, -2.14295, -2.32312, -2.46646, -2.57519, -2.65615, -2.71732, -2.76501, -2.80347, -2.83545, -2.86271, -2.88643, -2.90743, -2.92628, -2.94339, -2.97356, -2.99968, -3.06264, -8.60508", \
+					  "13.9402, 4.54992, 1.89277, 0.960999, 6.54807e-09, -0.477788, -0.933637, -1.36742, -1.779, -2.16815, -2.53459, -2.87781, -3.19698, -3.49069, -3.75663, -3.99141, -4.1912, -4.35396, -4.48181, -4.58071, -4.65775, -4.719, -4.7689, -4.81054, -4.84603, -4.90399, -4.95013, -5.04985, -9.61024");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00817359");
+						index_3("0.1093526, 0.1675665, 0.2296124, 0.2992661, 0.3949278");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0245208");
+						index_3("0.1159337, 0.1868097, 0.2623773, 0.3460312, 0.4614985");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00817359");
+						index_3("0.1784190, 0.2371510, 0.2989598, 0.3672692, 0.4630493");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0245208");
+						index_3("0.1852996, 0.2567027, 0.3319986, 0.4158026, 0.5328134");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0194774");
+						index_3("0.0549766, 0.0832653, 0.1123346, 0.1451530, 0.1907767");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0584323");
+						index_3("0.0614357, 0.1018761, 0.1450581, 0.1912596, 0.2574815");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0194774");
+						index_3("0.1267705, 0.1548198, 0.1843544, 0.2172432, 0.2636080");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0584323");
+						index_3("0.1335456, 0.1740838, 0.2169563, 0.2629471, 0.3292027");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("14.8812");
+						index_3("0.00817359");
+						index_4("7.5332729, 8.0251091, 10.7510455, 11.6326490, 11.9676034");
+						values("0.530787, 0.849259, 1.06157, 0.849259, 0.530787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.00817359");
+						index_4("4.6334516, 4.9740902, 6.7551156, 7.3247391, 7.5541380");
+						values("0.550007, 0.880011, 1.10001, 0.880011, 0.550007");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.00817359");
+						index_4("3.1408873, 3.4002420, 4.6400835, 5.0503047, 5.2215341");
+						values("0.556074, 0.889719, 1.11215, 0.889719, 0.556074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("7.4406");
+						index_3("0.00817359");
+						index_4("3.8568136, 4.2054578, 5.4630500, 5.8867743, 6.0579768");
+						values("0.278159, 0.445054, 0.556318, 0.445054, 0.278159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("3.07407");
+						index_3("0.00817359");
+						index_4("1.6267323, 1.8149215, 2.3681753, 2.5594059, 2.6496368");
+						values("0.287639, 0.460222, 0.575278, 0.460222, 0.287639");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.0245208");
+						index_4("4.6402033, 4.9848935, 6.7335063, 7.3451161, 7.5759814");
+						values("0.460344, 0.736551, 0.920688, 0.736551, 0.460344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.0245208");
+						index_4("3.1450572, 3.4030731, 4.6837178, 5.0634690, 5.2375071");
+						values("0.463486, 0.741578, 0.926972, 0.741578, 0.463486");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("6.1407");
+						index_3("0.0194774");
+						index_4("3.1106163, 3.3402418, 4.7717281, 5.3459597, 5.5922417");
+						values("1.12287, 0.806588, 0.595735, 0.806588, 1.12287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0194774");
+						index_4("1.7751317, 1.9311172, 2.7798749, 3.1496923, 3.3129867");
+						values("1.10811, 0.782976, 0.566221, 0.782976, 1.10811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0194774");
+						index_4("1.1813254, 1.2985186, 1.9100426, 2.1471153, 2.2685568");
+						values("1.10024, 0.770383, 0.550478, 0.770383, 1.10024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("3.07035");
+						index_3("0.0194774");
+						index_4("1.6008516, 1.7634520, 2.4779834, 2.7202032, 2.8493023");
+						values("1.37548, 1.21077, 1.10096, 1.21077, 1.37548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("1.14757");
+						index_3("0.0194774");
+						index_4("0.6193162, 0.7060366, 0.9866846, 1.1008706, 1.1669501");
+						values("1.36868, 1.19989, 1.08736, 1.19989, 1.36868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0584323");
+						index_4("1.7795489, 1.9351220, 2.8569465, 3.1687095, 3.3384275");
+						values("1.26657, 1.03651, 0.883138, 1.03651, 1.26657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0584323");
+						index_4("1.1846673, 1.3024127, 1.9167492, 2.1611948, 2.2884593");
+						values("1.26064, 1.02702, 0.871276, 1.02702, 1.26064");
+					}
+				}
+			}
+
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195242;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7908557, 3.8055553, 3.8265571, 3.9238135, 4.2527895, 5.1919857, 5.6055924", \
+					  "3.7458461, 3.7477877, 3.7680883, 3.8671523, 4.1975330, 5.1341258, 5.5446139", \
+					  "3.6813604, 3.6851005, 3.7064302, 3.8133967, 4.1438837, 5.0941019, 5.4768894", \
+					  "3.6774125, 3.6813746, 3.6994291, 3.8107750, 4.1367993, 5.0962506, 5.4944403", \
+					  "3.6823236, 3.6824441, 3.7048446, 3.8011075, 4.1349173, 5.0681321, 5.4893486", \
+					  "3.6671658, 3.6699665, 3.6909614, 3.8042406, 4.1288616, 5.0678930, 5.4842505", \
+					  "3.6617251, 3.6650263, 3.6886133, 3.7935172, 4.1264831, 5.0736374, 5.4762181");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0440090, 8.0598479, 8.0371551, 8.0764050, 7.9649507, 7.0091159, -9.3686023", \
+					  "8.0269995, 8.0265103, 8.0067813, 8.0418201, 7.9433173, 6.9497102, -9.6718594", \
+					  "7.9975608, 7.9999010, 8.0012428, 8.0139999, 7.9102461, 6.8649655, -9.7428785", \
+					  "7.9453395, 7.9656999, 7.9475816, 7.9844905, 7.8725323, 6.9031848, -9.5309500", \
+					  "7.9158617, 7.9343616, 7.9166493, 7.9506811, 7.8402876, 6.8494985, -9.6773621", \
+					  "7.9012570, 7.9009179, 7.9079782, 7.9239724, 7.8177960, 6.8422782, -9.5703001", \
+					  "7.8567578, 7.8726496, 7.8559694, 7.8897063, 7.7787698, 6.8342797, -9.5074495");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7486342, 3.7496642, 3.7592729, 3.7961626, 3.8334943, 3.8394349, 3.8467662", \
+					  "3.6934922, 3.6940044, 3.7032144, 3.7387542, 3.7790093, 3.8551819, 3.7791437", \
+					  "3.6384681, 3.6473911, 3.6497577, 3.6978486, 3.7323015, 3.7076159, 3.7309740", \
+					  "3.6361065, 3.6437107, 3.6451770, 3.6752224, 3.7185306, 3.7219450, 3.7283205", \
+					  "3.6295615, 3.6336576, 3.6397045, 3.6821727, 3.7111777, 3.7142746, 3.7265590", \
+					  "3.6248910, 3.6265282, 3.6341766, 3.6677240, 3.7059421, 3.3366737, 3.7151886", \
+					  "3.6181571, 3.6223313, 3.6322639, 3.6649209, 3.6986383, 3.7758773, 3.7290922");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7747092, 6.7804390, 6.8424250, 7.1812052, 7.9359982, 7.6229552, 1.7193375", \
+					  "6.7398807, 6.7470251, 6.8080987, 7.1487264, 7.9044725, 7.6111768, 1.6798341", \
+					  "6.7071378, 6.7179893, 6.7762414, 7.1207214, 7.8701471, 7.5787006, 1.6457121", \
+					  "6.6765783, 6.6849015, 6.7459599, 7.0932199, 7.8220050, 7.5300137, 1.3322755", \
+					  "6.6464591, 6.6557087, 6.7166309, 7.0637629, 7.7926902, 7.5005333, 1.6853718", \
+					  "6.6176189, 6.6250539, 6.6813227, 7.0333090, 7.7789729, 7.4572953, 1.4968293", \
+					  "6.5865109, 6.5946563, 6.6559271, 7.0052298, 7.7287612, 7.4421415, 1.2443958");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090391, 0.1090384, 0.1090148, 0.1090645, 0.1090420, 0.1090469, 0.1090112", \
+					  "0.1556652, 0.1556249, 0.1556246, 0.1556673, 0.1556635, 0.1557068, 0.1556630", \
+					  "0.2086185, 0.2086213, 0.2086190, 0.2086103, 0.2085931, 0.2085914, 0.2085856", \
+					  "0.2740147, 0.2740200, 0.2740307, 0.2740695, 0.2741065, 0.2741208, 0.2741176", \
+					  "0.3371048, 0.3371108, 0.3371266, 0.3372027, 0.3373484, 0.3374200, 0.3374318", \
+					  "0.4045220, 0.4045324, 0.4045676, 0.4047355, 0.4050917, 0.4052977, 0.4053360", \
+					  "0.4670853, 0.4670876, 0.4670850, 0.4671757, 0.4676691, 0.4680556, 0.4681451");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5502460, 5.5510539, 5.5543941, 5.5581238, 5.5412076, 5.3106577, -0.9040364", \
+					  "5.5492186, 5.5487819, 5.5526863, 5.5556211, 5.5560187, 5.3085414, -0.9014348", \
+					  "5.5471967, 5.5491769, 5.5503266, 5.5535068, 5.5554805, 5.2938157, -0.7820001", \
+					  "5.5472260, 5.5485942, 5.5498879, 5.5580649, 5.5639101, 5.3393285, -0.9045418", \
+					  "5.5470600, 5.5468991, 5.5494990, 5.5557249, 5.5731871, 5.3582002, -0.9057112", \
+					  "5.5467006, 5.5470302, 5.5475576, 5.5551964, 5.5734075, 5.3094863, -0.9051064", \
+					  "5.5460497, 5.5466022, 5.5492650, 5.5557785, 5.5540923, 5.3182627, -0.9037996");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090802, 0.1090672, 0.1090829, 0.1089932, 0.1090660, 0.1090650, 0.1090702", \
+					  "0.1553375, 0.1553354, 0.1553762, 0.1553790, 0.1553765, 0.1553391, 0.1553336", \
+					  "0.2046526, 0.2045443, 0.2046496, 0.2046528, 0.2046503, 0.2045474, 0.2045433", \
+					  "0.2517335, 0.2516268, 0.2517306, 0.2517347, 0.2517324, 0.2517359, 0.2516279", \
+					  "0.2935009, 0.2934986, 0.2934980, 0.2935018, 0.2934998, 0.2935012, 0.2933955", \
+					  "0.3569398, 0.3569355, 0.3569341, 0.3569353, 0.3569287, 0.3569321, 0.3568051", \
+					  "0.4490565, 0.4490536, 0.4490501, 0.4490437, 0.4490338, 0.4490346, 0.4489665");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4201051, 2.4214842, 2.4221905, 2.4193841, 2.3745862, 2.4373159, 2.4217605", \
+					  "2.4188544, 2.4189912, 2.4217130, 2.4200377, 2.4292424, 2.4345354, 2.4259670", \
+					  "2.4177413, 2.4164506, 2.4194363, 2.4187238, 2.4350987, 2.4235700, 2.4290246", \
+					  "2.4174556, 2.4167958, 2.4187964, 2.4175617, 2.3754979, 2.4271543, 2.4205369", \
+					  "2.4173042, 2.4178368, 2.4187579, 2.4170613, 2.4226127, 2.4262031, 2.4273790", \
+					  "2.4171777, 2.4160621, 2.4183218, 2.4051613, 2.3548315, 2.4250395, 2.4325402", \
+					  "2.4165910, 2.4170750, 2.4179887, 2.4184831, 2.4243571, 2.4337814, 2.4283296");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1443784, 3.1439851, 3.1521201, 3.1897816, 3.4044087, 4.7753455, 5.0553598", \
+					  "3.0878872, 3.0896408, 3.0970246, 3.1410122, 3.3516111, 4.7206731, 5.0037822", \
+					  "3.0335306, 3.0362235, 3.0471670, 3.0840132, 3.2948855, 4.6640570, 4.9456885", \
+					  "3.0289750, 3.0313856, 3.0367721, 3.0774293, 3.2885759, 4.6618581, 4.9375325", \
+					  "3.0242580, 3.0260363, 3.0334722, 3.0697914, 3.2936965, 4.6565035, 4.9360039", \
+					  "3.0200983, 3.0210158, 3.0286301, 3.0636510, 3.2815561, 4.6507809, 4.9337238", \
+					  "3.0144902, 3.0174319, 3.0232269, 3.0672818, 3.2750954, 4.6453575, 4.9278512");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429609, 0.1429645, 0.1429488, 0.1429644, 0.1429652, 0.1429606, 0.1429691", \
+					  "0.1621682, 0.1621688, 0.1621688, 0.1621743, 0.1621513, 0.1621707, 0.1621524", \
+					  "0.1870055, 0.1870042, 0.1869906, 0.1869965, 0.1869502, 0.1869903, 0.1869518", \
+					  "0.2366253, 0.2366256, 0.2366232, 0.2366304, 0.2366325, 0.2366268, 0.2366316", \
+					  "0.3234518, 0.3234520, 0.3234527, 0.3234617, 0.3232096, 0.3234797, 0.3232151", \
+					  "0.5343773, 0.5343775, 0.5343765, 0.5343781, 0.5350147, 0.5343995, 0.5350381", \
+					  "0.6921904, 0.6921875, 0.6921691, 0.6921407, 0.6922556, 0.6918403, 0.6920585");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4567640, 3.4614195, 3.4755838, 3.5371823, 3.6110824, 3.8777141, 5.4765385", \
+					  "3.4014422, 3.4084968, 3.4199599, 3.4852660, 3.5558610, 3.8188229, 5.4214624", \
+					  "3.3516916, 3.3505784, 3.3663212, 3.4267629, 3.5014384, 3.7658063, 5.3672575", \
+					  "3.3485863, 3.3503518, 3.3610741, 3.4166189, 3.4969752, 3.7617326, 5.3610050", \
+					  "3.3378320, 3.3448867, 3.3570512, 3.4145625, 3.4917620, 3.7567315, 5.3562289", \
+					  "3.3379565, 3.3360672, 3.3524675, 3.4085034, 3.4870304, 3.7526968, 5.3529506", \
+					  "3.3332975, 3.3339044, 3.3467284, 3.4029816, 3.4820229, 3.7458375, 5.3480168");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429576, 0.1429585, 0.1429610, 0.1429646, 0.1429631, 0.1429584, 0.1429656", \
+					  "0.1621426, 0.1621661, 0.1621640, 0.1621521, 0.1621771, 0.1621663, 0.1621696", \
+					  "0.1869791, 0.1869808, 0.1869783, 0.1869846, 0.1869854, 0.1869764, 0.1869791", \
+					  "0.2364494, 0.2364504, 0.2364510, 0.2364553, 0.2364530, 0.2364418, 0.2364431", \
+					  "0.3233119, 0.3233127, 0.3233187, 0.3233269, 0.3233725, 0.3233838, 0.3233841", \
+					  "0.5399994, 0.5400901, 0.5399959, 0.5400801, 0.5400904, 0.5401441, 0.5401635", \
+					  "0.7076633, 0.7076610, 0.7076309, 0.7075149, 0.7069778, 0.7065232, 0.7064253");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090789, 0.1090657, 0.1090740, 0.1090657, 0.1090493, 0.1090403, 0.1090661", \
+					  "0.1553736, 0.1553742, 0.1553736, 0.1553741, 0.1553772, 0.1553309, 0.1553336", \
+					  "0.2046481, 0.2046496, 0.2046483, 0.2046498, 0.2046531, 0.2045390, 0.2045445", \
+					  "0.2517301, 0.2517317, 0.2517304, 0.2517329, 0.2517371, 0.2516246, 0.2516301", \
+					  "0.2934989, 0.2935020, 0.2935002, 0.2935011, 0.2935059, 0.2933930, 0.2933986", \
+					  "0.3569306, 0.3569325, 0.3569298, 0.3569287, 0.3569301, 0.3567980, 0.3568047", \
+					  "0.4490862, 0.4490870, 0.4490825, 0.4490746, 0.4490693, 0.4489962, 0.4489998");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4218834, 2.4250243, 2.4233432, 2.4256304, 2.4065998, 2.4208200, 2.4371091", \
+					  "2.4204529, 2.4182210, 2.4198870, 2.4228263, 2.3874854, 2.4381463, 2.4476742", \
+					  "2.4199433, 2.4107167, 2.4169292, 2.4165458, 2.3668868, 2.4246372, 2.4340819", \
+					  "2.4183802, 2.4205443, 2.4186780, 2.4178048, 2.4277664, 2.4273340, 2.4253343", \
+					  "2.4192549, 2.4216378, 2.4173888, 2.4179495, 2.4243517, 2.4353839, 2.4303552", \
+					  "2.4187192, 2.4117554, 2.4170316, 2.4183922, 2.3828861, 2.4190699, 2.4237807", \
+					  "2.4175997, 2.4212021, 2.4174857, 2.4203443, 2.4269445, 2.4313359, 2.4295004");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3969988, 5.3981363, 5.3954280, 5.3653123, 5.2080913, 4.1184460, -12.3346124", \
+					  "5.3965226, 5.3977799, 5.3925688, 5.3622965, 5.2152276, 4.1088070, -12.1238287", \
+					  "5.3950138, 5.3924416, 5.3883134, 5.3625519, 5.2017556, 4.1032845, -12.3418151", \
+					  "5.3933013, 5.3943361, 5.3909681, 5.3625269, 5.1988245, 4.1407408, -12.4674050", \
+					  "5.3947365, 5.3927355, 5.3908841, 5.3600646, 5.2149545, 4.0346834, -12.3997035", \
+					  "5.3941859, 5.3944396, 5.3857833, 5.3591813, 5.1987025, 4.1075558, -12.6231334", \
+					  "5.3949995, 5.3949210, 5.3897962, 5.3588774, 5.2038282, 4.0816599, -12.2373214");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090476, 0.1092098, 0.1090385, 0.1090300, 0.1090377, 0.1090393, 0.1090407", \
+					  "0.1556646, 0.1556631, 0.1556234, 0.1556657, 0.1556278, 0.1556666, 0.1556272", \
+					  "0.2086308, 0.2086299, 0.2086339, 0.2086317, 0.2085182, 0.2086311, 0.2085169", \
+					  "0.2739956, 0.2739953, 0.2740010, 0.2740045, 0.2740128, 0.2740106, 0.2739617", \
+					  "0.3370549, 0.3370563, 0.3370626, 0.3370684, 0.3370782, 0.3370782, 0.3369785", \
+					  "0.4046090, 0.4046099, 0.4046202, 0.4046342, 0.4046541, 0.4046540, 0.4046143", \
+					  "0.4673188, 0.4673181, 0.4673247, 0.4673316, 0.4673427, 0.4673410, 0.4672751");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7351892, 7.7251642, 7.7297959, 7.7140646, 7.5479067, 6.4254738, -10.1363559", \
+					  "7.7024111, 7.7012210, 7.6951166, 7.6765949, 7.5077109, 6.4107303, -10.4081199", \
+					  "7.6579306, 7.6642702, 7.6667534, 7.6503880, 7.4696932, 6.3721540, -9.9584049", \
+					  "7.6419433, 7.6432218, 7.6330684, 7.6178046, 7.4559263, 6.2916540, -9.9708785", \
+					  "7.6105660, 7.6143164, 7.6049836, 7.5849849, 7.4216563, 6.2613080, -10.0142965", \
+					  "7.5835667, 7.5758246, 7.5765090, 7.5610942, 7.3757078, 6.2536761, -10.1509988", \
+					  "7.5497629, 7.5503050, 7.5439507, 7.5326395, 7.3634996, 6.2551609, -10.2832968");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0003955, 3.0006379, 2.9988705, 3.0013351, 3.0118917, 3.0152768, 2.9985006", \
+					  "2.9434687, 2.9461987, 2.9442792, 2.9530704, 3.0038557, 2.9656395, 2.9119286", \
+					  "2.8956046, 2.8914415, 2.8884847, 2.8949277, 2.8968981, 2.8949389, 2.9031006", \
+					  "2.8847262, 2.8836435, 2.8889195, 2.8880474, 2.8922380, 2.8890498, 2.9118883", \
+					  "2.8824625, 2.8839134, 2.8828494, 2.8912669, 2.8826683, 2.8926933, 2.9125001", \
+					  "2.8748623, 2.8749679, 2.8783094, 2.8769253, 2.8822574, 2.8948520, 2.8953017", \
+					  "2.8711309, 2.8701690, 2.8740711, 2.8705268, 2.8778114, 2.8884491, 2.8751846");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090325, 0.1090328, 0.1090274, 0.1090338, 0.1090374, 0.1090060, 0.1090854", \
+					  "0.1556638, 0.1556641, 0.1556215, 0.1556615, 0.1556651, 0.1556611, 0.1554304", \
+					  "0.2086191, 0.2086189, 0.2086171, 0.2086053, 0.2085962, 0.2085861, 0.2084120", \
+					  "0.2740162, 0.2740182, 0.2740297, 0.2740652, 0.2741105, 0.2741159, 0.2740789", \
+					  "0.3371110, 0.3371149, 0.3371296, 0.3372027, 0.3373547, 0.3374196, 0.3372680", \
+					  "0.4045367, 0.4045432, 0.4045795, 0.4047429, 0.4051103, 0.4053048, 0.4053399", \
+					  "0.4671173, 0.4671130, 0.4671116, 0.4671995, 0.4677015, 0.4680805, 0.4678995");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7160201, 6.7217039, 6.7474233, 6.8652692, 6.7728383, 5.5612372, -0.1968575", \
+					  "6.7135858, 6.7195342, 6.7441820, 6.8626554, 6.7606519, 5.5438306, -0.1102560", \
+					  "6.7122080, 6.7182986, 6.7427500, 6.8526534, 6.7691754, 5.5469322, -0.1680864", \
+					  "6.7121496, 6.7181704, 6.7427132, 6.8610652, 6.7688915, 5.5525919, -0.1047361", \
+					  "6.7123800, 6.7179814, 6.7430000, 6.8521566, 6.7536998, 5.5456622, -0.2675021", \
+					  "6.7115149, 6.7176741, 6.7424004, 6.8629151, 6.7689716, 5.5404773, -0.2059874", \
+					  "6.7110666, 6.7170735, 6.7426555, 6.8601218, 6.7591666, 5.5608907, -0.1111942");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7455550, 7.7205672, 7.7172461, 7.7073425, 7.5536460, 6.4349389, -9.9459373", \
+					  "7.6897849, 7.7009522, 7.6779289, 7.6828512, 7.5151685, 6.3519948, -10.3245269", \
+					  "7.6686018, 7.6645477, 7.6653665, 7.6499769, 7.4819924, 6.3659060, -10.2782818", \
+					  "7.6432660, 7.6430986, 7.6347789, 7.6204639, 7.4559948, 6.3285390, -10.1243755", \
+					  "7.6128298, 7.6070453, 7.6051866, 7.5850491, 7.4218133, 6.3079135, -10.0649392", \
+					  "7.5834488, 7.5820610, 7.5787286, 7.5590768, 7.3736331, 6.2129734, -10.3541270", \
+					  "7.5497100, 7.5504941, 7.5438943, 7.5322223, 7.3636410, 6.2899113, -10.1115704");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0004296, 3.0013793, 3.0012820, 3.0057343, 3.0416689, 3.0132453, 3.0255366", \
+					  "2.9453478, 2.9461269, 2.9447068, 2.9527683, 2.9947918, 2.9737788, 2.9291516", \
+					  "2.8932591, 2.8914378, 2.8885831, 2.8900646, 2.8969462, 2.9098129, 2.9048787", \
+					  "2.8836711, 2.8838485, 2.8886569, 2.8878609, 2.8922521, 2.9089044, 2.9030130", \
+					  "2.8816999, 2.8812504, 2.8831529, 2.8852071, 2.8876127, 2.8840001, 2.8941446", \
+					  "2.8763958, 2.8742209, 2.8784135, 2.8809380, 2.8855872, 2.8825872, 2.8930075", \
+					  "2.8710015, 2.8701500, 2.8629496, 2.8710403, 2.8780022, 2.8626534, 2.8838246");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7056356, 6.7183962, 6.7745722, 7.1168938, 7.8675278, 7.5663043, 1.7381046", \
+					  "6.6728405, 6.6847694, 6.7415529, 7.0817652, 7.8352198, 7.5333076, 1.6898053", \
+					  "6.6389892, 6.6479369, 6.7100174, 7.0482664, 7.8116896, 7.4940461, 1.5799486", \
+					  "6.6127006, 6.6170218, 6.6794428, 7.0226591, 7.7753227, 7.4932055, 1.5527721", \
+					  "6.5792633, 6.5887193, 6.6464388, 6.9939242, 7.7462795, 7.5081835, 1.5917002", \
+					  "6.5496603, 6.5602820, 6.6201121, 6.9592905, 7.7155028, 7.4916073, 1.4188481", \
+					  "6.5208368, 6.5267757, 6.5895440, 6.9328892, 7.6823626, 7.4220647, 1.3754577");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1759969, 0.1759917, 0.1759537, 0.1756265, 0.1752103, 0.1750264, 0.1749879", \
+					  "0.1916089, 0.1915863, 0.1915179, 0.1912994, 0.1906115, 0.1902455, 0.1901613", \
+					  "0.2015665, 0.2015632, 0.2015503, 0.2014927, 0.2008110, 0.2002938, 0.2001617", \
+					  "0.2415160, 0.2415386, 0.2416536, 0.2417386, 0.2414206, 0.2408805, 0.2407246", \
+					  "0.2736501, 0.2735871, 0.2736385, 0.2739279, 0.2740944, 0.2736845, 0.2734462", \
+					  "0.3101463, 0.3101673, 0.3102072, 0.3103941, 0.3110463, 0.3108479, 0.3107212", \
+					  "0.4072829, 0.4072198, 0.4068596, 0.4056876, 0.4046439, 0.4040420, 0.4038179");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.1970446, 8.2031083, 8.2520570, 8.4629291, 8.8072006, 8.4598777, 2.8446383", \
+					  "8.1635179, 8.1717787, 8.2216312, 8.4412168, 8.7850988, 8.4369858, 2.8077572", \
+					  "8.1316359, 8.1397639, 8.1898029, 8.4095820, 8.7365929, 8.4096600, 2.6364008", \
+					  "8.1005036, 8.1077955, 8.1578674, 8.3667472, 8.7355095, 8.3744632, 2.7547842", \
+					  "8.0780427, 8.0785371, 8.1288814, 8.3367247, 8.6934445, 8.3255817, 2.7254626", \
+					  "8.0412015, 8.0496210, 8.0993442, 8.3132088, 8.6466842, 8.2999494, 2.6175463", \
+					  "8.0145777, 8.0197117, 8.0693404, 8.2813479, 8.6151763, 8.2956741, 2.6672729");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5443809, 4.5487642, 4.5854718, 4.7175328, 5.0820585, 6.0390005, 6.4522767", \
+					  "4.4863376, 4.4977933, 4.5308866, 4.6563643, 5.0255005, 5.9838832, 6.3872324", \
+					  "4.4339507, 4.4383448, 4.4760832, 4.6112919, 4.9733101, 5.9210151, 6.3339105", \
+					  "4.4315527, 4.4378302, 4.4701659, 4.6053551, 4.9695009, 5.9249124, 6.3327385", \
+					  "4.4246295, 4.4313741, 4.4649185, 4.5983339, 4.9621050, 5.9163013, 6.3237263", \
+					  "4.4191441, 4.4239224, 4.4664071, 4.5956887, 4.9572389, 5.9019485, 6.3133069", \
+					  "4.4140127, 4.4184700, 4.4527976, 4.5897371, 4.9516455, 5.9028478, 6.3205141");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090519, 0.1090103, 0.1090614, 0.1090730, 0.1090578, 0.1090748, 0.1090497", \
+					  "0.1553346, 0.1553738, 0.1553740, 0.1553334, 0.1553755, 0.1553744, 0.1553740", \
+					  "0.2045390, 0.2046431, 0.2046422, 0.2045398, 0.2046449, 0.2046432, 0.2046427", \
+					  "0.2517338, 0.2517315, 0.2517307, 0.2517345, 0.2517338, 0.2517325, 0.2517318", \
+					  "0.2935092, 0.2935083, 0.2935063, 0.2935101, 0.2935099, 0.2935066, 0.2935063", \
+					  "0.3568994, 0.3568965, 0.3568954, 0.3569005, 0.3568978, 0.3568946, 0.3568937", \
+					  "0.4488763, 0.4488741, 0.4488727, 0.4488722, 0.4488605, 0.4488516, 0.4488489");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0267468, 3.0377003, 3.0449093, 3.1042527, 3.1826262, 3.4491647, 5.0494946", \
+					  "3.0252031, 3.0359697, 3.0430042, 3.1060393, 3.1802020, 3.4479424, 5.0489257", \
+					  "3.0308687, 3.0283947, 3.0406811, 3.1047833, 3.1790242, 3.4463850, 5.0457866", \
+					  "3.0240310, 3.0291115, 3.0407732, 3.1025320, 3.1799835, 3.4462306, 5.0472049", \
+					  "3.0224427, 3.0338429, 3.0402135, 3.1042618, 3.1799600, 3.4453501, 5.0457813", \
+					  "3.0219971, 3.0287031, 3.0404096, 3.1044895, 3.1785958, 3.4432482, 5.0413115", \
+					  "3.0238422, 3.0283180, 3.0407692, 3.1019579, 3.1787010, 3.4448245, 5.0452190");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7167892, 2.7179673, 2.7250057, 2.7685397, 2.9776793, 4.3478992, 4.6302115", \
+					  "2.7141891, 2.7148203, 2.7227016, 2.7624205, 2.9817487, 4.3451171, 4.6326467", \
+					  "2.7140147, 2.7150410, 2.7222271, 2.7615062, 2.9697886, 4.3439735, 4.6246526", \
+					  "2.7118887, 2.7137748, 2.7214445, 2.7617033, 2.9778459, 4.3449954, 4.6272756", \
+					  "2.7129906, 2.7150261, 2.7217731, 2.7709638, 2.9761918, 4.3441403, 4.6259448", \
+					  "2.7117398, 2.7135773, 2.7212433, 2.7603776, 2.9765285, 4.3435203, 4.6275318", \
+					  "2.7117351, 2.7142194, 2.7206154, 2.7710707, 2.9768375, 4.3441791, 4.6263561");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090739, 0.1090733, 0.1090460, 0.1090676, 0.1090685, 0.1090720, 0.1090727", \
+					  "0.1553792, 0.1553775, 0.1553788, 0.1553758, 0.1553341, 0.1553763, 0.1553395", \
+					  "0.2046506, 0.2046490, 0.2046505, 0.2046466, 0.2045399, 0.2046476, 0.2045446", \
+					  "0.2517333, 0.2517313, 0.2517328, 0.2517288, 0.2516239, 0.2517300, 0.2516257", \
+					  "0.2935072, 0.2935059, 0.2935083, 0.2935030, 0.2933993, 0.2935062, 0.2934040", \
+					  "0.3569260, 0.3569235, 0.3569255, 0.3569202, 0.3567970, 0.3569206, 0.3568040", \
+					  "0.4492822, 0.4492805, 0.4492819, 0.4492770, 0.4492085, 0.4492733, 0.4492108");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090486, 0.1090948, 0.1090370, 0.1090655, 0.1089987, 0.1090186, 0.1090824", \
+					  "0.1553804, 0.1553463, 0.1553347, 0.1553321, 0.1553391, 0.1553749, 0.1553753", \
+					  "0.2046506, 0.2046581, 0.2045374, 0.2046447, 0.2045437, 0.2046441, 0.2047203", \
+					  "0.2517409, 0.2517491, 0.2516303, 0.2517342, 0.2516374, 0.2517346, 0.2517345", \
+					  "0.2935093, 0.2935145, 0.2933977, 0.2935033, 0.2934039, 0.2935036, 0.2935011", \
+					  "0.3571117, 0.3569203, 0.3567808, 0.3569021, 0.3567866, 0.3568983, 0.3568985", \
+					  "0.4486488, 0.4486551, 0.4485791, 0.4486378, 0.4485665, 0.4486139, 0.4486112");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4331717, 2.4312977, 2.4361780, 2.4565809, 2.5903279, 3.4544622, 4.2249164", \
+					  "2.4304584, 2.4301891, 2.4339708, 2.4551283, 2.5852458, 3.4308242, 4.2291383", \
+					  "2.4285608, 2.4291514, 2.4323761, 2.4539330, 2.5870488, 3.4603218, 4.2198310", \
+					  "2.4282489, 2.4285783, 2.4308408, 2.4542151, 2.5845097, 3.4465812, 4.2177972", \
+					  "2.4286831, 2.4286632, 2.4308702, 2.4535549, 2.5934753, 3.4465093, 4.2161411", \
+					  "2.4280447, 2.4285174, 2.4316306, 2.4537413, 2.5870030, 3.4415432, 4.2176097", \
+					  "2.4256662, 2.4276735, 2.4307006, 2.4520505, 2.5858209, 3.4462086, 4.2183821");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1089647, 0.1085551, 0.1090392, 0.1090381, 0.1090545, 0.1093760, 0.1089721", \
+					  "0.1556657, 0.1556594, 0.1556638, 0.1556635, 0.1556241, 0.1555483, 0.1555883", \
+					  "0.2086205, 0.2086191, 0.2086158, 0.2086069, 0.2084816, 0.2083966, 0.2083680", \
+					  "0.2740128, 0.2740893, 0.2740232, 0.2740620, 0.2740550, 0.2740256, 0.2739766", \
+					  "0.3371024, 0.3369995, 0.3371183, 0.3371961, 0.3372423, 0.3372523, 0.3372451", \
+					  "0.4045220, 0.4044741, 0.4045607, 0.4047284, 0.4050505, 0.4052251, 0.4052720", \
+					  "0.4670869, 0.4676916, 0.4670816, 0.4671710, 0.4676014, 0.4683085, 0.4680687");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522396, 5.5513454, 5.5540498, 5.5590987, 5.5687620, 5.2963692, -0.5292242", \
+					  "5.5486189, 5.5488131, 5.5513302, 5.5640102, 5.5635583, 5.2939224, -0.6871544", \
+					  "5.5461073, 5.5493951, 5.5505435, 5.5529794, 5.5427194, 5.2940078, -0.8553861", \
+					  "5.5475941, 5.5462653, 5.5486762, 5.5526366, 5.5442860, 5.3159652, -0.5024012", \
+					  "5.5470617, 5.5483896, 5.5505481, 5.5568321, 5.5462282, 5.3140580, -0.4959330", \
+					  "5.5472150, 5.5468242, 5.5497346, 5.5548967, 5.5478919, 5.2920935, -0.6288515", \
+					  "5.5472618, 5.5465354, 5.5493032, 5.5558075, 5.5623427, 5.2958202, -0.7191433");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8012743, 7.8094015, 7.8474180, 8.0380945, 8.3145018, 8.1401977, 2.1112345", \
+					  "7.7682568, 7.7768836, 7.8149504, 8.0107590, 8.2820481, 7.8171431, 2.1381428", \
+					  "7.7370782, 7.7454743, 7.7826954, 7.9785448, 8.2513691, 7.7734589, 2.0995943", \
+					  "7.7071212, 7.7142856, 7.7523489, 7.9484394, 8.2190979, 7.7723797, 2.0663946", \
+					  "7.6769703, 7.6825076, 7.7220653, 7.9071421, 8.1910400, 7.7149304, 1.9607436", \
+					  "7.6471114, 7.6549185, 7.6926132, 7.8875935, 8.1339234, 7.6956880, 1.9936790", \
+					  "7.6159678, 7.6242025, 7.6619163, 7.8578732, 8.1306611, 7.6679930, 1.9752243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1760153, 0.1759922, 0.1759557, 0.1756347, 0.1752159, 0.1750212, 0.1748452", \
+					  "0.1916122, 0.1915880, 0.1914378, 0.1913082, 0.1906130, 0.1902216, 0.1902005", \
+					  "0.2015687, 0.2015617, 0.2016115, 0.2014940, 0.2008138, 0.2002905, 0.1999988", \
+					  "0.2415244, 0.2415445, 0.2416543, 0.2417444, 0.2414246, 0.2408800, 0.2406732", \
+					  "0.2735923, 0.2736012, 0.2736478, 0.2739419, 0.2741057, 0.2736928, 0.2732950", \
+					  "0.3101751, 0.3101958, 0.3102316, 0.3105035, 0.3110719, 0.3108716, 0.3106384", \
+					  "0.4072852, 0.4072212, 0.4070006, 0.4056915, 0.4046496, 0.4040168, 0.4039253");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090133, 0.1090171, 0.1090377, 0.1089893, 0.1090347, 0.1090386, 0.1090354", \
+					  "0.1557298, 0.1556695, 0.1556669, 0.1556636, 0.1556621, 0.1556648, 0.1556198", \
+					  "0.2086231, 0.2086247, 0.2086200, 0.2086079, 0.2085930, 0.2085903, 0.2085863", \
+					  "0.2740130, 0.2740171, 0.2740248, 0.2740607, 0.2741003, 0.2741140, 0.2741127", \
+					  "0.3371055, 0.3371071, 0.3371218, 0.3370258, 0.3373416, 0.3374164, 0.3374250", \
+					  "0.4045250, 0.4045340, 0.4045658, 0.4047281, 0.4050880, 0.4052755, 0.4053541", \
+					  "0.4670916, 0.4670892, 0.4670841, 0.4671708, 0.4676667, 0.4680523, 0.4681448");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522873, 5.5506428, 5.5535636, 5.5601270, 5.5426123, 5.3263253, -0.8296479", \
+					  "5.5493613, 5.5482567, 5.5513719, 5.5583251, 5.5450145, 5.2834443, -0.6087420", \
+					  "5.5470736, 5.5480589, 5.5491539, 5.5563335, 5.5667150, 5.3214481, -0.9072857", \
+					  "5.5482191, 5.5469532, 5.5483370, 5.5555578, 5.5643429, 5.2921344, -0.9114474", \
+					  "5.5485826, 5.5468869, 5.5503906, 5.5536307, 5.5428546, 5.3214397, -0.5376775", \
+					  "5.5477883, 5.5464309, 5.5492949, 5.5545953, 5.5454598, 5.3131570, -0.6927734", \
+					  "5.5454573, 5.5461516, 5.5480299, 5.5541027, 5.5567863, 5.3181209, -0.9191256");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090472, 0.1090711, 0.1090414, 0.1090677, 0.1090711, 0.1090683, 0.1090595", \
+					  "0.1553411, 0.1553777, 0.1553723, 0.1553373, 0.1553763, 0.1553737, 0.1553746", \
+					  "0.2045508, 0.2046530, 0.2046461, 0.2045478, 0.2046515, 0.2045666, 0.2046494", \
+					  "0.2516317, 0.2517347, 0.2517276, 0.2516323, 0.2517344, 0.2517312, 0.2517321", \
+					  "0.2934032, 0.2928083, 0.2934953, 0.2934003, 0.2935013, 0.2935015, 0.2935006", \
+					  "0.3568254, 0.3569410, 0.3569303, 0.3568159, 0.3569318, 0.3569270, 0.3569277", \
+					  "0.4489993, 0.4490600, 0.4490506, 0.4489833, 0.4490384, 0.4490334, 0.4490339");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4199110, 2.4201688, 2.4229010, 2.4216195, 2.4292326, 2.4205310, 2.4366505", \
+					  "2.4187945, 2.4190274, 2.4219749, 2.4201483, 2.4249188, 2.4111563, 2.4562804", \
+					  "2.4176619, 2.4158962, 2.4174394, 2.4143664, 2.4237831, 2.4503554, 2.3941360", \
+					  "2.4173340, 2.4166759, 2.4183161, 2.4166364, 2.4238882, 2.4213568, 2.4345320", \
+					  "2.4180410, 2.4164700, 2.4185645, 2.4210696, 2.4244445, 2.4304877, 2.4359624", \
+					  "2.4172230, 2.4161410, 2.4181949, 2.4163333, 2.4233518, 2.4239963, 2.4267647", \
+					  "2.4190948, 2.4159155, 2.4178413, 2.4163594, 2.4279931, 2.4209490, 2.4437715");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7161892, 6.7205746, 6.7460395, 6.8645883, 6.7730443, 5.5729023, -0.1109154", \
+					  "6.7138278, 6.7198413, 6.7440281, 6.8511767, 6.7531401, 5.5462865, -0.0990544", \
+					  "6.7123537, 6.7126000, 6.7430943, 6.8613296, 6.7710086, 5.5530896, -0.2514641", \
+					  "6.7121023, 6.7179861, 6.7411659, 6.8614711, 6.7703895, 5.4974923, -0.1694732", \
+					  "6.7128037, 6.7182833, 6.7420598, 6.8600392, 6.7683391, 5.5707268, -0.3098450", \
+					  "6.7117097, 6.7176550, 6.7424485, 6.8604618, 6.7546220, 5.5567464, -0.2623019", \
+					  "6.7113485, 6.7169273, 6.7417009, 6.8606735, 6.7678454, 5.5420113, -0.1108244");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090093, 0.1090539, 0.1090361, 0.1090114, 0.1090143, 0.1090137, 0.1090371", \
+					  "0.1556662, 0.1556252, 0.1556638, 0.1556665, 0.1556189, 0.1556603, 0.1556222", \
+					  "0.2086239, 0.2086460, 0.2086193, 0.2086135, 0.2085953, 0.2085877, 0.2084744", \
+					  "0.2740112, 0.2740146, 0.2741425, 0.2740644, 0.2741005, 0.2741089, 0.2740629", \
+					  "0.3371031, 0.3371084, 0.3371201, 0.3372014, 0.3373448, 0.3374126, 0.3373267", \
+					  "0.4045374, 0.4045448, 0.4045754, 0.4047469, 0.4051024, 0.4053011, 0.4053063", \
+					  "0.4671195, 0.4671163, 0.4671103, 0.4672028, 0.4676969, 0.4680785, 0.4681054");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7517060, 3.7561850, 3.7573738, 3.7965616, 3.8314560, 3.8116988, 3.8491658", \
+					  "3.6957057, 3.6975744, 3.7051951, 3.7436838, 3.7752037, 3.8117197, 3.7974273", \
+					  "3.6422637, 3.6442508, 3.6513558, 3.6840133, 3.7340119, 3.9124338, 3.7348229", \
+					  "3.6362492, 3.6352149, 3.6460712, 3.6856940, 3.7210355, 3.7491168, 3.7386131", \
+					  "3.6326181, 3.6313192, 3.6432125, 3.6842149, 3.7152161, 3.7050107, 3.7326319", \
+					  "3.6276206, 3.6296121, 3.6380428, 3.6698963, 3.7102169, 3.7983118, 3.7239051", \
+					  "3.6240577, 3.6267652, 3.6311966, 3.6658407, 3.7031928, 3.7636436, 3.7184896");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8694438, 7.8772032, 7.9141606, 8.1035369, 8.3803901, 7.9167089, 2.2269035", \
+					  "7.8363725, 7.8439090, 7.8814831, 8.0668418, 8.3491368, 7.9090704, 2.1888727", \
+					  "7.8043387, 7.8124043, 7.8496054, 8.0345521, 8.3169373, 7.8598828, 2.1706453", \
+					  "7.7742142, 7.7820361, 7.8170888, 7.9998523, 8.2864347, 7.8212443, 2.1105769", \
+					  "7.7450417, 7.7451788, 7.7877255, 7.9704005, 8.2572240, 7.8017446, 1.9853491", \
+					  "7.7075205, 7.7222952, 7.7591129, 7.9468256, 8.2249460, 8.0473737, 2.0709904", \
+					  "7.6839707, 7.6920163, 7.7286580, 7.9096313, 8.1962397, 8.0159039, 2.0186765");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1009938, 7.1156934, 7.1779388, 7.5549297, 8.3639319, 8.2533259, 2.0451986", \
+					  "7.0722667, 7.0818840, 7.1439247, 7.5171816, 8.3374066, 8.1671990, 2.2167507", \
+					  "7.0351029, 7.0435371, 7.1107893, 7.4883536, 8.2926867, 8.1223152, 2.1836635", \
+					  "7.0045170, 7.0141859, 7.0831880, 7.4473342, 8.2771661, 8.0881480, 2.2181426", \
+					  "6.9791466, 6.9847875, 7.0534829, 7.4227943, 8.2476492, 8.0977596, 1.9100870", \
+					  "6.9449422, 6.9570570, 7.0227497, 7.3868890, 8.2099372, 8.0291461, 2.0918086", \
+					  "6.9128310, 6.9255543, 6.9913745, 7.3617423, 8.1843005, 8.0690940, 1.8696468");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7618433, 3.7631062, 3.7744955, 3.8239737, 3.9923585, 4.8665070, 5.6360125", \
+					  "3.7047401, 3.7068513, 3.7187168, 3.7691500, 3.9369411, 4.8084714, 5.5830324", \
+					  "3.6512998, 3.6546531, 3.6645709, 3.7130113, 3.8818108, 4.7586940, 5.5132878", \
+					  "3.6505498, 3.6513565, 3.6603848, 3.7108484, 3.8767604, 4.7505796, 5.5407713", \
+					  "3.6433010, 3.6426032, 3.6549089, 3.7053833, 3.8713664, 4.7446877, 5.5120084", \
+					  "3.6367088, 3.6387320, 3.6495380, 3.6999386, 3.8670341, 4.7401643, 5.5100215", \
+					  "3.6328407, 3.6348655, 3.6448458, 3.6952191, 3.8620531, 4.7366786, 5.5109347");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0116743, 3.0124664, 3.0153371, 3.0353240, 3.1692227, 4.0304253, 4.7826331", \
+					  "2.9567794, 2.9562323, 2.9596870, 2.9824717, 3.1173643, 3.9572933, 4.6981994", \
+					  "2.9020168, 2.9028831, 2.9058157, 2.9275231, 3.0602772, 3.9273282, 4.6890791", \
+					  "2.8972702, 2.8975753, 2.9018005, 2.9238640, 3.0542727, 3.9191180, 4.6791485", \
+					  "2.8922707, 2.8926755, 2.8959847, 2.9185808, 3.0451581, 3.8931371, 4.6370998", \
+					  "2.8874898, 2.8880202, 2.8908554, 2.9110462, 3.0462643, 3.8998694, 4.6806927", \
+					  "2.8816154, 2.8826181, 2.8857009, 2.9058209, 3.0456268, 3.9003047, 4.6673096");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0392749, 8.0550141, 8.0621811, 8.0807999, 7.9612714, 7.0032478, -9.1875275", \
+					  "8.0031792, 8.0206227, 8.0031618, 8.0412017, 7.9313885, 7.0047304, -9.5802738", \
+					  "7.9919256, 7.9978202, 7.9709025, 8.0118567, 7.8942797, 6.9006002, -9.4788007", \
+					  "7.9423584, 7.9585060, 7.9664710, 7.9809959, 7.8653375, 6.8664226, -9.6456673", \
+					  "7.9134764, 7.9303423, 7.9367799, 7.9509048, 7.8425930, 6.8582814, -9.4207574", \
+					  "7.9032737, 7.9067743, 7.9047404, 7.9217892, 7.8154914, 6.8372635, -9.6464415", \
+					  "7.8708151, 7.8782831, 7.8421089, 7.8941602, 7.7723756, 6.7673181, -9.7943025");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7807288, 2.7829407, 2.7897910, 2.8239007, 2.9415865, 3.4736542, 3.8978301", \
+					  "2.8253941, 2.8280379, 2.8348792, 2.8696082, 2.9873459, 3.5182498, 3.9487403", \
+					  "2.8781481, 2.8777360, 2.8857455, 2.9199476, 3.0318775, 3.5737418, 3.9908405", \
+					  "2.9423644, 2.9438657, 2.9499710, 2.9847871, 3.1034609, 3.6380450, 4.0590252", \
+					  "3.0059836, 3.0078295, 3.0142878, 3.0512835, 3.1759186, 3.7014160, 4.1245358", \
+					  "3.0728864, 3.0735321, 3.0816295, 3.1135274, 3.2303470, 3.7650116, 4.1912316", \
+					  "3.1346197, 3.1363226, 3.1430137, 3.1808888, 3.3014640, 3.8308664, 4.2544363");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9490117, 5.9496325, 5.9598815, 5.9823177, 5.8894777, 5.0082048, -5.0782523", \
+					  "5.9928990, 5.9943678, 6.0006722, 6.0248723, 5.9349432, 5.0459392, -4.9828927", \
+					  "6.0401896, 6.0413403, 6.0482382, 6.0713220, 5.9839402, 5.0980336, -5.0088822", \
+					  "6.0870493, 6.0888325, 6.0957005, 6.1203075, 6.0306691, 5.1417887, -4.9654083", \
+					  "6.1291199, 6.1303762, 6.1371990, 6.1616358, 6.0698884, 5.2002129, -4.8563066", \
+					  "6.1922250, 6.1932242, 6.1997402, 6.2258378, 6.1332503, 5.2477477, -4.9085676", \
+					  "6.2835583, 6.2844475, 6.2912519, 6.3161402, 6.2255157, 5.3417697, -4.7521956");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9271790, 5.9325245, 5.9497123, 6.0297771, 6.2634762, 7.1351136, 7.8463692", \
+					  "5.8407114, 5.8456468, 5.8624524, 5.9453835, 6.1864827, 7.0683428, 7.7393893", \
+					  "5.7544301, 5.7575716, 5.7746219, 5.8576400, 6.0862314, 6.9927314, 7.6666749", \
+					  "5.7596900, 5.7632291, 5.7802932, 5.8608664, 6.0882976, 6.9775380, 7.6848115", \
+					  "5.7630332, 5.7662248, 5.7845643, 5.8664151, 6.0906467, 6.9631415, 7.6778321", \
+					  "5.7654424, 5.7672361, 5.7880401, 5.8665750, 6.0956845, 6.9245829, 7.6872848", \
+					  "5.7894828, 5.7926955, 5.8093757, 5.8897109, 6.1183108, 7.0172243, 7.7108631");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.7165080, 12.7251263, 12.7734766, 13.0536884, 13.4972089, 12.5196775, -4.2778452", \
+					  "12.6666368, 12.6799818, 12.7198271, 13.0055475, 13.4525494, 12.4193976, -4.4898825", \
+					  "12.6240477, 12.6346050, 12.6828976, 12.9633705, 13.4015949, 12.3526093, -4.4614117", \
+					  "12.5869361, 12.6006381, 12.6484783, 12.9258488, 13.3730687, 12.3237206, -4.4606418", \
+					  "12.5674035, 12.5780449, 12.6275993, 12.9021929, 13.3502099, 12.3120997, -4.4453403", \
+					  "12.5923296, 12.6015455, 12.6529306, 12.9279179, 13.3612833, 12.3736324, -4.4928273", \
+					  "12.5935991, 12.6057495, 12.6470806, 12.9328496, 13.3691701, 12.4096508, -4.5245769");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0925577, 8.0962197, 8.0962205, 8.1152685, 8.1152692, 8.1152702, 8.1152711", \
+					  "8.2217415, 8.2370253, 8.2591196, 8.2591205, 8.2591215, 8.2591224, 8.2615177", \
+					  "8.3688612, 8.3761993, 8.3762002, 8.3791125, 8.3791128, 8.3791137, 8.4079792", \
+					  "8.4639034, 8.4715275, 8.4715276, 8.4715286, 8.4715295, 8.4715305, 8.5601544", \
+					  "8.5244736, 8.5244737, 8.5244747, 8.5244756, 8.5244766, 8.5244775, 8.6124397", \
+					  "8.6152907, 8.6239558, 8.6239563, 8.6239572, 8.6239582, 8.6239591, 8.6562029", \
+					  "8.6549656, 8.6618916, 8.6618925, 8.6618934, 8.6618944, 8.6618953, 8.7217442");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9079419, 7.9137905, 7.9502049, 8.1784348, 9.8011208, 20.5281270, 89.3495620", \
+					  "8.0554994, 8.0613392, 8.0971683, 8.3252782, 9.9480264, 20.7085070, 89.4432330", \
+					  "8.1690219, 8.1749221, 8.2109679, 8.4394944, 10.0609120, 20.7887540, 89.6103030", \
+					  "8.2661895, 8.2720435, 8.3080050, 8.5364533, 10.1593810, 20.8844710, 89.7060200", \
+					  "8.3435632, 8.3494056, 8.3853173, 8.6131209, 10.2360230, 20.9637820, 89.7853450", \
+					  "8.4209022, 8.4274365, 8.4625986, 8.6908125, 10.3125850, 21.0391300, 89.8608970", \
+					  "8.4906659, 8.4965777, 8.5324841, 8.7612300, 10.3833160, 21.1107860, 89.9306230");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0181908, 1.0332472, 1.1285961, 1.7191936, 5.7588627, 31.6356330, 191.7248500", \
+					  "1.0206945, 1.0356206, 1.1290250, 1.7198915, 5.7583787, 31.6323470, 191.4653000", \
+					  "1.0201110, 1.0346286, 1.1279758, 1.7193602, 5.7587228, 31.6433170, 191.7255200", \
+					  "1.0203463, 1.0348470, 1.1281910, 1.7193178, 5.7592593, 31.6144620, 191.4317400", \
+					  "1.0209459, 1.0357609, 1.1289090, 1.7200286, 5.7587761, 31.6434130, 191.7266400", \
+					  "1.0198550, 1.0355095, 1.1285566, 1.7193924, 5.7585106, 31.6394550, 191.7301900", \
+					  "1.0206074, 1.0355599, 1.1280243, 1.7199202, 5.7585541, 31.6433950, 191.7216000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.5694500, 101.5785100, 101.6482000, 102.0501900, 104.7021900, 122.5145100, 158.9042900", \
+					  "101.7508400, 101.7650000, 101.8318400, 102.2228800, 104.8880000, 122.7266500, 159.0878900", \
+					  "101.9379100, 101.9512200, 102.0178600, 102.4250100, 105.0686900, 122.8896900, 159.2727700", \
+					  "102.1320900, 102.1462900, 102.2136300, 102.6223000, 105.2574500, 123.0791800, 159.4609100", \
+					  "102.2990800, 102.3178600, 102.3845100, 102.7873500, 105.4408200, 123.1127300, 159.6230800", \
+					  "102.4832600, 102.4921700, 102.5587600, 102.9659300, 105.6148500, 123.3107100, 159.8186700", \
+					  "102.6338300, 102.6487400, 102.7144200, 103.1189700, 105.7708100, 123.5989200, 159.9243500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4078300, 124.4022900, 124.3790300, 124.2830300, 123.8837200, 122.0896000, 109.8355200", \
+					  "124.4053600, 124.4025300, 124.3790100, 124.2539000, 123.8865500, 122.1344400, 109.8301100", \
+					  "124.4079500, 124.4090100, 124.3800500, 124.2976900, 123.8735600, 122.0826400, 109.8866300", \
+					  "124.4064000, 124.4007100, 124.3786600, 124.2812300, 123.8639700, 122.0857500, 109.8354000", \
+					  "124.3987200, 124.4039400, 124.3797100, 124.2613200, 123.8874500, 122.1511100, 109.8274300", \
+					  "124.3834300, 124.4088600, 124.3848800, 124.2794200, 123.8951100, 122.1378900, 109.8513300", \
+					  "124.4082000, 124.4049900, 124.3792600, 124.2893400, 123.8861500, 122.1461500, 109.8742200");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9379072, 7.9438101, 7.9803275, 8.2118570, 9.8565796, 20.5414920, 87.0414420", \
+					  "8.0839611, 8.0905176, 8.1260296, 8.3583540, 10.0029780, 20.6437660, 87.2063740", \
+					  "8.1986649, 8.2061762, 8.2406828, 8.4729441, 10.1172000, 20.7818600, 87.2903170", \
+					  "8.2959515, 8.3019831, 8.3379481, 8.5702556, 10.2145260, 20.8848010, 87.3878820", \
+					  "8.3756815, 8.3820701, 8.4176222, 8.6500522, 10.2941680, 20.9515180, 87.4595760", \
+					  "8.4503204, 8.4550207, 8.4923508, 8.7245811, 10.3692170, 21.0079260, 87.5594850", \
+					  "8.5204297, 8.5251441, 8.5622140, 8.7949896, 10.4390820, 21.0779730, 87.6271360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9954327, 1.0096064, 1.1022014, 1.6988921, 5.7546106, 31.6319660, 191.6341700", \
+					  "0.9979745, 1.0096396, 1.1042934, 1.7015437, 5.7548655, 31.6340480, 191.6163200", \
+					  "0.9950911, 1.0094163, 1.1028294, 1.6987881, 5.7546186, 31.6344250, 191.3898200", \
+					  "0.9950433, 1.0084350, 1.1028172, 1.6988817, 5.7546199, 31.6321400, 191.3922000", \
+					  "0.9939440, 1.0106732, 1.1035132, 1.6985962, 5.7546217, 31.6347370, 191.3688800", \
+					  "0.9954326, 1.0109933, 1.1027443, 1.7000500, 5.7544893, 31.6380560, 191.4026200", \
+					  "0.9959619, 1.0098938, 1.1052451, 1.7005950, 5.7543250, 31.6368160, 191.4000800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("150.7920300, 150.7920304, 150.8362900, 151.1471500, 152.9510900, 161.5741200, 198.1854600", \
+					  "150.9450000, 150.9638000, 150.9920200, 151.3208200, 153.1320900, 161.7590000, 198.4129500", \
+					  "151.1545500, 151.1545640, 151.2059700, 151.5106800, 153.3206100, 161.9237900, 198.6011900", \
+					  "151.3476200, 151.3476334, 151.3990900, 151.7040000, 153.5161700, 162.1381900, 198.7943800", \
+					  "151.4938900, 151.5104500, 151.5701600, 151.8750700, 153.6873100, 162.3106300, 198.9190700", \
+					  "151.6849200, 151.6849289, 151.7358200, 151.9914300, 153.8595300, 162.4421500, 199.1050600", \
+					  "151.8490500, 151.8494600, 151.8749700, 152.2049900, 154.0183000, 162.6425600, 199.2955800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3431930, 59.2763530, 59.2765160, 59.0028290, 57.0317770, 46.9042230, 92.3394910", \
+					  "59.3060820, 59.2646680, 59.2474550, 59.0051420, 57.0359230, 46.9049550, 92.2188480", \
+					  "59.4159890, 59.3147720, 59.2791200, 59.0065600, 57.0591720, 47.1100950, 92.2172990", \
+					  "59.4157900, 59.3184590, 59.2748430, 59.0037140, 57.0312220, 46.9072460, 92.2184850", \
+					  "59.3070060, 59.3532440, 59.2538750, 59.0044170, 57.0359830, 46.9062940, 92.3186580", \
+					  "59.3032250, 59.4000760, 59.2595020, 58.9590420, 57.0411700, 47.0466760, 92.3466250", \
+					  "59.4155200, 59.3238490, 59.2471640, 59.0027760, 57.0313650, 46.9030970, 92.2174910");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9476080, 17.1382800, 18.3359160, 25.7328790, 71.1964030, 350.6396200, 2072.1095000", \
+					  "17.0729390, 17.2808150, 18.4741950, 25.8711290, 71.3424630, 350.5399800, 2071.7835000", \
+					  "17.1849040, 17.3797300, 18.5909300, 25.9823270, 71.4710420, 350.7236700, 2072.0686000", \
+					  "17.2882810, 17.4751930, 18.6840130, 26.0778710, 71.5243970, 350.8154400, 2072.4196000", \
+					  "17.3652490, 17.5588890, 18.7613040, 26.1520320, 71.6181460, 350.8924900, 2072.4010000", \
+					  "17.4297710, 17.6272240, 18.8347190, 26.2275450, 71.7141260, 350.9668700, 2072.0479000", \
+					  "17.5073180, 17.6964060, 18.9030800, 26.2947570, 71.7600700, 351.0343400, 2072.6661000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5857150, 14.9682760, 17.3156810, 31.8598590, 122.1668400, 678.4834500, 4111.2144000", \
+					  "14.5832320, 14.9674740, 17.3155940, 31.8861130, 122.2615500, 678.8201300, 4108.6464000", \
+					  "14.5766470, 14.9583950, 17.3271760, 31.8601000, 122.2154400, 678.3771500, 4109.7383000", \
+					  "14.5859450, 14.9638240, 17.3145660, 31.8548740, 121.9243300, 679.1260600, 4110.0120000", \
+					  "14.5859500, 14.9682790, 17.3146970, 31.8908700, 122.1975100, 678.4747500, 4108.5032000", \
+					  "14.5787190, 14.9697660, 17.3230030, 31.8728790, 122.2174300, 679.1669900, 4110.5909000", \
+					  "14.5858450, 14.9683110, 17.3146790, 31.8925930, 122.1659900, 678.8238800, 4110.7769000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1636090, 13.2157530, 13.5250900, 15.3525140, 20.4470630, 39.6982000, 82.7076580", \
+					  "13.3470330, 13.3984260, 13.7064080, 15.5382050, 20.6327290, 39.8730790, 82.8694060", \
+					  "13.5388890, 13.5891370, 13.8989660, 15.7243230, 20.8235280, 40.0716470, 83.0821070", \
+					  "13.7272030, 13.7777160, 14.0863380, 15.9137720, 21.0145630, 40.2606910, 83.2657110", \
+					  "13.8990800, 13.9501250, 14.2594680, 16.0899650, 21.1857620, 40.4248120, 83.4249650", \
+					  "14.0660920, 14.1163460, 14.4262370, 16.2513450, 21.3510540, 40.6019570, 83.6116880", \
+					  "14.2283930, 14.2786160, 14.5884470, 16.4136700, 21.5107220, 40.7596410, 83.7714540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0221783, 3.0915381, 3.5303624, 5.0937330, 6.9754611, 29.0312840, 48.3881980", \
+					  "3.0213958, 3.0915859, 3.5304102, 5.0864624, 6.9651427, 29.0355310, 48.2961720", \
+					  "3.0220688, 3.0904879, 3.5291692, 5.0940674, 6.9763173, 29.0321460, 48.3940180", \
+					  "3.0210521, 3.0924125, 3.5312577, 5.0922031, 6.9806210, 29.0329980, 48.3968490", \
+					  "3.0213871, 3.0915921, 3.5303989, 5.0863669, 6.9628920, 29.0355190, 48.2945590", \
+					  "3.0221998, 3.0904757, 3.5291834, 5.0931468, 6.9762636, 29.0311900, 48.3948210", \
+					  "3.0221967, 3.0906546, 3.5293704, 5.0931384, 6.9757591, 29.0331760, 48.3873000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7634184, 7.7978886, 7.7978890, 7.7978895, 7.7978900, 7.7978905, 7.7978910", \
+					  "7.9469045, 7.9469049, 7.9469054, 7.9469059, 7.9469064, 7.9469069, 7.9469073", \
+					  "8.0634086, 8.0634094, 8.0634103, 8.0634113, 8.0634122, 8.0634132, 8.0634141", \
+					  "8.1563698, 8.1574116, 8.1574121, 8.1574131, 8.1574140, 8.1574150, 8.1574159", \
+					  "8.2365377, 8.2373548, 8.2373558, 8.2373567, 8.2373577, 8.2373586, 8.2373596", \
+					  "8.3136760, 8.3136764, 8.3136774, 8.3136783, 8.3136793, 8.3136802, 8.3136812", \
+					  "8.3797627, 8.3797632, 8.3797641, 8.3797651, 8.3797660, 8.3797670, 8.3797679");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6127318, 7.6167703, 7.6167706, 7.6167710, 7.6167715, 7.6167720, 7.6167725", \
+					  "7.7485334, 7.7590645, 7.7590650, 7.7590654, 7.7590659, 7.7590664, 7.7590669", \
+					  "7.8615452, 7.8629609, 7.8629611, 7.8629616, 7.8629621, 7.8629625, 7.8629630", \
+					  "7.9559443, 7.9561575, 7.9561580, 7.9561584, 7.9561589, 7.9561594, 7.9561599", \
+					  "8.0337550, 8.0388274, 8.0388275, 8.0388284, 8.0388294, 8.0388303, 8.0388313", \
+					  "8.1067615, 8.1102201, 8.1102205, 8.1102214, 8.1102224, 8.1102233, 8.1102243", \
+					  "8.1676186, 8.1734299, 8.1734300, 8.1734310, 8.1734319, 8.1734329, 8.1734338");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4250290, 10.4754000, 10.7897060, 12.6864870, 24.3079490, 95.8222660, 416.3952400", \
+					  "10.5636570, 10.6200730, 10.9275950, 12.8255390, 24.4468750, 95.9755940, 416.5389100", \
+					  "10.6729690, 10.7276820, 11.0367480, 12.9343960, 24.5558060, 96.0849820, 416.6526800", \
+					  "10.7725260, 10.8215050, 11.1295310, 13.0273920, 24.6428350, 96.1739130, 416.7118000", \
+					  "10.8432760, 10.9010260, 11.2065600, 13.1044280, 24.7198480, 96.2509080, 416.8056500", \
+					  "10.9160250, 10.9708860, 11.2801800, 13.1778970, 24.7988390, 96.3025220, 416.9079900", \
+					  "10.9910050, 11.0389700, 11.3475510, 13.2457550, 24.8604960, 96.3919580, 416.9948500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0337293, 3.1106566, 3.5944427, 6.6031354, 25.0129070, 138.8857800, 350.6489200", \
+					  "3.0335967, 3.1109850, 3.5947927, 6.6031367, 25.0123600, 138.8357700, 350.6509400", \
+					  "3.0334570, 3.1103953, 3.5942433, 6.6030408, 25.0188670, 138.8360400, 350.6521900", \
+					  "3.0336464, 3.1109793, 3.5947902, 6.6019301, 25.0195460, 138.8696100, 350.5007600", \
+					  "3.0327389, 3.1109797, 3.5947909, 6.6019412, 25.0194590, 138.8691700, 350.5844600", \
+					  "3.0336726, 3.1109781, 3.5947496, 6.6018822, 25.0184000, 138.8486500, 350.5360000", \
+					  "3.0337057, 3.1110010, 3.5943020, 6.6019785, 25.0180460, 138.8655700, 350.5272200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7697730, 20.9653180, 22.1437090, 29.3389030, 73.4514170, 345.0460600, 2022.4725000", \
+					  "20.9587100, 21.1532750, 22.3299120, 29.5300830, 73.6487070, 345.0664400, 2021.6862000", \
+					  "21.1423430, 21.3221670, 22.5117830, 29.7539860, 73.8376760, 345.1174800, 2018.8595000", \
+					  "21.3336190, 21.5160440, 22.6956180, 29.9164820, 73.9661840, 345.4546800, 2019.1701000", \
+					  "21.5050560, 21.6995080, 22.8757140, 30.0797430, 74.1497670, 345.4990700, 2022.9861000", \
+					  "21.6812810, 21.8555980, 23.0524350, 30.2507640, 74.3053620, 345.7957400, 2020.2936000", \
+					  "21.8343410, 22.0340690, 23.2040860, 30.4426100, 74.5326720, 345.8743800, 2021.6050000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5272170, 13.8966780, 16.1986070, 30.4016080, 118.4781300, 660.6617800, 4003.4952000", \
+					  "13.5284130, 13.8852380, 16.2015720, 30.4177310, 118.4869200, 660.5012600, 4005.9986000", \
+					  "13.5221860, 13.8829920, 16.2029680, 30.4222530, 118.4870900, 660.7393200, 4003.8012000", \
+					  "13.5299440, 13.8791040, 16.1898030, 30.4184590, 118.3982100, 660.3481800, 4004.5984000", \
+					  "13.5312280, 13.8987040, 16.2050980, 30.3822250, 118.3203600, 660.7566000, 4004.4442000", \
+					  "13.5211220, 13.8835600, 16.1944400, 30.4283890, 118.4098800, 660.4356600, 4005.7171000", \
+					  "13.5162130, 13.8953240, 16.1999780, 30.4230740, 118.4895400, 660.4988100, 4002.7321000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7490308, 7.7540410, 7.7540415, 7.7540420, 7.7540424, 7.7540429, 7.7540434", \
+					  "7.9323108, 7.9323109, 7.9323113, 7.9323118, 7.9323123, 7.9323128, 7.9323133", \
+					  "8.0488967, 8.0488973, 8.0488983, 8.0488992, 8.0489002, 8.0489011, 8.0489021", \
+					  "8.1419032, 8.1425053, 8.1425062, 8.1425071, 8.1425081, 8.1425090, 8.1425100", \
+					  "8.2218792, 8.2245499, 8.2245508, 8.2245517, 8.2245527, 8.2245536, 8.2245546", \
+					  "8.2989420, 8.2989421, 8.2989431, 8.2989440, 8.2989450, 8.2989460, 8.2989469", \
+					  "8.3649923, 8.3649927, 8.3649936, 8.3649946, 8.3649955, 8.3649965, 8.3649974");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943723, 8.0970413, 8.1126927, 8.1126934, 8.1126943, 8.1126953, 8.1332443", \
+					  "8.2403524, 8.2426626, 8.2426630, 8.2426639, 8.2426649, 8.2426658, 8.2426668", \
+					  "8.3469214, 8.3469215, 8.3469224, 8.3469234, 8.3469244, 8.3469253, 8.4030640", \
+					  "8.4399172, 8.4399181, 8.4399190, 8.4399200, 8.4399210, 8.4399219, 8.5127994", \
+					  "8.5234815, 8.5234819, 8.5234828, 8.5234838, 8.5234848, 8.5234857, 8.5566372", \
+					  "8.5935783, 8.5935789, 8.5935798, 8.5968068, 8.5968071, 8.5968080, 8.6464595", \
+					  "8.6676248, 8.6676250, 8.6676260, 8.6676269, 8.6676279, 8.6676288, 8.6858858");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0769850, 13.1280060, 13.4478430, 15.3365360, 26.7042100, 93.3974180, 184.9966100", \
+					  "13.2599500, 13.3107250, 13.6304580, 15.5168540, 26.8847750, 93.5786420, 185.1935400", \
+					  "13.4495180, 13.5032920, 13.8198710, 15.7077600, 27.0734030, 93.7682290, 185.3721900", \
+					  "13.6408670, 13.6930700, 14.0126010, 15.8993090, 27.2675200, 93.9606080, 185.5751200", \
+					  "13.8142960, 13.8658180, 14.1821950, 16.0723540, 27.4418270, 94.1311670, 185.7344800", \
+					  "13.9822630, 14.0332710, 14.3517830, 16.2404420, 27.6079200, 94.3036300, 185.9189400", \
+					  "14.1424770, 14.1928580, 14.5106480, 16.3998880, 27.7656030, 94.4621380, 186.0809000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0545064, 3.1235985, 3.5680094, 6.3949313, 24.2851530, 97.2303980, 63.6671660", \
+					  "3.0566758, 3.1255631, 3.5681273, 6.3949304, 24.2851800, 97.2313070, 63.7305670", \
+					  "3.0555296, 3.1255849, 3.5687675, 6.3952315, 24.2850970, 97.2288630, 63.6659650", \
+					  "3.0566492, 3.1259355, 3.5683279, 6.3950987, 24.2850200, 97.2313290, 63.7313280", \
+					  "3.0567646, 3.1250247, 3.5750456, 6.3949712, 24.2851250, 97.1715930, 63.6754720", \
+					  "3.0554457, 3.1293104, 3.5680696, 6.3947039, 24.2853700, 97.1849370, 63.6712440", \
+					  "3.0567562, 3.1252263, 3.5683090, 6.3949290, 24.2858410, 97.2305470, 63.6708750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0979206, 8.1002742, 8.1002746, 8.1143039, 8.1143041, 8.1143051, 8.1143060", \
+					  "8.2422797, 8.2443892, 8.2443901, 8.2443910, 8.2443920, 8.2443929, 8.2443939", \
+					  "8.3483620, 8.3483625, 8.3483634, 8.3483644, 8.3483654, 8.3483663, 8.4208569", \
+					  "8.4413356, 8.4413362, 8.4413372, 8.4413381, 8.4413391, 8.4413400, 8.5138083", \
+					  "8.5249043, 8.5249048, 8.5249057, 8.5249067, 8.5249076, 8.5249086, 8.5548324", \
+					  "8.5948146, 8.5948148, 8.5948158, 8.5975236, 8.5975242, 8.5975252, 8.6359000", \
+					  "8.6424574, 8.6623713, 8.6623722, 8.6638821, 8.6638828, 8.6638837, 8.7101188");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0420640, 13.0926750, 13.4023820, 15.2214700, 20.4060730, 39.7562840, 141.6925500", \
+					  "13.2237820, 13.2741380, 13.5847190, 15.4044070, 20.5904360, 39.9384530, 141.8722500", \
+					  "13.4129860, 13.4653310, 13.7736140, 15.5977380, 20.7733580, 40.1140560, 142.0577600", \
+					  "13.6048910, 13.6561380, 13.9648470, 15.7867390, 20.9683990, 40.3210760, 142.2748900", \
+					  "13.7780190, 13.8282350, 14.1390730, 15.9593740, 21.1418350, 40.4910630, 142.4533200", \
+					  "13.9452220, 13.9973580, 14.3044940, 16.1300480, 21.3067870, 40.6610820, 142.6101100", \
+					  "14.1056340, 14.1567660, 14.4688870, 16.2896240, 21.4697580, 40.8063910, 142.7567000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0302394, 3.1004490, 3.5363605, 5.1177027, 7.0435080, 32.0683430, 98.0612430", \
+					  "3.0320935, 3.0985938, 3.5363594, 5.1161635, 7.0437061, 32.0683680, 98.0571500", \
+					  "3.0244562, 3.1004694, 3.5363226, 5.1147264, 7.0437514, 32.0554610, 98.0563540", \
+					  "3.0307714, 3.0988716, 3.5363252, 5.1162097, 7.0416522, 32.0683850, 98.1106960", \
+					  "3.0320209, 3.1006169, 3.5363139, 5.1160649, 7.0431763, 32.0682610, 98.1215540", \
+					  "3.0256930, 3.1023502, 3.5353356, 5.1137161, 7.0348842, 32.0684420, 98.0354860", \
+					  "3.0307414, 3.0993514, 3.5363235, 5.1120499, 7.0470225, 32.0553890, 98.0603120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9790950, 17.1706700, 18.3875460, 25.7255730, 70.9524670, 349.9936800, 2071.7289000", \
+					  "17.1605180, 17.3590600, 18.5528620, 25.9070050, 71.1353110, 350.1439200, 2071.6363000", \
+					  "17.3519920, 17.5513840, 18.7335210, 26.0709390, 71.3271500, 350.3335200, 2071.9074000", \
+					  "17.5400580, 17.7324410, 18.9305300, 26.2596040, 71.5189970, 350.5088600, 2071.8721000", \
+					  "17.7180690, 17.9163720, 19.1005680, 26.4616470, 71.6961280, 350.6965000, 2072.4128000", \
+					  "17.8828470, 18.0723050, 19.2611630, 26.6234610, 71.8602560, 350.8338300, 2071.8862000", \
+					  "18.0351130, 18.2420890, 19.4282240, 26.7805760, 72.0094700, 351.0358200, 2072.6006000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5924380, 14.9667710, 17.3274340, 31.9044810, 122.1126100, 678.4184700, 4109.5559000", \
+					  "14.5796180, 14.9645810, 17.3311340, 31.9044240, 121.9133200, 678.6081000, 4110.8474000", \
+					  "14.5797150, 14.9735320, 17.3244530, 31.8788370, 122.0839600, 678.9918500, 4109.9024000", \
+					  "14.5923630, 14.9611030, 17.3313380, 31.8786810, 122.1238200, 678.9230200, 4110.5513000", \
+					  "14.5923620, 14.9701960, 17.3325250, 31.9055820, 122.0866900, 678.7289000, 4108.5659000", \
+					  "14.5796100, 14.9647780, 17.3226540, 31.9043350, 122.1236800, 678.4036400, 4108.2658000", \
+					  "14.5802670, 14.9629640, 17.3311160, 31.9055720, 122.1134800, 679.0702400, 4111.5841000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5561960, 14.6064430, 14.9167650, 16.7486610, 21.8594940, 41.1116230, 84.1244940", \
+					  "14.7430320, 14.7937840, 15.1062330, 16.9289260, 22.0461640, 41.2930380, 84.3088090", \
+					  "14.9343400, 14.9839890, 15.2930400, 17.1213570, 22.2366960, 41.4844980, 84.4997810", \
+					  "15.1217070, 15.1674550, 15.4767360, 17.3050270, 22.4264360, 41.6728800, 84.6856380", \
+					  "15.2953950, 15.3391420, 15.6546350, 17.4759600, 22.5964100, 41.8414460, 84.8642970", \
+					  "15.4625490, 15.5130630, 15.8209410, 17.6522960, 22.7595740, 42.0119330, 85.0311110", \
+					  "15.6123680, 15.6711960, 15.9726740, 17.8027050, 22.9141200, 42.1717880, 85.1808650");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0281384, 3.0978566, 3.5359904, 5.1077627, 6.9853474, 29.0350570, 48.3885860", \
+					  "3.0278835, 3.0980869, 3.5363674, 5.1071911, 6.9859398, 29.0350590, 48.3989280", \
+					  "3.0278766, 3.0981231, 3.5362913, 5.1077613, 6.9859327, 29.0350360, 48.3990910", \
+					  "3.0278837, 3.0978566, 3.5360845, 5.1074180, 6.9859203, 29.0350340, 48.3885610", \
+					  "3.0277796, 3.0978138, 3.5360792, 5.1074043, 6.9851054, 29.0367000, 48.3883760", \
+					  "3.0277885, 3.0981884, 3.5360297, 5.1077569, 6.9851844, 29.0350390, 48.4017480", \
+					  "3.0280405, 3.0981044, 3.5360783, 5.1075029, 6.9853416, 29.0350370, 48.3885890");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5174420, 16.7121710, 17.9063310, 25.2307560, 70.5534970, 349.5014800, 2070.7348000", \
+					  "16.6508260, 16.8466700, 18.0393410, 25.4031160, 70.6987820, 349.6944000, 2070.3671000", \
+					  "16.7723200, 16.9610580, 18.1476560, 25.4801250, 70.7843450, 349.8202000, 2071.1293000", \
+					  "16.8576420, 17.0504530, 18.2478510, 25.6005580, 70.8937760, 349.8420500, 2071.1655000", \
+					  "16.9354520, 17.1231470, 18.3252860, 25.6782930, 70.9849320, 349.9687300, 2071.5838000", \
+					  "17.0107250, 17.2000850, 18.3929740, 25.7253130, 71.0254750, 349.9877700, 2071.3444000", \
+					  "17.0726650, 17.2692810, 18.4973350, 25.8228280, 71.1373080, 350.0503900, 2071.5245000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5806020, 14.9705310, 17.3205400, 31.8512260, 122.0305800, 678.8785100, 4109.3567000", \
+					  "14.5828710, 14.9696680, 17.3244030, 31.9200520, 122.2118300, 678.6522100, 4108.3058000", \
+					  "14.5896830, 14.9705550, 17.3217560, 31.8921530, 122.1895600, 679.1251500, 4110.4413000", \
+					  "14.5816190, 14.9644920, 17.3207010, 31.9129650, 122.0404200, 678.8847600, 4110.3467000", \
+					  "14.5778170, 14.9570380, 17.3206530, 31.9162550, 122.0413700, 678.9333600, 4111.1648000", \
+					  "14.5841770, 14.9589050, 17.3280550, 31.8860140, 122.1843300, 678.9105100, 4109.0902000", \
+					  "14.5791370, 14.9648510, 17.3247780, 31.8624590, 122.2093900, 677.9888500, 4110.2548000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2816360, 20.4768150, 21.6329070, 28.8116480, 72.8245480, 344.0856600, 2019.8195000", \
+					  "20.4670930, 20.6553550, 21.8167970, 29.0026420, 73.0207500, 344.2729100, 2018.9607000", \
+					  "20.6587970, 20.8477840, 22.0121380, 29.1851020, 73.1322980, 344.5879000, 2020.1778000", \
+					  "20.8482010, 21.0358630, 22.2001160, 29.3746700, 73.3208050, 344.7542300, 2019.2208000", \
+					  "21.0062300, 21.2060560, 22.3676030, 29.5423170, 73.5638040, 345.2816800, 2019.4000000", \
+					  "21.1869170, 21.3754170, 22.5387230, 29.7161870, 73.6649990, 345.1809800, 2020.2237000", \
+					  "21.3457160, 21.5384050, 22.7006570, 29.8860600, 73.8388050, 345.1651800, 2020.3286000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5276430, 13.9057240, 16.2101160, 30.3804380, 118.5091300, 660.8522100, 4008.1040000", \
+					  "13.5228960, 13.8934010, 16.2067530, 30.4048060, 118.1378700, 660.3827400, 4009.3146000", \
+					  "13.5322700, 13.9094980, 16.2058080, 30.3828780, 118.4259400, 660.4607300, 4009.8612000", \
+					  "13.5316090, 13.9095140, 16.2038860, 30.3809100, 118.4246200, 660.5747000, 4008.4537000", \
+					  "13.5144860, 13.9093430, 16.2097410, 30.4191980, 118.5139300, 660.8272000, 4008.0581000", \
+					  "13.5355340, 13.9104040, 16.1987950, 30.3833440, 118.4100600, 660.3396500, 4008.3555000", \
+					  "13.5256090, 13.9045480, 16.1945320, 30.3937920, 118.2475500, 660.5925500, 4006.7457000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1409484, 8.1484277, 8.1484285, 8.1484294, 8.1484304, 8.1484314, 8.1568388", \
+					  "8.2736911, 8.2896898, 8.2896905, 8.2896915, 8.2896925, 8.2896934, 8.3078540", \
+					  "8.4020106, 8.4041574, 8.4043350, 8.4043355, 8.4043365, 8.4043375, 8.4185618", \
+					  "8.4942355, 8.4960883, 8.4960886, 8.4994021, 8.4994026, 8.4994035, 8.5068317", \
+					  "8.5773557, 8.5773559, 8.5773569, 8.5773578, 8.5773588, 8.5773597, 8.5773607", \
+					  "8.6740810, 8.6740814, 8.6740823, 8.6740833, 8.6740842, 8.6740852, 8.6740861", \
+					  "8.7218170, 8.7219183, 8.7219186, 8.7219196, 8.7219205, 8.7219215, 8.7346477");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6158289, 7.6158293, 7.6158298, 7.6158302, 7.6158307, 7.6158312, 7.6158317", \
+					  "7.7478095, 7.7581328, 7.7581332, 7.7581337, 7.7581342, 7.7581347, 7.7581351", \
+					  "7.8612441, 7.8622699, 7.8622702, 7.8622706, 7.8622711, 7.8622716, 7.8622721", \
+					  "7.9527744, 7.9552978, 7.9552982, 7.9552987, 7.9552992, 7.9552997, 7.9553001", \
+					  "8.0325711, 8.0376195, 8.0376201, 8.0376211, 8.0376220, 8.0376230, 8.0376239", \
+					  "8.1034344, 8.1066878, 8.1066881, 8.1066890, 8.1066900, 8.1066909, 8.1066919", \
+					  "8.1735569, 8.1735578, 8.1735588, 8.1735597, 8.1735607, 8.1735616, 8.1735626");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9425470, 10.9953360, 11.3013650, 13.2003780, 24.8129410, 96.3393060, 416.9920100", \
+					  "11.1276860, 11.1772020, 11.4892910, 13.3816020, 25.0002010, 96.5196630, 417.1744200", \
+					  "11.3195380, 11.3697220, 11.6739140, 13.5739410, 25.1929890, 96.7126250, 417.4168600", \
+					  "11.5090310, 11.5603440, 11.8677170, 13.7610630, 25.3771710, 96.9035200, 417.5548400", \
+					  "11.6834760, 11.7277330, 12.0351660, 13.9352760, 25.5644520, 97.0832940, 417.7286700", \
+					  "11.8440070, 11.9004660, 12.2056300, 14.0963770, 25.7201030, 97.2413400, 417.8930100", \
+					  "12.0034660, 12.0508180, 12.3592150, 14.2564970, 25.8692730, 97.3970630, 418.0478200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0348708, 3.1122305, 3.5949930, 6.6021011, 25.0090900, 138.9383200, 350.6021900", \
+					  "3.0351404, 3.1121903, 3.5950032, 6.6021038, 25.0205440, 138.8597000, 350.6027900", \
+					  "3.0352656, 3.1116854, 3.5949927, 6.6021033, 25.0262550, 138.9375800, 350.5408300", \
+					  "3.0353771, 3.1117457, 3.5955490, 6.6022379, 25.0115450, 138.9184100, 350.6022500", \
+					  "3.0353173, 3.1122096, 3.5957963, 6.6015637, 25.0190020, 138.9185100, 350.6029900", \
+					  "3.0348764, 3.1121987, 3.5955503, 6.6015633, 25.0174160, 138.9373800, 350.6022500", \
+					  "3.0340017, 3.1123155, 3.5956880, 6.6022081, 25.0186450, 138.9363600, 350.5973900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3817710, 10.3817716, 10.3838350, 10.3838354, 10.3845660, 10.3845668, 10.3882570", \
+					  "10.4620840, 10.4620843, 10.5799300, 10.5799308, 10.5799318, 10.5799327, 10.5799337", \
+					  "10.6399820, 10.6783420, 10.6783424, 10.6783433, 10.6783443, 10.6783453, 10.6905100", \
+					  "10.6731800, 10.8556530, 10.8556533, 10.8556543, 10.8556552, 10.8556562, 10.8556572", \
+					  "10.9051970, 10.9053440, 10.9053445, 10.9053455, 10.9053464, 10.9053474, 10.9727630", \
+					  "10.9260440, 10.9260450, 10.9260459, 10.9260469, 10.9268250, 10.9488830, 11.0189650", \
+					  "11.0490200, 11.0491860, 11.0493250, 11.0502800, 11.0502801, 11.0502811, 11.0502821");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5168310, 16.7119400, 17.9090290, 25.2597910, 70.5531780, 349.5354100, 2071.1432000", \
+					  "16.6593580, 16.8444850, 18.0393960, 25.3670400, 70.7015890, 349.6768400, 2071.2016000", \
+					  "16.7692940, 16.9610760, 18.1546300, 25.4745950, 70.8131720, 349.8253700, 2071.2453000", \
+					  "16.8579570, 17.0505010, 18.2478990, 25.6005920, 70.8938900, 349.8400600, 2071.3621000", \
+					  "16.9298970, 17.1231540, 18.3253320, 25.6783220, 70.9853580, 349.9692100, 2071.4676000", \
+					  "17.0126280, 17.2016410, 18.4320520, 25.7319590, 71.0237980, 349.9897900, 2070.8464000", \
+					  "17.0744010, 17.2693310, 18.4974080, 25.8228800, 71.1373360, 350.3223200, 2071.7168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5816680, 14.9679340, 17.3107020, 31.9154520, 122.0358900, 679.1671000, 4109.9229000", \
+					  "14.5905150, 14.9693750, 17.3224400, 31.8585550, 122.2176800, 679.1116800, 4107.8843000", \
+					  "14.5881760, 14.9707680, 17.3258620, 31.8875200, 122.2268900, 679.0036800, 4107.5855000", \
+					  "14.5816630, 14.9645290, 17.3209360, 31.9137530, 122.0412900, 678.8776000, 4109.3344000", \
+					  "14.5837200, 14.9693880, 17.3209020, 31.9169370, 122.0420100, 678.9381400, 4109.0325000", \
+					  "14.5854490, 14.9635650, 17.3238240, 31.8967960, 122.1771800, 678.9252300, 4109.8078000", \
+					  "14.5790160, 14.9648100, 17.3250570, 31.9031410, 122.2094100, 679.3019900, 4111.2635000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2817280, 20.4727280, 21.6335310, 28.8208050, 72.8394980, 344.0877700, 2020.2824000", \
+					  "20.4634020, 20.6552940, 21.8152310, 29.0025320, 73.0203880, 344.4533500, 2019.6183000", \
+					  "20.6603960, 20.8476220, 22.0106050, 29.1829210, 73.1308210, 344.5765100, 2021.3818000", \
+					  "20.8480810, 21.0356550, 22.2000520, 29.3744660, 73.3209400, 344.7515000, 2019.4464000", \
+					  "21.0137610, 21.2066900, 22.3533350, 29.5099270, 73.6344180, 344.9906700, 2019.9343000", \
+					  "21.1870470, 21.3749050, 22.5376930, 29.7063690, 73.7289620, 345.0834600, 2020.1333000", \
+					  "21.3483130, 21.5383780, 22.6979840, 29.8813210, 73.8371280, 345.1634400, 2019.8336000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5270560, 13.9096080, 16.2089680, 30.4057470, 118.1391800, 660.6910000, 4008.9967000", \
+					  "13.5387030, 13.8938960, 16.2067800, 30.4025850, 118.1396600, 660.3016800, 4008.8312000", \
+					  "13.5323950, 13.9093600, 16.2058030, 30.4191870, 118.4270100, 660.4360600, 4007.3628000", \
+					  "13.5311920, 13.9095040, 16.2037810, 30.3811800, 118.4247600, 660.4246900, 4006.7416000", \
+					  "13.5409280, 13.8937300, 16.1895140, 30.4002480, 118.5716700, 660.3829100, 4006.6252000", \
+					  "13.5299590, 13.9103510, 16.2085720, 30.4173040, 118.5091000, 660.6073800, 4008.4433000", \
+					  "13.5254580, 13.9056420, 16.1958290, 30.3839550, 118.2321200, 660.4045100, 4007.8121000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3994000, 10.4524560, 10.7634680, 12.6622750, 24.2764900, 95.8089000, 416.4217800", \
+					  "10.5466120, 10.5893940, 10.9051890, 12.8056040, 24.4222490, 95.9436760, 416.5311200", \
+					  "10.6508970, 10.7083670, 11.0187670, 12.9158510, 24.5469320, 96.0484600, 416.6703000", \
+					  "10.7487980, 10.7976130, 11.1098050, 13.0022540, 24.6238830, 96.1531580, 416.7405300", \
+					  "10.8183630, 10.8723890, 11.1803620, 13.0786310, 24.7010000, 96.2256480, 416.8943800", \
+					  "10.8971510, 10.9420390, 11.2613200, 13.1587980, 24.7753800, 96.3046180, 416.9795000", \
+					  "10.9665440, 11.0165130, 11.3224380, 13.2243500, 24.8384020, 96.3872040, 416.9726500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0350817, 3.1143912, 3.5950607, 6.6020451, 25.0178690, 138.9207700, 350.4676400", \
+					  "3.0339702, 3.1129728, 3.5954271, 6.6035875, 25.0200480, 138.8930300, 350.6753500", \
+					  "3.0348331, 3.1123009, 3.5961203, 6.6035510, 25.0181870, 138.8881400, 350.6931700", \
+					  "3.0352463, 3.1123155, 3.5954462, 6.6033219, 25.0140120, 138.8432800, 350.7078900", \
+					  "3.0341694, 3.1121936, 3.5956638, 6.6020172, 25.0131470, 138.8802100, 350.4750100", \
+					  "3.0349279, 3.1117142, 3.5966332, 6.6035928, 25.0138030, 138.8769800, 350.7317100", \
+					  "3.0339666, 3.1123497, 3.5951044, 6.6020336, 25.0176240, 138.9065600, 350.5977900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.1706776, 9.1710799, 9.1737240, 9.1737247, 9.1737257, 9.1737266, 9.1737276", \
+					  "9.3265324, 9.3323118, 9.3323122, 9.3323131, 9.3323141, 9.3323150, 9.3363983", \
+					  "9.4286525, 9.4495558, 9.4673860, 9.4673868, 9.4673877, 9.4673887, 9.4673896", \
+					  "9.5015844, 9.5015880, 9.5015884, 9.5015893, 9.5076995, 9.5077005, 9.5560786", \
+					  "9.5959889, 9.6397059, 9.6397062, 9.6397071, 9.6397081, 9.6397090, 9.6538306", \
+					  "9.6994732, 9.6994739, 9.6994749, 9.6994758, 9.6994768, 9.6994777, 9.6994787", \
+					  "9.7420658, 9.7424246, 9.7424691, 9.7424694, 9.7424703, 9.7424713, 9.7659294");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5717070, 10.6227590, 10.9366870, 12.9019660, 19.2503060, 39.3467130, 160.9738400", \
+					  "10.7116350, 10.7628340, 11.0775380, 13.0404500, 19.3940210, 39.4874000, 161.1135600", \
+					  "10.8206170, 10.8718460, 11.1866600, 13.1501940, 19.4979130, 39.5996980, 161.2217500", \
+					  "10.9126060, 10.9636500, 11.2778060, 13.2425510, 19.5914320, 39.6859220, 161.3041100", \
+					  "10.9895420, 11.0405620, 11.3547830, 13.3198390, 19.6730170, 39.7669420, 161.3810800", \
+					  "11.0644850, 11.1156920, 11.4303150, 13.3946360, 19.7412810, 39.8368700, 161.4650100", \
+					  "11.1338650, 11.1849520, 11.4985350, 13.4630400, 19.8092390, 39.9034900, 161.5249200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0905518, 3.1744141, 3.7005818, 5.6414984, 8.4199332, 33.4703320, 200.3601200", \
+					  "3.0900811, 3.1742495, 3.7003522, 5.6412546, 8.4192937, 33.4692340, 200.3598600", \
+					  "3.0899703, 3.1742138, 3.7002408, 5.6411129, 8.4183682, 33.4703750, 200.2559000", \
+					  "3.0904983, 3.1742686, 3.7002206, 5.6418958, 8.4138838, 33.4662360, 200.3647900", \
+					  "3.0894891, 3.1743623, 3.7004073, 5.6416161, 8.4115923, 33.4711810, 200.3648700", \
+					  "3.0899783, 3.1742036, 3.7002521, 5.6418030, 8.4193328, 33.4716790, 200.2809100", \
+					  "3.0906684, 3.1743774, 3.7002392, 5.6405538, 8.4181124, 33.4646300, 200.3641100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3430100, 13.3943620, 13.7098280, 15.5415790, 20.5202730, 39.7652860, 82.7232400", \
+					  "13.5260150, 13.5770950, 13.8887010, 15.7224120, 20.6999430, 39.9437210, 82.8675300", \
+					  "13.7154030, 13.7665030, 14.0822790, 15.9132120, 20.8887200, 40.1266220, 83.0950100", \
+					  "13.9062820, 13.9573900, 14.2688490, 16.1048900, 21.0857130, 40.3261210, 83.2894290", \
+					  "14.0775200, 14.1286640, 14.4402930, 16.2807810, 21.2522600, 40.4987870, 83.4658640", \
+					  "14.2471330, 14.2982190, 14.6097100, 16.4511760, 21.4242170, 40.6542920, 83.6230920", \
+					  "14.4107140, 14.4616200, 14.7733940, 16.6106060, 21.5838030, 40.8286520, 83.7672470");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9764897, 3.0537348, 3.4969467, 4.9724012, 6.9018347, 29.0070510, 48.4102710", \
+					  "2.9787872, 3.0534055, 3.4958889, 4.9708722, 6.9017183, 29.0084880, 48.3743920", \
+					  "2.9790075, 3.0541882, 3.4968184, 4.9705213, 6.8866517, 29.0101880, 48.4177280", \
+					  "2.9791600, 3.0542364, 3.4965739, 4.9711071, 6.9043966, 29.0090560, 48.3971510", \
+					  "2.9785174, 3.0540837, 3.4952947, 4.9730380, 6.8920101, 29.0081520, 48.4698820", \
+					  "2.9790412, 3.0542064, 3.4964853, 4.9726632, 6.8878458, 29.0020520, 48.3808620", \
+					  "2.9797254, 3.0543783, 3.4955856, 4.9672186, 6.8941719, 29.0079760, 48.2852120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.2285743, 9.2285753, 9.2366568, 9.2366577, 9.2366586, 9.2366596, 9.2930090", \
+					  "9.3539230, 9.3706021, 9.3727159, 9.3727165, 9.3993160, 9.3993164, 9.4387991", \
+					  "9.4948964, 9.4948965, 9.4948974, 9.5125925, 9.5125928, 9.5125938, 9.5125947", \
+					  "9.6028501, 9.6028505, 9.6028514, 9.6028524, 9.6028533, 9.6028543, 9.6596073", \
+					  "9.6737249, 9.6737257, 9.6737266, 9.6737276, 9.6737285, 9.6737295, 9.7344888", \
+					  "9.7434718, 9.7434726, 9.7434736, 9.7434745, 9.7493009, 9.7493015, 9.7657935", \
+					  "9.8425632, 9.8426023, 9.8426033, 9.8426042, 9.8426052, 9.8426061, 9.8788489");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0610567, 8.0726590, 8.1508889, 8.1508890, 8.1508899, 8.1508909, 8.1508918", \
+					  "8.2570839, 8.2985077, 8.2989180, 8.2989183, 8.2989193, 8.2989202, 8.3184437", \
+					  "8.4075680, 8.4143075, 8.4143081, 8.4143091, 8.4143100, 8.4143110, 8.4409750", \
+					  "8.5057632, 8.5072589, 8.5072599, 8.5072608, 8.5072618, 8.5072628, 8.5127398", \
+					  "8.5758742, 8.5758911, 8.5908960, 8.5908962, 8.5908971, 8.5908981, 8.5908990", \
+					  "8.5971674, 8.6608853, 8.6608854, 8.6608863, 8.6608873, 8.6608882, 8.6976524", \
+					  "8.7297876, 8.7299883, 8.7299886, 8.7299896, 8.7299905, 8.7299915, 8.7651237");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6162818, 7.6162823, 7.6162828, 7.6162832, 7.6162837, 7.6162842, 7.6162847", \
+					  "7.7477909, 7.7546245, 7.7546247, 7.7546251, 7.7546256, 7.7546261, 7.7546266", \
+					  "7.8611908, 7.8611911, 7.8611916, 7.8611920, 7.8611925, 7.8611930, 7.8611935", \
+					  "7.9527842, 7.9534299, 7.9534300, 7.9534305, 7.9534309, 7.9534314, 7.9534319", \
+					  "8.0325497, 8.0325504, 8.0325513, 8.0325523, 8.0325532, 8.0325542, 8.0325552", \
+					  "8.1067455, 8.1067462, 8.1067472, 8.1067482, 8.1067491, 8.1067501, 8.1067510", \
+					  "8.1732496, 8.1732498, 8.1732507, 8.1732517, 8.1732526, 8.1732536, 8.1732545");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9400520, 10.9906100, 11.3017310, 13.2403060, 19.7013690, 39.7910010, 161.4111200", \
+					  "11.1218960, 11.1729950, 11.4854270, 13.4247260, 19.8840110, 39.9736140, 161.5852300", \
+					  "11.3109370, 11.3637590, 11.6757220, 13.6151290, 20.0718060, 40.1632190, 161.7856200", \
+					  "11.5017880, 11.5524040, 11.8625540, 13.8064060, 20.2660270, 40.3515550, 161.9721100", \
+					  "11.6748700, 11.7250720, 12.0360320, 13.9722900, 20.4379320, 40.5291910, 162.1403100", \
+					  "11.8366120, 11.8940050, 12.2013890, 14.1411200, 20.6026060, 40.6981610, 162.3070000", \
+					  "11.9965830, 12.0456210, 12.3595170, 14.3049650, 20.7570600, 40.8496740, 162.4621700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866294, 3.1721887, 3.6959569, 5.7388174, 8.5390344, 33.4677640, 200.1974600", \
+					  "3.0869909, 3.1720815, 3.6959618, 5.7385581, 8.5397325, 33.4724780, 200.3644500", \
+					  "3.0871463, 3.1721850, 3.6959631, 5.7395098, 8.5345029, 33.4612480, 200.3558600", \
+					  "3.0866081, 3.1721936, 3.6959635, 5.7397260, 8.5381959, 33.4677670, 200.3415900", \
+					  "3.0869902, 3.1709624, 3.6959669, 5.7385567, 8.5395806, 33.4677420, 200.3646000", \
+					  "3.0867109, 3.1721834, 3.6958783, 5.7385585, 8.5373433, 33.4774660, 200.3608700", \
+					  "3.0870964, 3.1720286, 3.6959638, 5.7389991, 8.5395753, 33.4672380, 200.3645100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6276970, 21.8125070, 22.9758010, 30.1222800, 74.1162940, 345.7091100, 2021.4877000", \
+					  "21.8106870, 21.9991830, 23.1586980, 30.3026300, 74.3629020, 345.9092600, 2021.0598000", \
+					  "22.0003700, 22.1868090, 23.3486990, 30.4888170, 74.4877230, 346.0391700, 2020.3343000", \
+					  "22.1854040, 22.3762000, 23.5425300, 30.6791850, 74.7960480, 346.3116200, 2022.2035000", \
+					  "22.3641890, 22.5463750, 23.6981690, 30.8559900, 74.9741820, 346.4256200, 2022.2376000", \
+					  "22.5310650, 22.7184000, 23.8791530, 31.0234720, 75.0748800, 346.5998500, 2020.8133000", \
+					  "22.6816150, 22.8746040, 24.0295820, 31.1780080, 75.2960570, 346.7671700, 2020.9643000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5221110, 13.8822370, 16.1851400, 30.4195990, 118.1519300, 660.7911000, 4003.4311000", \
+					  "13.5191510, 13.8976570, 16.1808060, 30.3600420, 118.1450400, 660.7300500, 4006.8312000", \
+					  "13.5219020, 13.9000720, 16.1857510, 30.3798620, 118.1537600, 661.1900300, 4007.0167000", \
+					  "13.5333180, 13.8994930, 16.2118750, 30.3792010, 118.5083200, 660.7287400, 4006.1855000", \
+					  "13.5188700, 13.8829750, 16.1963990, 30.4120360, 118.4643700, 661.0084100, 4006.3937000", \
+					  "13.5213800, 13.9004190, 16.1866730, 30.4187820, 118.1466000, 660.6483700, 4006.4247000", \
+					  "13.5332450, 13.8831300, 16.1872120, 30.4172580, 118.4660100, 660.7762700, 4004.0582000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7497093, 7.7666984, 7.7893256, 7.7893260, 7.7893265, 7.7893270, 7.7893274", \
+					  "7.9324352, 7.9324353, 7.9324358, 7.9324363, 7.9324368, 7.9324372, 7.9324377", \
+					  "8.0478157, 8.0478158, 8.0478168, 8.0478178, 8.0478187, 8.0478197, 8.0478206", \
+					  "8.1420009, 8.1427212, 8.1427217, 8.1427227, 8.1427236, 8.1427246, 8.1427255", \
+					  "8.2220284, 8.2246565, 8.2246566, 8.2246576, 8.2246585, 8.2246595, 8.2246604", \
+					  "8.2992857, 8.2992864, 8.2992874, 8.2992883, 8.2992893, 8.2992902, 8.2992912", \
+					  "8.3650971, 8.3650976, 8.3650985, 8.3650995, 8.3651004, 8.3651014, 8.3651023");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943670, 8.0943676, 8.0943685, 8.0943695, 8.0943704, 8.0943714, 8.0943723", \
+					  "8.2202165, 8.2202173, 8.2566913, 8.2566915, 8.2566925, 8.2566934, 8.2566944", \
+					  "8.3672296, 8.3672300, 8.3672309, 8.3811269, 8.3811279, 8.3811288, 8.4046068", \
+					  "8.4614573, 8.4614578, 8.4614587, 8.4614597, 8.4614606, 8.4614616, 8.4835898", \
+					  "8.5226839, 8.5226846, 8.5409209, 8.5607255, 8.5607257, 8.5607267, 8.6138936", \
+					  "8.6143643, 8.6143652, 8.6143661, 8.6143671, 8.6143680, 8.6143690, 8.6366692", \
+					  "8.6600682, 8.6600690, 8.6600700, 8.6600709, 8.6600719, 8.6600729, 8.7563095");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4934190, 14.5468340, 14.8652760, 16.7552820, 28.1429530, 94.8143040, 186.4180600", \
+					  "14.6756100, 14.7288840, 15.0522150, 16.9371680, 28.3272230, 95.0006150, 186.6052100", \
+					  "14.8683970, 14.9203160, 15.2377200, 17.1251830, 28.4917030, 95.1891010, 186.7849700", \
+					  "15.0571340, 15.1091180, 15.4261650, 17.3161200, 28.7048640, 95.3792070, 186.9675000", \
+					  "15.2325100, 15.2829890, 15.6027420, 17.4922650, 28.8744810, 95.5532540, 187.1656800", \
+					  "15.3982110, 15.4486610, 15.7701000, 17.6524270, 29.0225530, 95.7182210, 187.3302200", \
+					  "15.5520560, 15.6042060, 15.9217420, 17.8163670, 29.2100300, 95.8700000, 187.4989100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0563714, 3.1230392, 3.5683581, 6.3923418, 24.3046220, 97.2252890, 63.6743560", \
+					  "3.0561818, 3.1230871, 3.5683345, 6.3924515, 24.3041570, 97.3004360, 63.6266860", \
+					  "3.0582601, 3.1257226, 3.5681924, 6.3936274, 24.2865620, 97.2390670, 63.6678550", \
+					  "3.0564105, 3.1234002, 3.5679866, 6.3937815, 24.3047960, 97.1964340, 63.7853650", \
+					  "3.0563878, 3.1261287, 3.5679624, 6.3923311, 24.3055740, 97.2217080, 63.6733830", \
+					  "3.0528022, 3.1294234, 3.5683425, 6.3954451, 24.2827340, 97.2218640, 63.7259770", \
+					  "3.0561011, 3.1261440, 3.5683418, 6.3930017, 24.3057020, 97.1826850, 63.6976130");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1196569, 8.1212752, 8.1427745, 8.1427751, 8.1427761, 8.1427770, 8.1427780", \
+					  "8.2680858, 8.2681249, 8.2681251, 8.2681261, 8.2681270, 8.2681280, 8.2861904", \
+					  "8.3832212, 8.3848057, 8.3848062, 8.3848072, 8.3848081, 8.3848091, 8.3992715", \
+					  "8.4781204, 8.4781213, 8.4808933, 8.4811074, 8.4811082, 8.4811092, 8.4875245", \
+					  "8.5965288, 8.5965295, 8.5965305, 8.5965314, 8.5965324, 8.5965333, 8.6163148", \
+					  "8.6321608, 8.6321617, 8.6321626, 8.6321636, 8.6321645, 8.6321655, 8.6441707", \
+					  "8.6989234, 8.6989989, 8.6989990, 8.6989999, 8.6990009, 8.6990018, 8.7128331");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0931297, 8.1239859, 8.1239868, 8.1239877, 8.1239887, 8.1239896, 8.1962668", \
+					  "8.2221847, 8.2255855, 8.2609510, 8.2609516, 8.2609525, 8.2609535, 8.2609544", \
+					  "8.3724361, 8.3779419, 8.3779426, 8.3848803, 8.3848806, 8.3848815, 8.4092733", \
+					  "8.4656042, 8.4744016, 8.4744020, 8.4744030, 8.4744039, 8.4744049, 8.4850180", \
+					  "8.5253955, 8.5253959, 8.5450766, 8.5665457, 8.5665460, 8.5665470, 8.6289518", \
+					  "8.6177874, 8.6217780, 8.6217781, 8.6217790, 8.6217800, 8.6217809, 8.6398586", \
+					  "8.6549541, 8.6629157, 8.6629158, 8.6629167, 8.6629177, 8.6629186, 8.7421546");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7637345, 7.7980244, 7.7980245, 7.7980249, 7.7980254, 7.7980259, 7.7980264", \
+					  "7.9470892, 7.9470895, 7.9470900, 7.9470904, 7.9470909, 7.9470914, 7.9470919", \
+					  "8.0635836, 8.0635839, 8.0635849, 8.0635858, 8.0635868, 8.0635877, 8.0635887", \
+					  "8.1565315, 8.1575582, 8.1575590, 8.1575599, 8.1575609, 8.1575618, 8.1575628", \
+					  "8.2367011, 8.2374934, 8.2374940, 8.2374950, 8.2374959, 8.2374969, 8.2374979", \
+					  "8.3136378, 8.3136383, 8.3136392, 8.3136402, 8.3136411, 8.3136421, 8.3136430", \
+					  "8.3798106, 8.3798109, 8.3798118, 8.3798128, 8.3798137, 8.3798147, 8.3798156");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6115549, 7.6167508, 7.6213693, 7.6213697, 7.6213701, 7.6213706, 7.6213711", \
+					  "7.7485911, 7.7593132, 7.7593134, 7.7593139, 7.7593143, 7.7593148, 7.7593153", \
+					  "7.8617894, 7.8629396, 7.8664044, 7.8664048, 7.8664053, 7.8664058, 7.8664063", \
+					  "7.9535374, 7.9561477, 7.9589215, 7.9589217, 7.9589222, 7.9589227, 7.9589232", \
+					  "8.0332221, 8.0389926, 8.0389934, 8.0389944, 8.0389953, 8.0389963, 8.0389972", \
+					  "8.1049878, 8.1102112, 8.1102119, 8.1102129, 8.1102138, 8.1102148, 8.1102157", \
+					  "8.1741929, 8.1775484, 8.1775489, 8.1775499, 8.1775508, 8.1775518, 8.1775528");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4026580, 10.4511630, 10.7644420, 12.7047740, 19.1656860, 39.2502420, 160.8687200", \
+					  "10.5405090, 10.5903710, 10.9029990, 12.8418420, 19.3047620, 39.3925250, 160.9979000", \
+					  "10.6516750, 10.7041250, 11.0125330, 12.9520310, 19.4153830, 39.5044600, 161.1211400", \
+					  "10.7454260, 10.7920970, 11.1049050, 13.0469440, 19.5040040, 39.5938250, 161.2160800", \
+					  "10.8198150, 10.8715210, 11.1854850, 13.1220890, 19.5834920, 39.6696680, 161.2870600", \
+					  "10.8933570, 10.9441090, 11.2543260, 13.1956130, 19.6522730, 39.7459170, 161.3594800", \
+					  "10.9615210, 11.0114530, 11.3214040, 13.2632650, 19.7235740, 39.8129960, 161.4335500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0868088, 3.1718670, 3.6958787, 5.7392211, 8.5382110, 33.4764110, 200.2080100", \
+					  "3.0869824, 3.1709835, 3.6958736, 5.7389018, 8.5403142, 33.4686630, 200.3670800", \
+					  "3.0867762, 3.1719636, 3.6958805, 5.7390133, 8.5403213, 33.4719990, 200.3610400", \
+					  "3.0869007, 3.1710901, 3.6958798, 5.7388121, 8.5416749, 33.4598200, 200.3663900", \
+					  "3.0868073, 3.1718372, 3.6960732, 5.7395551, 8.5403498, 33.4705030, 200.2438000", \
+					  "3.0866809, 3.1720764, 3.6959056, 5.7398157, 8.5378140, 33.4693130, 200.3613000", \
+					  "3.0867024, 3.1721055, 3.6959451, 5.7387943, 8.5402982, 33.4604630, 200.3665400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5121930, 10.5476120, 10.5476127, 10.5476137, 10.5476146, 10.5476156, 10.5539540", \
+					  "10.6677630, 10.6677633, 10.6677642, 10.6677652, 10.6677661, 10.6677671, 10.7004390", \
+					  "10.7852370, 10.7852378, 10.7852388, 10.7852397, 10.7852407, 10.7852417, 10.8160990", \
+					  "10.8758530, 10.8758630, 10.8758636, 10.8758646, 10.8758655, 10.8758665, 10.9118800", \
+					  "10.9565140, 10.9565149, 10.9565158, 10.9565168, 10.9565177, 10.9565187, 10.9809010", \
+					  "11.0280140, 11.0291630, 11.0291639, 11.0299460, 11.0299469, 11.0299478, 11.0580710", \
+					  "11.0983640, 11.0995860, 11.0995861, 11.0995870, 11.0995880, 11.0995889, 11.1217060");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9391210, 10.9923010, 11.3016980, 13.2412820, 19.7003050, 39.7950790, 161.4114500", \
+					  "11.1212460, 11.1723420, 11.4842260, 13.4253960, 19.8804420, 39.9796890, 161.5924400", \
+					  "11.3129840, 11.3641570, 11.6748820, 13.6154260, 20.0751630, 40.1620000, 161.7750600", \
+					  "11.5042700, 11.5528950, 11.8624350, 13.8050730, 20.2624190, 40.3553740, 161.9692800", \
+					  "11.6791230, 11.7296440, 12.0381780, 13.9746560, 20.4356410, 40.5290170, 162.1348400", \
+					  "11.8405310, 11.8892640, 12.2028530, 14.1424040, 20.5979570, 40.7043430, 162.3107800", \
+					  "11.9961430, 12.0459030, 12.3585660, 14.2975400, 20.7579110, 40.8491520, 162.4671900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0871059, 3.1712413, 3.6961838, 5.7389333, 8.5405878, 33.4750290, 200.3624600", \
+					  "3.0871388, 3.1712282, 3.6962238, 5.7398672, 8.5377332, 33.4605090, 200.3618400", \
+					  "3.0871423, 3.1724576, 3.6960468, 5.7390057, 8.5405887, 33.4603070, 200.2490600", \
+					  "3.0871439, 3.1712442, 3.6962147, 5.7389324, 8.5405745, 33.4807520, 200.3614400", \
+					  "3.0871123, 3.1710672, 3.6961669, 5.7398521, 8.5405727, 33.4676070, 200.2552700", \
+					  "3.0871423, 3.1711638, 3.6960595, 5.7389329, 8.5405203, 33.4721480, 200.2507200", \
+					  "3.0871405, 3.1712299, 3.6962231, 5.7389311, 8.5405780, 33.4606870, 200.3617000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5555540, 14.6056850, 14.9158390, 16.7392930, 21.8591760, 41.0925730, 84.1162380", \
+					  "14.7425010, 14.7874460, 15.1017210, 16.9339900, 22.0461690, 41.2995540, 84.2430620", \
+					  "14.9322780, 14.9833110, 15.2927680, 17.1151950, 22.2319920, 41.4804580, 84.4337930", \
+					  "15.1252040, 15.1718460, 15.4755800, 17.3052270, 22.4180800, 41.6706350, 84.6793570", \
+					  "15.2914340, 15.3428910, 15.6504040, 17.4799460, 22.5979610, 41.8242760, 84.8412540", \
+					  "15.4624940, 15.5113660, 15.8239750, 17.6449240, 22.7590660, 41.9975450, 84.9822190", \
+					  "15.6132960, 15.6633750, 15.9706080, 17.8007560, 22.9232770, 42.1667180, 85.1671730");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0245531, 3.0991796, 3.5347092, 5.1070357, 6.9833139, 29.0487860, 48.3949630", \
+					  "3.0257670, 3.0984515, 3.5328078, 5.1051821, 6.9836257, 29.0287830, 48.3475800", \
+					  "3.0270038, 3.0964800, 3.5327361, 5.1070397, 6.9830093, 29.0347440, 48.3298340", \
+					  "3.0270035, 3.0965071, 3.5362526, 5.1067559, 6.9846511, 29.0347120, 48.3973890", \
+					  "3.0268701, 3.0986069, 3.5298271, 5.1080082, 6.9958288, 29.0396830, 48.2975620", \
+					  "3.0269416, 3.0963136, 3.5326777, 5.1078439, 6.9827220, 29.0287120, 48.4312000", \
+					  "3.0270497, 3.0991778, 3.5363883, 5.1077857, 6.9956418, 29.0342540, 48.3809470");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9447920, 10.9931510, 11.3007590, 13.1983030, 24.8146500, 96.3420700, 416.9865200", \
+					  "11.1269260, 11.1767450, 11.4825390, 13.3819200, 24.9966070, 96.5228820, 417.1386100", \
+					  "11.3189840, 11.3691860, 11.6740610, 13.5732810, 25.1925720, 96.7171370, 417.4043800", \
+					  "11.5059550, 11.5580640, 11.8619890, 13.7626950, 25.3749160, 96.9019070, 417.5709000", \
+					  "11.6801450, 11.7230000, 12.0446590, 13.9357320, 25.5483440, 97.0808780, 417.7050500", \
+					  "11.8495360, 11.8942110, 12.2014350, 14.0990450, 25.7187320, 97.2510820, 417.8938900", \
+					  "11.9952900, 12.0533140, 12.3571130, 14.2590330, 25.8758690, 97.3992510, 418.0496400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0354939, 3.1125207, 3.5952930, 6.6021451, 25.0096920, 138.9284000, 350.5505200", \
+					  "3.0354519, 3.1125058, 3.5952956, 6.6023391, 25.0156070, 138.6897600, 350.7287500", \
+					  "3.0356249, 3.1119938, 3.5958962, 6.6023329, 25.0176380, 138.9275300, 350.6285700", \
+					  "3.0342238, 3.1125222, 3.5958736, 6.6021415, 25.0136130, 138.9048900, 350.6136700", \
+					  "3.0354936, 3.1125176, 3.5963310, 6.6022192, 25.0147830, 138.9284800, 350.4924300", \
+					  "3.0354614, 3.1125684, 3.5960561, 6.6021473, 25.0170850, 138.8260800, 350.6442000", \
+					  "3.0353358, 3.1125746, 3.5958909, 6.6021459, 25.0210800, 138.9293900, 350.6186500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5693570, 14.6271550, 14.9364360, 16.8188450, 28.2054400, 98.0702240, 525.1722500", \
+					  "14.7546680, 14.8088390, 15.1252630, 17.0100840, 28.3797950, 98.2470000, 525.3704700", \
+					  "14.9462910, 14.9993550, 15.3167910, 17.2008420, 28.5706610, 98.4429530, 525.5610600", \
+					  "15.1295850, 15.1825720, 15.4957290, 17.3842590, 28.7725740, 98.6373930, 525.7180100", \
+					  "15.3039020, 15.3529860, 15.6788360, 17.5612590, 28.9300650, 98.8083850, 525.9211700", \
+					  "15.4766480, 15.5305100, 15.8445080, 17.7343530, 29.1002480, 99.0373440, 526.1009600", \
+					  "15.6240760, 15.6788110, 15.9988540, 17.8815970, 29.2540020, 99.1343680, 526.2301700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0565137, 3.1299818, 3.5780330, 6.3920740, 24.3069260, 135.3929100, 504.1801500", \
+					  "3.0530989, 3.1299803, 3.5777652, 6.3795964, 24.3035260, 135.3893300, 504.2467100", \
+					  "3.0569052, 3.1299809, 3.5777692, 6.3795764, 24.3035250, 135.3930200, 504.2476800", \
+					  "3.0565797, 3.1298988, 3.5657852, 6.3826895, 24.2997710, 135.4024700, 504.1836200", \
+					  "3.0567098, 3.1222678, 3.5771006, 6.3884560, 24.2947170, 135.3984700, 504.2468800", \
+					  "3.0569836, 3.1299794, 3.5777650, 6.3780714, 24.3032630, 135.4421600, 504.1916300", \
+					  "3.0502258, 3.1298997, 3.5777015, 6.3814771, 24.3035970, 135.4024900, 504.1931700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1402565, 8.1448963, 8.1448970, 8.1448980, 8.1448989, 8.1448999, 8.1591551", \
+					  "8.2921652, 8.2921653, 8.2921663, 8.2921672, 8.2921682, 8.2921691, 8.3095024", \
+					  "8.3935321, 8.3935323, 8.3935333, 8.3935342, 8.3935352, 8.3935361, 8.3935371", \
+					  "8.4859852, 8.4862677, 8.4862686, 8.4862695, 8.4862705, 8.4862714, 8.4862724", \
+					  "8.5658103, 8.5658107, 8.5658117, 8.5658126, 8.5658136, 8.5658145, 8.5658155", \
+					  "8.6337142, 8.6337152, 8.6337162, 8.6337171, 8.6337181, 8.6337190, 8.6672847", \
+					  "8.7022398, 8.7023109, 8.7023111, 8.7023120, 8.7023130, 8.7023139, 8.7373939");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1039655, 8.1039663, 8.1039672, 8.1118827, 8.1118837, 8.1118846, 8.1670049", \
+					  "8.2428535, 8.2428537, 8.2428546, 8.2428556, 8.2428566, 8.2428575, 8.3639744", \
+					  "8.3486746, 8.3497618, 8.3497625, 8.3497634, 8.3497644, 8.3497654, 8.4155403", \
+					  "8.4416332, 8.4416338, 8.4416347, 8.4416357, 8.4416366, 8.4416376, 8.4959035", \
+					  "8.5256993, 8.5257001, 8.5257011, 8.5257020, 8.5257030, 8.5257040, 8.5606233", \
+					  "8.5960945, 8.6001650, 8.6001659, 8.6001668, 8.6001678, 8.6001688, 8.6421315", \
+					  "8.6436369, 8.6655216, 8.6655221, 8.6658716, 8.6658721, 8.6658731, 8.7181258");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1636221, 7.1642319, 7.1642321, 7.1739117, 7.1739119, 7.1739123, 7.1739128", \
+					  "7.3434552, 7.3449340, 7.3449343, 7.3449348, 7.3449352, 7.3449357, 7.3449362", \
+					  "7.5462010, 7.5462015, 7.5462020, 7.5462025, 7.5462029, 7.5462034, 7.6321918", \
+					  "7.7119671, 7.7469426, 7.7476603, 7.7676088, 7.7676089, 7.7676094, 7.7676099", \
+					  "7.8849043, 7.9044788, 7.9044793, 7.9063840, 7.9063843, 7.9063848, 7.9382838", \
+					  "8.0905087, 8.0905090, 8.0905099, 8.0905109, 8.0905118, 8.0905128, 8.1493940", \
+					  "8.2508373, 8.2548182, 8.2552933, 8.2552934, 8.2552944, 8.2552953, 8.2921430");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4587000, 14.5099630, 14.8172200, 16.6435590, 21.8172130, 41.1738380, 143.1023300", \
+					  "14.6468720, 14.6938950, 14.9978680, 16.8238900, 21.9983460, 41.3474410, 143.2775000", \
+					  "14.8314510, 14.8856260, 15.1893250, 17.0140220, 22.1900150, 41.5334180, 143.4799700", \
+					  "15.0221760, 15.0712460, 15.3787630, 17.2061800, 22.3828940, 41.7366480, 143.6685900", \
+					  "15.1948550, 15.2462260, 15.5495970, 17.3846360, 22.5578950, 41.9118070, 143.8435500", \
+					  "15.3562780, 15.4124910, 15.7145640, 17.5474870, 22.7202860, 42.0673060, 144.0294100", \
+					  "15.5246290, 15.5662010, 15.8833200, 17.7119780, 22.8816650, 42.2339280, 144.1674200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0314196, 3.0991110, 3.5360792, 5.1164166, 7.0394566, 32.0686590, 98.0524250", \
+					  "3.0314129, 3.1005847, 3.5360770, 5.1161368, 7.0399819, 32.0563630, 98.0647530", \
+					  "3.0286631, 3.1011700, 3.5360779, 5.1166751, 7.0400015, 32.0597520, 98.0670550", \
+					  "3.0314729, 3.1025031, 3.5360788, 5.1164086, 7.0466672, 32.0680830, 98.0768530", \
+					  "3.0313889, 3.1008252, 3.5360648, 5.1160804, 7.0462916, 32.0694690, 98.0592600", \
+					  "3.0314062, 3.1024892, 3.5360810, 5.1127915, 7.0409314, 32.0625550, 98.1085720", \
+					  "3.0308551, 3.1021030, 3.5360668, 5.1099192, 7.0394965, 32.0684420, 98.0587770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1606121, 7.1606124, 7.1834978, 7.1834982, 7.1834987, 7.1834991, 7.1834996", \
+					  "7.3452195, 7.3452795, 7.3452800, 7.3452805, 7.3452809, 7.3452814, 7.3452819", \
+					  "7.5241608, 7.5372695, 7.5372699, 7.5372704, 7.5372708, 7.5372713, 7.6027202", \
+					  "7.7168218, 7.7168220, 7.7168224, 7.7399793, 7.7399796, 7.7399800, 7.7399805", \
+					  "7.8954114, 7.8954118, 7.8954123, 7.8954127, 7.8954132, 7.8954137, 7.9109688", \
+					  "8.0606570, 8.0606571, 8.0606580, 8.0606590, 8.0606599, 8.0606609, 8.1228801", \
+					  "8.2197573, 8.2197576, 8.2197586, 8.2197595, 8.2197605, 8.2197614, 8.2958396");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9765620, 17.1696990, 18.3973230, 25.6931450, 70.9294670, 349.9585300, 2071.8737000", \
+					  "17.1622220, 17.3578480, 18.5506220, 25.8785030, 71.1353390, 350.1410800, 2072.2771000", \
+					  "17.3540670, 17.5454210, 18.7413160, 26.0678550, 71.3521620, 350.3563200, 2072.4372000", \
+					  "17.5446700, 17.7305650, 18.9245950, 26.2576340, 71.5166020, 350.5227300, 2071.7907000", \
+					  "17.7191830, 17.9046640, 19.0982800, 26.4645110, 71.6630240, 350.7195700, 2072.3655000", \
+					  "17.8770860, 18.0777380, 19.2718610, 26.6278820, 71.8312450, 350.8609700, 2072.5381000", \
+					  "18.0354650, 18.2254990, 19.4454600, 26.7948380, 72.0129520, 351.0190800, 2072.3298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5824030, 14.9651540, 17.3119300, 31.8935880, 122.1295900, 678.8287100, 4111.4836000", \
+					  "14.5790210, 14.9649500, 17.3212820, 31.9009600, 122.0200800, 678.1102700, 4111.5773000", \
+					  "14.5873890, 14.9672700, 17.3216890, 31.8889630, 121.9722200, 679.1221400, 4111.4213000", \
+					  "14.5867990, 14.9559990, 17.3273320, 31.8955950, 122.0444800, 678.6596000, 4110.2330000", \
+					  "14.5790600, 14.9558450, 17.3273000, 31.8966120, 122.1559000, 679.0693900, 4110.7201000", \
+					  "14.5823720, 14.9644810, 17.3215450, 31.8741580, 121.9583800, 678.7818200, 4109.4963000", \
+					  "14.5819370, 14.9630350, 17.3213090, 31.9080620, 122.0464400, 678.2261200, 4110.7983000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6286470, 21.8226680, 22.9832860, 30.1682480, 74.1019970, 345.4591400, 2020.8936000", \
+					  "21.8112320, 22.0040770, 23.1677450, 30.3547870, 74.2824540, 345.6426600, 2020.6994000", \
+					  "22.0024350, 22.1952550, 23.3559380, 30.5464490, 74.4810510, 345.8319200, 2022.3667000", \
+					  "22.1843610, 22.3773460, 23.5395100, 30.7339220, 74.6658200, 346.0247600, 2020.8697000", \
+					  "22.3638190, 22.5409970, 23.7187030, 30.9077990, 74.8555420, 346.1933600, 2022.1437000", \
+					  "22.5279420, 22.7172630, 23.8854620, 31.0735970, 75.0021200, 346.3569600, 2020.8936000", \
+					  "22.6867380, 22.8596950, 24.0416660, 31.2259660, 75.1776530, 346.5339400, 2021.8697000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5301570, 13.9029910, 16.2085170, 30.3983970, 118.4831400, 660.4608400, 4008.2327000", \
+					  "13.5424930, 13.9029660, 16.2058810, 30.4009050, 118.4822400, 660.3754600, 4009.0526000", \
+					  "13.5423430, 13.9003360, 16.2086240, 30.4007340, 118.4486600, 660.4419700, 4008.3783000", \
+					  "13.5444820, 13.9031680, 16.2058530, 30.4005670, 118.4862500, 660.3880800, 4008.3205000", \
+					  "13.5423170, 13.8753100, 16.2078510, 30.4035020, 118.1456500, 660.3989400, 4008.9976000", \
+					  "13.5426110, 13.9126550, 16.2085350, 30.4007950, 118.4773200, 660.3887600, 4008.9553000", \
+					  "13.5412920, 13.8779230, 16.2085760, 30.3945100, 118.1451200, 660.5272300, 4008.8175000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4283780, 10.4758920, 10.7875750, 12.7288530, 19.1779890, 39.2608750, 160.8766700", \
+					  "10.5653130, 10.6152520, 10.9282170, 12.8679080, 19.3146920, 39.4003760, 161.0194300", \
+					  "10.6746630, 10.7245630, 11.0358230, 12.9765270, 19.4226750, 39.5148730, 161.1173800", \
+					  "10.7691940, 10.8197860, 11.1301520, 13.0717720, 19.5185810, 39.6032080, 161.2168600", \
+					  "10.8444660, 10.8944880, 11.2071820, 13.1487870, 19.5955730, 39.6858330, 161.2933600", \
+					  "10.9178840, 10.9675680, 11.2788420, 13.2223240, 19.6637820, 39.7507410, 161.3704200", \
+					  "10.9864540, 11.0378330, 11.3483080, 13.2898340, 19.7364860, 39.8182430, 161.4349700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866760, 3.1713148, 3.6961805, 5.7264860, 8.5275467, 33.4708150, 200.3597900", \
+					  "3.0868272, 3.1715275, 3.6966947, 5.7263776, 8.5278149, 33.4832780, 200.3653900", \
+					  "3.0882490, 3.1712917, 3.6961889, 5.7261542, 8.5278975, 33.4619730, 200.3652100", \
+					  "3.0867062, 3.1717844, 3.6964207, 5.7268932, 8.5228375, 33.4649710, 200.3555600", \
+					  "3.0873615, 3.1714160, 3.6964232, 5.7268927, 8.5227834, 33.4597270, 200.2722100", \
+					  "3.0877227, 3.1713061, 3.6961953, 5.7266001, 8.5206802, 33.4754700, 200.3596900", \
+					  "3.0868865, 3.1719463, 3.6965850, 5.7267231, 8.5225338, 33.4752630, 200.3553400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7723780, 20.9630480, 22.1461620, 29.3856810, 73.4337180, 344.9765100, 2018.2595000", \
+					  "20.9565750, 21.1471730, 22.3263200, 29.5287580, 73.7149790, 345.0805400, 2020.9109000", \
+					  "21.1435420, 21.3364900, 22.5199720, 29.7567200, 73.8379950, 345.4310600, 2020.8849000", \
+					  "21.3358100, 21.5272650, 22.7070580, 29.9515540, 74.1047400, 345.3418500, 2019.2806000", \
+					  "21.5082690, 21.7031480, 22.8802950, 30.1109000, 74.2046780, 345.5038800, 2019.3113000", \
+					  "21.6758980, 21.8678910, 23.0474630, 30.2496020, 74.3769700, 345.9287000, 2020.0675000", \
+					  "21.8390390, 22.0323240, 23.2126960, 30.4103090, 74.5186310, 345.8320100, 2022.1523000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5206610, 13.9043380, 16.1878070, 30.4247510, 118.4373800, 660.7176600, 4006.0113000", \
+					  "13.5200010, 13.9003550, 16.1963230, 30.4086040, 118.4421300, 660.4419100, 4002.3592000", \
+					  "13.5197380, 13.9023730, 16.2004530, 30.4285170, 118.4867500, 660.5880600, 4005.9035000", \
+					  "13.5192740, 13.9022130, 16.1939320, 30.4240610, 118.5180200, 660.6696300, 4004.6239000", \
+					  "13.5144280, 13.8916690, 16.2037990, 30.4237740, 118.5000600, 660.3701800, 4006.7221000", \
+					  "13.5222800, 13.9023390, 16.1940490, 30.4234360, 118.5219200, 660.7999100, 4006.8267000", \
+					  "13.5134520, 13.9045820, 16.1871000, 30.4285910, 118.4789200, 660.3743300, 4002.3606000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9360500, 10.9945460, 11.3004020, 13.1978710, 24.8115450, 96.3377000, 416.9481800", \
+					  "11.1244760, 11.1766780, 11.4838740, 13.3902260, 24.9917330, 96.5186260, 417.1805600", \
+					  "11.3115060, 11.3689690, 11.6782000, 13.5713050, 25.1880920, 96.7116950, 417.3455200", \
+					  "11.5065300, 11.5568790, 11.8617940, 13.7624990, 25.3753270, 96.9053320, 417.5367100", \
+					  "11.6788930, 11.7275080, 12.0430020, 13.9335090, 25.5463740, 97.0747540, 417.7188700", \
+					  "11.8479830, 11.8971150, 12.2103970, 14.1047230, 25.7129140, 97.2447150, 417.8428700", \
+					  "12.0117840, 12.0504750, 12.3586100, 14.2557600, 25.8676080, 97.3931260, 418.0203600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0346747, 3.1121958, 3.5950591, 6.6017014, 25.0102340, 138.9088000, 350.4544800", \
+					  "3.0344778, 3.1121872, 3.5949013, 6.6040156, 25.0111360, 138.9361700, 350.5910200", \
+					  "3.0342429, 3.1127310, 3.5955212, 6.6021135, 24.9976800, 138.9368300, 350.5694200", \
+					  "3.0348257, 3.1122056, 3.5957652, 6.6034023, 25.0182260, 138.9254600, 350.6227400", \
+					  "3.0348426, 3.1117096, 3.5955057, 6.6021477, 25.0163180, 138.9369400, 350.4819700", \
+					  "3.0348191, 3.1123368, 3.5961107, 6.6032846, 25.0200910, 138.9370800, 350.6883100", \
+					  "3.0348466, 3.1122651, 3.5950602, 6.6022050, 25.0100440, 138.9102900, 350.6065100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6249120, 21.8092140, 22.9755240, 30.1613630, 74.2314940, 345.6964900, 2021.3854000", \
+					  "21.8014280, 21.9912550, 23.1571780, 30.3415750, 74.3650260, 345.9250000, 2020.9382000", \
+					  "21.9944680, 22.1821190, 23.3484250, 30.5329560, 74.4903300, 346.1187800, 2020.9263000", \
+					  "22.1828400, 22.3728130, 23.5370160, 30.7225000, 74.6737710, 346.2557700, 2021.4834000", \
+					  "22.3654520, 22.5565860, 23.7183360, 30.8547920, 74.9707280, 346.4981500, 2020.6185000", \
+					  "22.5319830, 22.7094130, 23.8770910, 31.0610560, 75.0110090, 346.6326500, 2021.4729000", \
+					  "22.6858990, 22.8651300, 24.0332980, 31.1829960, 75.1676690, 346.8206300, 2021.3074000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5449120, 13.8858190, 16.1844760, 30.3978820, 118.5018500, 660.8110500, 4007.7994000", \
+					  "13.5447100, 13.8846660, 16.1847660, 30.4031500, 118.1517500, 660.7179400, 4008.8385000", \
+					  "13.5426210, 13.8848230, 16.1852770, 30.4021410, 118.1481000, 660.7240800, 4007.4979000", \
+					  "13.5445110, 13.8850910, 16.1852420, 30.3962620, 118.4088300, 660.8107100, 4009.1695000", \
+					  "13.5365360, 13.9119860, 16.2119940, 30.4200260, 118.4696900, 660.6841200, 4008.8953000", \
+					  "13.5276560, 13.8837360, 16.2099740, 30.3997500, 118.3718500, 660.9744800, 4007.7725000", \
+					  "13.5300490, 13.8811310, 16.2016710, 30.4201500, 118.3994800, 660.7391500, 4007.2505000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9785960, 17.1713240, 18.3712460, 25.7259970, 70.9919950, 349.9366400, 2071.4322000", \
+					  "17.1625270, 17.3528250, 18.5589680, 25.9076480, 71.1346360, 350.1523600, 2071.4429000", \
+					  "17.3511750, 17.5437070, 18.7423450, 26.0979490, 71.3209470, 350.3382400, 2071.8635000", \
+					  "17.5377420, 17.7331910, 18.9290700, 26.2858610, 71.5147830, 350.5207100, 2072.4383000", \
+					  "17.7144380, 17.9064530, 19.0984540, 26.4584390, 71.6914810, 350.6952200, 2072.6661000", \
+					  "17.8835770, 18.0718850, 19.2718750, 26.5963710, 71.8601500, 350.8956200, 2072.1893000", \
+					  "18.0362160, 18.2251970, 19.4244270, 26.7840220, 72.0100890, 351.0170600, 2072.0831000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5795340, 14.9674640, 17.3311750, 31.9034580, 122.1113200, 678.8894800, 4109.8256000", \
+					  "14.5923970, 14.9662020, 17.3312670, 31.9031810, 122.1688100, 678.7361700, 4109.7337000", \
+					  "14.5803030, 14.9661030, 17.3317730, 31.9044280, 122.1550000, 678.6274300, 4110.6173000", \
+					  "14.5824790, 14.9620620, 17.3321390, 31.9027260, 122.0929800, 678.9793500, 4113.4963000", \
+					  "14.5796090, 14.9660140, 17.3276720, 31.9027970, 122.1075600, 678.5776900, 4111.4599000", \
+					  "14.5795240, 14.9613350, 17.3312670, 31.8639480, 122.1037700, 679.1638600, 4110.9956000", \
+					  "14.5794880, 14.9718740, 17.3319170, 31.9035320, 122.0936200, 678.6299900, 4109.3313000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5750980, 14.6235120, 14.9435400, 16.8291230, 28.2036690, 98.1769690, 525.1977800", \
+					  "14.7572070, 14.8085740, 15.1263340, 17.0163470, 28.3897350, 98.3661150, 525.3787700", \
+					  "14.9487690, 14.9996670, 15.3147240, 17.2070910, 28.5768170, 98.5567330, 525.5703900", \
+					  "15.1361660, 15.1832160, 15.4965480, 17.3973280, 28.7651310, 98.6904280, 525.7588200", \
+					  "15.3115900, 15.3610440, 15.6676540, 17.5700330, 28.9403930, 98.8607520, 525.9299200", \
+					  "15.4795470, 15.5289720, 15.8454390, 17.7350900, 29.1075200, 99.0908260, 526.1005600", \
+					  "15.6309920, 15.6788640, 15.9935580, 17.8850410, 29.2597200, 99.2409210, 526.2539800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0542375, 3.1257619, 3.5719359, 6.3933392, 24.2921330, 135.4170800, 504.3348800", \
+					  "3.0541962, 3.1266865, 3.5714753, 6.3944392, 24.3041430, 135.4225100, 504.3207800", \
+					  "3.0541047, 3.1266927, 3.5718795, 6.3944485, 24.2909660, 135.4206800, 504.3219700", \
+					  "3.0541651, 3.1264533, 3.5694803, 6.3944832, 24.3037790, 135.4223800, 504.3354500", \
+					  "3.0542544, 3.1297476, 3.5693037, 6.3921837, 24.2649720, 135.2395600, 504.2950300", \
+					  "3.0542551, 3.1266774, 3.5717254, 6.3944157, 24.2908860, 135.4219800, 504.3358400", \
+					  "3.0533718, 3.1264531, 3.5695054, 6.3933379, 24.2958150, 135.4200700, 504.2555800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9407150, 10.9891010, 11.3016330, 13.2456270, 19.7026680, 39.7902620, 161.4097200", \
+					  "11.1239470, 11.1735330, 11.4840160, 13.4250580, 19.8835790, 39.9731060, 161.5922700", \
+					  "11.3132940, 11.3640920, 11.6770610, 13.6158080, 20.0758720, 40.1646540, 161.7882600", \
+					  "11.5027150, 11.5559460, 11.8629240, 13.8054520, 20.2634640, 40.3539140, 161.9713900", \
+					  "11.6791110, 11.7294680, 12.0402000, 13.9789470, 20.4339960, 40.5278020, 162.1528600", \
+					  "11.8404490, 11.8900920, 12.2040580, 14.1440420, 20.6075570, 40.6993910, 162.3065100", \
+					  "12.0009050, 12.0484590, 12.3674980, 14.3017040, 20.7605040, 40.8480680, 162.4656200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0867393, 3.1708869, 3.6958618, 5.7388840, 8.5405585, 33.4652950, 200.3491800", \
+					  "3.0867393, 3.1719876, 3.6958623, 5.7389555, 8.5404048, 33.4603100, 200.3630500", \
+					  "3.0867393, 3.1721101, 3.6959371, 5.7392411, 8.5408196, 33.4603880, 200.1981600", \
+					  "3.0868170, 3.1720300, 3.6958623, 5.7388845, 8.5405487, 33.4639550, 200.3630000", \
+					  "3.0867982, 3.1721172, 3.6958785, 5.7392349, 8.5376115, 33.4605450, 200.2651800", \
+					  "3.0867382, 3.1720031, 3.6958054, 5.7390914, 8.5355456, 33.4702510, 200.2029500", \
+					  "3.0867324, 3.1721179, 3.6958101, 5.7388840, 8.5406198, 33.4675400, 200.3631000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9788810, 17.1762370, 18.3706310, 25.7250380, 70.9496750, 349.9598100, 2071.6602000", \
+					  "17.1610530, 17.3543810, 18.5462560, 25.8765060, 71.1376840, 350.1473900, 2071.9401000", \
+					  "17.3569390, 17.5435330, 18.7373690, 26.0989770, 71.3262270, 350.3159300, 2071.8414000", \
+					  "17.5443460, 17.7326940, 18.9647000, 26.2867540, 71.5177680, 350.5213000, 2072.3051000", \
+					  "17.7126220, 17.9094370, 19.0973280, 26.4286690, 71.6862660, 350.7051900, 2072.6873000", \
+					  "17.8790080, 18.0720400, 19.2982410, 26.5874430, 71.8609030, 350.8999400, 2072.6902000", \
+					  "18.0365390, 18.2421100, 19.4263180, 26.7831870, 72.0132580, 350.9993000, 2072.4128000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5796750, 14.9701090, 17.3307130, 31.9033550, 121.9342500, 679.0000400, 4111.1198000", \
+					  "14.5797090, 14.9659720, 17.3245080, 31.8692560, 121.9304700, 678.9227900, 4111.4440000", \
+					  "14.5885800, 14.9629780, 17.3242520, 31.9033580, 121.9307100, 679.0347100, 4110.9688000", \
+					  "14.5884400, 14.9649870, 17.3265900, 31.9032940, 122.0725100, 678.9609900, 4109.1389000", \
+					  "14.5814440, 14.9673570, 17.3243940, 31.8771140, 121.9344500, 678.3275200, 4113.1366000", \
+					  "14.5920210, 14.9629980, 17.3278320, 31.8892430, 122.0780200, 679.0783700, 4111.3972000", \
+					  "14.5884730, 14.9659400, 17.3325690, 31.9034080, 121.9304100, 678.0131800, 4111.0211000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6287660, 21.8183520, 22.9780340, 30.1653140, 74.1192280, 345.6519300, 2020.3022000", \
+					  "21.8110790, 22.0000730, 23.1684790, 30.3543220, 74.3095680, 345.6283400, 2021.1062000", \
+					  "22.0016400, 22.1909830, 23.3532480, 30.5257860, 74.5001570, 346.0188500, 2020.8033000", \
+					  "22.1865990, 22.3772630, 23.5435690, 30.7167940, 74.6801730, 346.0339700, 2020.8965000", \
+					  "22.3500120, 22.5574970, 23.7190910, 30.9026690, 74.8571910, 346.2851100, 2022.0655000", \
+					  "22.5358100, 22.7217520, 23.8811620, 31.0715120, 75.0283750, 346.3540000, 2021.6453000", \
+					  "22.6891840, 22.8745890, 24.0428870, 31.2286050, 75.2325560, 346.5076200, 2021.9434000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5307590, 13.9030960, 16.2081530, 30.3978890, 118.3613700, 660.4642500, 4007.1368000", \
+					  "13.5406270, 13.9127690, 16.2044010, 30.3990890, 118.2449300, 660.3828500, 4008.3446000", \
+					  "13.5308880, 13.9129080, 16.2034470, 30.4212120, 118.2452400, 660.4136000, 4007.7002000", \
+					  "13.5385050, 13.9019910, 16.2030740, 30.4212410, 118.3634000, 660.5229100, 4006.8044000", \
+					  "13.5275450, 13.8976130, 16.2030390, 30.4031000, 118.3374400, 660.4797700, 4008.3319000", \
+					  "13.5405250, 13.8983500, 16.2111230, 30.4023470, 118.2468200, 660.3454500, 4008.1036000", \
+					  "13.5301270, 13.9020240, 16.2098580, 30.3990330, 118.5145800, 660.3180500, 4008.4724000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5713330, 10.6288200, 10.9372850, 12.8542580, 24.5170120, 96.1269320, 416.5605700", \
+					  "10.7124200, 10.7639240, 11.0771450, 12.9941810, 24.6585540, 96.2680390, 416.7018200", \
+					  "10.8242270, 10.8739200, 11.1845430, 13.1089730, 24.7652350, 96.3503270, 416.8136900", \
+					  "10.9126170, 10.9641420, 11.2804250, 13.1951530, 24.8628730, 96.4564040, 416.9162700", \
+					  "10.9895700, 11.0411200, 11.3576050, 13.2746250, 24.9485340, 96.5380170, 417.0373100", \
+					  "11.0645260, 11.1156000, 11.4354460, 13.3450760, 25.0141760, 96.6074440, 417.0531400", \
+					  "11.1376930, 11.1879290, 11.4966220, 13.4105140, 25.0793040, 96.6864700, 417.1508600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0274592, 3.1053149, 3.5896397, 6.6025110, 25.0147870, 138.8336800, 350.3350300", \
+					  "3.0291252, 3.1073026, 3.5900258, 6.6028805, 25.0130730, 138.8391400, 350.3712700", \
+					  "3.0283840, 3.1056460, 3.5895611, 6.6033206, 25.0219100, 138.9011700, 350.3721200", \
+					  "3.0264171, 3.1051481, 3.5901988, 6.6030896, 25.0165470, 138.8955300, 350.4800900", \
+					  "3.0274137, 3.1051959, 3.5902097, 6.6023675, 25.0177980, 138.9096400, 350.3512000", \
+					  "3.0263592, 3.1044234, 3.5911036, 6.6030106, 25.0142500, 138.8965700, 350.3726000", \
+					  "3.0284861, 3.1064984, 3.5896419, 6.6020136, 25.0127510, 138.8324800, 350.3186000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3681590, 13.4144290, 13.7299100, 15.6254880, 27.0226790, 96.9178160, 523.9725700", \
+					  "13.5510790, 13.6035000, 13.9178780, 15.8137380, 27.2078380, 97.0956010, 524.1827800", \
+					  "13.7367660, 13.7894250, 14.1068320, 16.0029110, 27.3838370, 97.3245090, 524.3692300", \
+					  "13.9320260, 13.9844330, 14.2996650, 16.1942090, 27.5915820, 97.4623970, 524.5636900", \
+					  "14.0986750, 14.1494590, 14.4668160, 16.3621810, 27.7507100, 97.6657550, 524.7151200", \
+					  "14.2723150, 14.3247190, 14.6391690, 16.5345920, 27.9308720, 97.8038130, 524.9019100", \
+					  "14.4351540, 14.4875520, 14.8028060, 16.6972750, 28.0944280, 97.9644740, 525.0575400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0086824, 3.0837704, 3.5332786, 6.3783410, 24.3045900, 135.4116300, 503.9870500", \
+					  "3.0090983, 3.0839125, 3.5330678, 6.3787646, 24.3047700, 135.4007000, 504.0282600", \
+					  "3.0132634, 3.0846183, 3.5378167, 6.3759322, 24.3083780, 135.4074600, 504.0350900", \
+					  "3.0095042, 3.0841845, 3.5369159, 6.3791776, 24.3044710, 135.3895600, 504.0868100", \
+					  "3.0086658, 3.0848382, 3.5377814, 6.3773027, 24.3063930, 135.4181100, 503.9842100", \
+					  "3.0093916, 3.0840870, 3.5330718, 6.3790830, 24.3044610, 135.3899700, 504.0490700", \
+					  "3.0094782, 3.0841656, 3.5369703, 6.3792478, 24.3044540, 135.3886300, 504.0579400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9467090, 17.1352690, 18.3436180, 25.7355100, 71.2002400, 350.4758000, 2072.1729000", \
+					  "17.0775750, 17.2719400, 18.4828400, 25.8714330, 71.3304690, 350.6209500, 2071.7478000", \
+					  "17.1825580, 17.3772680, 18.5925300, 25.9798530, 71.4482410, 350.7185800, 2072.2434000", \
+					  "17.2862400, 17.4757290, 18.6833290, 26.0768560, 71.4967140, 350.8157200, 2071.7694000", \
+					  "17.3621560, 17.5507410, 18.7601770, 26.1538440, 71.6420700, 350.8935700, 2072.8457000", \
+					  "17.4410550, 17.6261990, 18.8338460, 26.2273740, 71.7151280, 350.9671600, 2072.0863000", \
+					  "17.4945520, 17.6890000, 18.8960440, 26.2922820, 71.7517140, 351.0368700, 2072.7473000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5860110, 14.9619570, 17.3277040, 31.8599550, 122.1671800, 678.6043500, 4111.7428000", \
+					  "14.5868600, 14.9627120, 17.3152730, 31.8613190, 121.9582100, 678.3050700, 4109.0793000", \
+					  "14.5830410, 14.9612430, 17.3152510, 31.8596630, 122.1654500, 678.3676600, 4109.4845000", \
+					  "14.5862660, 14.9619680, 17.3173510, 31.8600400, 122.1874900, 678.7864800, 4109.7287000", \
+					  "14.5864130, 14.9611200, 17.3176800, 31.8602250, 122.2023900, 678.9274500, 4113.7287000", \
+					  "14.5811840, 14.9671620, 17.3197470, 31.8607040, 122.2035600, 678.7889800, 4110.0520000", \
+					  "14.5843220, 14.9588340, 17.3169370, 31.8597630, 122.1625200, 678.9543900, 4108.1448000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1765820, 13.2331340, 13.5456800, 15.4349010, 26.8011570, 96.7341900, 523.7591300", \
+					  "13.3587330, 13.4121030, 13.7298940, 15.6154270, 26.9720830, 96.8977500, 523.9784900", \
+					  "13.5541920, 13.6058460, 13.9191170, 15.8113290, 27.1831570, 97.1573750, 524.1844300", \
+					  "13.7386090, 13.7920070, 14.1116730, 15.9953370, 27.3679210, 97.2701240, 524.3463800", \
+					  "13.9104470, 13.9638140, 14.2835100, 16.1671580, 27.5432760, 97.4505100, 524.5353200", \
+					  "14.0803800, 14.1317290, 14.4499520, 16.3388640, 27.7045690, 97.6379010, 524.6557700", \
+					  "14.2427870, 14.2941330, 14.6120040, 16.5011310, 27.8646260, 97.8025980, 524.8233500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0485356, 3.1208940, 3.5705832, 6.3929457, 24.2992080, 135.4199100, 504.2174400", \
+					  "3.0471377, 3.1228649, 3.5727366, 6.3857963, 24.3086690, 135.4153900, 504.2254500", \
+					  "3.0473926, 3.1208489, 3.5657468, 6.3910175, 24.2718910, 135.4149800, 504.2646200", \
+					  "3.0472715, 3.1229341, 3.5727459, 6.3857710, 24.3161690, 135.4171400, 504.2343200", \
+					  "3.0471419, 3.1228535, 3.5727317, 6.3857426, 24.2796360, 135.4157200, 504.2268800", \
+					  "3.0485123, 3.1195928, 3.5707077, 6.3929235, 24.3005970, 135.4200700, 504.2575100", \
+					  "3.0486031, 3.1198575, 3.5705963, 6.3929866, 24.2997710, 135.4192000, 504.2210200");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0805558, -1.1118021, -1.1430484, -1.1457451, -1.1483338, -1.1510304, -1.1537271");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0892479, 1.1354345, 1.1816210, 1.1824795, 1.1833036, 1.1841621, 1.1850206");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.00156143";
+				miller_cap_rise : "0.00267412";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("18.6606, 0.376294, 0.263585, 0.262017, 0.260169, 0.259098, 0.257896, 0.256521, 0.254913, 0.252975, 0.250548, 0.247359, 0.24299, 0.236982, 0.228988, 0.218764, 0.206105, 0.190833, 0.172786, 0.15183, 0.127849, 0.100747, 0.0704471, 0.0368823, -8.13666e-07, -0.0825278, -0.175796, -0.536955, -5.00705", \
+					  "18.6505, 0.353491, 0.237934, 0.236313, 0.234452, 0.233402, 0.232246, 0.230959, 0.229501, 0.227813, 0.225805, 0.223324, 0.220101, 0.215694, 0.209552, 0.20125, 0.190528, 0.177203, 0.161116, 0.14213, 0.120123, 0.0949888, 0.0666386, 0.0349968, -7.08615e-08, -0.0789552, -0.16897, -0.52209, -5.00106", \
+					  "18.6287, 0.275968, 0.141657, 0.140405, 0.139044, 0.138313, 0.137541, 0.13672, 0.135842, 0.134893, 0.133857, 0.132709, 0.131416, 0.129921, 0.128134, 0.125886, 0.122826, 0.118243, 0.111227, 0.10121, 0.0879446, 0.0712905, 0.0511389, 0.0274007, -6.70305e-08, -0.0650417, -0.142871, -0.466799, -4.97942", \
+					  "18.6351, 0.272439, 0.104329, 0.103304, 0.102209, 0.101628, 0.101021, 0.100384, 0.0997118, 0.0989971, 0.0982313, 0.0974026, 0.0964944, 0.0954827, 0.0943312, 0.0929788, 0.0913154, 0.0891003, 0.0857422, 0.0801511, 0.0714241, 0.0591876, 0.0432744, 0.0235738, -7.52901e-08, -0.0582751, -0.130438, -0.441239, -4.96975", \
+					  "18.6487, 0.298786, 0.0691397, 0.0683588, 0.067536, 0.0671056, 0.0666601, 0.0661976, 0.0657153, 0.0652098, 0.0646771, 0.0641115, 0.0635057, 0.0628496, 0.062129, 0.0613215, 0.0603925, 0.0592783, 0.0578415, 0.0556927, 0.0517561, 0.0446792, 0.0338298, 0.0189785, -7.37197e-08, -0.0503288, -0.116043, -0.412193, -4.95899", \
+					  "18.6576, 0.325641, 0.0529106, 0.0522541, 0.0515688, 0.051212, 0.0508449, 0.0504658, 0.0500728, 0.0496638, 0.0492359, 0.0487857, 0.0483084, 0.0477979, 0.0472452, 0.0466383, 0.0459569, 0.0451686, 0.04421, 0.0429263, 0.0407848, 0.0363737, 0.0283779, 0.016317, -8.17893e-07, -0.0458127, -0.107956, -0.396108, -4.95313", \
+					  "18.6678, 0.362221, 0.0379347, 0.0374011, 0.0368527, 0.0365694, 0.0362792, 0.035981, 0.0356737, 0.0353561, 0.0350263, 0.0346824, 0.0343214, 0.0339397, 0.0335324, 0.0330927, 0.0326099, 0.032068, 0.0314381, 0.0306602, 0.0295549, 0.027367, 0.0223532, 0.0133532, -8.16093e-07, -0.0408613, -0.0991735, -0.378807, -4.94692", \
+					  "18.6794, 0.408655, 0.0245799, 0.0241515, 0.0237395, 0.0235285, 0.0233133, 0.0230937, 0.0228684, 0.0226373, 0.0223993, 0.0221532, 0.0218974, 0.0216305, 0.0213494, 0.0210505, 0.0207294, 0.0203783, 0.0199855, 0.0195269, 0.0189509, 0.018045, 0.0157367, 0.0100353, -1.22353e-08, -0.0354075, -0.0896014, -0.360124, -4.94029", \
+					  "18.6931, 0.465225, 0.0135274, 0.0130977, 0.0128206, 0.0126799, 0.0125372, 0.0123922, 0.0122448, 0.0120945, 0.011941, 0.0117837, 0.0116221, 0.0114552, 0.0112821, 0.0111012, 0.0109106, 0.0107074, 0.0104871, 0.0102422, 0.00995758, 0.00959111, 0.00889346, 0.00638079, -1.02698e-08, -0.0293561, -0.0791285, -0.339862, -4.93321", \
+					  "18.7093, 0.532591, 0.00627935, 0.00524889, 0.00509418, 0.00501808, 0.00494136, 0.00486395, 0.00478575, 0.00470666, 0.00462655, 0.00454526, 0.00446261, 0.00437833, 0.00429213, 0.00420358, 0.00411211, 0.00401692, 0.00391681, 0.00380991, 0.00369286, 0.00355826, 0.0033777, 0.00283189, -1.17129e-08, -0.0225977, -0.0676336, -0.317778, -4.9256", \
+					  "18.729, 0.611227, 0.00606297, 0.00137131, 0.00129172, 0.0012639, 0.00123607, 0.00120816, 0.00118013, 0.00115198, 0.00112371, 0.00109527, 0.00106659, 0.00103764, 0.00100838, 0.000978743, 0.000948637, 0.000917928, 0.000886422, 0.000853829, 0.000819648, 0.0007829, 0.000740789, 0.00067044, -4.36551e-08, -0.0150981, -0.0550182, -0.29361, -4.91739", \
+					  "18.7515, 0.699813, 0.0176415, 0.00037187, 0.000217265, 0.00021071, 0.000204749, 0.000198821, 0.000192896, 0.000186975, 0.000181091, 0.000175365, 0.000169736, 0.000163897, 0.000157924, 0.000151895, 0.000145819, 0.000139685, 0.000133469, 0.00012714, 0.000120639, 0.000113863, 0.000106529, 9.68722e-05, -1.1567e-08, -0.00757203, -0.0414321, -0.267194, -4.90848", \
+					  "18.7759, 0.796148, 0.0433759, 0.000891637, 2.80206e-05, 2.31358e-05, 2.19673e-05, 2.10187e-05, 2.01148e-05, 1.92377e-05, 1.84201e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.73816e-05, 1.65415e-05, 1.56878e-05, 1.48161e-05, 1.39136e-05, 1.29537e-05, 1.17441e-05, -1.02081e-08, -0.00237736, -0.027799, -0.238766, -4.89894", \
+					  "18.8015, 0.898868, 0.08234, 0.00484491, 3.06935e-06, -2.23993e-05, -2.47105e-05, -2.56479e-05, -2.63069e-05, -2.68439e-05, -2.72649e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -0.000448247, -0.0156838, -0.20908, -4.88898", \
+					  "18.8281, 1.00706, 0.132368, 0.018162, 3.1441e-07, -0.000159613, -0.000173819, -0.000179169, -0.000182754, -0.000185602, -0.000187992, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00667122, -0.178991, -4.87888", \
+					  "18.8555, 1.11977, 0.190616, 0.0433502, 3.4002e-08, -0.00103108, -0.00112943, -0.0011638, -0.00118605, -0.00120349, -0.00121823, -0.00123097, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00186527, -0.149149, -4.86886", \
+					  "18.8836, 1.23585, 0.253561, 0.0763004, 6.28787e-09, -0.00568845, -0.00644807, -0.00665907, -0.00677979, -0.00686869, -0.00694129, -0.00700362, -0.00705749, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.120057, -4.85906", \
+					  "18.9121, 1.35393, 0.317834, 0.111716, 3.56603e-09, -0.0182666, -0.0228864, -0.0239843, -0.0244812, -0.0248063, -0.0250546, -0.0252589, -0.0254338, -0.0255819, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0922394, -4.84955", \
+					  "18.941, 1.47251, 0.381256, 0.146892, 3.28489e-09, -0.0359937, -0.0513353, -0.05591, -0.0575739, -0.0584972, -0.0591406, -0.0596416, -0.0600559, -0.0604085, -0.0606943, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.0665097, -4.84039", \
+					  "18.9699, 1.59019, 0.442802, 0.180929, 3.24131e-09, -0.0547606, -0.0861108, -0.0995073, -0.104405, -0.106701, -0.108126, -0.109162, -0.109982, -0.110664, -0.111237, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -4.83159", \
+					  "18.9988, 1.70581, 0.502081, 0.213572, 3.22152e-09, -0.0731217, -0.12223, -0.149619, -0.161788, -0.167116, -0.170039, -0.171992, -0.173456, -0.174632, -0.175611, -0.176406, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -4.84068", \
+					  "19.0273, 1.81861, 0.558978, 0.244773, 3.23586e-09, -0.0907304, -0.157644, -0.201559, -0.225698, -0.237129, -0.242904, -0.246419, -0.248891, -0.250792, -0.252336, -0.253612, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -4.89423", \
+					  "19.0554, 1.92813, 0.613504, 0.274557, 3.20306e-09, -0.10752, -0.191722, -0.252773, -0.292006, -0.313573, -0.324578, -0.330779, -0.334836, -0.337802, -0.34013, -0.342032, -0.343543, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -4.95985", \
+					  "19.0829, 2.03416, 0.665722, 0.302978, 3.18004e-09, -0.123499, -0.224299, -0.302262, -0.357819, -0.392913, -0.412493, -0.423259, -0.429847, -0.434394, -0.437819, -0.440548, -0.44276, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -5.03441", \
+					  "19.1097, 2.13663, 0.715716, 0.330097, 3.16213e-09, -0.1387, -0.255363, -0.349699, -0.421715, -0.472158, -0.503664, -0.521724, -0.532354, -0.539284, -0.544267, -0.548109, -0.551193, -0.553553, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -5.11721", \
+					  "19.1614, 2.33099, 0.809423, 0.380698, 3.13353e-09, -0.166926, -0.313145, -0.438258, -0.541952, -0.624103, -0.685061, -0.726452, -0.752324, -0.76829, -0.778778, -0.786251, -0.79194, -0.796424, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -5.30615", \
+					  "19.2103, 2.51171, 0.895399, 0.426869, 3.10951e-09, -0.192522, -0.365597, -0.518814, -0.651781, -0.764156, -0.855708, -0.926493, -0.97743, -1.0114, -1.03332, -1.04793, -1.05831, -1.06613, -1.07217, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -5.52292", \
+					  "19.3413, 2.98054, 1.11396, 0.543209, 3.05073e-09, -0.256345, -0.496446, -0.719966, -0.926551, -1.11583, -1.28742, -1.44092, -1.5759, -1.69186, -1.78829, -1.8649, -1.92236, -1.96339, -1.99227, -2.01303, -2.02824, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -6.28748", \
+					  "19.5136, 3.56627, 1.37919, 0.682546, 2.9811e-09, -0.331603, -0.650707, -0.957101, -1.25056, -1.53085, -1.7977, -2.05086, -2.29003, -2.51489, -2.7251, -2.92027, -3.0999, -3.26341, -3.40998, -3.53856, -3.64793, -3.73723, -3.80684, -3.85844, -3.89243, -3.91046, -3.91623, -3.94163, -7.78207");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("1.2969402, 1.8007240, 2.2448743, 2.6823170, 3.2330454");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("1.3128940, 1.8171784, 2.2611158, 2.6995782, 3.2469292");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3498552, 0.4307575, 0.4998847, 0.5675126, 0.6797961");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4010063, 0.4819254, 0.5511181, 0.6187031, 0.7308792");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("167.437");
+						index_3("0.001");
+						index_4("84.9891916, 90.0856939, 117.1829710, 133.4508480, 134.3635660");
+						values("0.658628, 1.05381, 1.31726, 1.05381, 0.658628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("101.773");
+						index_3("0.001");
+						index_4("51.9287824, 55.3809852, 73.9131895, 83.6135479, 84.2607697");
+						values("0.694152, 1.11064, 1.3883, 1.11064, 0.694152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("67.3446");
+						index_3("0.001");
+						index_4("34.5618827, 37.1265826, 49.8425710, 56.2755748, 56.8152975");
+						values("0.700814, 1.1213, 1.40163, 1.1213, 0.700814");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("83.7184");
+						index_3("0.001");
+						index_4("43.3282041, 47.3404862, 61.5202579, 64.1058897, 65.1987969");
+						values("0.329732, 0.527571, 0.659464, 0.527571, 0.329732");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("50.8867");
+						index_3("0.001");
+						index_4("26.5873120, 29.4920502, 38.4303879, 40.0384743, 40.7620981");
+						values("0.344651, 0.551441, 0.689302, 0.551441, 0.344651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("33.6723");
+						index_3("0.001");
+						index_4("17.8013105, 20.0419899, 26.0733221, 27.0959395, 27.6158247");
+						values("0.344445, 0.551112, 0.688889, 0.551112, 0.344445");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("152.66");
+						index_3("0.001");
+						index_4("76.3846300, 79.3311250, 108.5192620, 129.6101020, 130.4118930");
+						values("0.869565, 1.3913, 1.65, 1.3913, 0.869565");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("26.9413");
+						index_3("0.001");
+						index_4("13.0052023, 13.6620678, 14.1807576, 22.8134401, 23.7309617");
+						values("1.54969, 1.4895, 1.44937, 1.4895, 1.54969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("15.9372");
+						index_3("0.001");
+						index_4("8.7551514, 9.4844043, 13.2813828, 15.2521911, 16.0935257");
+						values("0.879448, 0.417116, 0.108895, 0.417116, 0.879448");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("10.8892");
+						index_3("0.001");
+						index_4("5.9388946, 6.4623427, 9.2872431, 10.8313268, 11.6362179");
+						values("0.758647, 0.223836, 0, 0.223836, 0.758647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("13.4706");
+						index_3("0.001");
+						index_4("6.6116503, 6.9189190, 7.2186060, 7.6797579, 12.2560230");
+						values("1.58278, 1.54245, 1.51557, 1.54245, 1.58278");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("7.96858");
+						index_3("0.001");
+						index_4("4.1071573, 4.2679030, 4.5530906, 6.9278077, 7.6346701");
+						values("1.5567, 1.50072, 1.46341, 1.50072, 1.5567");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("5.44458");
+						index_3("0.001");
+						index_4("2.8996829, 3.0311361, 3.3210057, 5.1768619, 5.5238425");
+						values("1.52532, 1.45051, 1.40063, 1.45051, 1.52532");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("23.9057");
+						index_3("0.001");
+						index_4("12.4512854, 12.9200046, 19.7535468, 22.4157468, 23.6352621");
+						values("0.741386, 0.196217, 0, 0.196217, 0.741386");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157976;
+			capacitance : 0.157476;
+			fall_capacitance : 0.156975;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0487250, -0.0473064, -0.0458878, -0.0462409, -0.0465798, -0.0469328, -0.0472858");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439035, 0.0449932, 0.0460829, 0.0463960, 0.0466966, 0.0470098, 0.0473229");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150650;
+			capacitance : 0.150117;
+			fall_capacitance : 0.149584;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0490589, -0.0476052, -0.0461516, -0.0464106, -0.0466593, -0.0469183, -0.0471773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0441369, 0.0448927, 0.0456485, 0.0460515, 0.0464383, 0.0468412, 0.0472441");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr.lib
new file mode 100644
index 0000000..6592903
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr.lib
@@ -0,0 +1,8251 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_ss_ss_n40C_1v60_1v65_nointpwr") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.387400e+00;
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.9702500";
+		}
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3715000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3076300";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3669900";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3836500";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2954800";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909700";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3670000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2205800";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2907400";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2751900";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2939100";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3113800";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2175000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3512100";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3667600";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3874000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006153;
+			capacitance : 0.006009;
+			fall_capacitance : 0.005865;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0257693, 3.8799966, 3.7606392, 3.6521431, 3.5726136, 3.4788548, 3.4066572", \
+					  "4.4686977, 4.3244508, 4.1974267, 4.0976367, 4.0022277, 3.9108515, 3.8496076", \
+					  "4.9257356, 4.7810683, 4.6407445, 4.5683682, 4.4500415, 4.3665891, 4.3335427", \
+					  "5.3584988, 5.2304599, 5.0988474, 4.9896636, 4.9037270, 4.8291716, 4.7570381", \
+					  "5.7792631, 5.6365417, 5.4771701, 5.3773633, 5.3229654, 5.1969240, 5.1362326", \
+					  "6.1299577, 5.9811332, 5.8434735, 5.7451508, 5.7100887, 5.5586289, 5.5013579", \
+					  "6.5308082, 6.3850332, 6.2464045, 6.1452366, 6.0745478, 6.0012766, 5.9417509");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7511197, 3.6012156, 3.3985766, 3.2101986, 3.0379713, 2.8191907, 2.6398095", \
+					  "4.1940680, 4.0379431, 3.8421806, 3.6337369, 3.4839791, 3.2652239, 3.0858063", \
+					  "4.6526412, 4.4965159, 4.3006623, 4.0938557, 3.9057364, 3.7120414, 3.5413239", \
+					  "5.1059923, 4.9498670, 4.7569449, 4.5595703, 4.3943598, 4.1723783, 3.9959558", \
+					  "5.5061096, 5.3499847, 5.1551091, 4.9497094, 4.7600566, 4.5713764, 4.3786892", \
+					  "5.8932253, 5.7371004, 5.5510785, 5.3448679, 5.1828504, 4.9527096, 4.7811349", \
+					  "6.2444239, 6.0882986, 5.8967021, 5.6968966, 5.5288443, 5.3038239, 5.1283234");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4770297, -3.3463378, -3.1691105, -3.1143516, -2.9921556, -2.9316733, -2.8809665", \
+					  "-3.9197798, -3.7847252, -3.6637897, -3.5596652, -3.4333711, -3.4117584, -3.3117866", \
+					  "-4.3736843, -4.2429226, -4.1176917, -4.0204921, -3.9115686, -3.8376908, -3.7575140", \
+					  "-4.8229729, -4.6780888, -4.5563746, -4.4640449, -4.3453145, -4.3466263, -4.2210779", \
+					  "-5.2292701, -5.0730582, -4.9581496, -4.8739246, -4.7527153, -4.6824582, -4.6115744", \
+					  "-5.6149380, -5.4716122, -5.3329587, -5.2583037, -5.1846555, -5.0842266, -4.9858288", \
+					  "-5.9829978, -5.8260172, -5.7208546, -5.6151494, -5.5253994, -5.4879640, -5.3561464");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5685912, -3.3401952, -3.1663085, -3.0389970, -2.8544322, -2.6835553, -2.4804216", \
+					  "-4.0098153, -3.7774337, -3.6122898, -3.4782905, -3.3107840, -3.1185096, -2.9160645", \
+					  "-4.4652452, -4.2328636, -4.0413989, -3.9352834, -3.7328267, -3.5767300, -3.3647198", \
+					  "-4.9008546, -4.6633219, -4.4804886, -4.3680350, -4.1402950, -4.0201364, -3.7955760", \
+					  "-5.2996747, -5.0657667, -4.8971060, -4.7659642, -4.5043073, -4.3498500, -4.1893952", \
+					  "-5.6564852, -5.4241950, -5.2523614, -5.1274990, -4.9297994, -4.7567188, -4.5215078", \
+					  "-6.0698737, -5.8461073, -5.7385432, -5.5305473, -5.3251778, -5.1426083, -4.9689334");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224908, 0.0257746, 0.0290584, 0.0289290, 0.0288046, 0.0286751, 0.0285457");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263634, 0.0309371, 0.0355109, 0.0353925, 0.0352788, 0.0351604, 0.0350420");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988038";
+				miller_cap_rise : "0.000928911";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72795e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14697e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49628e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.26404e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.9355e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.1969e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36268e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.3303e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57192e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39921e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1041102, 0.2118606, 0.3327214, 0.4728181, 0.6703711");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1746016, 0.2829072, 0.4025857, 0.5436957, 0.7396858");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277507, 0.0492649, 0.0709742, 0.0928093, 0.1219215");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997314, 0.1214195, 0.1431007, 0.1650098, 0.1940989");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.0241");
+						index_3("0.001");
+						index_4("10.4998003, 11.0987684, 13.4154425, 15.1599797, 15.6007103");
+						values("0.411744, 0.658791, 0.823489, 0.658791, 0.411744");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7755");
+						index_3("0.001");
+						index_4("6.3866243, 6.7941362, 8.5622821, 9.5233473, 9.8141053");
+						values("0.423059, 0.676894, 0.846117, 0.676894, 0.423059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.67184");
+						index_3("0.001");
+						index_4("4.3398996, 4.6454471, 5.7998463, 6.6348767, 6.8465570");
+						values("0.426131, 0.681809, 0.852262, 0.681809, 0.426131");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.5121");
+						index_3("0.001");
+						index_4("5.2995300, 5.6354907, 6.9146065, 7.6112088, 7.8371145");
+						values("0.220317, 0.352508, 0.440635, 0.352508, 0.220317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38773");
+						index_3("0.001");
+						index_4("3.2311960, 3.4563161, 4.3675931, 4.7822289, 4.9316532");
+						values("0.22477, 0.359632, 0.44954, 0.359632, 0.22477");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33592");
+						index_3("0.001");
+						index_4("2.2005820, 2.3688657, 2.9466072, 3.3292422, 3.4401073");
+						values("0.225228, 0.360365, 0.450457, 0.360365, 0.225228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1632");
+						index_3("0.001");
+						index_4("9.5189303, 10.1338952, 12.4984936, 14.2289650, 14.6750941");
+						values("0.590793, 0.945269, 1.18159, 0.945269, 0.590793");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86556");
+						index_3("0.001");
+						index_4("1.4461659, 1.5720343, 2.2076909, 2.6201543, 2.8063497");
+						values("1.16755, 0.908072, 0.735091, 0.908072, 1.16755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67475");
+						index_3("0.001");
+						index_4("0.8485610, 0.9331678, 1.2830803, 1.6061686, 1.7387658");
+						values("1.15876, 0.894015, 0.717519, 0.894015, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14248");
+						index_3("0.001");
+						index_4("0.5816960, 0.6458944, 0.9562865, 1.1367866, 1.2568899");
+						values("1.1571, 0.891357, 0.714197, 0.891357, 1.1571");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43278");
+						index_3("0.001");
+						index_4("0.7327888, 0.7996550, 1.0846288, 1.3295507, 1.4413723");
+						values("1.38706, 1.2593, 1.17413, 1.2593, 1.38706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.837377");
+						index_3("0.001");
+						index_4("0.4326273, 0.4778790, 0.6768407, 0.8203802, 0.9054703");
+						values("1.38644, 1.2583, 1.17287, 1.2583, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.571239");
+						index_3("0.001");
+						index_4("0.2974548, 0.3319364, 0.4844723, 0.5826845, 0.6657838");
+						values("1.38405, 1.25448, 1.1681, 1.25448, 1.38405");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51213");
+						index_3("0.001");
+						index_4("1.2689805, 1.3937592, 1.9761109, 2.3765516, 2.5654611");
+						values("0.926793, 0.522869, 0.253586, 0.522869, 0.926793");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004154;
+			capacitance : 0.004247;
+			rise_capacitance : 0.004341;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5167255, -3.3625486, -3.2542020, -3.1387739, -3.0693274, -2.9882865, -2.8915696", \
+					  "-3.9610015, -3.8100933, -3.6881544, -3.5920799, -3.5075022, -3.4390359, -3.3557714", \
+					  "-4.4133796, -4.2575283, -4.1481624, -4.0457821, -3.9735110, -3.8845262, -3.7827272", \
+					  "-4.8459367, -4.6850055, -4.5792096, -4.4798238, -4.4000498, -4.3054087, -4.2196985", \
+					  "-5.2447559, -5.0999513, -4.9810642, -4.8785591, -4.7664967, -4.7119661, -4.6077992", \
+					  "-5.6046183, -5.4582882, -5.3378752, -5.2384245, -5.1600543, -5.0842701, -4.9920518", \
+					  "-6.0135383, -5.8662534, -5.7486120, -5.6484224, -5.5317939, -5.4391360, -5.4053963");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4343279, -3.2784663, -3.1144944, -2.9105122, -2.7140318, -2.5530184, -2.3830524", \
+					  "-3.8755521, -3.7264584, -3.5273397, -3.3965628, -3.1587070, -2.9907347, -2.8240474", \
+					  "-4.3294561, -4.1735945, -4.0080829, -3.8071610, -3.6106862, -3.4569405, -3.2720424", \
+					  "-4.7802710, -4.6244121, -4.4670656, -4.2609438, -4.0767902, -3.9053211, -3.7220204", \
+					  "-5.1850424, -5.0308004, -4.8651422, -4.6718151, -4.4677805, -4.3044490, -4.1154268", \
+					  "-5.5707103, -5.4143398, -5.2492350, -5.0760584, -4.8612032, -4.6893535, -4.5043833", \
+					  "-5.9296148, -5.7804794, -5.5773699, -5.3743691, -5.2279667, -5.0712218, -4.8601271");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9815398, 3.8335928, 3.7056543, 3.5907031, 3.5038592, 3.4300268, 3.3373775", \
+					  "4.4244686, 4.2741835, 4.1490378, 4.0355379, 3.9452617, 3.8752351, 3.7675927", \
+					  "4.8830320, 4.7344042, 4.6072819, 4.4921012, 4.4231510, 4.3269413, 4.2270852", \
+					  "5.3142697, 5.1661273, 5.0388012, 4.9258107, 4.8365892, 4.7673343, 4.6881368", \
+					  "5.7026617, 5.5562411, 5.4282896, 5.3139589, 5.2310847, 5.1557268, 5.0791249", \
+					  "6.0704715, 5.9209991, 5.7992067, 5.6802485, 5.5900136, 5.5185614, 5.4169729", \
+					  "6.4865769, 6.3386299, 6.1989896, 6.0861874, 6.0063623, 5.9279739, 5.8261341");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5969665, 3.5316703, 3.3235759, 3.1127112, 2.9361689, 2.7846268, 2.5965923", \
+					  "4.0409461, 3.9741240, 3.8052457, 3.5629735, 3.3926207, 3.2243197, 3.0526730", \
+					  "4.4962339, 4.4294122, 4.2375799, 4.0304918, 3.8445485, 3.6777395, 3.5006973", \
+					  "4.9096485, 4.8413303, 4.6605487, 4.4561939, 4.2654181, 4.1125164, 3.9303998", \
+					  "5.3598315, 5.2713703, 5.1154945, 4.8748195, 4.7008498, 4.5443887, 4.3527384", \
+					  "5.7229806, 5.6604142, 5.4756575, 5.2649692, 5.0869147, 4.8970315, 4.7196441", \
+					  "6.0794932, 6.0113974, 5.8304804, 5.6196441, 5.4323864, 5.2274294, 5.0884353");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0268996, 0.0342354, 0.0415713, 0.0414648, 0.0413626, 0.0412561, 0.0411497");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221975, 0.0285463, 0.0348950, 0.0348019, 0.0347125, 0.0346194, 0.0345262");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000986283";
+				miller_cap_rise : "0.000933794";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.16383, 0.384026, 0.368488, 0.360757, 0.350315, 0.343632, 0.335813, 0.326847, 0.316756, 0.305551, 0.293235, 0.279803, 0.265247, 0.249559, 0.232731, 0.214755, 0.195622, 0.175325, 0.153855, 0.131205, 0.107367, 0.0823347, 0.0561005, 0.0286578, -1.77223e-09, -0.0584479, -0.116762, -0.297163, -1.47532", \
+					  "2.07619, 0.187988, 0.181932, 0.179549, 0.176816, 0.17527, 0.173565, 0.171658, 0.169485, 0.16695, 0.163909, 0.160161, 0.155475, 0.149672, 0.142654, 0.134374, 0.124804, 0.113926, 0.101725, 0.0881851, 0.0732954, 0.0570434, 0.0394175, 0.0204066, 2.86278e-09, -0.0432929, -0.0885778, -0.240972, -1.46517", \
+					  "2.03668, 0.091587, 0.0869857, 0.0858945, 0.0847116, 0.084077, 0.083407, 0.0826953, 0.0819333, 0.0811098, 0.0802093, 0.0792094, 0.0780768, 0.0767573, 0.0751559, 0.0730909, 0.0702543, 0.0663179, 0.0610914, 0.0544952, 0.0464922, 0.0370588, 0.0261765, 0.0138287, 7.26403e-09, -0.0312024, -0.0661468, -0.196171, -1.45678", \
+					  "2.02929, 0.0738073, 0.0610035, 0.0602097, 0.0593626, 0.0589145, 0.0584466, 0.0579557, 0.0574378, 0.0568876, 0.056298, 0.0556597, 0.0549592, 0.0541766, 0.0532801, 0.0522128, 0.050857, 0.0489526, 0.0460983, 0.0419908, 0.0365033, 0.0295851, 0.0212086, 0.011353, 8.8665e-09, -0.0266461, -0.0576978, -0.179183, -1.45367", \
+					  "2.02539, 0.0716535, 0.0387916, 0.0382463, 0.0376732, 0.037374, 0.0370648, 0.036744, 0.03641, 0.0360604, 0.0356923, 0.0353019, 0.0348841, 0.0344317, 0.0339343, 0.0333758, 0.0327276, 0.0319297, 0.0308213, 0.0290166, 0.0260647, 0.0217444, 0.0159811, 0.00874075, 1.03871e-08, -0.021832, -0.0487695, -0.161116, -1.45042", \
+					  "2.02488, 0.0763439, 0.029292, 0.0288545, 0.0283983, 0.0281617, 0.0279183, 0.0276673, 0.0274075, 0.0271374, 0.0268554, 0.026559, 0.0262452, 0.0259099, 0.0255474, 0.025149, 0.0247007, 0.0241767, 0.0235148, 0.0225203, 0.020732, 0.0177096, 0.01328, 0.00738663, 1.10435e-08, -0.0193319, -0.044131, -0.151669, -1.44873", \
+					  "2.02538, 0.0848482, 0.0209735, 0.0206313, 0.02028, 0.0200988, 0.0199135, 0.0197234, 0.0195278, 0.0193258, 0.0191165, 0.0188985, 0.0186701, 0.018429, 0.0181721, 0.017895, 0.017591, 0.0172488, 0.0168455, 0.0163166, 0.0154231, 0.0136298, 0.0105328, 0.0060042, 1.154e-08, -0.0167732, -0.0393816, -0.141942, -1.44701", \
+					  "2.02695, 0.0971579, 0.0139482, 0.0136652, 0.0134072, 0.013275, 0.0131405, 0.0130033, 0.0128629, 0.0127189, 0.0125709, 0.0124179, 0.0122593, 0.0120938, 0.0119198, 0.0117353, 0.0115371, 0.0113204, 0.0110768, 0.0107873, 0.0103851, 0.00957577, 0.00776209, 0.00460029, 1.16856e-08, -0.0141605, -0.0345273, -0.131935, -1.44525", \
+					  "2.02962, 0.113238, 0.00847237, 0.00806037, 0.00788426, 0.00779486, 0.00770427, 0.00761234, 0.00751889, 0.00742371, 0.00732653, 0.00722702, 0.00712478, 0.00701927, 0.00690982, 0.00679552, 0.00667508, 0.00654662, 0.00640712, 0.00625096, 0.00606355, 0.0057773, 0.00503147, 0.00319392, 1.07128e-08, -0.0115015, -0.0295783, -0.121651, -1.44347", \
+					  "2.03347, 0.133027, 0.00537421, 0.00395608, 0.0038451, 0.00379177, 0.00373801, 0.00368375, 0.00362892, 0.00357345, 0.00351722, 0.00346013, 0.00340202, 0.0033427, 0.00328193, 0.00321938, 0.00315463, 0.00308706, 0.00301574, 0.00293914, 0.00285414, 0.00275088, 0.00256117, 0.0018467, 1.4992e-09, -0.00881148, -0.0245519, -0.111103, -1.44165", \
+					  "2.03855, 0.156401, 0.00649723, 0.00151415, 0.00140754, 0.00138188, 0.00135617, 0.00133035, 0.00130441, 0.00127832, 0.00125205, 0.00122559, 0.00119888, 0.00117188, 0.00114453, 0.00111675, 0.00108843, 0.00105942, 0.00102952, 0.000998399, 0.000965461, 0.000929333, 0.000883801, 0.000747555, -1.32237e-07, -0.00612923, -0.0194853, -0.100328, -1.4398", \
+					  "2.04481, 0.182913, 0.0129065, 0.000772231, 0.000375754, 0.000365759, 0.000356625, 0.00034751, 0.000338391, 0.000329263, 0.000320119, 0.000310955, 0.000301763, 0.000292535, 0.000283261, 0.000273928, 0.000264517, 0.000255004, 0.000245353, 0.000235512, 0.00022539, 0.000214798, 0.00020311, 0.000183698, -2.4171e-06, -0.00357835, -0.0144784, -0.0894179, -1.43795", \
+					  "2.05193, 0.21164, 0.0236863, 0.00203524, 8.36653e-05, 6.8028e-05, 6.42099e-05, 6.07389e-05, 5.73468e-05, 5.39884e-05, 5.06477e-05, 4.73167e-05, 4.39909e-05, 4.06666e-05, 3.7341e-05, 3.40111e-05, 3.06735e-05, 2.73238e-05, 2.39563e-05, 2.05625e-05, 1.71279e-05, 1.36239e-05, 9.96297e-06, 5.3948e-06, -3.23947e-05, -0.00156204, -0.00980972, -0.0785676, -1.43613", \
+					  "2.05967, 0.241777, 0.037571, 0.00655293, 1.57553e-05, -9.80294e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136622, -0.000144697, -0.000152677, -0.000160596, -0.000168475, -0.000176328, -0.000184165, -0.000191992, -0.000199814, -0.000207637, -0.000215463, -0.000223297, -0.000231147, -0.000239029, -0.000247059, -0.000261006, -0.000711109, -0.00605706, -0.0681982, -1.43455", \
+					  "2.06792, 0.272878, 0.0536073, 0.0143885, 2.02928e-06, -0.00069896, -0.000776024, -0.000821314, -0.00086057, -0.000897369, -0.000932854, -0.000967535, -0.00100168, -0.00103546, -0.00106897, -0.00110228, -0.00113544, -0.00116849, -0.00120145, -0.00123435, -0.0012672, -0.00130003, -0.00133284, -0.00136568, -0.00139927, -0.00155748, -0.00430577, -0.0593296, -1.4338", \
+					  "2.07666, 0.304659, 0.0709378, 0.0239119, 1.65509e-07, -0.00300714, -0.00354245, -0.00373181, -0.0038701, -0.00399047, -0.00410182, -0.00420777, -0.00431015, -0.00441002, -0.00450804, -0.00460465, -0.00470014, -0.00479476, -0.00488866, -0.00498197, -0.0050748, -0.00516724, -0.00525937, -0.00535128, -0.0054431, -0.00564417, -0.00678563, -0.0542062, -1.43529", \
+					  "2.08585, 0.336896, 0.0887657, 0.0338126, 1.17894e-08, -0.00742754, -0.0100947, -0.010845, -0.0112434, -0.011545, -0.0118041, -0.0120396, -0.0122602, -0.0124705, -0.0126734, -0.0128708, -0.0130637, -0.0132532, -0.0134398, -0.0136241, -0.0138064, -0.0139871, -0.0141664, -0.0143446, -0.014522, -0.0148777, -0.0154661, -0.0549686, -1.44023", \
+					  "2.09552, 0.369396, 0.106504, 0.0436128, 2.13004e-09, -0.0126689, -0.0195325, -0.0221179, -0.0231701, -0.0238251, -0.0243326, -0.0247662, -0.0251562, -0.0255176, -0.0258587, -0.026185, -0.0264998, -0.0268056, -0.0271041, -0.0273966, -0.0276841, -0.0279674, -0.0282472, -0.028524, -0.0287984, -0.0293423, -0.0299297, -0.0617486, -1.44856", \
+					  "2.10565, 0.401984, 0.123837, 0.0531608, 1.55781e-09, -0.0180018, -0.0298941, -0.0361165, -0.0387214, -0.0400601, -0.0409741, -0.0416989, -0.04232, -0.0428766, -0.0433894, -0.0438707, -0.0443283, -0.0447674, -0.0451919, -0.0456044, -0.0460069, -0.0464011, -0.0467883, -0.0471696, -0.047546, -0.0482876, -0.0490281, -0.0738755, -1.45976", \
+					  "2.11624, 0.434496, 0.140625, 0.0623977, 1.50858e-09, -0.0232154, -0.0402563, -0.0511873, -0.0567544, -0.0593994, -0.0609817, -0.0621333, -0.0630677, -0.0638744, -0.0645979, -0.0652632, -0.0658858, -0.0664758, -0.06704, -0.0675835, -0.06811, -0.0686223, -0.0691226, -0.0696129, -0.0700946, -0.0710383, -0.0719655, -0.0907681, -1.47338", \
+					  "2.12729, 0.466769, 0.156812, 0.0712958, 1.48934e-09, -0.0282488, -0.0503505, -0.0662741, -0.0760422, -0.0809918, -0.0836722, -0.0854522, -0.0868116, -0.0879383, -0.0889199, -0.0898034, -0.0906163, -0.0913763, -0.0920954, -0.0927818, -0.0934415, -0.0940792, -0.0946985, -0.0953022, -0.0958927, -0.0970424, -0.0981633, -0.111974, -1.4891", \
+					  "2.1388, 0.498642, 0.172372, 0.0798406, 1.47252e-09, -0.0330812, -0.0600882, -0.0809675, -0.0955224, -0.103939, -0.10838, -0.111086, -0.113024, -0.11456, -0.115858, -0.116999, -0.118032, -0.118984, -0.119874, -0.120716, -0.121519, -0.12229, -0.123035, -0.123757, -0.12446, -0.12582, -0.127137, -0.137123, -1.50662", \
+					  "2.15077, 0.529947, 0.187296, 0.0880253, 1.45668e-09, -0.0377045, -0.0694342, -0.0951304, -0.114571, -0.127344, -0.134431, -0.138494, -0.141218, -0.14328, -0.144964, -0.146412, -0.147697, -0.148865, -0.149945, -0.150957, -0.151914, -0.152827, -0.153704, -0.154549, -0.155369, -0.156945, -0.158459, -0.1659, -1.52568", \
+					  "2.16319, 0.560511, 0.20158, 0.0958473, 1.44187e-09, -0.0421164, -0.0783732, -0.108712, -0.132933, -0.150467, -0.161138, -0.167151, -0.170946, -0.173681, -0.17584, -0.177648, -0.179224, -0.180636, -0.181927, -0.183124, -0.184248, -0.185312, -0.186327, -0.187302, -0.188242, -0.19004, -0.191755, -0.197938, -1.54606", \
+					  "2.17602, 0.590159, 0.215224, 0.103307, 1.42827e-09, -0.0463171, -0.0869, -0.121691, -0.15052, -0.172851, -0.18784, -0.19654, -0.201786, -0.205387, -0.208128, -0.210364, -0.212275, -0.213962, -0.215485, -0.216884, -0.218187, -0.219413, -0.220575, -0.221685, -0.222751, -0.224776, -0.226696, -0.232636, -1.56756", \
+					  "2.20276, 0.646044, 0.240616, 0.117155, 1.40572e-09, -0.0540947, -0.102722, -0.145828, -0.183285, -0.214726, -0.239156, -0.255469, -0.265213, -0.271363, -0.275704, -0.279051, -0.281795, -0.28414, -0.286207, -0.288069, -0.289773, -0.291355, -0.292838, -0.294239, -0.295572, -0.298077, -0.300417, -0.307083, -1.61331", \
+					  "2.22148, 0.696577, 0.263539, 0.129613, 1.39197e-09, -0.0610674, -0.116943, -0.167574, -0.212861, -0.25256, -0.285979, -0.311569, -0.328386, -0.338709, -0.345505, -0.350438, -0.354301, -0.357492, -0.360231, -0.362647, -0.364822, -0.366811, -0.368654, -0.370377, -0.372003, -0.375022, -0.377805, -0.385476, -1.66173", \
+					  "2.26203, 0.815292, 0.318576, 0.159318, 2.47964e-10, -0.0775997, -0.1508, -0.219557, -0.283814, -0.343474, -0.398337, -0.447927, -0.491061, -0.525445, -0.549481, -0.565156, -0.575777, -0.58353, -0.589571, -0.594517, -0.598716, -0.602379, -0.605641, -0.608595, -0.611303, -0.616159, -0.620463, -0.631477, -1.81406", \
+					  "2.3038, 0.929962, 0.372732, 0.188193, 2.40268e-09, -0.0935733, -0.183821, -0.270716, -0.35423, -0.434329, -0.510965, -0.584065, -0.653495, -0.71899, -0.779977, -0.835203, -0.882328, -0.918828, -0.944806, -0.963056, -0.976404, -0.986685, -0.994973, -1.0019, -1.00784, -1.01773, -1.02583, -1.04433, -2.0707");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1209427, 0.2626228, 0.4168454, 0.5946643, 0.8420087");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1933133, 0.3350121, 0.4893853, 0.6670136, 0.9142022");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0293949, 0.0563669, 0.0834657, 0.1105976, 0.1476548");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1018034, 0.1287339, 0.1559109, 0.1830648, 0.2201620");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("26.4862");
+						index_3("0.001");
+						index_4("13.2249881, 13.9761024, 16.8624195, 19.0797678, 19.6486846");
+						values("0.401293, 0.642068, 0.802586, 0.642068, 0.401293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("16.093");
+						index_3("0.001");
+						index_4("8.0416215, 8.5557147, 10.5826070, 11.9887464, 12.3576693");
+						values("0.412481, 0.65997, 0.824962, 0.65997, 0.412481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("10.9234");
+						index_3("0.001");
+						index_4("5.4637568, 5.8487340, 7.2684861, 8.3509214, 8.6201356");
+						values("0.415546, 0.664873, 0.831091, 0.664873, 0.415546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("13.2431");
+						index_3("0.001");
+						index_4("6.6641944, 7.0738016, 8.7502407, 9.5865790, 9.8718803");
+						values("0.211996, 0.339193, 0.423992, 0.339193, 0.211996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("8.0465");
+						index_3("0.001");
+						index_4("4.0587782, 4.3372681, 5.5247337, 6.0217502, 6.2103697");
+						values("0.217214, 0.347543, 0.434428, 0.347543, 0.217214");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("5.46169");
+						index_3("0.001");
+						index_4("2.7628634, 2.9719288, 3.8106356, 4.1896395, 4.3305311");
+						values("0.2186, 0.349761, 0.437201, 0.349761, 0.2186");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("24.1395");
+						index_3("0.001");
+						index_4("11.9975515, 12.7616129, 15.6998933, 17.9134673, 18.4774468");
+						values("0.580269, 0.92843, 1.16054, 0.92843, 0.580269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("3.43516");
+						index_3("0.001");
+						index_4("1.7288094, 1.8776652, 2.6228945, 3.1437856, 3.3669320");
+						values("1.18675, 0.938802, 0.773503, 0.938802, 1.18675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("2.0076");
+						index_3("0.001");
+						index_4("1.0142769, 1.1147908, 1.6101532, 1.9287777, 2.0877870");
+						values("1.1806, 0.928957, 0.761196, 0.928957, 1.1806");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("1.3695");
+						index_3("0.001");
+						index_4("0.6936763, 0.7694975, 1.0646516, 1.3627068, 1.5098323");
+						values("1.1751, 0.920162, 0.750202, 0.920162, 1.1751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("1.71758");
+						index_3("0.001");
+						index_4("0.8746419, 0.9546045, 1.2787284, 1.5953644, 1.7298204");
+						values("1.39658, 1.27452, 1.19316, 1.27452, 1.39658");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("1.0038");
+						index_3("0.001");
+						index_4("0.5137859, 0.5673010, 0.8375683, 0.9843585, 1.0899306");
+						values("1.39107, 1.26571, 1.18213, 1.26571, 1.39107");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("0.684751");
+						index_3("0.001");
+						index_4("0.3532492, 0.3934422, 0.5428919, 0.6983915, 0.8011422");
+						values("1.39264, 1.26822, 1.18527, 1.26822, 1.39264");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("3.0114");
+						index_3("0.001");
+						index_4("1.5155838, 1.6640941, 2.3616786, 2.8550396, 3.0844370");
+						values("0.964854, 0.583766, 0.329708, 0.583766, 0.964854");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005757;
+			capacitance : 0.005906;
+			rise_capacitance : 0.006054;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486577, 3.9028881, 3.7804100, 3.6750361, 3.5923600, 3.4941135, 3.4416415", \
+					  "4.4900442, 4.3473268, 4.2233530, 4.1235586, 4.0261175, 3.9334867, 3.8860802", \
+					  "4.9486102, 4.8045068, 4.6819093, 4.5794216, 4.4938291, 4.3849016, 4.3502395", \
+					  "5.3989917, 5.2355762, 5.1276923, 5.0058294, 4.9289162, 4.8283278, 4.7798697", \
+					  "5.7697165, 5.6225298, 5.5000100, 5.3971164, 5.3225738, 5.2151717, 5.1697564", \
+					  "6.1374966, 5.9932542, 5.8662002, 5.7740619, 5.6798542, 5.5805443, 5.5057206", \
+					  "6.5537645, 6.4079935, 6.2810009, 6.1814423, 6.0887951, 6.0031125, 5.9463988");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6473220, 3.5281851, 3.3399228, 3.1717198, 3.0332897, 2.8318561, 2.5968041", \
+					  "4.1048225, 3.9841599, 3.7960024, 3.6114192, 3.4466923, 3.2832533, 3.0524583", \
+					  "4.5523183, 4.4331816, 4.2460353, 4.0639179, 3.9364967, 3.7276971, 3.4957961", \
+					  "4.9640428, 4.8449056, 4.6586702, 4.4884499, 4.3501787, 4.1401529, 3.9135480", \
+					  "5.3998724, 5.2807357, 5.0924112, 4.9228073, 4.7468494, 4.5814999, 4.3555047", \
+					  "5.8025294, 5.6818664, 5.4923273, 5.3130969, 5.1609186, 4.9748559, 4.7489828", \
+					  "6.1843986, 6.0652614, 5.8811927, 5.7054928, 5.5379810, 5.3597773, 5.1273619");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5472203, -3.3948328, -3.2744229, -3.1672682, -3.0867590, -3.0460851, -2.9502038", \
+					  "-3.9930221, -3.8396568, -3.7278764, -3.6086371, -3.6030454, -3.4286331, -3.4180505", \
+					  "-4.4423484, -4.2935426, -4.1832575, -4.0653580, -4.0614931, -3.9246612, -3.8313123", \
+					  "-4.8749055, -4.7276576, -4.6160166, -4.5026744, -4.4929084, -4.3569838, -4.2649250", \
+					  "-5.2752511, -5.1276349, -5.0264279, -4.8958777, -4.8586488, -4.7422614, -4.6941763", \
+					  "-5.6335880, -5.4863262, -5.3805993, -5.2557622, -5.1894988, -5.1385542, -5.0613755", \
+					  "-6.0425071, -5.8967712, -5.7920617, -5.6764772, -5.6472846, -5.4999265, -5.4711530");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5182372, -3.3240026, -3.1647196, -2.9692761, -2.7875768, -2.6045283, -2.4046218", \
+					  "-3.9625127, -3.7637006, -3.5815344, -3.4106726, -3.2298814, -3.0416305, -2.8592157", \
+					  "-4.4148913, -4.2191310, -4.0393504, -3.8671157, -3.6717213, -3.4975233, -3.2997502", \
+					  "-4.8641803, -4.6920896, -4.4805659, -4.3189396, -4.1454242, -3.9446386, -3.7608967", \
+					  "-5.2704776, -5.0747173, -4.9138406, -4.7252071, -4.5347159, -4.3525030, -4.1639010", \
+					  "-5.6561455, -5.4765381, -5.2837403, -5.1136577, -4.9343020, -4.7329475, -4.5425301", \
+					  "-6.0150500, -5.8210061, -5.6562010, -5.4580475, -5.2978197, -5.1022059, -4.8999087");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0257856, 0.0290601, 0.0289351, 0.0288150, 0.0286899, 0.0285648");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263507, 0.0308971, 0.0354436, 0.0353219, 0.0352050, 0.0350833, 0.0349616");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988165";
+				miller_cap_rise : "0.000929189";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72807e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14685e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49617e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.2639e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93537e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19678e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36256e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33018e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57178e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39933e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34266e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039403, 0.2113628, 0.3318726, 0.4716165, 0.6687019");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744172, 0.2823863, 0.4017333, 0.5424573, 0.7379632");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277201, 0.0491792, 0.0708337, 0.0926163, 0.1216460");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996952, 0.1213340, 0.1429640, 0.1648389, 0.1938405");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.969");
+						index_3("0.001");
+						index_4("10.4723192, 11.0697236, 13.3812671, 15.1203598, 15.5598865");
+						values("0.411862, 0.658978, 0.823723, 0.658978, 0.411862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.742");
+						index_3("0.001");
+						index_4("6.3699204, 6.7766566, 8.5344872, 9.4981688, 9.7883607");
+						values("0.423185, 0.677097, 0.846371, 0.677097, 0.423185");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64912");
+						index_3("0.001");
+						index_4("4.3285713, 4.6333259, 5.7853328, 6.6175542, 6.8286526");
+						values("0.426242, 0.681988, 0.852485, 0.681988, 0.426242");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4845");
+						index_3("0.001");
+						index_4("5.2857632, 5.6208968, 6.8970474, 7.5911936, 7.8165506");
+						values("0.220399, 0.352638, 0.440797, 0.352638, 0.220399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37099");
+						index_3("0.001");
+						index_4("3.2228523, 3.4477145, 4.3527394, 4.7698526, 4.9187873");
+						values("0.224876, 0.359802, 0.449753, 0.359802, 0.224876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32456");
+						index_3("0.001");
+						index_4("2.1949235, 2.3628112, 2.9395651, 3.3204682, 3.4310739");
+						values("0.225318, 0.360508, 0.450635, 0.360508, 0.225318");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.113");
+						index_3("0.001");
+						index_4("9.4939725, 10.1073382, 12.4668156, 14.1917888, 14.6367219");
+						values("0.590902, 0.945443, 1.1818, 0.945443, 0.590902");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.85916");
+						index_3("0.001");
+						index_4("1.4429753, 1.5685793, 2.2040594, 2.6142897, 2.7999999");
+						values("1.16741, 0.907856, 0.734821, 0.907856, 1.16741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67101");
+						index_3("0.001");
+						index_4("0.8467010, 0.9311354, 1.2802781, 1.6025809, 1.7348656");
+						values("1.15863, 0.893813, 0.717267, 0.893813, 1.15863");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13993");
+						index_3("0.001");
+						index_4("0.5804266, 0.6444995, 0.9539650, 1.1342387, 1.2540604");
+						values("1.15694, 0.891102, 0.713877, 0.891102, 1.15694");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42958");
+						index_3("0.001");
+						index_4("0.7311921, 0.7979169, 1.0826477, 1.3265766, 1.4381035");
+						values("1.38701, 1.25922, 1.17402, 1.25922, 1.38701");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.835506");
+						index_3("0.001");
+						index_4("0.4316941, 0.4768612, 0.6753953, 0.8185657, 0.9034792");
+						values("1.3864, 1.25824, 1.1728, 1.25824, 1.3864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.569963");
+						index_3("0.001");
+						index_4("0.2968176, 0.3312545, 0.4832034, 0.5813943, 0.6642873");
+						values("1.38401, 1.25442, 1.16803, 1.25442, 1.38401");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.50652");
+						index_3("0.001");
+						index_4("1.2662001, 1.3907187, 1.9727520, 2.3712059, 2.5596749");
+						values("0.926468, 0.522348, 0.252935, 0.522348, 0.926468");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026190;
+			capacitance : 0.026280;
+			fall_capacitance : 0.026371;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031046, -0.0033688, -0.0036331, -0.0037343, -0.0038314, -0.0039325, -0.0040337");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251305, 0.0258799, 0.0266294, 0.0264934, 0.0263630, 0.0262271, 0.0260911");
+				}
+			}*/
+			/* Copied over from enable_vswitch_h of gpio_ovtv2. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.031693;
+			capacitance : 0.032127;
+			rise_capacitance : 0.032560;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502289, 0.0651197, 0.0800105, 0.0800087, 0.0800069, 0.0800051, 0.0800033");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0633641, 0.0800514, 0.0967387, 0.0967645, 0.0967892, 0.0968150, 0.0968408");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000835074";
+				miller_cap_rise : "0.000743838";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.22561, 0.38204, 0.365315, 0.356656, 0.344803, 0.337321, 0.328756, 0.319135, 0.308478, 0.296799, 0.2841, 0.270385, 0.255654, 0.239907, 0.223146, 0.205371, 0.186582, 0.166782, 0.145972, 0.124153, 0.101327, 0.077496, 0.052663, 0.0268301, -3.63798e-09, -0.0545844, -0.109054, -0.275399, -1.04022", \
+					  "1.15059, 0.187443, 0.181433, 0.17899, 0.176172, 0.17457, 0.172794, 0.170795, 0.168499, 0.165798, 0.162529, 0.158483, 0.153453, 0.147308, 0.139986, 0.131464, 0.12173, 0.110779, 0.0986088, 0.0852189, 0.0706094, 0.0547811, 0.0377354, 0.0194743, 3.63798e-09, -0.0410873, -0.0838841, -0.224876, -1.03133", \
+					  "1.11558, 0.0908212, 0.0868744, 0.0857762, 0.0845841, 0.0839436, 0.0832669, 0.0825471, 0.0817753, 0.0809397, 0.0800238, 0.079004, 0.0778441, 0.0764855, 0.0748229, 0.0726574, 0.0696736, 0.0655759, 0.0602147, 0.0535362, 0.0455214, 0.0361627, 0.0254568, 0.0134026, 1.27329e-08, -0.0299989, -0.0633389, -0.184111, -1.02425", \
+					  "1.10815, 0.0718441, 0.0609464, 0.0601499, 0.0592993, 0.058849, 0.0583786, 0.0578847, 0.0573633, 0.0568089, 0.0562141, 0.0555693, 0.0548604, 0.0540664, 0.0531537, 0.0520611, 0.0506599, 0.0486729, 0.0457082, 0.0415021, 0.0359574, 0.0290432, 0.0207482, 0.0110679, 1.63709e-08, -0.0257446, -0.0554805, -0.168556, -1.02167", \
+					  "1.10335, 0.0682504, 0.0387665, 0.0382204, 0.0376463, 0.0373464, 0.0370364, 0.0367149, 0.0363798, 0.036029, 0.0356595, 0.0352673, 0.0348473, 0.0343921, 0.033891, 0.0333272, 0.032671, 0.0318584, 0.0307172, 0.0288513, 0.0258353, 0.0214801, 0.0157321, 0.00857435, 2.00089e-08, -0.0212036, -0.0471041, -0.151959, -1.01898", \
+					  "1.10204, 0.0719107, 0.0292767, 0.0288389, 0.0283822, 0.0281453, 0.0279016, 0.0276502, 0.0273899, 0.0271193, 0.0268365, 0.0265393, 0.0262245, 0.025888, 0.0255239, 0.0251233, 0.0246719, 0.0241427, 0.0234701, 0.0224485, 0.0206126, 0.0175503, 0.0131153, 0.00726942, 2.18279e-08, -0.0188265, -0.0427229, -0.143258, -1.0176", \
+					  "1.10152, 0.0790289, 0.0209644, 0.0206227, 0.0202711, 0.0200899, 0.0199044, 0.0197142, 0.0195184, 0.0193162, 0.0191066, 0.0188883, 0.0186595, 0.0184179, 0.0181604, 0.0178825, 0.0175773, 0.0172334, 0.0168271, 0.0162909, 0.015376, 0.0135499, 0.0104361, 0.00592841, 2.18279e-08, -0.0163803, -0.0382158, -0.134285, -1.01619", \
+					  "1.10182, 0.0894923, 0.01394, 0.013661, 0.0134029, 0.0132708, 0.0131362, 0.0129989, 0.0128584, 0.0127144, 0.0125663, 0.0124133, 0.0122545, 0.0120888, 0.0119146, 0.0117298, 0.0115313, 0.0113142, 0.0110698, 0.0107787, 0.0103719, 0.00954731, 0.00771578, 0.0045574, 2.36469e-08, -0.0138684, -0.0335871, -0.125036, -1.01477", \
+					  "1.10301, 0.103168, 0.00845267, 0.00805863, 0.00788256, 0.00779316, 0.00770256, 0.00761063, 0.00751718, 0.00742198, 0.00732479, 0.00722526, 0.00712298, 0.00701744, 0.00690795, 0.00679359, 0.00667307, 0.0065445, 0.00640484, 0.00624841, 0.00606038, 0.00577152, 0.00501666, 0.00317465, 2.18279e-08, -0.0112971, -0.0288449, -0.115511, -1.01332", \
+					  "1.10514, 0.119911, 0.00530792, 0.00395523, 0.0038446, 0.00379128, 0.00373752, 0.00368326, 0.00362844, 0.00357296, 0.00351674, 0.00345965, 0.00340154, 0.00334222, 0.00328144, 0.00321889, 0.00315413, 0.00308654, 0.0030152, 0.00293857, 0.0028535, 0.00275006, 0.00255915, 0.00184122, 1.09139e-08, -0.00868076, -0.0240044, -0.105721, -1.01184", \
+					  "1.10829, 0.13955, 0.00627283, 0.001512, 0.00140745, 0.00138179, 0.00135608, 0.00133027, 0.00130433, 0.00127824, 0.00125198, 0.00122551, 0.0011988, 0.00117181, 0.00114446, 0.00111667, 0.00108835, 0.00105935, 0.00102945, 0.000998327, 0.000965385, 0.000929249, 0.00088368, 0.00074699, -1.23691e-07, -0.00605682, -0.0191006, -0.095697, -1.01036", \
+					  "1.11241, 0.161657, 0.0122474, 0.000761427, 0.000375743, 0.000365785, 0.000356654, 0.000347542, 0.000338427, 0.000329301, 0.00032016, 0.000310999, 0.000301809, 0.000292584, 0.000283312, 0.000273982, 0.000264574, 0.000255064, 0.000245416, 0.000235577, 0.000225456, 0.000214868, 0.000203181, 0.000183762, -2.33194e-06, -0.00354741, -0.0142303, -0.0855183, -1.00887", \
+					  "1.11729, 0.185409, 0.0222042, 0.00197295, 8.36558e-05, 6.84264e-05, 6.46521e-05, 6.12145e-05, 5.7853e-05, 5.45242e-05, 5.12127e-05, 4.79113e-05, 4.46134e-05, 4.13174e-05, 3.80196e-05, 3.47191e-05, 3.14103e-05, 2.80888e-05, 2.4751e-05, 2.13859e-05, 1.79771e-05, 1.45028e-05, 1.08703e-05, 6.33008e-06, -3.1423e-05, -0.00155301, -0.00966767, -0.0753594, -1.00742", \
+					  "1.12271, 0.210125, 0.0348653, 0.00627893, 1.57418e-05, -9.51688e-05, -0.00010743, -0.000116479, -0.000124829, -0.000132884, -0.000140782, -0.000148587, -0.000156335, -0.000164043, -0.000171727, -0.000179394, -0.000187052, -0.000194705, -0.000202357, -0.000210015, -0.000217682, -0.000225364, -0.000233076, -0.000240938, -0.000254704, -0.000703087, -0.0059821, -0.0656066, -1.00613", \
+					  "1.12863, 0.235459, 0.0492931, 0.0136303, 2.02096e-06, -0.000683028, -0.000758385, -0.000802775, -0.000841285, -0.000877402, -0.000912238, -0.000946291, -0.000979826, -0.001013, -0.00104591, -0.00107863, -0.00111121, -0.00114367, -0.00117605, -0.00120838, -0.00124065, -0.00127291, -0.00130514, -0.00133741, -0.00137042, -0.00152678, -0.00424218, -0.0572228, -1.00543", \
+					  "1.13504, 0.261203, 0.0646345, 0.0223897, 1.63456e-07, -0.00294625, -0.00347676, -0.00366352, -0.00379983, -0.00391851, -0.00402832, -0.00413284, -0.00423385, -0.0043324, -0.00442913, -0.00452447, -0.00461873, -0.00471212, -0.00480481, -0.00489693, -0.00498857, -0.00507984, -0.0051708, -0.00526155, -0.0053522, -0.00555043, -0.00666497, -0.0523687, -1.00628", \
+					  "1.142, 0.287188, 0.0800925, 0.0312739, 1.14646e-08, -0.00723418, -0.00992853, -0.0106878, -0.0110855, -0.0113853, -0.0116423, -0.0118758, -0.0120944, -0.0123028, -0.0125039, -0.0126994, -0.0128906, -0.0130783, -0.0132632, -0.0134457, -0.0136263, -0.0138054, -0.013983, -0.0141596, -0.0143353, -0.0146875, -0.0152557, -0.0531678, -1.00956", \
+					  "1.14954, 0.313253, 0.0951216, 0.0398408, 2.03019e-09, -0.0121771, -0.0190832, -0.0217962, -0.0228828, -0.0235462, -0.0240555, -0.024489, -0.024878, -0.0252379, -0.0255774, -0.0259019, -0.0262148, -0.0265187, -0.0268153, -0.0271059, -0.0273915, -0.0276729, -0.0279507, -0.0282256, -0.028498, -0.0290379, -0.0296145, -0.0598211, -1.01523", \
+					  "1.15774, 0.339232, 0.109479, 0.0479734, 1.47465e-09, -0.0170398, -0.0288163, -0.0353639, -0.0381894, -0.0395981, -0.0405373, -0.0412731, -0.0418994, -0.0424583, -0.042972, -0.0434532, -0.0439101, -0.0443483, -0.0447715, -0.0451825, -0.0455834, -0.045976, -0.0463614, -0.0467408, -0.0471153, -0.0478527, -0.0485866, -0.0717346, -1.02295", \
+					  "1.16669, 0.364946, 0.123092, 0.055645, 1.4182e-09, -0.0216361, -0.0382136, -0.0494918, -0.0556607, -0.0586039, -0.0602971, -0.0614974, -0.0624569, -0.0632779, -0.06401, -0.0646806, -0.0653065, -0.0658983, -0.0664635, -0.0670073, -0.0675336, -0.0680453, -0.0685448, -0.0690339, -0.0695143, -0.0704545, -0.0713768, -0.0883964, -1.0324", \
+					  "1.17651, 0.390198, 0.135956, 0.0628575, 1.38834e-09, -0.0259319, -0.0470622, -0.0631131, -0.0737686, -0.0795276, -0.0825663, -0.084499, -0.0859347, -0.0871047, -0.0881132, -0.0890143, -0.0898393, -0.0906078, -0.0913328, -0.0920234, -0.0926861, -0.0933259, -0.0939464, -0.0945506, -0.0951412, -0.0962896, -0.0974071, -0.109426, -1.04335", \
+					  "1.18736, 0.414773, 0.148085, 0.0696239, 1.36069e-09, -0.029931, -0.0553269, -0.0759227, -0.0913323, -0.101171, -0.106522, -0.109631, -0.111761, -0.113403, -0.114765, -0.115949, -0.11701, -0.117983, -0.118889, -0.119742, -0.120554, -0.121332, -0.122082, -0.122808, -0.123513, -0.124877, -0.126193, -0.134535, -1.05559", \
+					  "1.19945, 0.438456, 0.159503, 0.075962, 1.33467e-09, -0.0336475, -0.0630199, -0.0878743, -0.107861, -0.12238, -0.131233, -0.136224, -0.139383, -0.141673, -0.143492, -0.145026, -0.14637, -0.147581, -0.148692, -0.149728, -0.150704, -0.151632, -0.15252, -0.153375, -0.154201, -0.155787, -0.157306, -0.163475, -1.06894", \
+					  "1.21305, 0.461049, 0.170239, 0.0818916, 1.31056e-09, -0.0370979, -0.0701682, -0.0989902, -0.123261, -0.142436, -0.155702, -0.163548, -0.168247, -0.171441, -0.173861, -0.175832, -0.177517, -0.179006, -0.180353, -0.181593, -0.182751, -0.183842, -0.184879, -0.185871, -0.186825, -0.188643, -0.19037, -0.195804, -1.08325", \
+					  "1.22849, 0.482412, 0.18032, 0.0874333, 1.28854e-09, -0.0402995, -0.0768034, -0.109312, -0.137563, -0.161111, -0.179086, -0.190807, -0.197778, -0.202248, -0.205465, -0.207989, -0.210089, -0.211906, -0.213525, -0.214996, -0.216355, -0.217625, -0.218823, -0.219962, -0.221052, -0.223114, -0.225056, -0.230648, -1.09839", \
+					  "1.26626, 0.521242, 0.198636, 0.0974348, 1.25144e-09, -0.0460216, -0.0886646, -0.127765, -0.16312, -0.194433, -0.221138, -0.242092, -0.256301, -0.265103, -0.270857, -0.275007, -0.278248, -0.280925, -0.283225, -0.285258, -0.287094, -0.288778, -0.290343, -0.291811, -0.2932, -0.295788, -0.298186, -0.304777, -1.13072", \
+					  "1.31298, 0.555118, 0.214683, 0.106126, 1.22526e-09, -0.0509367, -0.0988522, -0.14361, -0.185051, -0.222962, -0.256995, -0.286436, -0.309885, -0.326173, -0.336588, -0.343535, -0.348581, -0.352522, -0.355769, -0.358547, -0.360991, -0.363188, -0.365194, -0.367049, -0.368783, -0.371966, -0.374865, -0.382594, -1.16506", \
+					  "1.41186, 0.632714, 0.251379, 0.125741, 1.24996e-09, -0.061845, -0.12146, -0.178764, -0.233669, -0.286073, -0.335848, -0.382817, -0.42668, -0.466852, -0.502128, -0.530576, -0.551105, -0.565142, -0.575027, -0.582418, -0.588262, -0.593088, -0.597208, -0.600817, -0.604039, -0.609646, -0.614465, -0.626243, -1.2738", \
+					  "1.49594, 0.705692, 0.285048, 0.143407, 2.02172e-09, -0.0714808, -0.141477, -0.209952, -0.276863, -0.34217, -0.405824, -0.467775, -0.527961, -0.586307, -0.642709, -0.697008, -0.748933, -0.797984, -0.843203, -0.882961, -0.915394, -0.939961, -0.958032, -0.971566, -0.982094, -0.997718, -1.00917, -1.0324, -1.46082");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1189972, 0.2574779, 0.4130698, 0.5922232, 0.8424859");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1910833, 0.3289591, 0.4850985, 0.6637704, 0.9136702");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0286899, 0.0559697, 0.0837750, 0.1117561, 0.1501011");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1016355, 0.1287563, 0.1565778, 0.1853735, 0.2236401");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("26.2461");
+						index_3("0.001");
+						index_4("13.0953835, 13.8383088, 16.8761153, 18.9283161, 19.4861626");
+						values("0.400775, 0.64124, 0.80155, 0.64124, 0.400775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("15.9446");
+						index_3("0.001");
+						index_4("7.9613579, 8.4656068, 10.6244630, 11.8918340, 12.2542449");
+						values("0.411309, 0.658094, 0.822618, 0.658094, 0.411309");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("10.822");
+						index_3("0.001");
+						index_4("5.4084265, 5.7859002, 7.4459567, 8.2810855, 8.5460507");
+						values("0.414641, 0.663426, 0.829282, 0.663426, 0.414641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("13.1231");
+						index_3("0.001");
+						index_4("6.6054211, 7.0092687, 8.6369292, 9.5008618, 9.7835943");
+						values("0.213784, 0.342055, 0.427569, 0.342055, 0.213784");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("7.97228");
+						index_3("0.001");
+						index_4("4.0237697, 4.2994896, 5.4180011, 5.9650548, 6.1532621");
+						values("0.21864, 0.349825, 0.437281, 0.349825, 0.21864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("5.41101");
+						index_3("0.001");
+						index_4("2.7366513, 2.9440249, 3.7434494, 4.1537936, 4.2926943");
+						values("0.221495, 0.354391, 0.442989, 0.354391, 0.221495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("23.9169");
+						index_3("0.001");
+						index_4("11.8726166, 12.6359265, 15.5726371, 17.7621405, 18.3251376");
+						values("0.576246, 0.921993, 1.15249, 0.921993, 0.576246");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("3.45431");
+						index_3("0.001");
+						index_4("1.7375928, 1.8866642, 2.6282233, 3.1592069, 3.3855415");
+						values("1.19296, 0.948736, 0.78592, 0.948736, 1.19296");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("2.01883");
+						index_3("0.001");
+						index_4("1.0187831, 1.1187040, 1.6088235, 1.9377474, 2.0975840");
+						values("1.18631, 0.9381, 0.772624, 0.9381, 1.18631");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("1.37702");
+						index_3("0.001");
+						index_4("0.6969738, 0.7727375, 1.1389132, 1.3699782, 1.5170153");
+						values("1.18279, 0.932462, 0.765578, 0.932462, 1.18279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("1.72716");
+						index_3("0.001");
+						index_4("0.8795537, 0.9592592, 1.3528277, 1.6005593, 1.7376588");
+						values("1.39872, 1.27796, 1.19745, 1.27796, 1.39872");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("1.00942");
+						index_3("0.001");
+						index_4("0.5171869, 0.5707879, 0.8351597, 0.9877772, 1.0936520");
+						values("1.39687, 1.27499, 1.19374, 1.27499, 1.39687");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("0.688508");
+						index_3("0.001");
+						index_4("0.3545197, 0.3949625, 0.5735328, 0.7001388, 0.8030241");
+						values("1.39411, 1.27058, 1.18822, 1.27058, 1.39411");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("3.02825");
+						index_3("0.001");
+						index_4("1.5236606, 1.6749978, 2.3960311, 2.8656646, 3.0979251");
+						values("0.971095, 0.593752, 0.34219, 0.593752, 0.971095");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.050800;
+			capacitance : 0.051200;
+			rise_capacitance : 0.051599;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0119384, -0.0121619, -0.0123855, -0.0123867, -0.0123878, -0.0123889, -0.0123901");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0121638, 0.0122941, 0.0124244, 0.0124067, 0.0123897, 0.0123720, 0.0123543");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00125409";
+				miller_cap_rise : "0.000530199";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.21863, 0.228223, 0.215816, 0.211412, 0.206115, 0.202999, 0.199461, 0.195386, 0.190638, 0.185092, 0.178696, 0.171464, 0.163418, 0.15456, 0.144885, 0.13438, 0.123031, 0.110824, 0.0977423, 0.0837713, 0.0688945, 0.0530957, 0.0363583, 0.0186654, -6.87701e-09, -0.0391835, -0.0803074, -0.23011, -1.60147", \
+					  "2.13457, 0.114693, 0.106717, 0.105035, 0.103139, 0.10209, 0.100956, 0.099722, 0.0983642, 0.096853, 0.0951458, 0.0931814, 0.0908689, 0.0880779, 0.0846468, 0.0804346, 0.0753581, 0.0693738, 0.062453, 0.0545721, 0.045709, 0.035842, 0.0249495, 0.0130095, -6.20516e-09, -0.028492, -0.0598217, -0.18215, -1.59219", \
+					  "2.09295, 0.058434, 0.0515776, 0.0508141, 0.0499817, 0.049534, 0.0490611, 0.0485593, 0.0480236, 0.0474478, 0.0468238, 0.0461408, 0.045384, 0.0445319, 0.0435524, 0.0423927, 0.0409578, 0.0390692, 0.036474, 0.032981, 0.0285021, 0.0229941, 0.016426, 0.00877018, -7.30729e-09, -0.0205291, -0.0446785, -0.146585, -1.58539", \
+					  "2.08148, 0.0433784, 0.0362623, 0.0357206, 0.0351368, 0.0348258, 0.0344999, 0.0341567, 0.0337938, 0.0334077, 0.0329942, 0.0325479, 0.0320615, 0.0315247, 0.0309232, 0.0302348, 0.0294226, 0.0284148, 0.0270509, 0.0250428, 0.0221381, 0.0182242, 0.0132515, 0.00718661, -7.64926e-09, -0.0175656, -0.0390678, -0.133355, -1.58295", \
+					  "2.07162, 0.0316956, 0.0230201, 0.0226627, 0.0222823, 0.0220818, 0.0218732, 0.0216556, 0.0214276, 0.0211876, 0.0209337, 0.0206632, 0.0203729, 0.0200585, 0.0197139, 0.0193306, 0.0188955, 0.0183864, 0.0177581, 0.0168895, 0.0154827, 0.0132029, 0.00989737, 0.00550838, -5.3655e-09, -0.0144327, -0.033151, -0.119367, -1.58041", \
+					  "2.06737, 0.0273623, 0.0172967, 0.017018, 0.0167234, 0.016569, 0.0164092, 0.0162431, 0.0160701, 0.0158889, 0.0156983, 0.0154968, 0.0152822, 0.0150518, 0.0148021, 0.0145278, 0.0142216, 0.0138715, 0.0134556, 0.012921, 0.0120961, 0.0106047, 0.00815112, 0.0046312, -6.58765e-09, -0.0128001, -0.0300742, -0.11208, -1.57911", \
+					  "2.06364, 0.0240809, 0.0122404, 0.0120316, 0.0118128, 0.0116989, 0.0115814, 0.0114601, 0.0113343, 0.0112034, 0.0110666, 0.010923, 0.0107714, 0.0106101, 0.0104371, 0.0102495, 0.0100434, 0.00981251, 0.00954657, 0.00922428, 0.00878008, 0.00796753, 0.00635872, 0.00372626, -4.89438e-09, -0.0111219, -0.0269175, -0.104605, -1.57779", \
+					  "2.06045, 0.0218743, 0.00791213, 0.00776466, 0.00761195, 0.00753302, 0.0074521, 0.00736895, 0.00728327, 0.00719472, 0.00710288, 0.00700722, 0.00690709, 0.00680166, 0.00668985, 0.00657023, 0.0064408, 0.00629863, 0.00613919, 0.0059542, 0.00572346, 0.00536188, 0.00452789, 0.002792, -3.71235e-09, -0.00939712, -0.0236814, -0.0969602, -1.57647", \
+					  "2.05788, 0.020792, 0.00440982, 0.00431356, 0.00421733, 0.00416802, 0.00411778, 0.0040665, 0.00401404, 0.00396025, 0.00390492, 0.00384782, 0.00378866, 0.00372706, 0.00366257, 0.00359455, 0.00352217, 0.00344425, 0.00335903, 0.00326363, 0.00315231, 0.00300698, 0.002715, 0.0018371, -6.001e-09, -0.00762399, -0.0203687, -0.08919, -1.57517", \
+					  "2.05606, 0.0209592, 0.00191495, 0.00185178, 0.00180115, 0.00177551, 0.00174957, 0.0017233, 0.00169666, 0.00166958, 0.001642, 0.00161384, 0.001585, 0.00155537, 0.00152479, 0.00149306, 0.00145991, 0.001425, 0.00138779, 0.0013475, 0.00130273, 0.0012503, 0.00117542, 0.000926097, -7.6374e-09, -0.00580234, -0.0169883, -0.0813603, -1.57388", \
+					  "2.05512, 0.022493, 0.00062161, 0.000533617, 0.000513822, 0.00050411, 0.000494361, 0.000484567, 0.000474718, 0.000464802, 0.000454805, 0.000444712, 0.0004345, 0.000424148, 0.000413622, 0.000402881, 0.000391876, 0.000380534, 0.000368753, 0.00035639, 0.000343205, 0.000328732, 0.000311538, 0.000277025, -1.23424e-08, -0.00394766, -0.0135664, -0.0735495, -1.57263", \
+					  "2.05491, 0.0251099, 0.000440484, 0.000103927, 9.67325e-05, 9.43385e-05, 9.19608e-05, 8.95875e-05, 8.72147e-05, 8.48424e-05, 8.24697e-05, 8.00919e-05, 7.77076e-05, 7.53126e-05, 7.29067e-05, 7.04781e-05, 6.80278e-05, 6.55401e-05, 6.30005e-05, 6.03982e-05, 5.76966e-05, 5.484e-05, 5.16888e-05, 4.71954e-05, -3.79956e-08, -0.00216687, -0.0101778, -0.0658339, -1.57142", \
+					  "2.05503, 0.02822, 0.00113274, 2.7793e-05, 1.40129e-05, 1.35397e-05, 1.31393e-05, 1.2745e-05, 1.23546e-05, 1.19646e-05, 1.15769e-05, 1.11882e-05, 1.08028e-05, 1.04161e-05, 1.00342e-05, 9.65336e-06, 9.2684e-06, 8.8821e-06, 8.48886e-06, 8.09132e-06, 7.6788e-06, 7.25456e-06, 6.78973e-06, 6.18972e-06, -1.61352e-07, -0.000815794, -0.00697672, -0.0582771, -1.57025", \
+					  "2.05528, 0.0314959, 0.00247582, 7.48047e-05, 1.70078e-06, 1.16881e-06, 1.03817e-06, 9.37075e-07, 8.4362e-07, 7.52443e-07, 6.64932e-07, 5.78208e-07, 5.00496e-07, 4.43133e-07, 3.93656e-07, 3.46064e-07, 2.96672e-07, 2.45217e-07, 1.96024e-07, 1.46733e-07, 9.57953e-08, 4.16548e-08, -1.22575e-08, -8.72298e-08, -8.39532e-07, -0.00018826, -0.00416811, -0.0509281, -1.56913", \
+					  "2.05559, 0.0348345, 0.00422999, 0.000366521, 1.90967e-07, -2.54937e-06, -3.01782e-06, -3.32032e-06, -3.58226e-06, -3.82546e-06, -4.05553e-06, -4.25325e-06, -4.34579e-06, -4.36989e-06, -4.38445e-06, -4.39683e-06, -4.40813e-06, -4.4186e-06, -4.43236e-06, -4.44143e-06, -4.45388e-06, -4.46457e-06, -4.47408e-06, -4.48674e-06, -4.57031e-06, -3.46027e-05, -0.0019877, -0.0438237, -1.56805", \
+					  "2.05598, 0.0381944, 0.00621603, 0.00114912, 2.56522e-08, -1.58625e-05, -1.85622e-05, -2.02452e-05, -2.16746e-05, -2.2982e-05, -2.41528e-05, -2.48589e-05, -2.50219e-05, -2.50779e-05, -2.51172e-05, -2.51503e-05, -2.518e-05, -2.52072e-05, -2.52326e-05, -2.52565e-05, -2.52837e-05, -2.53054e-05, -2.53254e-05, -2.53479e-05, -2.53746e-05, -2.96253e-05, -0.0006818, -0.0370023, -1.56704", \
+					  "2.05645, 0.0415444, 0.00829705, 0.00231848, 8.37602e-09, -9.63801e-05, -0.00011388, -0.000123467, -0.000131113, -0.000137682, -0.000142502, -0.000144163, -0.000144547, -0.000144752, -0.00014491, -0.000145046, -0.000145169, -0.000145283, -0.00014539, -0.000145491, -0.000145588, -0.000145681, -0.00014577, -0.000145856, -0.000145942, -0.000146636, -0.000287904, -0.0305688, -1.56613", \
+					  "2.05696, 0.0448531, 0.0103558, 0.00359159, 6.59591e-09, -0.000458626, -0.000578938, -0.00062936, -0.00066305, -0.000687463, -0.00070087, -0.000704915, -0.000706312, -0.000707161, -0.000707816, -0.000708371, -0.000708862, -0.000709309, -0.000709723, -0.000710111, -0.000710479, -0.00071083, -0.000711167, -0.000711492, -0.000711806, -0.000712466, -0.000736857, -0.0249035, -1.56556", \
+					  "2.05747, 0.0480875, 0.0123007, 0.00478557, 6.2526e-09, -0.00115613, -0.00168797, -0.00190513, -0.00201769, -0.00208347, -0.00211517, -0.0021268, -0.00213204, -0.0021354, -0.00213795, -0.00214006, -0.00214188, -0.00214352, -0.002145, -0.00214637, -0.00214765, -0.00214886, -0.00215001, -0.0021511, -0.00215216, -0.00215415, -0.00215968, -0.0205125, -1.56558", \
+					  "2.05797, 0.0512127, 0.01408, 0.00583911, 6.38271e-09, -0.00185241, -0.00303496, -0.00368429, -0.00401349, -0.00417992, -0.00425657, -0.00428936, -0.00430643, -0.00431771, -0.00432626, -0.00433323, -0.00433917, -0.0043444, -0.0043491, -0.00435339, -0.00435735, -0.00436105, -0.00436453, -0.00436782, -0.00437095, -0.00437682, -0.00438277, -0.0174537, -1.56616", \
+					  "2.05844, 0.0541578, 0.0156781, 0.00674955, 6.37806e-09, -0.00241702, -0.00417899, -0.00535883, -0.00607196, -0.00645752, -0.0066432, -0.00673165, -0.00678171, -0.00681564, -0.00684138, -0.00686225, -0.00687991, -0.00689531, -0.00690903, -0.00692144, -0.00693283, -0.00694337, -0.00695322, -0.00696248, -0.00697123, -0.0069875, -0.0070025, -0.0155774, -1.56704", \
+					  "2.05888, 0.056963, 0.0171008, 0.00753248, 6.37271e-09, -0.00286398, -0.0050714, -0.00667386, -0.00774486, -0.00838441, -0.00872398, -0.0089035, -0.0090126, -0.00908909, -0.009148, -0.00919612, -0.009237, -0.00927271, -0.00930453, -0.00933334, -0.00935975, -0.00938419, -0.009407, -0.00942843, -0.00944869, -0.00948627, -0.00952069, -0.0146551, -1.56794", \
+					  "2.05929, 0.0595745, 0.0183631, 0.0082068, 6.36687e-09, -0.00322281, -0.00577276, -0.00768731, -0.00901981, -0.00985316, -0.010322, -0.0105866, -0.0107553, -0.0108768, -0.0109717, -0.0110501, -0.0111171, -0.0111759, -0.0112285, -0.0112763, -0.0113202, -0.011361, -0.0113991, -0.011435, -0.011469, -0.0115322, -0.0115902, -0.01446, -1.56862", \
+					  "2.05967, 0.0619838, 0.019483, 0.00879005, 6.365e-09, -0.00351638, -0.0063363, -0.00848686, -0.0100101, -0.0109832, -0.0115478, -0.0118789, -0.012096, -0.0122548, -0.0123802, -0.0124843, -0.0125737, -0.0126525, -0.0127231, -0.0127874, -0.0128466, -0.0129017, -0.0129532, -0.0130018, -0.0130478, -0.0131336, -0.0132126, -0.0148493, -1.56907", \
+					  "2.06001, 0.064193, 0.0204779, 0.00929725, 6.36773e-09, -0.00376076, -0.00679881, -0.00913393, -0.010802, -0.0118795, -0.0125167, -0.0128995, -0.013155, -0.013344, -0.0134941, -0.0136193, -0.013727, -0.0138222, -0.0139076, -0.0139855, -0.0140573, -0.0141241, -0.0141867, -0.0142457, -0.0143017, -0.0144061, -0.0145022, -0.0155979, -1.56939", \
+					  "2.06061, 0.0680533, 0.0221549, 0.0101312, 6.37811e-09, -0.00414407, -0.00751332, -0.0101194, -0.0119938, -0.013218, -0.0139583, -0.0144162, -0.0147286, -0.0149628, -0.0151502, -0.0153072, -0.0154429, -0.0155629, -0.0156709, -0.0157695, -0.0158604, -0.0159451, -0.0160245, -0.0160995, -0.0161706, -0.0163032, -0.0164255, -0.0172816, -1.56989", \
+					  "2.06109, 0.0712643, 0.0235007, 0.0107836, 6.3849e-09, -0.00443082, -0.0080401, -0.0108365, -0.0128521, -0.0141755, -0.0149862, -0.0154964, -0.0158492, -0.0161157, -0.01633, -0.01651, -0.0166659, -0.0168039, -0.0169283, -0.0170419, -0.0171467, -0.0172444, -0.017336, -0.0174225, -0.0175046, -0.0176577, -0.0177988, -0.0186651, -1.57048", \
+					  "2.06206, 0.0780594, 0.0262486, 0.0120797, 6.3798e-09, -0.0049755, -0.00902558, -0.0121608, -0.0144217, -0.015916, -0.0168495, -0.0174526, -0.017878, -0.0182033, -0.0184668, -0.0186891, -0.0188822, -0.0190535, -0.0192081, -0.0193494, -0.01948, -0.0196017, -0.0197158, -0.0198236, -0.0199259, -0.0201168, -0.0202927, -0.0212433, -1.57206", \
+					  "2.06283, 0.0840227, 0.0286419, 0.0131837, 6.36305e-09, -0.0054227, -0.00982284, -0.0132194, -0.0156655, -0.0172882, -0.0183151, -0.01899, -0.0194724, -0.0198442, -0.0201469, -0.0204031, -0.020626, -0.0208242, -0.0210031, -0.0211668, -0.0213181, -0.0214591, -0.0215915, -0.0217164, -0.021835, -0.0220563, -0.0222602, -0.0232833, -1.5734");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3333445, 0.8068742, 1.2145999, 1.5640818, 2.0252790");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4073131, 0.8806020, 1.2887534, 1.6376458, 2.0989806");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.4202169, 0.8850260, 1.3609316, 1.9823262, 2.9695873");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4950134, 0.9596912, 1.4359485, 2.0575429, 3.0436005");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("85.7623");
+						index_3("0.001");
+						index_4("43.1397546, 45.5912424, 64.7550852, 69.4971884, 71.8869145");
+						values("0.375075, 0.60012, 0.75015, 0.60012, 0.375075");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("51.9262");
+						index_3("0.001");
+						index_4("26.1843178, 27.8833451, 40.3305421, 43.2447995, 44.9263383");
+						values("0.395634, 0.633014, 0.791267, 0.633014, 0.395634");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("34.9206");
+						index_3("0.001");
+						index_4("17.6458184, 18.9148938, 27.6117080, 29.7502118, 31.0300307");
+						values("0.40005, 0.64008, 0.8001, 0.64008, 0.40005");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("42.8811");
+						index_3("0.001");
+						index_4("21.6081693, 22.8531814, 31.8343387, 35.0143962, 36.2920722");
+						values("0.179824, 0.287719, 0.359648, 0.287719, 0.179824");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("25.9631");
+						index_3("0.001");
+						index_4("13.1090231, 13.9713166, 19.4608931, 21.8285825, 22.7589223");
+						values("0.187399, 0.299839, 0.374799, 0.299839, 0.187399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("17.4603");
+						index_3("0.001");
+						index_4("8.8404938, 9.4868618, 13.3989730, 15.0274606, 15.7739816");
+						values("0.187897, 0.300636, 0.375794, 0.300636, 0.187897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("77.8894");
+						index_3("0.001");
+						index_4("39.4179193, 41.6380455, 59.8315581, 64.6009424, 66.8595760");
+						values("0.647868, 1.03659, 1.29574, 1.03659, 0.647868");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("96.5048");
+						index_3("0.001");
+						index_4("48.7054384, 51.3820253, 71.3682122, 79.6113570, 82.0792349");
+						values("1.19057, 0.944911, 0.781139, 0.944911, 1.19057");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("55.8588");
+						index_3("0.001");
+						index_4("28.2469086, 29.9951723, 42.5358683, 47.4429995, 49.0357534");
+						values("1.17669, 0.922711, 0.753389, 0.922711, 1.17669");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("37.6851");
+						index_3("0.001");
+						index_4("19.0955256, 20.4066170, 29.5593760, 32.7200469, 33.8886532");
+						values("1.1697, 0.911523, 0.739404, 0.911523, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("48.2524");
+						index_3("0.001");
+						index_4("24.6023383, 26.1489138, 36.7422013, 39.9570163, 41.2163889");
+						values("1.40586, 1.28937, 1.21171, 1.28937, 1.40586");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("27.9294");
+						index_3("0.001");
+						index_4("14.3076010, 15.3136033, 21.9241552, 23.8137521, 24.6426119");
+						values("1.39914, 1.27862, 1.19827, 1.27862, 1.39914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("18.8425");
+						index_3("0.001");
+						index_4("9.7042858, 10.4687826, 14.7701691, 16.4045902, 17.0420683");
+						values("1.39504, 1.27206, 1.19008, 1.27206, 1.39504");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("83.7883");
+						index_3("0.001");
+						index_4("41.9025013, 44.1832468, 62.1012954, 71.4251090, 73.5904663");
+						values("1.01287, 0.660589, 0.425736, 0.660589, 1.01287");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016687;
+			capacitance : 0.016507;
+			fall_capacitance : 0.016327;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0177023, 0.0173015, 0.0169008, 0.0168346, 0.0167711, 0.0167049, 0.0166387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0206866, 0.0203479, 0.0200092, 0.0199618, 0.0199163, 0.0198689, 0.0198215");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000757039";
+				miller_cap_rise : "0.00050014";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.96739, 0.445156, 0.416165, 0.403119, 0.386983, 0.377496, 0.367, 0.355475, 0.342913, 0.329311, 0.314665, 0.298973, 0.282237, 0.264454, 0.245625, 0.225751, 0.204833, 0.18287, 0.159864, 0.135816, 0.110728, 0.0846, 0.057435, 0.0292343, -3.92092e-09, -0.0583582, -0.115006, -0.295747, -1.5178", \
+					  "1.88543, 0.287376, 0.272477, 0.266818, 0.260573, 0.257153, 0.253472, 0.24946, 0.245018, 0.240002, 0.234207, 0.227371, 0.21924, 0.20965, 0.198524, 0.185831, 0.171556, 0.155693, 0.138237, 0.119186, 0.0985392, 0.0762966, 0.0524584, 0.0270256, -2.23071e-09, -0.055612, -0.111351, -0.295026, -1.52158", \
+					  "1.8268, 0.167797, 0.1583, 0.155017, 0.151554, 0.149736, 0.147847, 0.145874, 0.143799, 0.141598, 0.13924, 0.136678, 0.133843, 0.130624, 0.126834, 0.122149, 0.116122, 0.108393, 0.0987923, 0.0872569, 0.0737619, 0.058295, 0.0408486, 0.021418, -1.51785e-09, -0.0465535, -0.0961564, -0.271274, -1.5174", \
+					  "1.8109, 0.131302, 0.120217, 0.117586, 0.114846, 0.113423, 0.111959, 0.110446, 0.108873, 0.10723, 0.1055, 0.103661, 0.101682, 0.0995157, 0.0970883, 0.0942671, 0.0907923, 0.0861956, 0.0799593, 0.0717952, 0.0616005, 0.0493379, 0.0349899, 0.0185464, -1.52817e-09, -0.0417394, -0.0878874, -0.257346, -1.51475", \
+					  "1.79792, 0.11085, 0.0845072, 0.0824722, 0.0803785, 0.0793042, 0.0782077, 0.0770852, 0.0759323, 0.074743, 0.0735099, 0.0722231, 0.0708692, 0.0694294, 0.0678759, 0.0661641, 0.0642147, 0.061858, 0.0586965, 0.0540853, 0.04755, 0.0389158, 0.0281269, 0.0151596, -1.54038e-09, -0.0359616, -0.0778542, -0.239887, -1.51142", \
+					  "1.7926, 0.108444, 0.0681001, 0.0663431, 0.064547, 0.0636306, 0.0626992, 0.0617504, 0.0607811, 0.0597874, 0.0587645, 0.057706, 0.0566034, 0.0554452, 0.0542147, 0.0528869, 0.0514196, 0.049731, 0.047621, 0.0445857, 0.0399179, 0.0332148, 0.0243514, 0.0132865, -1.54708e-09, -0.0327224, -0.0721813, -0.22977, -1.50949", \
+					  "1.78817, 0.110432, 0.0529724, 0.0514801, 0.0499653, 0.0491967, 0.0484191, 0.0476307, 0.0468297, 0.0460135, 0.0451792, 0.0443227, 0.0434391, 0.0425214, 0.0415602, 0.0405413, 0.0394431, 0.038227, 0.0368083, 0.0349425, 0.0319969, 0.0272468, 0.020379, 0.0113072, -1.5549e-09, -0.0292648, -0.0660889, -0.21871, -1.50738", \
+					  "1.78472, 0.116298, 0.0393612, 0.0381177, 0.0368682, 0.0362381, 0.0356034, 0.0349632, 0.0343163, 0.0336612, 0.0329962, 0.032319, 0.0316267, 0.0309155, 0.0301801, 0.0294132, 0.0286039, 0.0277343, 0.0267702, 0.025624, 0.0239879, 0.0210906, 0.0162482, 0.00923752, -1.57267e-09, -0.0256077, -0.0596014, -0.206708, -1.50509", \
+					  "1.78233, 0.125754, 0.0275249, 0.0264806, 0.0254814, 0.0249809, 0.0244792, 0.0239758, 0.0234701, 0.0229614, 0.0224485, 0.0219305, 0.0214058, 0.0208724, 0.0203277, 0.0197682, 0.0191888, 0.0185816, 0.0179331, 0.0172147, 0.0163354, 0.0149025, 0.0120194, 0.00710029, -1.75448e-09, -0.0217761, -0.0527541, -0.193782, -1.50263", \
+					  "1.78108, 0.138623, 0.0178747, 0.0167803, 0.0160173, 0.0156383, 0.0152607, 0.014884, 0.0145081, 0.0141326, 0.0137571, 0.013381, 0.0130037, 0.0126244, 0.0122419, 0.0118548, 0.0114608, 0.0110571, 0.0106387, 0.0101966, 0.00970884, 0.00908185, 0.00781582, 0.00493614, -4.72146e-09, -0.0178038, -0.0455947, -0.179979, -1.49999", \
+					  "1.78106, 0.154775, 0.0113971, 0.00922583, 0.00867979, 0.00841601, 0.00815507, 0.00789689, 0.0076414, 0.00738848, 0.007138, 0.00688978, 0.00664361, 0.00639922, 0.00615623, 0.00591416, 0.00567234, 0.00542981, 0.00518512, 0.00493588, 0.00467721, 0.00439427, 0.00399215, 0.00284458, -1.90778e-08, -0.0137382, -0.03819, -0.165395, -1.4972", \
+					  "1.78232, 0.17411, 0.010293, 0.00407989, 0.00367139, 0.00351717, 0.00336659, 0.00321954, 0.00307599, 0.00293589, 0.0027992, 0.00266588, 0.00253585, 0.00240903, 0.00228532, 0.00216458, 0.00204664, 0.00193126, 0.0018181, 0.00170664, 0.00159599, 0.00148407, 0.00136196, 0.00112086, -8.59442e-07, -0.0096593, -0.0306441, -0.150211, -1.49431", \
+					  "1.78495, 0.196505, 0.0163855, 0.00172894, 0.00105513, 0.000991557, 0.000932439, 0.000875977, 0.000822057, 0.000770597, 0.000721517, 0.000674739, 0.000630177, 0.000587745, 0.000547356, 0.000508912, 0.000472315, 0.000437454, 0.000404205, 0.00037242, 0.000341899, 0.000312311, 0.000282741, 0.000244965, -1.27668e-05, -0.00576493, -0.0231631, -0.134708, -1.49138", \
+					  "1.78884, 0.22143, 0.0286599, 0.00276103, 0.000212519, 0.000171606, 0.000152022, 0.000133821, 0.000116464, 9.98327e-05, 8.38595e-05, 6.84943e-05, 5.36932e-05, 3.94157e-05, 2.5624e-05, 1.22816e-05, -6.46865e-07, -1.31965e-05, -2.54029e-05, -3.73046e-05, -4.8946e-05, -6.03898e-05, -7.17731e-05, -8.40182e-05, -0.000137379, -0.00268916, -0.0162426, -0.119339, -1.48861", \
+					  "1.79352, 0.247689, 0.0446568, 0.008178, 4.02997e-05, -0.000154852, -0.000199207, -0.000238494, -0.000276883, -0.000315007, -0.000353067, -0.000391148, -0.000429295, -0.000467536, -0.000505885, -0.000544357, -0.000582958, -0.000621696, -0.000660579, -0.000699613, -0.000738806, -0.000778174, -0.000817743, -0.000857673, -0.000905673, -0.00170784, -0.0109998, -0.105135, -1.4866", \
+					  "1.79849, 0.274407, 0.0626036, 0.0176753, 7.76892e-06, -0.00103554, -0.00123949, -0.00139747, -0.00154775, -0.00169548, -0.00184226, -0.00198871, -0.00213519, -0.00228186, -0.00242883, -0.00257617, -0.00272391, -0.00287209, -0.00302073, -0.00316984, -0.00331943, -0.00346953, -0.00362016, -0.00377138, -0.00392459, -0.00437068, -0.00965701, -0.0944166, -1.48687", \
+					  "1.80361, 0.301271, 0.0814262, 0.0290098, 1.3151e-06, -0.00408242, -0.00504932, -0.00554796, -0.00597603, -0.00638061, -0.00677424, -0.00716187, -0.00754595, -0.00792783, -0.00830831, -0.00868793, -0.00906703, -0.00944587, -0.00982462, -0.0102034, -0.0105824, -0.0109617, -0.0113413, -0.0117215, -0.0121025, -0.0128926, -0.0155695, -0.0905853, -1.49151", \
+					  "1.80884, 0.328144, 0.100305, 0.0403909, 1.48009e-07, -0.00964825, -0.013477, -0.0148947, -0.0158428, -0.0166599, -0.0174206, -0.0181511, -0.0188633, -0.0195633, -0.020255, -0.0209405, -0.0216216, -0.0222992, -0.0229742, -0.0236471, -0.0243185, -0.0249887, -0.0256582, -0.0263272, -0.0269961, -0.0283404, -0.0301433, -0.0948458, -1.50084", \
+					  "1.81418, 0.354927, 0.118605, 0.0511764, 1.09622e-08, -0.0159824, -0.0250074, -0.0288286, -0.0307546, -0.032172, -0.0333982, -0.0345298, -0.0356064, -0.0366477, -0.0376647, -0.0386641, -0.0396504, -0.0406265, -0.0415947, -0.0425565, -0.0435131, -0.0444656, -0.0454148, -0.0463614, -0.0473063, -0.049195, -0.0511675, -0.105475, -1.51339", \
+					  "1.81962, 0.381539, 0.13593, 0.0612152, 1.96182e-09, -0.0221112, -0.037062, -0.045213, -0.0490415, -0.0513796, -0.0532059, -0.0548018, -0.0562716, -0.0576636, -0.0590034, -0.0603062, -0.0615815, -0.0628357, -0.0640735, -0.065298, -0.0665118, -0.0677168, -0.0689146, -0.0701065, -0.071294, -0.0736607, -0.0760403, -0.120722, -1.52792", \
+					  "1.82516, 0.407899, 0.15211, 0.0704958, 1.51467e-09, -0.0277914, -0.0485169, -0.0620512, -0.0691577, -0.0729732, -0.075616, -0.0777725, -0.0796805, -0.0814416, -0.0831075, -0.0847072, -0.0862585, -0.0877733, -0.0892596, -0.0907231, -0.0921682, -0.0935981, -0.0950155, -0.0964226, -0.0978215, -0.100602, -0.103375, -0.139586, -1.54378", \
+					  "1.8308, 0.433926, 0.167122, 0.079047, 1.48774e-09, -0.0329934, -0.0591175, -0.0781556, -0.0897906, -0.0959407, -0.0997395, -0.102603, -0.105019, -0.107183, -0.109189, -0.111088, -0.11291, -0.114675, -0.116395, -0.118081, -0.119738, -0.121372, -0.122987, -0.124586, -0.126172, -0.129315, -0.132438, -0.161474, -1.56062", \
+					  "1.83655, 0.459536, 0.181006, 0.0869106, 1.48922e-09, -0.0377395, -0.0688463, -0.0931124, -0.109884, -0.119425, -0.124887, -0.128672, -0.131696, -0.134314, -0.136686, -0.138895, -0.140991, -0.143003, -0.14495, -0.146847, -0.148704, -0.150528, -0.152324, -0.154098, -0.155854, -0.159321, -0.162754, -0.185977, -1.57822", \
+					  "1.84239, 0.484633, 0.193824, 0.0941317, 1.50606e-09, -0.0420634, -0.0777455, -0.106863, -0.128781, -0.142654, -0.150476, -0.155487, -0.159261, -0.162404, -0.16518, -0.167721, -0.170099, -0.17236, -0.174533, -0.176636, -0.178685, -0.18069, -0.182657, -0.184594, -0.186506, -0.19027, -0.193983, -0.212785, -1.59641", \
+					  "1.84834, 0.509109, 0.205644, 0.100756, 1.5431e-09, -0.0460002, -0.0858729, -0.119459, -0.146234, -0.164959, -0.175975, -0.182637, -0.187353, -0.191118, -0.19435, -0.197251, -0.199929, -0.202447, -0.204848, -0.207157, -0.209395, -0.211575, -0.213707, -0.215799, -0.217858, -0.2219, -0.22587, -0.241651, -1.61506", \
+					  "1.86056, 0.555677, 0.226549, 0.112385, 1.70649e-09, -0.0528436, -0.100052, -0.1415, -0.176862, -0.205155, -0.22471, -0.236473, -0.243947, -0.249381, -0.253752, -0.257503, -0.260855, -0.263935, -0.266817, -0.269551, -0.27217, -0.274697, -0.277149, -0.27954, -0.28188, -0.286438, -0.29088, -0.304542, -1.65336", \
+					  "1.8732, 0.598025, 0.244216, 0.122118, 2.02939e-09, -0.0585068, -0.111833, -0.159877, -0.202429, -0.238903, -0.26776, -0.287312, -0.299369, -0.30738, -0.313358, -0.31822, -0.322404, -0.326142, -0.329568, -0.332765, -0.335788, -0.338675, -0.341453, -0.344141, -0.346756, -0.35181, -0.35669, -0.371024, -1.69253", \
+					  "1.91289, 0.690339, 0.281888, 0.142548, 5.0597e-09, -0.0702166, -0.136365, -0.198384, -0.25619, -0.309638, -0.358412, -0.401719, -0.43773, -0.464191, -0.481776, -0.493705, -0.502492, -0.509475, -0.515341, -0.520466, -0.525072, -0.529296, -0.53323, -0.536936, -0.54046, -0.547086, -0.553294, -0.570587, -1.81109", \
+					  "1.95932, 0.757391, 0.3112, 0.158039, 2.27696e-08, -0.079003, -0.155143, -0.22839, -0.29871, -0.366064, -0.430404, -0.491655, -0.549688, -0.604245, -0.654765, -0.700033, -0.737954, -0.76683, -0.787543, -0.802611, -0.814154, -0.823474, -0.83133, -0.838169, -0.844273, -0.854949, -0.864243, -0.887635, -2.00031");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0412758, 0.0804001, 0.1207574, 0.1631514, 0.2269966");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1152098, 0.1544195, 0.1950266, 0.2374467, 0.3010282");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0207166, 0.0338345, 0.0473220, 0.0624993, 0.0843353");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0908851, 0.1058203, 0.1194388, 0.1345616, 0.1564956");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("6.1201");
+						index_3("0.001");
+						index_4("3.0591338, 3.2664808, 3.9241300, 4.5175139, 4.6923212");
+						values("0.397171, 0.635474, 0.794342, 0.635474, 0.397171");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("3.63806");
+						index_3("0.001");
+						index_4("1.8242748, 1.9673113, 2.4931477, 2.7927980, 2.9070911");
+						values("0.411273, 0.658037, 0.822547, 0.658037, 0.411273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("2.49642");
+						index_3("0.001");
+						index_4("1.2551436, 1.3642787, 1.7149092, 1.9727940, 2.0575111");
+						values("0.420461, 0.672738, 0.840922, 0.672738, 0.420461");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("3.06005");
+						index_3("0.001");
+						index_4("1.5445368, 1.6564730, 2.0191217, 2.2924712, 2.3786366");
+						values("0.209092, 0.334546, 0.418183, 0.334546, 0.209092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("1.81903");
+						index_3("0.001");
+						index_4("0.9226166, 0.9994876, 1.2292397, 1.4177552, 1.4762563");
+						values("0.213969, 0.342351, 0.427938, 0.342351, 0.213969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("1.24821");
+						index_3("0.001");
+						index_4("0.6359782, 0.6945705, 0.8698399, 1.0018331, 1.0461282");
+						values("0.217945, 0.348711, 0.435889, 0.348711, 0.217945");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("5.45709");
+						index_3("0.001");
+						index_4("2.7200092, 2.9196730, 3.6946373, 4.1477019, 4.3155592");
+						values("0.587518, 0.940028, 1.17504, 0.940028, 0.587518");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("1.96303");
+						index_3("0.001");
+						index_4("0.9839952, 1.0576146, 1.4221995, 1.6470744, 1.7510248");
+						values("1.19292, 0.948672, 0.78584, 0.948672, 1.19292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.13486");
+						index_3("0.001");
+						index_4("0.5708908, 0.6204132, 0.8261284, 1.0015715, 1.0729300");
+						values("1.1803, 0.928487, 0.760609, 0.928487, 1.1803");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.758979");
+						index_3("0.001");
+						index_4("0.3837780, 0.4208785, 0.5933087, 0.6974604, 0.7501521");
+						values("1.17547, 0.920757, 0.750947, 0.920757, 1.17547");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("0.981513");
+						index_3("0.001");
+						index_4("0.5000952, 0.5413296, 0.7077653, 0.8390098, 0.8997585");
+						values("1.38991, 1.26385, 1.17981, 1.26385, 1.38991");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("0.567428");
+						index_3("0.001");
+						index_4("0.2919827, 0.3196240, 0.4245786, 0.5124911, 0.5558410");
+						values("1.38841, 1.26145, 1.17681, 1.26145, 1.38841");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.37949");
+						index_3("0.001");
+						index_4("0.1970661, 0.2181356, 0.3054647, 0.3583264, 0.3911840");
+						values("1.38625, 1.258, 1.1725, 1.258, 1.38625");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.70228");
+						index_3("0.001");
+						index_4("0.8465674, 0.9156882, 1.2596035, 1.4864485, 1.5869335");
+						values("1.01412, 0.662585, 0.428231, 0.662585, 1.01412");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035033;
+			capacitance : 0.035678;
+			rise_capacitance : 0.036324;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7043819, -3.5694831, -3.4562177, -3.3560194, -3.2862366, -3.2196478, -3.1599318", \
+					  "-4.1364505, -4.0091812, -3.8944081, -3.7943734, -3.7192262, -3.6590170, -3.6042078", \
+					  "-4.6010362, -4.4677943, -4.3534587, -4.2498038, -4.1506858, -4.1120931, -4.0565864", \
+					  "-5.0487989, -4.9139001, -4.7958155, -4.7464918, -4.6260761, -4.5644352, -4.5058750", \
+					  "-5.4581483, -5.3232491, -5.2088775, -5.1082607, -5.0338993, -4.9744854, -4.9138153", \
+					  "-5.8407641, -5.7137954, -5.5877806, -5.4924018, -5.4241524, -5.3593349, -5.2890125", \
+					  "-6.1996685, -6.0647698, -5.9586267, -5.8802232, -5.7772895, -5.7019529, -5.6567446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4089106, -2.2999480, -2.1575876, -3.1190623, -1.8561995, -1.7445084, -1.6287131", \
+					  "-2.8501874, -2.7427504, -2.5870032, -2.4198116, -2.3046373, -3.2304567, -3.0604387", \
+					  "-3.3056413, -3.1966787, -3.0503735, -2.9106357, -2.7521389, -2.6417430, -2.5133761", \
+					  "-3.7397860, -4.8347417, -3.4988763, -3.3292202, -3.2041478, -3.0964086, -2.9276439", \
+					  "-4.1432584, -4.0205627, -3.8776717, -4.8441377, -3.6030427, -3.4785132, -3.3035316", \
+					  "-4.5077925, -4.3827082, -4.2359846, -4.1054357, -3.9641283, -4.8626669, -3.6850149", \
+					  "-4.9284858, -4.8027384, -4.6501658, -4.4889663, -4.3769838, -4.2318373, -4.0925931");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9998252, 3.8588220, 3.7455894, 3.6631092, 3.5603165, 3.4834325, 3.4094412", \
+					  "4.4152804, 4.2941133, 4.1806059, 4.1009225, 3.9879787, 3.9145281, 3.8633766", \
+					  "4.8860474, 4.7620399, 4.6238998, 4.5510016, 4.4663751, 4.3727060, 4.3177677", \
+					  "5.3218534, 5.1915310, 5.0719205, 4.9854252, 4.9116576, 4.8176674, 4.7472948", \
+					  "5.7102343, 5.5832310, 5.4877665, 5.3605265, 5.2829330, 5.2304626, 5.1383171", \
+					  "6.1177022, 5.9477075, 5.8876200, 5.7367536, 5.6415719, 5.6262806, 5.5095451", \
+					  "6.5048973, 6.3537134, 6.2512007, 6.1389061, 6.0608108, 5.9879331, 5.9288905");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7389023, 3.5670554, 3.3699868, 3.1740564, 2.9911596, 2.8379888, 2.6749390", \
+					  "4.1995849, 4.0292639, 3.8231962, 3.6283654, 3.4513557, 3.3103467, 3.1264747", \
+					  "4.6459985, 4.4756770, 4.2789202, 4.0811668, 3.8938047, 3.7435515, 3.5865698", \
+					  "5.0700984, 4.9284752, 4.7003439, 4.5020510, 4.3277948, 4.1960851, 4.0244024", \
+					  "5.5127017, 5.3408547, 5.1260723, 4.9407268, 4.7668543, 4.6072029, 4.4283158", \
+					  "5.8976262, 5.7257792, 5.5273775, 5.3280156, 5.1508680, 4.9824370, 4.8256224", \
+					  "6.2357326, 6.0654111, 5.8707699, 5.6592858, 5.4958312, 5.3561731, 5.1578278");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1100509, 0.1402729, 0.1704948, 0.2003868, 0.2290831, 0.2589750, 0.2888670");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1367426, 0.1896642, 0.2425858, 0.2469757, 0.2511901, 0.2555800, 0.2599699");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00217425";
+				miller_cap_rise : "0.0017395";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10609, 0.943219, 0.883767, 0.852949, 0.814525, 0.792354, 0.768196, 0.742049, 0.713916, 0.683803, 0.651716, 0.617663, 0.581652, 0.543691, 0.503788, 0.461953, 0.418194, 0.372521, 0.324943, 0.27547, 0.22411, 0.170873, 0.11577, 0.0588089, -6.62279e-09, -0.118939, -0.237725, -0.634801, -2.57728", \
+					  "2.87144, 0.531706, 0.512266, 0.504404, 0.495377, 0.490257, 0.484591, 0.478213, 0.47087, 0.462178, 0.451599, 0.438585, 0.422766, 0.403978, 0.382161, 0.357306, 0.329423, 0.298529, 0.264647, 0.2278, 0.188014, 0.145314, 0.0997279, 0.051281, -6.69173e-09, -0.10701, -0.217611, -0.607544, -2.58107", \
+					  "2.73578, 0.277813, 0.26536, 0.261646, 0.257654, 0.255527, 0.253294, 0.250934, 0.248422, 0.245724, 0.242793, 0.239562, 0.235926, 0.231707, 0.226563, 0.219797, 0.21038, 0.197502, 0.180827, 0.160271, 0.135836, 0.10755, 0.0754535, 0.0395884, -6.80193e-09, -0.0875096, -0.183691, -0.55315, -2.57609", \
+					  "2.70013, 0.217932, 0.190158, 0.187392, 0.184466, 0.18293, 0.181334, 0.179671, 0.177926, 0.176086, 0.17413, 0.17203, 0.169747, 0.167222, 0.164362, 0.160988, 0.156695, 0.150562, 0.141313, 0.128153, 0.110837, 0.0893311, 0.0636595, 0.0338658, -6.85627e-09, -0.0778857, -0.166883, -0.525442, -2.57255", \
+					  "2.67182, 0.1905, 0.122861, 0.120909, 0.118876, 0.117822, 0.116739, 0.115622, 0.114466, 0.113265, 0.11201, 0.110689, 0.10929, 0.107791, 0.106163, 0.10436, 0.102304, 0.099817, 0.0963981, 0.0907767, 0.0814647, 0.0678035, 0.0496598, 0.0270434, -6.92147e-09, -0.0663757, -0.146753, -0.491869, -2.56785", \
+					  "2.66103, 0.189001, 0.093186, 0.0915994, 0.0899587, 0.0891137, 0.0882492, 0.0873627, 0.0864507, 0.0855091, 0.0845328, 0.0835153, 0.0824479, 0.0813191, 0.0801128, 0.0788052, 0.0773584, 0.0757017, 0.0736626, 0.0706689, 0.0652212, 0.055779, 0.0417964, 0.023194, -6.95826e-09, -0.0598622, -0.135348, -0.472673, -2.56502", \
+					  "2.65282, 0.195727, 0.0667594, 0.0655062, 0.0642261, 0.0635709, 0.0629038, 0.0622232, 0.0615271, 0.0608132, 0.0600783, 0.0593189, 0.05853, 0.0577054, 0.0568366, 0.0559113, 0.0549116, 0.0538075, 0.0525394, 0.0509404, 0.0483483, 0.0430006, 0.0333718, 0.019049, -6.99894e-09, -0.0528239, -0.123011, -0.451759, -2.5618", \
+					  "2.64748, 0.210785, 0.0441278, 0.0431181, 0.0421683, 0.0416852, 0.0411957, 0.0406989, 0.0401937, 0.0396788, 0.0391527, 0.0386133, 0.0380584, 0.0374845, 0.0368877, 0.036262, 0.0355993, 0.034887, 0.0341034, 0.0332017, 0.0320242, 0.0297942, 0.0244669, 0.0146262, -7.05707e-09, -0.0452568, -0.109725, -0.429044, -2.55809", \
+					  "2.64532, 0.234259, 0.0262194, 0.0249917, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230094, 0.0226672, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181092, 0.0173181, 0.0153837, 0.0100039, -7.42796e-09, -0.0371739, -0.095493, -0.404448, -2.55305", \
+					  "2.64676, 0.266248, 0.0153565, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.0096315, 0.00942346, 0.00921144, 0.0089944, 0.00877084, 0.00853856, 0.00829397, 0.00803008, 0.0077268, 0.00725593, 0.00547698, -2.29814e-08, -0.028626, -0.0803558, -0.377899, -2.54525", \
+					  "2.65217, 0.306708, 0.0156899, 0.00423619, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285059, 0.00275885, 0.00266549, 0.00256978, 0.00247049, 0.00236484, 0.00224158, 0.00195401, -3.98099e-07, -0.0197767, -0.0644516, -0.349338, -2.53688", \
+					  "2.6613, 0.354364, 0.0283608, 0.00200111, 0.000984578, 0.000953294, 0.000924644, 0.000896207, 0.00086792, 0.000839766, 0.000811735, 0.000783813, 0.000755988, 0.00072824, 0.000700551, 0.000672893, 0.000645228, 0.000617508, 0.000589661, 0.00056158, 0.00053308, 0.000503783, 0.000472481, 0.000427441, -6.96082e-06, -0.0112028, -0.0482156, -0.318761, -2.52792", \
+					  "2.67283, 0.40642, 0.0500367, 0.00492732, 0.000213863, 0.000170622, 0.000159697, 0.000149773, 0.000140108, 0.000130577, 0.000121137, 0.000111768, 0.000102453, 9.31882e-05, 8.39629e-05, 7.47718e-05, 6.56066e-05, 5.64605e-05, 4.73178e-05, 3.81621e-05, 2.89627e-05, 1.96596e-05, 1.00691e-05, -1.31579e-06, -8.81718e-05, -0.00461365, -0.0327962, -0.286392, -2.51844", \
+					  "2.68576, 0.460811, 0.0775249, 0.0148038, 4.03949e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374846, -0.000395172, -0.000415324, -0.000435359, -0.000455312, -0.000475209, -0.000495068, -0.0005149, -0.000534722, -0.000554536, -0.00057436, -0.000594208, -0.000614113, -0.000634333, -0.000668083, -0.00190926, -0.020105, -0.253221, -2.5088", \
+					  "2.69976, 0.516621, 0.108753, 0.0308962, 5.30601e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305515, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.00344759, -0.00385041, -0.0130621, -0.22201, -2.50034", \
+					  "2.71479, 0.573367, 0.141946, 0.0496878, 4.21304e-07, -0.00705462, -0.00845087, -0.00891356, -0.00923962, -0.00951923, -0.00977583, -0.0100188, -0.0102528, -0.0104804, -0.0107034, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124052, -0.0126129, -0.0128202, -0.0132813, -0.0168565, -0.198127, -2.49607", \
+					  "2.73087, 0.630682, 0.175505, 0.0687438, 2.5947e-08, -0.0163332, -0.0227546, -0.0246049, -0.0255367, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282854, -0.0287327, -0.0291667, -0.0295904, -0.0300058, -0.0304146, -0.0308178, -0.0312164, -0.0316112, -0.0320028, -0.0323917, -0.0327785, -0.0335554, -0.0350696, -0.18617, -2.49856", \
+					  "2.74808, 0.688247, 0.208339, 0.0872477, 2.79597e-09, -0.0268105, -0.0422271, -0.0484676, -0.0509589, -0.0524511, -0.0535829, -0.0545387, -0.0553918, -0.0561782, -0.0569179, -0.0576234, -0.0583026, -0.0589613, -0.0596033, -0.0602317, -0.0608487, -0.0614562, -0.0620556, -0.0626484, -0.0632356, -0.0643987, -0.0656982, -0.186797, -2.50817", \
+					  "2.76649, 0.745744, 0.239934, 0.104965, 1.5647e-09, -0.0371686, -0.0628067, -0.0771414, -0.0833792, -0.0864774, -0.088528, -0.090125, -0.0914789, -0.0926832, -0.0937873, -0.0948196, -0.0957983, -0.0967355, -0.0976398, -0.0985171, -0.0993724, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105775, -0.199256, -2.52424", \
+					  "2.78623, 0.802852, 0.270111, 0.121826, 1.48659e-09, -0.0470638, -0.0828508, -0.107029, -0.12017, -0.126438, -0.130051, -0.132612, -0.134657, -0.136404, -0.13796, -0.139383, -0.14071, -0.141964, -0.14316, -0.14431, -0.145423, -0.146504, -0.147558, -0.14859, -0.149604, -0.151586, -0.153532, -0.222818, -2.54598", \
+					  "2.80745, 0.859228, 0.298828, 0.137816, 1.46745e-09, -0.0564182, -0.101943, -0.13614, -0.15848, -0.170361, -0.17665, -0.180681, -0.183689, -0.186144, -0.188262, -0.190155, -0.191888, -0.193502, -0.195024, -0.196473, -0.197863, -0.199205, -0.200506, -0.201772, -0.20301, -0.205416, -0.207757, -0.256824, -2.57268", \
+					  "2.83032, 0.914506, 0.326092, 0.152942, 1.45317e-09, -0.0652237, -0.119983, -0.163846, -0.196079, -0.216072, -0.226786, -0.233082, -0.237446, -0.240834, -0.243656, -0.246114, -0.248322, -0.250347, -0.252233, -0.254011, -0.255703, -0.257323, -0.258885, -0.260397, -0.261867, -0.264707, -0.267449, -0.300644, -2.60368", \
+					  "2.85509, 0.968298, 0.351929, 0.167224, 1.44275e-09, -0.0734931, -0.136963, -0.190002, -0.231926, -0.261461, -0.278809, -0.288576, -0.29487, -0.299496, -0.303205, -0.306347, -0.309112, -0.311607, -0.313902, -0.316044, -0.318063, -0.319983, -0.321821, -0.323592, -0.325305, -0.328592, -0.331741, -0.353694, -2.6384", \
+					  "2.88203, 1.02021, 0.376376, 0.180687, 1.43804e-09, -0.0812468, -0.152908, -0.214602, -0.265734, -0.30505, -0.330989, -0.345893, -0.354947, -0.361231, -0.366064, -0.370039, -0.37346, -0.376496, -0.379252, -0.381795, -0.384171, -0.386414, -0.388548, -0.390591, -0.392558, -0.396307, -0.399873, -0.415297, -2.67631", \
+					  "2.91152, 1.06988, 0.399474, 0.19336, 1.44135e-09, -0.0885078, -0.167854, -0.237686, -0.297485, -0.346198, -0.38175, -0.403704, -0.416678, -0.425201, -0.431471, -0.436466, -0.440664, -0.444324, -0.4476, -0.450589, -0.453356, -0.455948, -0.458397, -0.460729, -0.462962, -0.467192, -0.471184, -0.484143, -2.71697", \
+					  "2.97977, 1.1615, 0.4418, 0.216461, 1.48375e-09, -0.101649, -0.194932, -0.279547, -0.35509, -0.42086, -0.475289, -0.515504, -0.540996, -0.556656, -0.56719, -0.575006, -0.581239, -0.586464, -0.591001, -0.595042, -0.598711, -0.602092, -0.605244, -0.60821, -0.611021, -0.616275, -0.621158, -0.634841, -2.80502", \
+					  "3.04612, 1.24231, 0.479282, 0.236781, 1.59845e-09, -0.113106, -0.218566, -0.316118, -0.405436, -0.486027, -0.556913, -0.615872, -0.659389, -0.687414, -0.705206, -0.717466, -0.726675, -0.734053, -0.740244, -0.745613, -0.750385, -0.754707, -0.758678, -0.762368, -0.765829, -0.772213, -0.778054, -0.79385, -2.89992", \
+					  "3.21153, 1.4283, 0.566484, 0.283526, 2.75987e-09, -0.139117, -0.272303, -0.399389, -0.520182, -0.634451, -0.741871, -0.841896, -0.933431, -1.01408, -1.07955, -1.12665, -1.15823, -1.17996, -1.19591, -1.20836, -1.21855, -1.2272, -1.23474, -1.24144, -1.24751, -1.25821, -1.26754, -1.29081, -3.20128", \
+					  "3.41027, 1.6049, 0.648484, 0.326739, 1.00728e-08, -0.162792, -0.321456, -0.475906, -0.626049, -0.771786, -0.913005, -1.04957, -1.18132, -1.308, -1.42921, -1.54421, -1.65152, -1.74829, -1.83005, -1.89325, -1.93912, -1.97235, -1.99733, -2.01696, -2.033, -2.05825, -2.07785, -2.12007, -3.70852");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0766252, 0.1443729, 0.2175079, 0.3027121, 0.4273146");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1479861, 0.2157110, 0.2889447, 0.3738479, 0.4978575");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0267871, 0.0462872, 0.0662096, 0.0866975, 0.1162931");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0984737, 0.1179702, 0.1378280, 0.1583835, 0.1878842");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.9016");
+						index_3("0.001");
+						index_4("6.9541410, 7.3391498, 8.8528809, 10.0997899, 10.4163950");
+						values("0.419988, 0.671981, 0.839976, 0.671981, 0.419988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.49114");
+						index_3("0.001");
+						index_4("4.2554720, 4.5216267, 5.7295876, 6.3779119, 6.5905454");
+						values("0.432901, 0.692641, 0.865801, 0.692641, 0.432901");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.73725");
+						index_3("0.001");
+						index_4("2.8810213, 3.0811243, 3.9529765, 4.4247611, 4.5821423");
+						values("0.437101, 0.699362, 0.874203, 0.699362, 0.437101");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.95081");
+						index_3("0.001");
+						index_4("3.5148237, 3.7304946, 4.4896486, 5.0767527, 5.2377423");
+						values("0.221735, 0.354776, 0.44347, 0.354776, 0.221735");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.24557");
+						index_3("0.001");
+						index_4("2.1560476, 2.3049820, 2.8418689, 3.1984489, 3.3125169");
+						values("0.22843, 0.365488, 0.45686, 0.365488, 0.22843");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.86862");
+						index_3("0.001");
+						index_4("1.4641907, 1.5768628, 2.0255541, 2.2240450, 2.3059591");
+						values("0.230231, 0.368369, 0.460461, 0.368369, 0.230231");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.7367");
+						index_3("0.001");
+						index_4("6.3394863, 6.7282359, 8.5444503, 9.5258727, 9.8482833");
+						values("0.603941, 0.966306, 1.20788, 0.966306, 0.603941");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.62845");
+						index_3("0.001");
+						index_4("1.3275445, 1.4457187, 2.0005622, 2.3521917, 2.4979574");
+						values("1.1656, 0.904965, 0.731206, 0.904965, 1.1656");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.53128");
+						index_3("0.001");
+						index_4("0.7772650, 0.8568353, 1.2324570, 1.4345538, 1.5335758");
+						values("1.16029, 0.896463, 0.720579, 0.896463, 1.16029");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.04215");
+						index_3("0.001");
+						index_4("0.5308896, 0.5903220, 0.8176050, 1.0107610, 1.0894645");
+						values("1.15884, 0.89414, 0.717675, 0.89414, 1.15884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.31423");
+						index_3("0.001");
+						index_4("0.6741472, 0.7375558, 0.9865233, 1.1934942, 1.2788755");
+						values("1.39177, 1.26683, 1.18353, 1.26683, 1.39177");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.765642");
+						index_3("0.001");
+						index_4("0.3961692, 0.4386193, 0.6483293, 0.7320630, 0.7922588");
+						values("1.39127, 1.26603, 1.18254, 1.26603, 1.39127");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.521075");
+						index_3("0.001");
+						index_4("0.2721006, 0.3050607, 0.4431317, 0.5185584, 0.5705949");
+						values("1.38996, 1.26393, 1.17991, 1.26393, 1.38996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.29693");
+						index_3("0.001");
+						index_4("1.1597463, 1.2756429, 1.8122408, 2.1256938, 2.2702790");
+						values("0.930507, 0.528811, 0.261014, 0.528811, 0.930507");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009441;
+			capacitance : 0.009687;
+			rise_capacitance : 0.009934;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0040147, 0.0182602, 0.0405350, 0.2355953, 0.4228531, 0.6179133, 0.8129736");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352494, 0.0506913, 0.0661331, 0.1050723, 0.1424539, 0.1813931, 0.2203323");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_H"; */
+				miller_cap_fall : "0.00155884";
+				miller_cap_rise : "0.00118822";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("2.71446, 0.263742, 0.248352, 0.243264, 0.237113, 0.233476, 0.229329, 0.224531, 0.218913, 0.212336, 0.204759, 0.196229, 0.186787, 0.176446, 0.165204, 0.153049, 0.139967, 0.125943, 0.11096, 0.0950027, 0.0780536, 0.0600961, 0.041113, 0.0210869, -4.0142e-09, -0.0440901, -0.0901134, -0.257539, -1.62616", \
+					  "2.62712, 0.13893, 0.127312, 0.125302, 0.123029, 0.121765, 0.120395, 0.118897, 0.117243, 0.115391, 0.113286, 0.110845, 0.107947, 0.104425, 0.1001, 0.0948481, 0.0886098, 0.0813525, 0.0730507, 0.0636805, 0.053219, 0.0416433, 0.0289304, 0.0150571, -3.68788e-09, -0.0327248, -0.0683652, -0.206877, -1.61764", \
+					  "2.58304, 0.0759242, 0.0645753, 0.0636247, 0.0625836, 0.0620213, 0.0614258, 0.0607915, 0.0601118, 0.0593779, 0.0585784, 0.0576979, 0.0567147, 0.0555974, 0.0542977, 0.0527362, 0.0507735, 0.0481783, 0.0446924, 0.040158, 0.0345049, 0.0276931, 0.0196911, 0.0104696, -1.65415e-09, -0.0241422, -0.0520794, -0.168696, -1.61105", \
+					  "2.57097, 0.0590565, 0.0467188, 0.0460288, 0.0452816, 0.044882, 0.0444618, 0.0440179, 0.0435466, 0.043043, 0.042501, 0.0419125, 0.0412666, 0.0405478, 0.0397339, 0.0387899, 0.0376562, 0.0362177, 0.0342423, 0.0314116, 0.0275151, 0.0224659, 0.0162193, 0.00874116, -2.98091e-09, -0.0209227, -0.0460008, -0.154359, -1.60869", \
+					  "2.56067, 0.0459863, 0.0309775, 0.030507, 0.0300036, 0.0297371, 0.0294591, 0.0291679, 0.0288615, 0.0285376, 0.0281931, 0.0278241, 0.0274255, 0.0269904, 0.026509, 0.0259673, 0.0253429, 0.0245972, 0.0236481, 0.0222892, 0.0201457, 0.0169303, 0.0125321, 0.00690091, -3.10643e-09, -0.0175038, -0.0395637, -0.139118, -1.60622", \
+					  "2.55625, 0.0411709, 0.0240366, 0.0236609, 0.0232617, 0.0230516, 0.0228332, 0.0226056, 0.0223672, 0.0221166, 0.0218517, 0.0215698, 0.0212678, 0.020941, 0.0205834, 0.0201865, 0.019737, 0.0192136, 0.0185745, 0.0177151, 0.0163451, 0.0140489, 0.0106049, 0.00593616, -3.81476e-09, -0.0157158, -0.0362051, -0.131141, -1.60495", \
+					  "2.55236, 0.0374698, 0.0177945, 0.017504, 0.0171977, 0.0170375, 0.0168717, 0.0166996, 0.0165205, 0.0163332, 0.0161364, 0.0159286, 0.0157076, 0.0154708, 0.0152145, 0.0149335, 0.0146207, 0.0142642, 0.0138439, 0.0133142, 0.0125309, 0.0111004, 0.00862072, 0.0049395, -3.88744e-09, -0.0138737, -0.0327507, -0.122924, -1.60366", \
+					  "2.54904, 0.0348784, 0.0123151, 0.0121, 0.0118757, 0.0117591, 0.0116391, 0.0115152, 0.0113869, 0.0112537, 0.0111147, 0.0109689, 0.0108153, 0.0106522, 0.0104776, 0.0102888, 0.0100818, 0.00985069, 0.00958595, 0.00926897, 0.00884838, 0.00811574, 0.00658064, 0.00390841, -1.83682e-09, -0.0119759, -0.0291996, -0.114479, -1.60236", \
+					  "2.54633, 0.0334143, 0.00767829, 0.00752697, 0.00737404, 0.00729518, 0.00721445, 0.00713163, 0.00704644, 0.00695855, 0.00686757, 0.006773, 0.00667423, 0.00657049, 0.00646075, 0.00634366, 0.00621737, 0.00607919, 0.00592498, 0.00574753, 0.00553094, 0.0052152, 0.00450015, 0.00284175, -2.15278e-09, -0.0100209, -0.0255525, -0.105839, -1.60106", \
+					  "2.54431, 0.0331543, 0.0040263, 0.00391637, 0.003824, 0.00377685, 0.00372891, 0.00368007, 0.00363022, 0.00357922, 0.0035269, 0.00347305, 0.00341742, 0.00335968, 0.00329943, 0.00323613, 0.00316905, 0.00309718, 0.00301901, 0.00293216, 0.00283215, 0.00270686, 0.00248527, 0.00175751, -4.2949e-09, -0.00800668, -0.021814, -0.0970701, -1.59978", \
+					  "2.54314, 0.0342751, 0.00164799, 0.0014945, 0.00144937, 0.00142685, 0.00140413, 0.00138118, 0.00135797, 0.00133444, 0.00131055, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115507, 0.00112577, 0.00109476, 0.00106146, 0.00102488, 0.000982936, 0.000927799, 0.000769705, -1.16892e-08, -0.00593466, -0.0179983, -0.0882592, -1.59853", \
+					  "2.5429, 0.0368472, 0.000919705, 0.000366696, 0.00034858, 0.000341216, 0.000333855, 0.000326479, 0.00031908, 0.000311651, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265826, 0.000257806, 0.000249586, 0.000241109, 0.00023228, 0.000222957, 0.000212863, 0.000201258, 0.000181869, -5.40064e-08, -0.00383664, -0.0141488, -0.0794999, -1.59731", \
+					  "2.5433, 0.0403722, 0.00179854, 7.91151e-05, 5.63979e-05, 5.47951e-05, 5.32903e-05, 5.17959e-05, 5.03058e-05, 4.88185e-05, 4.73338e-05, 4.58482e-05, 4.43621e-05, 4.28747e-05, 4.13809e-05, 3.9879e-05, 3.83638e-05, 3.68314e-05, 3.52769e-05, 3.36882e-05, 3.20451e-05, 3.03235e-05, 2.84434e-05, 2.59313e-05, -3.01094e-07, -0.00190435, -0.0103782, -0.0708745, -1.59613", \
+					  "2.54391, 0.0441927, 0.00372817, 0.00012705, 7.16368e-06, 6.29377e-06, 5.97967e-06, 5.70456e-06, 5.43896e-06, 5.17852e-06, 4.92171e-06, 4.66598e-06, 4.41287e-06, 4.16012e-06, 3.90763e-06, 3.65584e-06, 3.40227e-06, 3.14832e-06, 2.89319e-06, 2.63419e-06, 2.36522e-06, 2.09623e-06, 1.80532e-06, 1.44537e-06, -1.76024e-06, -0.000610603, -0.00688218, -0.0624488, -1.595", \
+					  "2.54455, 0.0479886, 0.00621157, 0.00059878, 7.76817e-07, -3.2311e-06, -3.91512e-06, -4.36889e-06, -4.76524e-06, -5.13415e-06, -5.48933e-06, -5.83479e-06, -6.1735e-06, -6.50728e-06, -6.83731e-06, -7.16434e-06, -7.48882e-06, -7.81479e-06, -8.13881e-06, -8.4602e-06, -8.78696e-06, -9.10629e-06, -9.43195e-06, -9.76904e-06, -1.04024e-05, -0.000127335, -0.00389877, -0.0542766, -1.59392", \
+					  "2.54518, 0.0516055, 0.00884674, 0.00174935, 8.30275e-08, -2.45783e-05, -2.86727e-05, -3.12183e-05, -3.33809e-05, -3.53697e-05, -3.72573e-05, -3.90783e-05, -4.08524e-05, -4.25922e-05, -4.4306e-05, -4.59998e-05, -4.7678e-05, -4.9344e-05, -5.10001e-05, -5.26484e-05, -5.42902e-05, -5.59315e-05, -5.75671e-05, -5.92002e-05, -6.08603e-05, -8.07423e-05, -0.00174215, -0.0464329, -1.59293", \
+					  "2.54577, 0.0549366, 0.0112934, 0.00325695, 1.39572e-08, -0.000150777, -0.000177561, -0.000191506, -0.00020247, -0.000212117, -0.000220995, -0.000229361, -0.000237359, -0.000245078, -0.000252579, -0.000259905, -0.000267089, -0.000274154, -0.000281118, -0.000287998, -0.000294803, -0.000301545, -0.000308231, -0.00031487, -0.000321468, -0.000336659, -0.000822061, -0.039132, -1.59217", \
+					  "2.54632, 0.0579221, 0.0133986, 0.00467055, 7.29352e-09, -0.000595314, -0.000742545, -0.000799816, -0.000838, -0.000868809, -0.000895602, -0.000919813, -0.000942204, -0.000963233, -0.000983205, -0.00100233, -0.00102076, -0.00103861, -0.00105597, -0.00107291, -0.00108948, -0.00110574, -0.00112171, -0.00113742, -0.00115291, -0.00118354, -0.00130125, -0.0327648, -1.59188", \
+					  "2.54681, 0.0605565, 0.0151715, 0.00585415, 6.65239e-09, -0.0012839, -0.0017784, -0.00195375, -0.00204808, -0.00211589, -0.00217098, -0.00221848, -0.00226089, -0.0022996, -0.00233552, -0.00236925, -0.0024012, -0.00243169, -0.00246095, -0.00248918, -0.0025165, -0.00254304, -0.00256889, -0.00259414, -0.00261884, -0.00266685, -0.00272685, -0.0274178, -1.59205", \
+					  "2.54725, 0.0628712, 0.0166693, 0.0068289, 6.58674e-09, -0.00195978, -0.00299402, -0.00342708, -0.00362709, -0.00375215, -0.00384591, -0.00392272, -0.00398886, -0.0040476, -0.00410091, -0.00415005, -0.00419589, -0.00423905, -0.00427999, -0.00431907, -0.00435655, -0.00439265, -0.00442756, -0.00446141, -0.00449433, -0.00455775, -0.00462038, -0.0229886, -1.59252", \
+					  "2.54763, 0.0649106, 0.0179477, 0.00763841, 6.57671e-09, -0.0025354, -0.004146, -0.00498844, -0.00538167, -0.00559739, -0.00574415, -0.00585741, -0.00595109, -0.00603191, -0.00610362, -0.00616855, -0.0062282, -0.00628366, -0.0063357, -0.00638488, -0.00643166, -0.00647639, -0.00651933, -0.00656072, -0.00660074, -0.00667727, -0.00675012, -0.019521, -1.59318", \
+					  "2.54796, 0.066718, 0.0190505, 0.00831947, 6.57268e-09, -0.00301078, -0.0051431, -0.00646468, -0.00716398, -0.00752735, -0.00775022, -0.00791017, -0.00803635, -0.00814168, -0.00823288, -0.00831388, -0.00838717, -0.00845443, -0.00851686, -0.00857531, -0.00863045, -0.00868278, -0.0087327, -0.00878054, -0.00882654, -0.00891389, -0.00899612, -0.0171886, -1.59395", \
+					  "2.54826, 0.0683301, 0.0200116, 0.00889982, 6.56974e-09, -0.00340331, -0.00598009, -0.00776413, -0.00884943, -0.00944086, -0.00977783, -0.0100005, -0.0101663, -0.0102994, -0.0104114, -0.0105088, -0.0105955, -0.010674, -0.010746, -0.0108127, -0.0108752, -0.010934, -0.0109898, -0.0110429, -0.0110938, -0.0111896, -0.0112791, -0.0161685, -1.59478", \
+					  "2.54852, 0.0697772, 0.0208566, 0.00940005, 6.56732e-09, -0.00373006, -0.00667807, -0.00886824, -0.0103514, -0.0112447, -0.0117514, -0.0120621, -0.0122783, -0.0124437, -0.0125784, -0.0126926, -0.0127923, -0.0128812, -0.0129618, -0.0130358, -0.0131044, -0.0131685, -0.0132288, -0.013286, -0.0133404, -0.0134423, -0.0135366, -0.0164087, -1.59564", \
+					  "2.54876, 0.0710838, 0.0216055, 0.0098356, 6.56527e-09, -0.00400487, -0.00726171, -0.00979414, -0.0116384, -0.012862, -0.0135981, -0.0140343, -0.0143173, -0.0145217, -0.0146814, -0.0148129, -0.0149251, -0.0150235, -0.0151114, -0.0151912, -0.0152644, -0.0153323, -0.0153958, -0.0154556, -0.0155121, -0.0156172, -0.0157137, -0.0175682, -1.59651", \
+					  "2.54916, 0.0733506, 0.0228739, 0.0105569, 6.56198e-09, -0.00443877, -0.00816953, -0.0112193, -0.0136215, -0.0154194, -0.0166733, -0.0174779, -0.017971, -0.0182865, -0.0185073, -0.0186748, -0.0188094, -0.018922, -0.0190192, -0.0191048, -0.0191816, -0.0192515, -0.0193156, -0.0193751, -0.0194307, -0.0195324, -0.019624, -0.0208726, -1.59822", \
+					  "2.54948, 0.07525, 0.0239071, 0.0111295, 6.55946e-09, -0.00476373, -0.00883304, -0.0122351, -0.0150033, -0.01718, -0.0188185, -0.0199843, -0.0207588, -0.0212449, -0.0215513, -0.021758, -0.0219092, -0.0220271, -0.0221235, -0.022205, -0.0222757, -0.0223383, -0.0223945, -0.0224457, -0.0224927, -0.022577, -0.0226513, -0.0237717, -1.59978", \
+					  "2.55015, 0.0794569, 0.0261038, 0.0123039, 6.55454e-09, -0.00537566, -0.01003, -0.0139757, -0.0172294, -0.0198181, -0.0217919, -0.023239, -0.0242791, -0.025029, -0.0255749, -0.0259714, -0.0262525, -0.0264433, -0.0265689, -0.0266531, -0.026713, -0.0267585, -0.0267948, -0.0268249, -0.0268508, -0.0268935, -0.0269283, -0.027928, -1.60237", \
+					  "2.55071, 0.0836557, 0.0281803, 0.0133623, 6.55038e-09, -0.00586628, -0.0109293, -0.0151802, -0.0186135, -0.0212442, -0.0231467, -0.0244802, -0.0254326, -0.0261466, -0.0267085, -0.0271683, -0.027555, -0.0278867, -0.0281747, -0.0284262, -0.0286457, -0.0288358, -0.0289976, -0.0291311, -0.0292364, -0.0293695, -0.0294358, -0.0304395, -1.60403");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1319318, 0.2388661, 0.3445142, 0.4559897, 0.6160467");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2095338, 0.3164698, 0.4220795, 0.5333810, 0.6935154");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2532284, 0.4409282, 0.6303947, 0.8372298, 1.1441795");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3109820, 0.4984489, 0.6871742, 0.8945616, 1.2026180");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("22.6524");
+						index_3("0.001");
+						index_4("11.4597951, 12.1770100, 16.5012127, 18.3582729, 19.1446841");
+						values("0.470114, 0.752183, 0.940228, 0.752183, 0.470114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("13.0954");
+						index_3("0.001");
+						index_4("6.6540111, 7.1380961, 9.6775703, 11.0125008, 11.5573065");
+						values("0.481259, 0.770015, 0.962518, 0.770015, 0.481259");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("8.74077");
+						index_3("0.001");
+						index_4("4.4608198, 4.8210121, 6.7452998, 7.5703425, 7.9903046");
+						values("0.487861, 0.780577, 0.975721, 0.780577, 0.487861");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("11.3262");
+						index_3("0.001");
+						index_4("5.8114680, 6.2448606, 8.4230301, 9.2844781, 9.7000872");
+						values("0.228679, 0.365887, 0.457359, 0.365887, 0.228679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("6.54772");
+						index_3("0.001");
+						index_4("3.3881103, 3.6797992, 5.0701654, 5.5738752, 5.8762329");
+						values("0.230416, 0.368666, 0.460832, 0.368666, 0.230416");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("4.37039");
+						index_3("0.001");
+						index_4("2.2819859, 2.5032272, 3.3340566, 3.8459980, 4.0830431");
+						values("0.231071, 0.369714, 0.462143, 0.369714, 0.231071");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("19.6432");
+						index_3("0.001");
+						index_4("9.8951663, 10.5471351, 14.5192578, 16.4235450, 17.2067636");
+						values("0.705296, 1.12847, 1.41059, 1.12847, 0.705296");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("37.3754");
+						index_3("0.001");
+						index_4("18.8442667, 20.0872260, 27.0706594, 30.0332137, 31.0007880");
+						values("1.1711, 0.883763, 0.692204, 0.883763, 1.1711");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("21.2218");
+						index_3("0.001");
+						index_4("10.7392540, 11.5658689, 16.0016465, 17.6542240, 18.2768357");
+						values("1.15403, 0.856452, 0.658065, 0.856452, 1.15403");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("14.0398");
+						index_3("0.001");
+						index_4("7.1347059, 7.7741213, 10.8126884, 11.9930814, 12.4478364");
+						values("1.14557, 0.842917, 0.641147, 0.842917, 1.14557");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.6877");
+						index_3("0.001");
+						index_4("9.5662354, 10.3012048, 13.8528391, 15.1331031, 15.6279728");
+						values("1.40825, 1.26321, 1.16651, 1.26321, 1.40825");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.6109");
+						index_3("0.001");
+						index_4("5.4837108, 5.9858733, 8.2039161, 8.9036135, 9.2242013");
+						values("1.40279, 1.25446, 1.15558, 1.25446, 1.40279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("7.0199");
+						index_3("0.001");
+						index_4("3.6599522, 4.0318475, 5.6178392, 6.0544869, 6.2895494");
+						values("1.40079, 1.25126, 1.15158, 1.25126, 1.40079");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.8327");
+						index_3("0.001");
+						index_4("15.9441740, 17.0712557, 23.6699244, 26.3810721, 27.2847679");
+						values("0.946351, 0.524162, 0.242703, 0.524162, 0.946351");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006154;
+			capacitance : 0.006304;
+			rise_capacitance : 0.006454;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1463138, 4.0020665, 3.8751218, 3.7767836, 3.6900163, 3.6360970, 3.5550232", \
+					  "4.5892448, 4.4449980, 4.3240774, 4.2170501, 4.1253341, 4.0530115, 4.0010402", \
+					  "5.0478100, 4.9035627, 4.7810882, 4.6797999, 4.5930382, 4.4993691, 4.4699087", \
+					  "5.4821021, 5.3348031, 5.2111345, 5.1095175, 5.0227527, 4.9321354, 4.8912956", \
+					  "5.8689718, 5.7262500, 5.5978580, 5.5009617, 5.4126746, 5.3492204, 5.2925116", \
+					  "6.2352588, 6.0884283, 5.9642094, 5.8672452, 5.7774361, 5.7051291, 5.6685894", \
+					  "6.6513397, 6.5070925, 6.3946224, 6.2818100, 6.1938901, 6.1105288, 6.0674011");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7282313, 3.5319959, 3.3566896, 3.1650611, 3.0079466, 2.7850691, 2.6079277", \
+					  "4.1728748, 3.9829849, 3.8023685, 3.5953003, 3.4541159, 3.2310641, 3.0525478", \
+					  "4.6282456, 4.4383553, 4.2624911, 4.0565389, 3.9133961, 3.6894415, 3.5194217", \
+					  "5.0544580, 4.8660940, 4.6958187, 4.4981221, 4.3387510, 4.1150388, 3.9658857", \
+					  "5.4542897, 5.2613481, 5.0903453, 4.8965785, 4.7117575, 4.5227127, 4.3611157", \
+					  "5.8577563, 5.6667749, 5.4890344, 5.3046010, 5.0880436, 4.9099225, 4.7503995", \
+					  "6.2166768, 6.0267243, 5.8577257, 5.6546916, 5.4861922, 5.2649616, 5.0922353");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6631870, -3.5235554, -3.3964664, -3.3073732, -3.2231273, -3.1666276, -3.0669955", \
+					  "-4.1028853, -3.9647793, -3.8453695, -3.7409677, -3.6669312, -3.5925928, -3.5081562", \
+					  "-4.5583152, -4.4202097, -4.2992243, -4.2040275, -4.1151293, -4.0790892, -3.9776375", \
+					  "-5.0091302, -4.8694983, -4.7565140, -4.6675283, -4.5569763, -4.5217001, -4.4130732", \
+					  "-5.4139015, -5.2757960, -5.1605357, -5.0596138, -4.9676505, -4.8694575, -4.8236714", \
+					  "-5.7995694, -5.6598967, -5.5315216, -5.4452813, -5.3539073, -5.2969315, -5.2001840", \
+					  "-6.1584739, -6.0184512, -5.8904501, -5.8013676, -5.7335476, -5.6240330, -5.5442004");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800902, -3.3240030, -3.1410014, -2.2699051, -2.7936593, -2.6014053, -2.4308857", \
+					  "-3.9228400, -3.7637011, -3.0065170, -3.4171832, -3.2346017, -3.0396640, -2.8631594", \
+					  "-4.3767445, -4.2191314, -4.0377650, -3.9075789, -3.6823686, -3.4914091, -3.3017564", \
+					  "-4.8077757, -4.6544907, -3.8945045, -4.3414865, -4.1131940, -3.9547505, -3.7360534", \
+					  "-5.2323307, -5.0747177, -4.8980239, -4.0298082, -3.9622742, -4.3439898, -4.1723204", \
+					  "-4.8993096, -5.4765336, -5.2979516, -5.1147977, -4.9053461, -4.7290937, -4.5455819", \
+					  "-5.9769027, -5.8210087, -5.6562143, -5.5042763, -5.2833943, -5.0991808, -4.9001169");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263645, 0.0309374, 0.0355104, 0.0353904, 0.0352752, 0.0351553, 0.0350353");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0258229, 0.0291348, 0.0290027, 0.0288759, 0.0287439, 0.0286118");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987757";
+				miller_cap_rise : "0.000928142";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72797e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14695e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49626e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.264e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93546e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19687e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36265e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33027e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57187e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39923e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1040598, 0.2117060, 0.3324728, 0.4724376, 0.6698057");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1745466, 0.2827524, 0.4023334, 0.5433291, 0.7391765");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277421, 0.0492401, 0.0709281, 0.0927373, 0.1218279");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997198, 0.1213935, 0.1430590, 0.1649557, 0.1940202");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.008");
+						index_3("0.001");
+						index_4("10.4917672, 11.0902812, 13.4053852, 15.1484025, 15.5887812");
+						values("0.411783, 0.658853, 0.823566, 0.658853, 0.411783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7657");
+						index_3("0.001");
+						index_4("6.3817341, 6.7889306, 8.5561303, 9.5160983, 9.8065975");
+						values("0.423095, 0.676953, 0.846191, 0.676953, 0.423095");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.66518");
+						index_3("0.001");
+						index_4("4.3365782, 4.6418895, 5.7955110, 6.6297995, 6.8413086");
+						values("0.426166, 0.681866, 0.852332, 0.681866, 0.426166");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.504");
+						index_3("0.001");
+						index_4("5.2954894, 5.6311976, 6.9092520, 7.6053512, 7.8310778");
+						values("0.220343, 0.352549, 0.440686, 0.352549, 0.220343");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38283");
+						index_3("0.001");
+						index_4("3.2287539, 3.4538015, 4.3632481, 4.7786066, 4.9278868");
+						values("0.224804, 0.359686, 0.449608, 0.359686, 0.224804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33259");
+						index_3("0.001");
+						index_4("2.1989228, 2.3670899, 2.9445583, 3.3266688, 3.4374590");
+						values("0.225257, 0.360412, 0.450515, 0.360412, 0.225257");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1485");
+						index_3("0.001");
+						index_4("9.5116162, 10.1261183, 12.4892493, 14.2180763, 14.6638558");
+						values("0.590829, 0.945327, 1.18166, 0.945327, 0.590829");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86346");
+						index_3("0.001");
+						index_4("1.4451185, 1.5708993, 2.2065743, 2.6182102, 2.8042553");
+						values("1.16754, 0.90806, 0.735075, 0.90806, 1.16754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67353");
+						index_3("0.001");
+						index_4("0.8479551, 0.9325051, 1.2821714, 1.6050006, 1.7374971");
+						values("1.15876, 0.894013, 0.717517, 0.894013, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14164");
+						index_3("0.001");
+						index_4("0.5812782, 0.6454362, 0.9554680, 1.1359472, 1.2559615");
+						values("1.15708, 0.891329, 0.714161, 0.891329, 1.15708");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43173");
+						index_3("0.001");
+						index_4("0.7322647, 0.7990891, 1.0838620, 1.3285846, 1.4403199");
+						values("1.38707, 1.25931, 1.17413, 1.25931, 1.38707");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.836763");
+						index_3("0.001");
+						index_4("0.4323215, 0.4775443, 0.6763978, 0.8197854, 0.9048375");
+						values("1.38644, 1.25831, 1.17289, 1.25831, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.57082");
+						index_3("0.001");
+						index_4("0.2972458, 0.3317164, 0.4840129, 0.5822623, 0.6652957");
+						values("1.38406, 1.25449, 1.16811, 1.25449, 1.38406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51029");
+						index_3("0.001");
+						index_4("1.2680668, 1.3927565, 1.9750487, 2.3748066, 2.5635721");
+						values("0.92675, 0.522799, 0.253499, 0.522799, 0.92675");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017243;
+			capacitance : 0.017403;
+			rise_capacitance : 0.017563;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0592545, 0.0885380, 0.1178214, 0.1480761, 0.1771206, 0.2073752, 0.2376299");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0656024, 0.1179989, 0.1703955, 0.1748871, 0.1791991, 0.1836908, 0.1881824");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000744298";
+				miller_cap_rise : "0.000492995";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.99507, 0.444487, 0.415259, 0.40205, 0.385704, 0.376112, 0.365518, 0.353906, 0.341271, 0.32761, 0.31292, 0.297203, 0.280459, 0.262689, 0.243894, 0.224075, 0.203235, 0.181375, 0.158497, 0.134604, 0.109698, 0.0837824, 0.0568587, 0.0289302, -4.07686e-09, -0.0577521, -0.113836, -0.291728, -1.42417", \
+					  "1.92305, 0.287125, 0.272206, 0.266528, 0.260257, 0.256819, 0.253116, 0.249075, 0.244595, 0.239528, 0.233667, 0.226748, 0.218528, 0.208854, 0.197659, 0.184915, 0.170612, 0.154747, 0.137317, 0.118325, 0.0977708, 0.075658, 0.0519893, 0.0267686, -2.24712e-09, -0.0550518, -0.110222, -0.29098, -1.4278", \
+					  "1.87186, 0.167679, 0.158207, 0.154922, 0.151455, 0.149634, 0.147743, 0.145766, 0.143687, 0.141481, 0.139115, 0.136544, 0.133695, 0.130458, 0.12664, 0.121912, 0.115831, 0.10805, 0.0984106, 0.0868581, 0.0733724, 0.0579455, 0.0405746, 0.0212591, -1.51249e-09, -0.046147, -0.095267, -0.267651, -1.42369", \
+					  "1.85853, 0.131125, 0.120161, 0.117529, 0.114787, 0.113364, 0.111898, 0.110383, 0.108809, 0.107164, 0.105431, 0.103589, 0.101605, 0.0994326, 0.096996, 0.0941603, 0.0906609, 0.0860265, 0.0797491, 0.0715544, 0.061348, 0.0490985, 0.0347937, 0.0184283, -1.5234e-09, -0.0414055, -0.0871203, -0.253975, -1.42113", \
+					  "1.84799, 0.11061, 0.0844761, 0.082441, 0.0803472, 0.0792727, 0.0781759, 0.0770532, 0.0758998, 0.0747099, 0.073476, 0.0721882, 0.0708331, 0.0693915, 0.0678355, 0.0661202, 0.064165, 0.0617975, 0.0586151, 0.0539758, 0.0474183, 0.0387778, 0.0280051, 0.0150819, -1.53637e-09, -0.0357061, -0.0772254, -0.236831, -1.41791", \
+					  "1.84381, 0.108258, 0.0680784, 0.0663215, 0.0645255, 0.0636091, 0.0626777, 0.0617288, 0.0607593, 0.0597654, 0.0587422, 0.0576832, 0.05658, 0.055421, 0.0541894, 0.05286, 0.0513902, 0.0496974, 0.0475781, 0.0445244, 0.0398353, 0.0331204, 0.0242628, 0.0132275, -1.54382e-09, -0.0325064, -0.0716256, -0.226895, -1.41604", \
+					  "1.84045, 0.110347, 0.052958, 0.051466, 0.0499513, 0.0491828, 0.0484052, 0.0476169, 0.0468158, 0.0459996, 0.0451652, 0.0443086, 0.0434248, 0.0425068, 0.0415451, 0.0405257, 0.0394266, 0.0382088, 0.0367871, 0.0349135, 0.0319528, 0.0271892, 0.0203197, 0.0112652, -1.55191e-09, -0.0290876, -0.0656073, -0.216032, -1.414", \
+					  "1.83798, 0.116347, 0.0393522, 0.038109, 0.0368597, 0.0362297, 0.0355951, 0.034955, 0.0343081, 0.0336531, 0.0329881, 0.0323109, 0.0316186, 0.0309073, 0.0301718, 0.0294048, 0.0285952, 0.027725, 0.02676, 0.0256117, 0.0239693, 0.0210612, 0.016213, 0.00921014, -1.5702e-09, -0.0254675, -0.0591937, -0.204242, -1.41179", \
+					  "1.83647, 0.125957, 0.0275192, 0.0264758, 0.0254767, 0.0249763, 0.0244747, 0.0239714, 0.0234658, 0.0229571, 0.0224443, 0.0219263, 0.0214016, 0.0208683, 0.0203236, 0.0197641, 0.0191847, 0.0185774, 0.0179287, 0.0172098, 0.0163292, 0.0148915, 0.0120025, 0.00708473, -1.75219e-09, -0.0216703, -0.0524185, -0.191542, -1.40941", \
+					  "1.83599, 0.138996, 0.0178698, 0.0167779, 0.016015, 0.0156362, 0.0152586, 0.014882, 0.0145061, 0.0141307, 0.0137552, 0.0133792, 0.0130019, 0.0126226, 0.0122402, 0.0118531, 0.0114592, 0.0110555, 0.010637, 0.0101949, 0.00970696, 0.0090792, 0.00781027, 0.00492914, -4.71673e-09, -0.0177288, -0.045328, -0.177976, -1.40687", \
+					  "1.8366, 0.155327, 0.0113912, 0.00922486, 0.00867895, 0.0084152, 0.00815429, 0.00789615, 0.00764069, 0.0073878, 0.00713734, 0.00688916, 0.00664302, 0.00639865, 0.00615568, 0.00591364, 0.00567183, 0.00542932, 0.00518465, 0.00493542, 0.00467675, 0.00439378, 0.00399129, 0.0028426, -1.37995e-08, -0.0136895, -0.0379873, -0.163638, -1.40419", \
+					  "1.83837, 0.174847, 0.010293, 0.00407948, 0.00367118, 0.00351697, 0.00336641, 0.00321937, 0.00307583, 0.00293574, 0.00279907, 0.00266575, 0.00253573, 0.00240892, 0.00228522, 0.00216449, 0.00204655, 0.00193118, 0.00181802, 0.00170657, 0.00159593, 0.00148401, 0.0013619, 0.00112066, -8.57188e-07, -0.00963178, -0.0304987, -0.148702, -1.40141", \
+					  "1.84136, 0.19743, 0.0164138, 0.00172874, 0.00105511, 0.000991535, 0.000932419, 0.000875959, 0.000822041, 0.000770582, 0.000721505, 0.000674727, 0.000630167, 0.000587737, 0.000547348, 0.000508905, 0.000472309, 0.000437448, 0.0004042, 0.000372415, 0.000341895, 0.000312308, 0.000282738, 0.000244959, -1.27653e-05, -0.00575271, -0.0230668, -0.13344, -1.3986", \
+					  "1.84548, 0.22254, 0.0287394, 0.00276243, 0.000212514, 0.000171605, 0.000152021, 0.00013382, 0.000116464, 9.9832e-05, 8.38589e-05, 6.84938e-05, 5.36927e-05, 3.94153e-05, 2.56236e-05, 1.22813e-05, -6.47143e-07, -1.31967e-05, -2.54032e-05, -3.73048e-05, -4.89462e-05, -6.039e-05, -7.17733e-05, -8.40183e-05, -0.000137375, -0.00268569, -0.0161854, -0.118298, -1.39596", \
+					  "1.85028, 0.248985, 0.0448063, 0.0081899, 4.02957e-05, -0.000154854, -0.000199208, -0.000238495, -0.000276885, -0.000315009, -0.000353068, -0.00039115, -0.000429297, -0.000467538, -0.000505888, -0.000544359, -0.000582961, -0.000621699, -0.000660582, -0.000699616, -0.00073881, -0.000778178, -0.000817747, -0.000857678, -0.000905673, -0.0017072, -0.0109699, -0.104295, -1.39406", \
+					  "1.85536, 0.275894, 0.0628393, 0.0177153, 7.76563e-06, -0.00103559, -0.00123952, -0.0013975, -0.00154778, -0.00169552, -0.00184229, -0.00198875, -0.00213523, -0.0022819, -0.00242888, -0.00257622, -0.00272397, -0.00287215, -0.00302079, -0.0031699, -0.0033195, -0.0034696, -0.00362023, -0.00377146, -0.00392467, -0.00437051, -0.00964201, -0.0937415, -1.39444", \
+					  "1.86058, 0.302955, 0.0817634, 0.0290954, 1.31348e-06, -0.00408343, -0.00504972, -0.0055483, -0.00597637, -0.00638096, -0.0067746, -0.00716225, -0.00754635, -0.00792824, -0.00830874, -0.00868838, -0.0090675, -0.00944636, -0.00982513, -0.010204, -0.010583, -0.0109622, -0.0113419, -0.0117221, -0.0121032, -0.0128931, -0.0155604, -0.0900315, -1.39914", \
+					  "1.86591, 0.330027, 0.10076, 0.0405361, 1.47651e-07, -0.00965666, -0.0134807, -0.0148969, -0.0158447, -0.0166616, -0.0174224, -0.0181529, -0.0188651, -0.0195652, -0.0202569, -0.0209425, -0.0216236, -0.0223013, -0.0229763, -0.0236493, -0.0243208, -0.024991, -0.0256605, -0.0263296, -0.0269986, -0.0283429, -0.0301405, -0.0943751, -1.40852", \
+					  "1.87135, 0.357015, 0.119194, 0.0513916, 1.09216e-08, -0.0160097, -0.0250275, -0.0288383, -0.0307613, -0.0321777, -0.0334035, -0.034535, -0.0356116, -0.0366529, -0.0376699, -0.0386694, -0.0396557, -0.0406319, -0.0416002, -0.0425621, -0.0435188, -0.0444714, -0.0454207, -0.0463674, -0.0473125, -0.0492013, -0.0511724, -0.105063, -1.4211", \
+					  "1.87688, 0.383833, 0.136665, 0.0615078, 1.95203e-09, -0.0221675, -0.0371199, -0.0452462, -0.0490603, -0.0513937, -0.0532182, -0.0548132, -0.0562826, -0.0576743, -0.0590141, -0.0603168, -0.0615921, -0.0628465, -0.0640843, -0.065309, -0.0665228, -0.0677279, -0.0689258, -0.0701179, -0.0713056, -0.0736726, -0.0760522, -0.120354, -1.43564", \
+					  "1.88252, 0.410403, 0.152999, 0.0708706, 1.50517e-09, -0.0278841, -0.0486311, -0.0621357, -0.0692042, -0.0730036, -0.0756402, -0.0777939, -0.0797004, -0.0814607, -0.0831261, -0.0847254, -0.0862766, -0.0877913, -0.0892776, -0.0907412, -0.0921863, -0.0936163, -0.0950339, -0.0964412, -0.0978402, -0.100621, -0.103394, -0.139254, -1.4515", \
+					  "1.88825, 0.436645, 0.168168, 0.0795069, 1.47663e-09, -0.0331276, -0.0593013, -0.0783197, -0.0898922, -0.0960011, -0.0997833, -0.10264, -0.105051, -0.107213, -0.109218, -0.111116, -0.112938, -0.114702, -0.116422, -0.118108, -0.119765, -0.121399, -0.123014, -0.124613, -0.1262, -0.129343, -0.132466, -0.161177, -1.46834", \
+					  "1.89408, 0.462475, 0.182209, 0.0874567, 1.47644e-09, -0.0379183, -0.0691088, -0.0933762, -0.110076, -0.119538, -0.124962, -0.12873, -0.131746, -0.13436, -0.136729, -0.138936, -0.141031, -0.143041, -0.144988, -0.146885, -0.148741, -0.150565, -0.152362, -0.154136, -0.155891, -0.159359, -0.162792, -0.185718, -1.48592", \
+					  "1.90002, 0.487801, 0.195181, 0.0947639, 1.49154e-09, -0.0422886, -0.0780924, -0.10724, -0.129097, -0.142853, -0.150599, -0.155577, -0.159335, -0.162469, -0.16524, -0.167777, -0.170153, -0.172412, -0.174584, -0.176687, -0.178735, -0.180739, -0.182706, -0.184643, -0.186555, -0.190319, -0.194032, -0.212573, -1.50408", \
+					  "1.90605, 0.512516, 0.207152, 0.101473, 1.52671e-09, -0.0462724, -0.0863073, -0.119956, -0.146694, -0.165284, -0.176173, -0.182773, -0.187459, -0.191208, -0.194431, -0.197326, -0.2, -0.202515, -0.204914, -0.207222, -0.209458, -0.211637, -0.213768, -0.21586, -0.217919, -0.221961, -0.225931, -0.241504, -1.52269", \
+					  "1.91843, 0.55961, 0.228345, 0.113265, 1.68533e-09, -0.0532083, -0.100663, -0.142249, -0.177641, -0.205833, -0.225173, -0.236766, -0.244154, -0.249544, -0.253891, -0.257627, -0.260969, -0.264042, -0.26692, -0.26965, -0.272266, -0.27479, -0.277241, -0.279631, -0.28197, -0.286527, -0.290968, -0.304565, -1.56091", \
+					  "1.93121, 0.602566, 0.246276, 0.123148, 2.00154e-09, -0.0589585, -0.112615, -0.160876, -0.203533, -0.239985, -0.268648, -0.287905, -0.299758, -0.307664, -0.313585, -0.318414, -0.322577, -0.326301, -0.329717, -0.332906, -0.335924, -0.338806, -0.341581, -0.344266, -0.346879, -0.351929, -0.356808, -0.371129, -1.59996", \
+					  "1.97117, 0.696819, 0.284579, 0.143936, 4.97204e-09, -0.0708787, -0.137573, -0.200025, -0.258156, -0.311821, -0.360691, -0.403926, -0.439609, -0.465548, -0.482705, -0.49438, -0.503021, -0.509915, -0.515724, -0.52081, -0.525388, -0.529591, -0.533508, -0.537201, -0.540715, -0.547325, -0.553523, -0.570803, -1.7181", \
+					  "2.01766, 0.76533, 0.314455, 0.15974, 2.23139e-08, -0.0798517, -0.156747, -0.230658, -0.301552, -0.369394, -0.434135, -0.495701, -0.553956, -0.608624, -0.659095, -0.70405, -0.741309, -0.769359, -0.7894, -0.804026, -0.815288, -0.824425, -0.832154, -0.838904, -0.844942, -0.855526, -0.864762, -0.888066, -1.90668");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0532962, 0.1164085, 0.1813058, 0.2502645, 0.3510651");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1273617, 0.1906818, 0.2556366, 0.3244453, 0.4253668");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0247755, 0.0458702, 0.0679639, 0.0922574, 0.1270162");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0960268, 0.1176206, 0.1398183, 0.1640589, 0.1986304");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("9.4203");
+						index_3("0.001");
+						index_4("4.6987122, 5.0139785, 6.0092810, 6.9565790, 7.2268171");
+						values("0.379821, 0.607714, 0.759642, 0.607714, 0.379821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("5.59997");
+						index_3("0.001");
+						index_4("2.7975166, 3.0135330, 3.7222505, 4.3007233, 4.4768596");
+						values("0.395866, 0.633385, 0.791731, 0.633385, 0.395866");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("3.8425");
+						index_3("0.001");
+						index_4("1.9229443, 2.0880286, 2.6148077, 3.0375345, 3.1689454");
+						values("0.404932, 0.647891, 0.809864, 0.647891, 0.404932");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("4.71015");
+						index_3("0.001");
+						index_4("2.3646207, 2.5321322, 3.0859696, 3.5278772, 3.6613608");
+						values("0.202854, 0.324566, 0.405708, 0.324566, 0.202854");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("2.79998");
+						index_3("0.001");
+						index_4("1.4101202, 1.5271114, 1.8797496, 2.1807894, 2.2707915");
+						values("0.207758, 0.332413, 0.415517, 0.332413, 0.207758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("1.92125");
+						index_3("0.001");
+						index_4("0.9704518, 1.0580654, 1.3239404, 1.5399026, 1.6096363");
+						values("0.211294, 0.33807, 0.422588, 0.33807, 0.211294");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("8.39995");
+						index_3("0.001");
+						index_4("4.1784931, 4.4860587, 5.5609351, 6.3854093, 6.6469788");
+						values("0.563338, 0.90134, 1.12668, 0.90134, 0.563338");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("2.99541");
+						index_3("0.001");
+						index_4("1.4968313, 1.6078118, 2.1347620, 2.5120513, 2.6726054");
+						values("1.21059, 0.976947, 0.821183, 0.976947, 1.21059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("1.73173");
+						index_3("0.001");
+						index_4("0.8675804, 0.9419412, 1.2755231, 1.5274573, 1.6353887");
+						values("1.19859, 0.957748, 0.797185, 0.957748, 1.19859");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("1.15818");
+						index_3("0.001");
+						index_4("0.5820265, 0.6381582, 0.8809192, 1.0615978, 1.1438231");
+						values("1.19212, 0.947395, 0.784243, 0.947395, 1.19212");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("1.49771");
+						index_3("0.001");
+						index_4("0.7573862, 0.8174991, 1.0607351, 1.2781419, 1.3721204");
+						values("1.39754, 1.27607, 1.19509, 1.27607, 1.39754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("0.865864");
+						index_3("0.001");
+						index_4("0.4405668, 0.4810424, 0.6590119, 0.7796020, 0.8466494");
+						values("1.39366, 1.26985, 1.18732, 1.26985, 1.39366");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("0.579092");
+						index_3("0.001");
+						index_4("0.2960353, 0.3264005, 0.4389243, 0.5435620, 0.5950410");
+						values("1.39067, 1.26507, 1.18133, 1.26507, 1.39067");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("2.59759");
+						index_3("0.001");
+						index_4("1.2889997, 1.3938822, 1.8333276, 2.2676232, 2.4210315");
+						values("1.03805, 0.700874, 0.476093, 0.700874, 1.03805");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004824;
+			capacitance : 0.004921;
+			rise_capacitance : 0.005018;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5899558, -3.4475908, -3.3217448, -3.2206821, -3.1603086, -3.0719180, -2.9951370", \
+					  "-4.0311800, -3.8872889, -3.7812808, -3.6593714, -3.6011347, -3.5181635, -3.4409386", \
+					  "-4.4866104, -4.3427392, -4.2257741, -4.1125269, -4.0188037, -3.9337584, -3.8719549", \
+					  "-4.9358990, -4.7935342, -4.6697914, -4.5737402, -4.4961321, -4.3861569, -4.3471837", \
+					  "-5.3421962, -5.1995405, -5.0953386, -4.9683073, -4.9026411, -4.7863755, -4.7412738", \
+					  "-5.7278641, -5.5854994, -5.4686009, -5.3624156, -5.2828410, -5.1906379, -5.1285764", \
+					  "-6.0867686, -5.9444038, -5.8274667, -5.7175995, -5.6417530, -5.5905300, -5.4893816");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4098828, -3.3280622, -3.1486198, -2.9350448, -2.7528033, -2.5781805, -2.4168047", \
+					  "-3.8450032, -3.7799676, -3.5684062, -3.3732354, -3.2349598, -3.0481293, -2.8443201", \
+					  "-4.3050112, -4.2353975, -4.0391030, -3.8331406, -3.6824026, -3.5065128, -3.3087204", \
+					  "-4.7360424, -4.6510308, -4.4377095, -4.2657679, -4.0997008, -3.9246652, -3.7124621", \
+					  "-5.1363879, -5.0713515, -4.8467894, -4.6703572, -4.4573218, -4.3068062, -4.1183951", \
+					  "-5.4962503, -5.4266962, -5.2039339, -5.0292363, -4.8505077, -4.6875390, -4.4970922", \
+					  "-5.9111664, -5.8280373, -5.6273659, -5.4400370, -5.2590236, -5.1172348, -4.9290760");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868147, 3.9397408, 3.8081194, 3.6958932, 3.6156691, 3.5170034, 3.4304682", \
+					  "4.5313653, 4.3858169, 4.2656183, 4.1563458, 4.0569097, 3.9615509, 3.8831390", \
+					  "4.9859626, 4.8395548, 4.6984197, 4.5804492, 4.5129347, 4.4085471, 4.3465461", \
+					  "5.4177128, 5.2691130, 5.1526039, 5.0267917, 4.9404323, 4.8479090, 4.7649092", \
+					  "5.8143848, 5.6627270, 5.5690792, 5.4495953, 5.3412903, 5.2461522, 5.1657796", \
+					  "6.1889887, 6.0373380, 5.9360310, 5.7966288, 5.7084073, 5.6544709, 5.5450616", \
+					  "6.5884718, 6.4429234, 6.3126564, 6.1913521, 6.1142156, 6.0420231, 5.9461314");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6824442, 3.5161523, 3.3170496, 3.1118515, 2.9583771, 2.7616880, 2.5895690", \
+					  "4.1427260, 3.9749082, 3.7681878, 3.5626411, 3.4158223, 3.2402867, 3.0527257", \
+					  "4.5970759, 4.4292581, 4.2394744, 4.0249771, 3.8717805, 3.6747938, 3.5070582", \
+					  "5.0195575, 4.8517397, 4.6535960, 4.4519155, 4.2876465, 4.1198931, 3.9259367", \
+					  "5.3950349, 5.2288274, 5.0343492, 4.8234073, 4.6712388, 4.4939943, 4.3096520", \
+					  "5.8215897, 5.6537715, 5.4584047, 5.2441045, 5.0924845, 4.9139353, 4.7251663", \
+					  "6.1813428, 6.0150509, 5.8328856, 5.6082639, 5.4504102, 5.2712677, 5.0866189");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221885, 0.0283796, 0.0345707, 0.0344056, 0.0342470, 0.0340818, 0.0339166");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0267267, 0.0333573, 0.0399879, 0.0398996, 0.0398147, 0.0397264, 0.0396380");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0009802";
+				miller_cap_rise : "0.000957";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.81867, 0.385238, 0.37022, 0.362958, 0.353271, 0.347068, 0.339734, 0.331211, 0.321508, 0.310637, 0.2986, 0.285388, 0.270989, 0.255387, 0.238567, 0.220515, 0.201214, 0.18065, 0.158805, 0.135666, 0.111216, 0.0854396, 0.0583218, 0.029847, -1.73236e-09, -0.0609779, -0.121811, -0.312481, -1.97083", \
+					  "2.69467, 0.188368, 0.182221, 0.179871, 0.177184, 0.175669, 0.174004, 0.172147, 0.170039, 0.167593, 0.164676, 0.161094, 0.15661, 0.151018, 0.144195, 0.136076, 0.126623, 0.115808, 0.103606, 0.0899926, 0.0749467, 0.0584461, 0.0404691, 0.0209942, 1.20862e-09, -0.0447024, -0.0915929, -0.252256, -1.95882", \
+					  "2.63871, 0.0919581, 0.0870507, 0.0859636, 0.084786, 0.0841547, 0.0834886, 0.0827815, 0.0820251, 0.0812085, 0.0803166, 0.0793281, 0.0782107, 0.0769131, 0.0753454, 0.0733361, 0.0705841, 0.066745, 0.0616032, 0.055062, 0.0470723, 0.0375996, 0.0266149, 0.0140905, 3.62618e-09, -0.0319565, -0.0679224, -0.204525, -1.94865", \
+					  "2.62769, 0.074494, 0.0610369, 0.0602447, 0.0593997, 0.0589527, 0.0584863, 0.0579972, 0.0574813, 0.0569335, 0.0563469, 0.0557123, 0.0550167, 0.0542405, 0.0533531, 0.0523, 0.0509694, 0.0491117, 0.0463227, 0.042276, 0.0368258, 0.0299089, 0.0214863, 0.0115265, 4.41974e-09, -0.0272068, -0.0590915, -0.186488, -1.94486", \
+					  "2.62128, 0.0725924, 0.0388063, 0.0382615, 0.037689, 0.0373901, 0.0370813, 0.0367611, 0.0364277, 0.0360788, 0.0357115, 0.0353221, 0.0349055, 0.0344548, 0.0339596, 0.033404, 0.0327604, 0.0319708, 0.0308809, 0.0291116, 0.0261984, 0.0219004, 0.0161297, 0.00884103, 5.11625e-09, -0.0222197, -0.0498094, -0.167346, -1.94087", \
+					  "2.61996, 0.0774649, 0.0293009, 0.0288637, 0.0284078, 0.0281713, 0.0279281, 0.0276773, 0.0274178, 0.0271481, 0.0268664, 0.0265705, 0.0262573, 0.0259227, 0.0255611, 0.025164, 0.0247175, 0.0241965, 0.0235406, 0.0225615, 0.0208012, 0.0178031, 0.0133778, 0.0074569, 5.38871e-09, -0.0196424, -0.0450073, -0.157354, -1.9388", \
+					  "2.61999, 0.0862429, 0.0209786, 0.0206364, 0.0202851, 0.0201041, 0.0199188, 0.0197288, 0.0195333, 0.0193315, 0.0191223, 0.0189045, 0.0186763, 0.0184355, 0.018179, 0.0179024, 0.017599, 0.0172578, 0.0168562, 0.0163315, 0.0154502, 0.0136764, 0.0105899, 0.00604939, 5.56322e-09, -0.0170136, -0.0401043, -0.147081, -1.93669", \
+					  "2.62142, 0.0989581, 0.0139512, 0.0136676, 0.0134097, 0.0132776, 0.0131431, 0.0130058, 0.0128655, 0.0127216, 0.0125735, 0.0124207, 0.0122621, 0.0120967, 0.0119228, 0.0117385, 0.0115405, 0.0113241, 0.0110809, 0.0107922, 0.0103927, 0.00959227, 0.00778927, 0.00462573, 5.53496e-09, -0.0143385, -0.035108, -0.136529, -1.93453", \
+					  "2.62431, 0.115619, 0.0084731, 0.00806137, 0.00788526, 0.00779585, 0.00770527, 0.00761334, 0.0075199, 0.00742473, 0.00732756, 0.00722806, 0.00712583, 0.00702034, 0.00691092, 0.00679665, 0.00667625, 0.00654786, 0.00640846, 0.00625245, 0.0060654, 0.00578066, 0.00504011, 0.00320529, 4.77939e-09, -0.0116254, -0.0300294, -0.125704, -1.93232", \
+					  "2.62874, 0.136213, 0.00536273, 0.00395628, 0.00384539, 0.00379206, 0.00373829, 0.00368403, 0.00362921, 0.00357373, 0.00351751, 0.00346042, 0.00340231, 0.00334299, 0.00328222, 0.00321968, 0.00315493, 0.00308736, 0.00301605, 0.00293947, 0.00285451, 0.00275135, 0.00256235, 0.00184992, -2.99446e-09, -0.00889033, -0.0248872, -0.114622, -1.93007", \
+					  "2.63481, 0.160665, 0.00646497, 0.00151296, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998448, 0.000965512, 0.000929389, 0.000883879, 0.000747893, -1.29315e-07, -0.00617266, -0.0197199, -0.103329, -1.92779", \
+					  "2.64242, 0.18856, 0.0129116, 0.00076407, 0.00037576, 0.000365798, 0.000356667, 0.000347555, 0.000338439, 0.000329313, 0.000320172, 0.00031101, 0.00030182, 0.000292595, 0.000283324, 0.000273992, 0.000264584, 0.000255073, 0.000245425, 0.000235586, 0.000225466, 0.000214878, 0.000203192, 0.000183789, -2.33474e-06, -0.00359667, -0.0146288, -0.0919219, -1.92549", \
+					  "2.65115, 0.218967, 0.0238448, 0.00200393, 8.36698e-05, 6.84276e-05, 6.46532e-05, 6.12153e-05, 5.78539e-05, 5.45252e-05, 5.12135e-05, 4.79114e-05, 4.46142e-05, 4.13185e-05, 3.80214e-05, 3.47199e-05, 3.14107e-05, 2.80894e-05, 2.47503e-05, 2.13849e-05, 1.79787e-05, 1.45032e-05, 1.08708e-05, 6.33123e-06, -3.14337e-05, -0.00156575, -0.00989363, -0.0806093, -1.92323", \
+					  "2.66061, 0.25105, 0.0380128, 0.00651114, 1.57616e-05, -9.51763e-05, -0.000107435, -0.000116484, -0.000124833, -0.000132888, -0.000140786, -0.000148592, -0.000156339, -0.000164048, -0.000171731, -0.000179399, -0.000187057, -0.000194711, -0.000202365, -0.000210022, -0.000217689, -0.00022537, -0.000233084, -0.000240945, -0.000254729, -0.000705241, -0.00609046, -0.069824, -1.92124", \
+					  "2.67068, 0.284329, 0.0544644, 0.0144192, 2.03309e-06, -0.000683421, -0.000758546, -0.000802911, -0.000841416, -0.000877532, -0.00091237, -0.000946425, -0.000979963, -0.00101314, -0.00104606, -0.00107878, -0.00111136, -0.00114383, -0.00117621, -0.00120854, -0.00124082, -0.00127308, -0.00130532, -0.00133759, -0.00137062, -0.00152802, -0.0042927, -0.0605926, -1.92024", \
+					  "2.68128, 0.318478, 0.0723462, 0.0241211, 1.66431e-07, -0.00296062, -0.00348035, -0.00366584, -0.00380183, -0.00392038, -0.00403013, -0.00413462, -0.00423562, -0.00433418, -0.00443092, -0.00452627, -0.00462055, -0.00471396, -0.00480668, -0.00489882, -0.00499049, -0.00508178, -0.00517278, -0.00526355, -0.00535424, -0.0055533, -0.00670435, -0.0551665, -1.92188", \
+					  "2.6924, 0.353236, 0.0908656, 0.034294, 1.192e-08, -0.00737783, -0.00997927, -0.0107097, -0.011101, -0.0113983, -0.0116542, -0.011887, -0.0121052, -0.0123134, -0.0125143, -0.0127097, -0.0129008, -0.0130885, -0.0132734, -0.013456, -0.0136366, -0.0138157, -0.0139934, -0.0141701, -0.0143458, -0.0146986, -0.0152919, -0.0557091, -1.92763", \
+					  "2.70404, 0.388377, 0.109427, 0.0444459, 2.1669e-09, -0.0126768, -0.0194297, -0.0219327, -0.022958, -0.0236016, -0.0241022, -0.0245308, -0.0249169, -0.0252748, -0.025613, -0.0259365, -0.0262488, -0.0265522, -0.0268484, -0.0271387, -0.0274241, -0.0277054, -0.0279832, -0.0282581, -0.0285306, -0.0290708, -0.029658, -0.0623479, -1.93741", \
+					  "2.71617, 0.423701, 0.127694, 0.0544153, 1.59386e-09, -0.0181244, -0.0299178, -0.0359633, -0.038473, -0.0397767, -0.0406738, -0.0413883, -0.0420022, -0.0425531, -0.0430612, -0.0435384, -0.0439924, -0.0444283, -0.0448498, -0.0452595, -0.0456594, -0.0460511, -0.0464359, -0.0468149, -0.047189, -0.0479263, -0.0486639, -0.0743834, -1.95059", \
+					  "2.72879, 0.459023, 0.145508, 0.0641346, 1.55206e-09, -0.0235027, -0.0405141, -0.0512181, -0.0565554, -0.0590966, -0.0606354, -0.061764, -0.062684, -0.0634805, -0.0641961, -0.0648551, -0.0654724, -0.0660577, -0.0666177, -0.0671575, -0.0676805, -0.0681896, -0.068687, -0.0691744, -0.0696534, -0.0705921, -0.071515, -0.091205, -1.96665", \
+					  "2.74188, 0.494174, 0.162793, 0.0735678, 1.54059e-09, -0.0287444, -0.0509362, -0.0666519, -0.0760571, -0.0807534, -0.0833246, -0.085053, -0.0863831, -0.0874908, -0.0884587, -0.0893317, -0.0901362, -0.0908893, -0.0916024, -0.0922835, -0.0929385, -0.093572, -0.0941873, -0.0947874, -0.0953746, -0.0965183, -0.097634, -0.112331, -1.9852", \
+					  "2.75544, 0.52899, 0.179512, 0.0826926, 1.53122e-09, -0.0338229, -0.0610845, -0.0818328, -0.095966, -0.103918, -0.10811, -0.110704, -0.112582, -0.114083, -0.115357, -0.116481, -0.1175, -0.118441, -0.119323, -0.120157, -0.120954, -0.121719, -0.122458, -0.123175, -0.123874, -0.125227, -0.126537, -0.137362, -2.00589", \
+					  "2.76944, 0.563307, 0.19564, 0.0914941, 1.52244e-09, -0.0387247, -0.0709123, -0.0966024, -0.115645, -0.127768, -0.134369, -0.138201, -0.14081, -0.142807, -0.14445, -0.145868, -0.147132, -0.148283, -0.14935, -0.150351, -0.151299, -0.152204, -0.153073, -0.153912, -0.154726, -0.156292, -0.157799, -0.165956, -2.02841", \
+					  "2.78387, 0.596961, 0.211165, 0.0999627, 1.51432e-09, -0.043442, -0.0803939, -0.110892, -0.134802, -0.151599, -0.161483, -0.167056, -0.170637, -0.173257, -0.175346, -0.177107, -0.17865, -0.180036, -0.181306, -0.182488, -0.183598, -0.184651, -0.185656, -0.186622, -0.187555, -0.18934, -0.191045, -0.197753, -2.05249", \
+					  "2.79867, 0.629782, 0.226076, 0.108092, 1.50704e-09, -0.0479701, -0.0895138, -0.124666, -0.153318, -0.174932, -0.188861, -0.196802, -0.201668, -0.20507, -0.207696, -0.209858, -0.211717, -0.213365, -0.214859, -0.216235, -0.217519, -0.218729, -0.219878, -0.220976, -0.222031, -0.22404, -0.225947, -0.232227, -2.07791", \
+					  "2.82843, 0.692257, 0.25404, 0.123321, 1.49621e-09, -0.0564495, -0.106636, -0.15059, -0.188248, -0.219226, -0.242422, -0.257179, -0.2659, -0.271518, -0.275568, -0.27874, -0.281368, -0.283633, -0.28564, -0.287456, -0.289124, -0.290676, -0.292134, -0.293514, -0.294829, -0.297305, -0.299624, -0.306472, -2.13199", \
+					  "2.84626, 0.74953, 0.279532, 0.137177, 1.49329e-09, -0.0641594, -0.122252, -0.174303, -0.220274, -0.259922, -0.292394, -0.316014, -0.330794, -0.339874, -0.346002, -0.350553, -0.354178, -0.35721, -0.359834, -0.362165, -0.364274, -0.366211, -0.36801, -0.369699, -0.371294, -0.374265, -0.377013, -0.384807, -2.18927", \
+					  "2.8897, 0.886069, 0.341726, 0.17084, 8.72084e-10, -0.0828624, -0.160331, -0.232422, -0.299128, -0.360381, -0.415947, -0.465128, -0.506169, -0.536546, -0.556462, -0.569411, -0.57845, -0.585257, -0.590694, -0.595228, -0.599132, -0.602574, -0.605666, -0.608484, -0.611082, -0.61577, -0.619954, -0.630873, -2.36948", \
+					  "2.933, 1.01886, 0.404387, 0.204449, 2.67642e-09, -0.101532, -0.19879, -0.29178, -0.380508, -0.464969, -0.545133, -0.620925, -0.692151, -0.758347, -0.818408, -0.870044, -0.910321, -0.938807, -0.958465, -0.972589, -0.983322, -0.99189, -0.998999, -1.00508, -1.01039, -1.0194, -1.02693, -1.04457, -2.67232");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1137164, 0.2371759, 0.3752265, 0.5325143, 0.7542476");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1848564, 0.3093312, 0.4458783, 0.6044216, 0.8253704");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0284867, 0.0527123, 0.0772274, 0.1026872, 0.1351921");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1007103, 0.1249713, 0.1493420, 0.1739168, 0.2063203");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("23.7825");
+						index_3("0.001");
+						index_4("11.8711976, 12.5499252, 15.0895695, 17.1562072, 17.6572956");
+						values("0.409174, 0.654679, 0.818348, 0.654679, 0.409174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("14.4516");
+						index_3("0.001");
+						index_4("7.2226649, 7.6846024, 9.4211165, 10.7764734, 11.1063447");
+						values("0.41891, 0.670256, 0.83782, 0.670256, 0.41891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("9.80956");
+						index_3("0.001");
+						index_4("4.9084553, 5.2542168, 6.6199276, 7.4997073, 7.7434526");
+						values("0.422775, 0.67644, 0.84555, 0.67644, 0.422775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("11.8913");
+						index_3("0.001");
+						index_4("5.9897244, 6.3635826, 7.6688858, 8.6120639, 8.8684122");
+						values("0.216248, 0.345997, 0.432496, 0.345997, 0.216248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("7.22579");
+						index_3("0.001");
+						index_4("3.6484224, 3.9004620, 4.7619324, 5.4108588, 5.5803664");
+						values("0.222153, 0.355445, 0.444307, 0.355445, 0.222153");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("4.90478");
+						index_3("0.001");
+						index_4("2.4847892, 2.6725687, 3.3122624, 3.7683260, 3.8933398");
+						values("0.222623, 0.356196, 0.445246, 0.356196, 0.222623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("21.6774");
+						index_3("0.001");
+						index_4("10.7693602, 11.4644503, 14.3770201, 16.1016868, 16.6050355");
+						values("0.587803, 0.940484, 1.17561, 0.940484, 0.587803");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("3.15257");
+						index_3("0.001");
+						index_4("1.5883992, 1.7250324, 2.3324912, 2.8825134, 3.0881883");
+						values("1.17874, 0.92599, 0.757487, 0.92599, 1.17874");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("1.84323");
+						index_3("0.001");
+						index_4("0.9324958, 1.0252115, 1.4581160, 1.7692125, 1.9156677");
+						values("1.17052, 0.912826, 0.741033, 0.912826, 1.17052");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("1.25766");
+						index_3("0.001");
+						index_4("0.6386877, 0.7082930, 1.0249667, 1.2521476, 1.3850943");
+						values("1.16686, 0.90697, 0.733712, 0.90697, 1.16686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("1.57629");
+						index_3("0.001");
+						index_4("0.8042125, 0.8771687, 1.2548584, 1.4653449, 1.5884164");
+						values("1.39184, 1.26694, 1.18368, 1.26694, 1.39184");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("0.921616");
+						index_3("0.001");
+						index_4("0.4742330, 0.5239927, 0.7532785, 0.9023005, 0.9983047");
+						values("1.3899, 1.26384, 1.1798, 1.26384, 1.3899");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("0.62883");
+						index_3("0.001");
+						index_4("0.3258460, 0.3641411, 0.5188624, 0.6416617, 0.7338855");
+						values("1.38934, 1.26294, 1.17867, 1.26294, 1.38934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("2.76485");
+						index_3("0.001");
+						index_4("1.3933931, 1.5303517, 2.1499441, 2.6182551, 2.8270986");
+						values("0.94787, 0.556592, 0.29574, 0.556592, 0.94787");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004852;
+			capacitance : 0.004649;
+			fall_capacitance : 0.004446;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074624, -0.0074270, -0.0073917, -0.0073595, -0.0073287, -0.0072965, -0.0072644");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074093, 0.0073762, 0.0073432, 0.0073523, 0.0073610, 0.0073701, 0.0073792");
+				}
+			}*/
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0257693, 4.4686977, 4.9257356, 5.3584988, 5.7792631, 6.1299577, 6.5308082", \
+					  "3.8799966, 4.3244508, 4.7810683, 5.2304599, 5.6365417, 5.9811332, 6.3850332", \
+					  "3.7606392, 4.1974267, 4.6407445, 5.0988474, 5.4771701, 5.8434735, 6.2464045", \
+					  "3.6521431, 4.0976367, 4.5683682, 4.9896636, 5.3773633, 5.7451508, 6.1452366", \
+					  "3.5726136, 4.0022277, 4.4500415, 4.9037270, 5.3229654, 5.7100887, 6.0745478", \
+					  "3.4788548, 3.9108515, 4.3665891, 4.8291716, 5.1969240, 5.5586289, 6.0012766", \
+					  "3.4066572, 3.8496076, 4.3335427, 4.7570381, 5.1362326, 5.5013579, 5.9417509");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4770297, -3.9197798, -4.3736843, -4.8229729, -5.2292701, -5.6149380, -5.9829978", \
+					  "-3.3463378, -3.7847252, -4.2429226, -4.6780888, -5.0730582, -5.4716122, -5.8260172", \
+					  "-3.1691105, -3.6637897, -4.1176917, -4.5563746, -4.9581496, -5.3329587, -5.7208546", \
+					  "-3.1143516, -3.5596652, -4.0204921, -4.4640449, -4.8739246, -5.2583037, -5.6151494", \
+					  "-2.9921556, -3.4333711, -3.9115686, -4.3453145, -4.7527153, -5.1846555, -5.5253994", \
+					  "-2.9316733, -3.4117584, -3.8376908, -4.3466263, -4.6824582, -5.0842266, -5.4879640", \
+					  "-2.8809665, -3.3117866, -3.7575140, -4.2210779, -4.6115744, -4.9858288, -5.3561464");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7043819, -4.1364505, -4.6010362, -5.0487989, -5.4581483, -5.8407641, -6.1996685", \
+					  "-3.5694831, -4.0091812, -4.4677943, -4.9139001, -5.3232491, -5.7137954, -6.0647698", \
+					  "-3.4562177, -3.8944081, -4.3534587, -4.7958155, -5.2088775, -5.5877806, -5.9586267", \
+					  "-3.3560194, -3.7943734, -4.2498038, -4.7464918, -5.1082607, -5.4924018, -5.8802232", \
+					  "-3.2862366, -3.7192262, -4.1506858, -4.6260761, -5.0338993, -5.4241524, -5.7772895", \
+					  "-3.2196478, -3.6590170, -4.1120931, -4.5644352, -4.9744854, -5.3593349, -5.7019529", \
+					  "-3.1599318, -3.6042078, -4.0565864, -4.5058750, -4.9138153, -5.2890125, -5.6567446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9998252, 4.4152804, 4.8860474, 5.3218534, 5.7102343, 6.1177022, 6.5048973", \
+					  "3.8588220, 4.2941133, 4.7620399, 5.1915310, 5.5832310, 5.9477075, 6.3537134", \
+					  "3.7455894, 4.1806059, 4.6238998, 5.0719205, 5.4877665, 5.8876200, 6.2512007", \
+					  "3.6631092, 4.1009225, 4.5510016, 4.9854252, 5.3605265, 5.7367536, 6.1389061", \
+					  "3.5603165, 3.9879787, 4.4663751, 4.9116576, 5.2829330, 5.6415719, 6.0608108", \
+					  "3.4834325, 3.9145281, 4.3727060, 4.8176674, 5.2304626, 5.6262806, 5.9879331", \
+					  "3.4094412, 3.8633766, 4.3177677, 4.7472948, 5.1383171, 5.5095451, 5.9288905");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1463138, 4.5892448, 5.0478100, 5.4821021, 5.8689718, 6.2352588, 6.6513397", \
+					  "4.0020665, 4.4449980, 4.9035627, 5.3348031, 5.7262500, 6.0884283, 6.5070925", \
+					  "3.8751218, 4.3240774, 4.7810882, 5.2111345, 5.5978580, 5.9642094, 6.3946224", \
+					  "3.7767836, 4.2170501, 4.6797999, 5.1095175, 5.5009617, 5.8672452, 6.2818100", \
+					  "3.6900163, 4.1253341, 4.5930382, 5.0227527, 5.4126746, 5.7774361, 6.1938901", \
+					  "3.6360970, 4.0530115, 4.4993691, 4.9321354, 5.3492204, 5.7051291, 6.1105288", \
+					  "3.5550232, 4.0010402, 4.4699087, 4.8912956, 5.2925116, 5.6685894, 6.0674011");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6631870, -4.1028853, -4.5583152, -5.0091302, -5.4139015, -5.7995694, -6.1584739", \
+					  "-3.5235554, -3.9647793, -4.4202097, -4.8694983, -5.2757960, -5.6598967, -6.0184512", \
+					  "-3.3964664, -3.8453695, -4.2992243, -4.7565140, -5.1605357, -5.5315216, -5.8904501", \
+					  "-3.3073732, -3.7409677, -4.2040275, -4.6675283, -5.0596138, -5.4452813, -5.8013676", \
+					  "-3.2231273, -3.6669312, -4.1151293, -4.5569763, -4.9676505, -5.3539073, -5.7335476", \
+					  "-3.1666276, -3.5925928, -4.0790892, -4.5217001, -4.8694575, -5.2969315, -5.6240330", \
+					  "-3.0669955, -3.5081562, -3.9776375, -4.4130732, -4.8236714, -5.2001840, -5.5442004");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5899558, -4.0311800, -4.4866104, -4.9358990, -5.3421962, -5.7278641, -6.0867686", \
+					  "-3.4475908, -3.8872889, -4.3427392, -4.7935342, -5.1995405, -5.5854994, -5.9444038", \
+					  "-3.3217448, -3.7812808, -4.2257741, -4.6697914, -5.0953386, -5.4686009, -5.8274667", \
+					  "-3.2206821, -3.6593714, -4.1125269, -4.5737402, -4.9683073, -5.3624156, -5.7175995", \
+					  "-3.1603086, -3.6011347, -4.0188037, -4.4961321, -4.9026411, -5.2828410, -5.6417530", \
+					  "-3.0719180, -3.5181635, -3.9337584, -4.3861569, -4.7863755, -5.1906379, -5.5905300", \
+					  "-2.9951370, -3.4409386, -3.8719549, -4.3471837, -4.7412738, -5.1285764, -5.4893816");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868147, 4.5313653, 4.9859626, 5.4177128, 5.8143848, 6.1889887, 6.5884718", \
+					  "3.9397408, 4.3858169, 4.8395548, 5.2691130, 5.6627270, 6.0373380, 6.4429234", \
+					  "3.8081194, 4.2656183, 4.6984197, 5.1526039, 5.5690792, 5.9360310, 6.3126564", \
+					  "3.6958932, 4.1563458, 4.5804492, 5.0267917, 5.4495953, 5.7966288, 6.1913521", \
+					  "3.6156691, 4.0569097, 4.5129347, 4.9404323, 5.3412903, 5.7084073, 6.1142156", \
+					  "3.5170034, 3.9615509, 4.4085471, 4.8479090, 5.2461522, 5.6544709, 6.0420231", \
+					  "3.4304682, 3.8831390, 4.3465461, 4.7649092, 5.1657796, 5.5450616, 5.9461314");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5167255, -3.9610015, -4.4133796, -4.8459367, -5.2447559, -5.6046183, -6.0135383", \
+					  "-3.3625486, -3.8100933, -4.2575283, -4.6850055, -5.0999513, -5.4582882, -5.8662534", \
+					  "-3.2542020, -3.6881544, -4.1481624, -4.5792096, -4.9810642, -5.3378752, -5.7486120", \
+					  "-3.1387739, -3.5920799, -4.0457821, -4.4798238, -4.8785591, -5.2384245, -5.6484224", \
+					  "-3.0693274, -3.5075022, -3.9735110, -4.4000498, -4.7664967, -5.1600543, -5.5317939", \
+					  "-2.9882865, -3.4390359, -3.8845262, -4.3054087, -4.7119661, -5.0842701, -5.4391360", \
+					  "-2.8915696, -3.3557714, -3.7827272, -4.2196985, -4.6077992, -4.9920518, -5.4053963");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9815398, 4.4244686, 4.8830320, 5.3142697, 5.7026617, 6.0704715, 6.4865769", \
+					  "3.8335928, 4.2741835, 4.7344042, 5.1661273, 5.5562411, 5.9209991, 6.3386299", \
+					  "3.7056543, 4.1490378, 4.6072819, 5.0388012, 5.4282896, 5.7992067, 6.1989896", \
+					  "3.5907031, 4.0355379, 4.4921012, 4.9258107, 5.3139589, 5.6802485, 6.0861874", \
+					  "3.5038592, 3.9452617, 4.4231510, 4.8365892, 5.2310847, 5.5900136, 6.0063623", \
+					  "3.4300268, 3.8752351, 4.3269413, 4.7673343, 5.1557268, 5.5185614, 5.9279739", \
+					  "3.3373775, 3.7675927, 4.2270852, 4.6881368, 5.0791249, 5.4169729, 5.8261341");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425549, -4.1746235, -4.6376833, -5.0869719, -5.4963214, -5.8774116, -6.2378415", \
+					  "-3.5992073, -4.0305570, -4.4899020, -4.9375248, -5.3430995, -5.7241760, -6.0846197", \
+					  "-3.4702567, -3.9161360, -4.3694148, -4.8260122, -5.2265269, -5.6191542, -5.9753875", \
+					  "-3.3867189, -3.8172618, -4.2848993, -4.7358887, -5.1389592, -5.5261530, -5.8850575", \
+					  "-3.3228575, -3.7138514, -4.2164602, -4.6535879, -5.0644171, -5.4592399, -5.8288256", \
+					  "-3.2397171, -3.6762672, -4.1093344, -4.5658510, -4.9911099, -5.3578050, -5.7061651", \
+					  "-3.1812943, -3.6362513, -4.0794743, -4.4967196, -4.9045432, -5.3024178, -5.6704776");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0425754, 4.4534845, 4.9257920, 5.3646854, 5.7485330, 6.1270653, 6.5475095", \
+					  "3.8969719, 4.3307689, 4.7981963, 5.2465479, 5.6550087, 6.0058752, 6.3902681", \
+					  "3.7834236, 4.2218360, 4.6804110, 5.1242715, 5.5046774, 5.9319445, 6.2945820", \
+					  "3.6882648, 4.1190103, 4.5959350, 5.0348177, 5.4094811, 5.7672314, 6.1989043", \
+					  "3.6057879, 4.0322717, 4.5137234, 4.9655394, 5.3318868, 5.7512437, 6.1186565", \
+					  "3.5200534, 3.9522721, 4.4047959, 4.8543705, 5.2397436, 5.6030183, 6.0314929", \
+					  "3.4518799, 3.8963058, 4.3614790, 4.7912170, 5.2195144, 5.6036356, 5.9771897");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6702337, 4.1131701, 4.5732634, 5.0251197, 5.4267673, 5.7592085, 6.1650905", \
+					  "3.5198409, 3.9642883, 4.4228557, 4.8768690, 5.2745486, 5.6088017, 6.0145029", \
+					  "3.3975003, 3.8388246, 4.2959843, 4.7271789, 5.1200931, 5.4876280, 5.8878580", \
+					  "3.3083210, 3.7451720, 4.1945747, 4.6609381, 5.0355767, 5.4504492, 5.8056953", \
+					  "3.2146268, 3.6620960, 4.1320687, 4.5633548, 4.9611198, 5.3594913, 5.7098959", \
+					  "3.1211727, 3.5998307, 4.0599238, 4.5117801, 4.8746805, 5.2236018, 5.6375738", \
+					  "3.0709049, 3.5169021, 3.9556694, 4.4129232, 4.7678495, 5.2190845, 5.5447352");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5029632, -3.9472392, -4.3996173, -4.8489063, -5.2552036, -5.6408715, -5.9936724", \
+					  "-3.3634679, -3.8045053, -4.2600472, -4.7109349, -5.1155215, -5.5029756, -5.8603373", \
+					  "-3.2426701, -3.6667919, -4.1269696, -4.5821921, -4.9959727, -5.3695796, -5.7332048", \
+					  "-3.1428861, -3.1031506, -4.0466148, -4.4981980, -4.9016237, -5.2888611, -5.6551809", \
+					  "-3.0694176, -3.0207734, -3.9689724, -3.9099974, -4.8451791, -5.2381363, -5.5801072", \
+					  "-3.0279989, -3.4256395, -3.9195093, -4.3587060, -4.7694672, -5.1207138, -5.5030595", \
+					  "-2.8999482, -3.3738001, -3.7910832, -4.2861485, -4.6759300, -5.0552253, -5.4354922");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486577, 4.4900442, 4.9486102, 5.3989917, 5.7697165, 6.1374966, 6.5537645", \
+					  "3.9028881, 4.3473268, 4.8045068, 5.2355762, 5.6225298, 5.9932542, 6.4079935", \
+					  "3.7804100, 4.2233530, 4.6819093, 5.1276923, 5.5000100, 5.8662002, 6.2810009", \
+					  "3.6750361, 4.1235586, 4.5794216, 5.0058294, 5.3971164, 5.7740619, 6.1814423", \
+					  "3.5923600, 4.0261175, 4.4938291, 4.9289162, 5.3225738, 5.6798542, 6.0887951", \
+					  "3.4941135, 3.9334867, 4.3849016, 4.8283278, 5.2151717, 5.5805443, 6.0031125", \
+					  "3.4416415, 3.8860802, 4.3502395, 4.7798697, 5.1697564, 5.5057206, 5.9463988");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5472203, -3.9930221, -4.4423484, -4.8749055, -5.2752511, -5.6335880, -6.0425071", \
+					  "-3.3948328, -3.8396568, -4.2935426, -4.7276576, -5.1276349, -5.4863262, -5.8967712", \
+					  "-3.2744229, -3.7278764, -4.1832575, -4.6160166, -5.0264279, -5.3805993, -5.7920617", \
+					  "-3.1672682, -3.6086371, -4.0653580, -4.5026744, -4.8958777, -5.2557622, -5.6764772", \
+					  "-3.0867590, -3.6030454, -4.0614931, -4.4929084, -4.8586488, -5.1894988, -5.6472846", \
+					  "-3.0460851, -3.4286331, -3.9246612, -4.3569838, -4.7422614, -5.1385542, -5.4999265", \
+					  "-2.9502038, -3.4180505, -3.8313123, -4.2649250, -4.6941763, -5.0613755, -5.4711530");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0774063, 0.3661463, 0.8100215, 1.2330517, 1.6375096, 2.0307056, 2.3902809", \
+					  "-0.2179084, 0.2348286, 0.6798310, 1.0977495, 1.4743166, 1.8985833, 2.2737785", \
+					  "-0.3410472, 0.1089237, 0.5683376, 0.9919647, 1.3807624, 1.7442802, 2.1104909", \
+					  "-0.4334523, 0.0112132, 0.4777308, 0.9080393, 1.3032093, 1.6643387, 2.0137639", \
+					  "-0.5171666, -0.0750987, 0.3983915, 0.8305834, 1.2229366, 1.5993514, 1.9664968", \
+					  "-0.5998993, -0.1649495, 0.3179179, 0.7447017, 1.1410475, 1.5238088, 1.8813478", \
+					  "-0.6736859, -0.2375447, 0.2240285, 0.6670371, 1.0862151, 1.4715047, 1.8183169");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4735606, 0.0230268, -0.4160068, -0.8114308, -1.2177282, -1.6079736, -1.9699297", \
+					  "0.6247309, 0.1697260, -0.2765302, -0.6931115, -1.0810984, -1.4362486, -1.7646354", \
+					  "0.7478874, 0.2960048, -0.1554966, -0.5784480, -0.9662728, -1.3412595, -1.6849051", \
+					  "0.8430556, 0.3907732, -0.0633616, -0.4892964, -0.8713668, -1.2506404, -1.5988637", \
+					  "0.9353136, 0.4523912, 0.0010369, -0.4171149, -0.7943160, -1.1656906, -1.5247306", \
+					  "1.0211272, 0.5426262, 0.0802300, -0.3164848, -0.7185361, -1.0812457, -1.4352299", \
+					  "1.0796092, 0.6114209, 0.1594369, -0.2390226, -0.6322401, -1.0642398, -1.3783122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6662346, -4.1059327, -4.5613630, -5.0106516, -5.4169493, -5.8026168, -6.1615212", \
+					  "-3.5235174, -3.9632157, -4.4186456, -4.8694606, -5.2742319, -5.6584188, -6.0170732", \
+					  "-3.3934146, -3.8300729, -4.2904664, -4.7411730, -5.1470985, -5.5404780, -5.8888927", \
+					  "-3.3058473, -3.7394418, -4.2025016, -4.6691115, -5.0580879, -5.4437558, -5.8187590", \
+					  "-3.2231564, -3.6684357, -4.1136096, -4.5554331, -4.9632056, -5.3539934, -5.7334903", \
+					  "-3.1864502, -3.5971705, -4.0806729, -4.5217241, -4.8771167, -5.3003728, -5.6270679", \
+					  "-3.0699307, -3.5253731, -3.9775736, -4.4160344, -4.8343527, -5.2123911, -5.5442017");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0806974, 4.5221394, 4.9807214, 5.4135290, 5.8019765, 6.1683279, 6.5855639", \
+					  "3.9348789, 4.3778468, 4.8365381, 5.2661846, 5.6608290, 6.0301384, 6.4397463", \
+					  "3.8082438, 4.2508435, 4.7078997, 5.1437590, 5.5352574, 5.9000829, 6.3160853", \
+					  "3.7097141, 4.1511948, 4.6082398, 5.0425471, 5.4328176, 5.7944696, 6.2060306", \
+					  "3.6250385, 4.0585142, 4.5229014, 4.9541836, 5.3411038, 5.7431298, 6.1267234", \
+					  "3.5277477, 4.0081394, 4.4462348, 4.8727216, 5.2474849, 5.6340708, 6.0590922", \
+					  "3.4686543, 3.9381456, 4.3721829, 4.8019387, 5.1919112, 5.5860328, 5.9887395");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "ENABLE_H"; */
+				miller_cap_fall : "0.00155778";
+				miller_cap_rise : "0.00119597";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.24376, 0.26334, 0.248167, 0.243049, 0.236855, 0.233188, 0.229005, 0.224161, 0.21849, 0.211858, 0.204235, 0.19567, 0.186201, 0.175844, 0.164593, 0.152439, 0.139368, 0.125366, 0.110418, 0.0945094, 0.0776239, 0.0597462, 0.0408604, 0.0209503, -6.06366e-09, -0.043778, -0.089445, -0.25448, -1.4972", \
+					  "3.17111, 0.138731, 0.127274, 0.12526, 0.122981, 0.121714, 0.12034, 0.118838, 0.117178, 0.115319, 0.113205, 0.110752, 0.107838, 0.104296, 0.0999484, 0.0946754, 0.0884204, 0.0811522, 0.0728465, 0.0634809, 0.0530337, 0.0414835, 0.0288089, 0.0149884, -3.94803e-09, -0.0325487, -0.0679646, -0.204838, -1.48875", \
+					  "3.13456, 0.0759111, 0.064566, 0.0636146, 0.0625727, 0.0620099, 0.0614138, 0.0607789, 0.0600983, 0.0593635, 0.0585629, 0.0576809, 0.0566959, 0.0555762, 0.0542733, 0.0527072, 0.0507375, 0.0481324, 0.0446358, 0.0400933, 0.0344369, 0.0276282, 0.0196375, 0.010437, -4.34854e-09, -0.024043, -0.0518341, -0.167323, -1.48226", \
+					  "3.12474, 0.0591341, 0.0467139, 0.0460236, 0.045276, 0.0448761, 0.0444557, 0.0440115, 0.0435399, 0.0430359, 0.0424934, 0.0419043, 0.0412577, 0.040538, 0.0397229, 0.0387772, 0.0376411, 0.0361987, 0.0342167, 0.0313783, 0.0274762, 0.0224258, 0.0161841, 0.00871876, -3.51971e-09, -0.0208467, -0.0458038, -0.153207, -1.47994", \
+					  "3.11646, 0.0461944, 0.0309753, 0.0305046, 0.0300011, 0.0297346, 0.0294564, 0.0291651, 0.0288586, 0.0285346, 0.02819, 0.0278208, 0.0274219, 0.0269865, 0.0265047, 0.0259625, 0.0253375, 0.0245908, 0.0236399, 0.0222773, 0.0201288, 0.01691, 0.0125122, 0.00688725, -4.59167e-09, -0.017449, -0.0394121, -0.13818, -1.47753", \
+					  "3.11296, 0.0414606, 0.0240352, 0.0236594, 0.0232602, 0.02305, 0.0228316, 0.0226039, 0.0223655, 0.0221148, 0.0218498, 0.0215679, 0.0212656, 0.0209387, 0.020581, 0.0201838, 0.019734, 0.0192101, 0.0185703, 0.0177092, 0.0163359, 0.0140362, 0.0105913, 0.00592621, -7.3843e-10, -0.0156707, -0.0360748, -0.130306, -1.47628", \
+					  "3.10992, 0.0378475, 0.0177937, 0.0175032, 0.0171969, 0.0170366, 0.0168708, 0.0166987, 0.0165195, 0.0163322, 0.0161354, 0.0159275, 0.0157065, 0.0154696, 0.0152132, 0.0149321, 0.0146191, 0.0142625, 0.0138418, 0.0133116, 0.0125269, 0.0110937, 0.00861234, 0.00493277, -2.97822e-09, -0.0138376, -0.0326407, -0.12219, -1.47502", \
+					  "3.10736, 0.0353472, 0.0123147, 0.0120996, 0.0118753, 0.0117587, 0.0116386, 0.0115147, 0.0113865, 0.0112532, 0.0111142, 0.0109684, 0.0108148, 0.0106516, 0.010477, 0.0102881, 0.0100811, 0.00984991, 0.00958508, 0.00926792, 0.00884694, 0.00811304, 0.0065763, 0.00390435, -2.24528e-09, -0.0119481, -0.0291086, -0.113841, -1.47375", \
+					  "3.10532, 0.033974, 0.0076781, 0.00752679, 0.00737386, 0.00729499, 0.00721426, 0.00713144, 0.00704624, 0.00695835, 0.00686737, 0.00677279, 0.00667402, 0.00657026, 0.00646051, 0.00634342, 0.00621712, 0.00607892, 0.00592467, 0.00574718, 0.0055305, 0.00521449, 0.00449856, 0.00283974, -1.93636e-09, -0.0100005, -0.0254794, -0.105291, -1.47248", \
+					  "3.10386, 0.0338015, 0.00402622, 0.00391631, 0.00382395, 0.00377679, 0.00372885, 0.00368001, 0.00363016, 0.00357916, 0.00352684, 0.00347299, 0.00341736, 0.00335962, 0.00329937, 0.00323606, 0.00316898, 0.0030971, 0.00301893, 0.00293207, 0.00283205, 0.00270674, 0.00248499, 0.00175684, -4.37271e-09, -0.00799268, -0.0217571, -0.0966051, -1.47123", \
+					  "3.10312, 0.0350028, 0.00164796, 0.00149449, 0.00144936, 0.00142684, 0.00140412, 0.00138117, 0.00135795, 0.00133443, 0.00131054, 0.00128624, 0.00126144, 0.00123606, 0.00120998, 0.00118304, 0.00115506, 0.00112576, 0.00109475, 0.00106145, 0.00102486, 0.000982922, 0.000927777, 0.000769621, -1.35145e-08, -0.00592603, -0.017956, -0.0878691, -1.47001", \
+					  "3.10316, 0.0376452, 0.000920054, 0.000366695, 0.000348579, 0.000341215, 0.000333854, 0.000326478, 0.000319079, 0.000311649, 0.000304183, 0.000296668, 0.000289092, 0.000281441, 0.000273693, 0.000265825, 0.000257805, 0.000249584, 0.000241108, 0.000232279, 0.000222954, 0.000212863, 0.000201255, 0.000181865, -5.67266e-08, -0.00383224, -0.0141192, -0.0791762, -1.46882", \
+					  "3.10373, 0.0412325, 0.00180117, 7.91156e-05, 5.63979e-05, 5.4795e-05, 5.32901e-05, 5.17957e-05, 5.03055e-05, 4.88181e-05, 4.73334e-05, 4.58477e-05, 4.43615e-05, 4.28741e-05, 4.13803e-05, 3.98783e-05, 3.8363e-05, 3.68305e-05, 3.5276e-05, 3.36872e-05, 3.2044e-05, 3.03224e-05, 2.84423e-05, 2.59282e-05, -3.03123e-07, -0.00190283, -0.0103592, -0.0706092, -1.46767", \
+					  "3.10447, 0.0451103, 0.0037363, 0.000127073, 7.16368e-06, 6.29371e-06, 5.97955e-06, 5.70437e-06, 5.43871e-06, 5.17819e-06, 4.92132e-06, 4.66553e-06, 4.41236e-06, 4.15954e-06, 3.90699e-06, 3.65513e-06, 3.40149e-06, 3.14748e-06, 2.89229e-06, 2.63322e-06, 2.36419e-06, 2.09513e-06, 1.80416e-06, 1.44416e-06, -1.76102e-06, -0.000610372, -0.00687143, -0.0622343, -1.46657", \
+					  "3.10524, 0.0489599, 0.00622796, 0.000599231, 7.76816e-07, -3.23117e-06, -3.91525e-06, -4.36909e-06, -4.76549e-06, -5.13448e-06, -5.48972e-06, -5.83524e-06, -6.17402e-06, -6.50786e-06, -6.83796e-06, -7.16505e-06, -7.48959e-06, -7.81564e-06, -8.13971e-06, -8.46117e-06, -8.78799e-06, -9.10739e-06, -9.43311e-06, -9.77027e-06, -1.04038e-05, -0.000127326, -0.00389401, -0.0541062, -1.46552", \
+					  "3.10599, 0.0526275, 0.0088726, 0.00175185, 8.30271e-08, -2.45784e-05, -2.86729e-05, -3.12185e-05, -3.33812e-05, -3.53701e-05, -3.72578e-05, -3.90788e-05, -4.0853e-05, -4.25928e-05, -4.43067e-05, -4.60006e-05, -4.76789e-05, -4.93449e-05, -5.10011e-05, -5.26494e-05, -5.42913e-05, -5.59327e-05, -5.75683e-05, -5.92015e-05, -6.08617e-05, -8.07462e-05, -0.00174083, -0.0463004, -1.46456", \
+					  "3.10669, 0.0560061, 0.0113285, 0.0032631, 1.39568e-08, -0.000150782, -0.000177563, -0.000191508, -0.000202471, -0.000212118, -0.000220997, -0.000229363, -0.000237361, -0.000245079, -0.000252581, -0.000259907, -0.000267091, -0.000274156, -0.000281121, -0.000288, -0.000294806, -0.000301548, -0.000308233, -0.000314872, -0.000321471, -0.000336658, -0.000821876, -0.0390322, -1.46383", \
+					  "3.10735, 0.0590352, 0.0134422, 0.00468086, 7.29295e-09, -0.000595417, -0.000742582, -0.000799839, -0.000838018, -0.000868824, -0.000895617, -0.000919827, -0.000942217, -0.000963246, -0.000983218, -0.00100234, -0.00102077, -0.00103862, -0.00105598, -0.00107292, -0.0010895, -0.00110575, -0.00112172, -0.00113743, -0.00115292, -0.00118355, -0.00130124, -0.032691, -1.46357", \
+					  "3.10794, 0.0617085, 0.0152228, 0.00586858, 6.65135e-09, -0.00128455, -0.0017787, -0.0019539, -0.00204818, -0.00211598, -0.00217105, -0.00221855, -0.00226094, -0.00229966, -0.00233558, -0.0023693, -0.00240125, -0.00243174, -0.002461, -0.00248922, -0.00251654, -0.00254308, -0.00256893, -0.00259418, -0.00261888, -0.00266689, -0.00272689, -0.0273682, -1.46378", \
+					  "3.10846, 0.0640574, 0.0167278, 0.00684723, 6.5853e-09, -0.00196158, -0.00299527, -0.00342767, -0.00362743, -0.0037524, -0.00384611, -0.0039229, -0.00398902, -0.00404775, -0.00410104, -0.00415018, -0.00419601, -0.00423916, -0.0042801, -0.00431917, -0.00435665, -0.00439275, -0.00442766, -0.00446151, -0.00449443, -0.00455784, -0.00462047, -0.022957, -1.4643", \
+					  "3.10891, 0.0661267, 0.0180127, 0.00766036, 6.57495e-09, -0.0025387, -0.00414909, -0.00499019, -0.0053826, -0.005598, -0.00574461, -0.00585779, -0.00595141, -0.0060322, -0.00610389, -0.00616879, -0.00622843, -0.00628388, -0.0063359, -0.00638508, -0.00643185, -0.00647657, -0.00651951, -0.00656089, -0.00660091, -0.00667742, -0.00675027, -0.0194993, -1.46499", \
+					  "3.10932, 0.0679601, 0.0191216, 0.00834476, 6.57065e-09, -0.00301566, -0.00514864, -0.00646861, -0.00716617, -0.00752865, -0.00775112, -0.00791087, -0.00803693, -0.00814218, -0.00823332, -0.00831428, -0.00838754, -0.00845478, -0.00851718, -0.00857562, -0.00863074, -0.00868306, -0.00873297, -0.0087808, -0.0088268, -0.00891413, -0.00899635, -0.017178, -1.4658", \
+					  "3.10967, 0.0695951, 0.0200882, 0.00892818, 6.56749e-09, -0.00340972, -0.00598829, -0.00777103, -0.00885386, -0.00944342, -0.00977947, -0.0100017, -0.0101672, -0.0103002, -0.0104121, -0.0105094, -0.010596, -0.0106745, -0.0107464, -0.0108132, -0.0108756, -0.0109344, -0.0109902, -0.0110433, -0.0110941, -0.01119, -0.0112794, -0.0161625, -1.46666", \
+					  "3.10998, 0.0710624, 0.0209384, 0.00943123, 6.57119e-09, -0.00373792, -0.00668888, -0.00887844, -0.010359, -0.0112493, -0.0117542, -0.012064, -0.0122797, -0.0124449, -0.0125794, -0.0126934, -0.0127931, -0.0128819, -0.0129625, -0.0130364, -0.0131049, -0.013169, -0.0132293, -0.0132865, -0.0133409, -0.0134427, -0.013537, -0.0164052, -1.46756", \
+					  "3.11026, 0.072387, 0.0216921, 0.00986936, 6.56048e-09, -0.00401405, -0.00727496, -0.00980761, -0.0116495, -0.0128697, -0.0136029, -0.0140373, -0.0143194, -0.0145233, -0.0146827, -0.014814, -0.0149261, -0.0150244, -0.0151122, -0.0151919, -0.0152651, -0.015333, -0.0153964, -0.0154561, -0.0155126, -0.0156177, -0.0157142, -0.0175661, -1.46846", \
+					  "3.11073, 0.0746843, 0.022969, 0.0105952, 6.55763e-09, -0.00445021, -0.00818699, -0.0112385, -0.0136395, -0.0154341, -0.0166839, -0.0174848, -0.0179754, -0.0182895, -0.0185095, -0.0186766, -0.0188108, -0.0189233, -0.0190203, -0.0191058, -0.0191825, -0.0192523, -0.0193164, -0.0193758, -0.0194314, -0.019533, -0.0196246, -0.0208712, -1.47024", \
+					  "3.11111, 0.0766084, 0.0240096, 0.0111718, 6.55607e-09, -0.00477702, -0.00885386, -0.0122588, -0.0150266, -0.0172006, -0.0188349, -0.0199963, -0.0207668, -0.0212499, -0.0215546, -0.0217604, -0.021911, -0.0220286, -0.0221247, -0.022206, -0.0222766, -0.0223391, -0.0223953, -0.0224464, -0.0224934, -0.0225776, -0.0226518, -0.0237703, -1.47184", \
+					  "3.11187, 0.0808676, 0.0262236, 0.0123549, 6.55111e-09, -0.0053927, -0.0100572, -0.0140075, -0.0172611, -0.0198465, -0.0218151, -0.0232569, -0.0242925, -0.025039, -0.0255824, -0.0259769, -0.0262564, -0.0264459, -0.0265706, -0.0266543, -0.0267139, -0.0267592, -0.0267953, -0.0268254, -0.0268512, -0.0268938, -0.0269286, -0.0279262, -1.47446", \
+					  "3.11247, 0.0851575, 0.0283184, 0.0134222, 6.54661e-09, -0.00588657, -0.0109615, -0.015217, -0.018649, -0.0212743, -0.0231698, -0.0244974, -0.0254457, -0.0261569, -0.026717, -0.0271754, -0.0275612, -0.0278921, -0.0281795, -0.0284304, -0.0286494, -0.028839, -0.0290003, -0.0291333, -0.0292381, -0.0293704, -0.0294362, -0.0304375, -1.47613");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1309730, 0.2371170, 0.3420117, 0.4526331, 0.6099858");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2073817, 0.3133037, 0.4188167, 0.5283915, 0.6861403");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2509568, 0.4357425, 0.6226989, 0.8279100, 1.1338153");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3083766, 0.4931356, 0.6792439, 0.8854154, 1.1913813");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("22.484");
+						index_3("0.001");
+						index_4("11.3745905, 12.0872720, 16.3178160, 18.2132519, 19.0043720");
+						values("0.471486, 0.754378, 0.942972, 0.754378, 0.471486");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("12.9979");
+						index_3("0.001");
+						index_4("6.6047282, 7.0860247, 9.7474123, 10.9281021, 11.4725545");
+						values("0.483317, 0.773307, 0.966634, 0.773307, 0.483317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("8.67567");
+						index_3("0.001");
+						index_4("4.4274141, 4.7878914, 6.6719357, 7.5148186, 7.9330275");
+						values("0.490657, 0.785052, 0.981314, 0.785052, 0.490657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("11.242");
+						index_3("0.001");
+						index_4("5.7690770, 6.1959274, 8.4035012, 9.2115606, 9.6290735");
+						values("0.229623, 0.367397, 0.459247, 0.367397, 0.229623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("6.49896");
+						index_3("0.001");
+						index_4("3.3633434, 3.6607024, 4.9709928, 5.5392091, 5.8363835");
+						values("0.234143, 0.374629, 0.468286, 0.374629, 0.234143");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("4.33783");
+						index_3("0.001");
+						index_4("2.2661142, 2.4847230, 3.3473163, 3.8178808, 4.0533022");
+						values("0.233643, 0.373828, 0.467285, 0.373828, 0.233643");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("19.4969");
+						index_3("0.001");
+						index_4("9.8240248, 10.4758334, 14.4701022, 16.2972580, 17.0762854");
+						values("0.707674, 1.13228, 1.41535, 1.13228, 0.707674");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("36.913");
+						index_3("0.001");
+						index_4("18.6093099, 19.8236073, 26.9412435, 29.6569476, 30.6186266");
+						values("1.17025, 0.882393, 0.690492, 0.882393, 1.17025");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("20.9592");
+						index_3("0.001");
+						index_4("10.6078296, 11.4355820, 15.8304765, 17.4398414, 18.0516346");
+						values("1.1539, 0.856241, 0.657802, 0.856241, 1.1539");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("13.8661");
+						index_3("0.001");
+						index_4("7.0454962, 7.6670466, 10.6531207, 11.8478000, 12.2971720");
+						values("1.14442, 0.84107, 0.638837, 0.84107, 1.14442");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.4565");
+						index_3("0.001");
+						index_4("9.4538595, 10.1897596, 13.8021770, 14.9491994, 15.4348016");
+						values("1.40794, 1.2627, 1.16587, 1.2627, 1.40794");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.4177413, 5.9103789, 8.0571401, 8.7938356, 9.1105962");
+						values("1.40159, 1.25254, 1.15318, 1.25254, 1.40159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("6.93307");
+						index_3("0.001");
+						index_4("3.6190009, 3.9930486, 5.4793910, 5.9768558, 6.2116371");
+						values("1.39933, 1.24893, 1.14866, 1.24893, 1.39933");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.4389");
+						index_3("0.001");
+						index_4("15.7426590, 16.8669744, 23.4200578, 26.0596418, 26.9523575");
+						values("0.94701, 0.525217, 0.244021, 0.525217, 0.94701");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023133;
+			capacitance : 0.023996;
+			rise_capacitance : 0.024297;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425549, -3.5992073, -3.4702567, -3.3867189, -3.3228575, -3.2397171, -3.1812943", \
+					  "-4.1746235, -4.0305570, -3.9161360, -3.8172618, -3.7138514, -3.6762672, -3.6362513", \
+					  "-4.6376833, -4.4899020, -4.3694148, -4.2848993, -4.2164602, -4.1093344, -4.0794743", \
+					  "-5.0869719, -4.9375248, -4.8260122, -4.7358887, -4.6535879, -4.5658510, -4.4967196", \
+					  "-5.4963214, -5.3430995, -5.2265269, -5.1389592, -5.0644171, -4.9911099, -4.9045432", \
+					  "-5.8774116, -5.7241760, -5.6191542, -5.5261530, -5.4592399, -5.3578050, -5.3024178", \
+					  "-6.2378415, -6.0846197, -5.9753875, -5.8850575, -5.8288256, -5.7061651, -5.6704776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4272388, -2.3075830, -2.1680082, -3.1717672, -1.8639438, -1.7261979, -1.6636713", \
+					  "-2.8730931, -2.7488598, -2.5814594, -2.3936479, -2.3099755, -2.1040242, -3.0936768", \
+					  "-3.3285469, -3.2043137, -3.0912361, -2.8468332, -2.7694220, -2.6376116, -2.5562332", \
+					  "-3.7642176, -4.8667903, -3.4887093, -3.3123901, -3.1818527, -3.0256128, -2.9418094", \
+					  "-4.1631127, -4.0266725, -3.8669767, -4.8937971, -3.6137244, -3.4832015, -3.3661098", \
+					  "-4.5306991, -4.3678076, -4.2353189, -4.1190120, -3.9903065, -4.8794659, -3.7204095", \
+					  "-4.9908315, -4.8635462, -4.6417942, -4.4961845, -4.3708612, -4.2641581, -4.1488175");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0425754, 3.8969719, 3.7834236, 3.6882648, 3.6057879, 3.5200534, 3.4518799", \
+					  "4.4534845, 4.3307689, 4.2218360, 4.1190103, 4.0322717, 3.9522721, 3.8963058", \
+					  "4.9257920, 4.7981963, 4.6804110, 4.5959350, 4.5137234, 4.4047959, 4.3614790", \
+					  "5.3646854, 5.2465479, 5.1242715, 5.0348177, 4.9655394, 4.8543705, 4.7912170", \
+					  "5.7485330, 5.6550087, 5.5046774, 5.4094811, 5.3318868, 5.2397436, 5.2195144", \
+					  "6.1270653, 6.0058752, 5.9319445, 5.7672314, 5.7512437, 5.6030183, 5.6036356", \
+					  "6.5475095, 6.3902681, 6.2945820, 6.1989043, 6.1186565, 6.0314929, 5.9771897");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7816415, 3.6040862, 3.4046404, 3.2194889, 3.0395276, 2.8634859, 2.7034964", \
+					  "4.2169400, 4.0469182, 3.8345960, 3.6505222, 3.4855133, 3.2675103, 3.1494718", \
+					  "4.6816069, 4.5039553, 4.3043887, 4.1204056, 3.9256792, 3.7747932, 3.6050006", \
+					  "5.1143696, 4.9314928, 4.7370226, 4.5634428, 4.3706663, 4.1512005, 4.0362898", \
+					  "5.5042871, 5.3121572, 5.1323291, 4.9903124, 4.7497955, 4.5829974, 4.4205957", \
+					  "5.8705683, 5.6800438, 5.4865142, 5.2985796, 5.1183092, 4.9711642, 4.8017957", \
+					  "6.2765169, 6.1003916, 5.9056682, 5.7341170, 5.5451228, 5.3710870, 5.2071470");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00226308";
+				miller_cap_rise : "0.00175641";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10942, 0.943241, 0.883804, 0.852995, 0.814579, 0.792412, 0.768256, 0.742112, 0.713981, 0.68387, 0.651784, 0.617731, 0.58172, 0.543757, 0.503852, 0.462015, 0.418253, 0.372576, 0.324993, 0.275513, 0.224147, 0.170903, 0.11579, 0.0588196, -6.73738e-09, -0.118962, -0.23777, -0.634969, -2.57966", \
+					  "2.87452, 0.531711, 0.512272, 0.504411, 0.495384, 0.490265, 0.4846, 0.478223, 0.470882, 0.462192, 0.451616, 0.438604, 0.422789, 0.404003, 0.382189, 0.357336, 0.329453, 0.298559, 0.264676, 0.227827, 0.188038, 0.145334, 0.0997427, 0.051289, -7.19632e-09, -0.107028, -0.217649, -0.607707, -2.58345", \
+					  "2.73872, 0.277819, 0.265362, 0.261648, 0.257656, 0.255529, 0.253296, 0.250936, 0.248424, 0.245726, 0.242796, 0.239565, 0.235929, 0.231711, 0.226567, 0.219803, 0.210388, 0.197511, 0.180837, 0.160282, 0.135847, 0.107561, 0.0754616, 0.0395931, -8.85509e-09, -0.0875222, -0.18372, -0.553297, -2.57847", \
+					  "2.70303, 0.217946, 0.190159, 0.187393, 0.184467, 0.182931, 0.181335, 0.179672, 0.177927, 0.176087, 0.174131, 0.172031, 0.169748, 0.167224, 0.164364, 0.16099, 0.156698, 0.150566, 0.141318, 0.128159, 0.110844, 0.0893378, 0.063665, 0.0338691, -4.53996e-09, -0.0778959, -0.166908, -0.525582, -2.57493", \
+					  "2.67469, 0.190519, 0.122861, 0.12091, 0.118876, 0.117823, 0.116739, 0.115623, 0.114467, 0.113265, 0.11201, 0.11069, 0.10929, 0.107791, 0.106163, 0.104361, 0.102305, 0.0998179, 0.0963994, 0.0907788, 0.0814676, 0.0678069, 0.049663, 0.0270456, -6.09334e-09, -0.0663834, -0.146773, -0.492, -2.57024", \
+					  "2.66389, 0.189024, 0.0931863, 0.0915996, 0.0899589, 0.0891139, 0.0882495, 0.0873629, 0.0864509, 0.0855094, 0.0845331, 0.0835156, 0.0824482, 0.0813194, 0.0801131, 0.0788056, 0.0773588, 0.0757022, 0.0736632, 0.0706698, 0.0652226, 0.0557811, 0.0417985, 0.0231955, -5.72163e-09, -0.0598686, -0.135366, -0.472798, -2.5674", \
+					  "2.65567, 0.195755, 0.0667595, 0.0655063, 0.0642262, 0.063571, 0.062904, 0.0622234, 0.0615273, 0.0608133, 0.0600785, 0.059319, 0.0585302, 0.0577056, 0.0568367, 0.0559115, 0.0549118, 0.0538077, 0.0525396, 0.0509407, 0.0483489, 0.0430016, 0.0333731, 0.01905, -6.82278e-09, -0.052829, -0.123026, -0.451878, -2.56418", \
+					  "2.65032, 0.210819, 0.0441279, 0.0431181, 0.0421684, 0.0416853, 0.0411958, 0.040699, 0.0401937, 0.0396789, 0.0391527, 0.0386134, 0.0380584, 0.0374846, 0.0368877, 0.0362621, 0.0355994, 0.0348871, 0.0341035, 0.0332018, 0.0320244, 0.0297945, 0.0244675, 0.0146268, -9.87035e-09, -0.0452608, -0.109738, -0.429156, -2.56047", \
+					  "2.64816, 0.2343, 0.0262196, 0.0249918, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230095, 0.0226673, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181093, 0.0173182, 0.0153839, 0.0100042, -7.71041e-09, -0.0371767, -0.0955031, -0.404553, -2.55542", \
+					  "2.64959, 0.2663, 0.0153568, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.00963151, 0.00942346, 0.00921145, 0.00899441, 0.00877085, 0.00853857, 0.00829398, 0.00803009, 0.00772681, 0.00725595, 0.00547703, -2.08438e-08, -0.0286279, -0.0803637, -0.377996, -2.54763", \
+					  "2.65501, 0.306773, 0.0156907, 0.0042362, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285058, 0.00275885, 0.00266549, 0.00256978, 0.0024705, 0.00236484, 0.00224158, 0.00195401, -3.98572e-07, -0.0197777, -0.0644572, -0.349426, -2.53925", \
+					  "2.66414, 0.354445, 0.0283632, 0.00200113, 0.000984578, 0.000953294, 0.000924645, 0.000896207, 0.00086792, 0.000839765, 0.000811735, 0.000783813, 0.000755987, 0.000728239, 0.000700551, 0.000672893, 0.000645229, 0.00061751, 0.000589663, 0.000561578, 0.000533079, 0.000503784, 0.000472482, 0.000427442, -6.96365e-06, -0.0112032, -0.0482193, -0.318841, -2.53028", \
+					  "2.67567, 0.40652, 0.0500423, 0.00492745, 0.000213863, 0.000170622, 0.000159697, 0.000149772, 0.000140108, 0.000130577, 0.000121137, 0.000111767, 0.000102454, 9.31884e-05, 8.39638e-05, 7.4772e-05, 6.5605e-05, 5.64593e-05, 4.73185e-05, 3.81602e-05, 2.8963e-05, 1.96592e-05, 1.00694e-05, -1.31394e-06, -8.81734e-05, -0.00461375, -0.0327983, -0.286461, -2.52079", \
+					  "2.68861, 0.460931, 0.0775351, 0.0148046, 4.0395e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374847, -0.000395172, -0.000415324, -0.000435358, -0.000455312, -0.00047521, -0.000495066, -0.000514902, -0.000534721, -0.000554534, -0.000574358, -0.000594206, -0.000614111, -0.000634333, -0.000668081, -0.00190928, -0.020106, -0.253279, -2.51114", \
+					  "2.70262, 0.516762, 0.108769, 0.0308989, 5.30608e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305516, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.0034476, -0.00385041, -0.0130626, -0.222058, -2.50269", \
+					  "2.71765, 0.573529, 0.14197, 0.0496935, 4.21319e-07, -0.00705468, -0.00845089, -0.00891357, -0.00923963, -0.00951924, -0.00977584, -0.0100188, -0.0102528, -0.0104804, -0.0107035, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124053, -0.0126129, -0.0128202, -0.0132813, -0.0168568, -0.198165, -2.49841", \
+					  "2.73374, 0.630867, 0.175538, 0.0687536, 2.5949e-08, -0.0163337, -0.0227549, -0.024605, -0.0255368, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282855, -0.0287327, -0.0291667, -0.0295904, -0.0300059, -0.0304146, -0.0308179, -0.0312165, -0.0316113, -0.0320028, -0.0323917, -0.0327786, -0.0335555, -0.0350698, -0.186201, -2.50091", \
+					  "2.75095, 0.688455, 0.208383, 0.0872623, 2.79635e-09, -0.0268123, -0.0422284, -0.0484682, -0.0509592, -0.0524513, -0.0535831, -0.0545389, -0.0553919, -0.0561783, -0.056918, -0.0576235, -0.0583028, -0.0589614, -0.0596034, -0.0602318, -0.0608488, -0.0614563, -0.0620557, -0.0626485, -0.0632357, -0.0643988, -0.0656984, -0.186825, -2.51053", \
+					  "2.76937, 0.745976, 0.239989, 0.104985, 1.56496e-09, -0.0371723, -0.0628106, -0.0771437, -0.0833802, -0.0864781, -0.0885285, -0.0901255, -0.0914792, -0.0926836, -0.0937876, -0.0948199, -0.0957986, -0.0967358, -0.09764, -0.0985174, -0.0993726, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105776, -0.199281, -2.52661", \
+					  "2.78911, 0.803108, 0.270178, 0.121853, 1.48686e-09, -0.0470699, -0.0828586, -0.107036, -0.120173, -0.12644, -0.130052, -0.132613, -0.134658, -0.136405, -0.13796, -0.139384, -0.140711, -0.141964, -0.143161, -0.144311, -0.145423, -0.146504, -0.147559, -0.148591, -0.149604, -0.151587, -0.153533, -0.222842, -2.54837", \
+					  "2.81032, 0.85951, 0.298908, 0.137848, 1.46774e-09, -0.0564272, -0.101955, -0.136152, -0.158489, -0.170366, -0.176653, -0.180683, -0.18369, -0.186146, -0.188264, -0.190156, -0.191889, -0.193503, -0.195025, -0.196474, -0.197864, -0.199206, -0.200507, -0.201773, -0.203011, -0.205416, -0.207757, -0.256846, -2.57509", \
+					  "2.83319, 0.914814, 0.326185, 0.152981, 1.45349e-09, -0.065236, -0.120002, -0.163866, -0.196095, -0.216082, -0.226791, -0.233086, -0.237449, -0.240837, -0.243658, -0.246116, -0.248323, -0.250348, -0.252234, -0.254013, -0.255704, -0.257325, -0.258886, -0.260398, -0.261868, -0.264708, -0.26745, -0.300665, -2.60613", \
+					  "2.85795, 0.968632, 0.352036, 0.16727, 1.44311e-09, -0.073509, -0.136988, -0.19003, -0.231952, -0.261479, -0.27882, -0.288583, -0.294875, -0.2995, -0.303208, -0.30635, -0.309114, -0.311609, -0.313905, -0.316046, -0.318065, -0.319985, -0.321823, -0.323594, -0.325306, -0.328593, -0.331743, -0.353712, -2.64088", \
+					  "2.88488, 1.02057, 0.376496, 0.18074, 1.43843e-09, -0.0812663, -0.15294, -0.21464, -0.265772, -0.305082, -0.33101, -0.345905, -0.354955, -0.361237, -0.366069, -0.370044, -0.373464, -0.3765, -0.379255, -0.381798, -0.384174, -0.386417, -0.388551, -0.390594, -0.39256, -0.39631, -0.399875, -0.41531, -2.67882", \
+					  "2.91434, 1.07028, 0.399607, 0.193421, 1.44177e-09, -0.0885312, -0.167894, -0.237735, -0.297536, -0.346245, -0.381784, -0.403725, -0.416691, -0.425211, -0.431479, -0.436473, -0.44067, -0.444329, -0.447604, -0.450593, -0.45336, -0.455952, -0.458401, -0.460732, -0.462966, -0.467195, -0.471187, -0.484151, -2.71951", \
+					  "2.9825, 1.16196, 0.441959, 0.216537, 1.48425e-09, -0.10168, -0.194987, -0.279618, -0.35517, -0.42094, -0.475361, -0.515557, -0.54103, -0.556678, -0.567205, -0.575019, -0.58125, -0.586473, -0.591008, -0.595049, -0.598718, -0.602098, -0.60525, -0.608215, -0.611026, -0.61628, -0.621163, -0.634846, -2.80765", \
+					  "3.04864, 1.24282, 0.479467, 0.236871, 1.59904e-09, -0.113145, -0.218636, -0.31621, -0.405544, -0.486143, -0.557028, -0.615973, -0.659462, -0.687462, -0.705238, -0.71749, -0.726693, -0.734069, -0.740257, -0.745625, -0.750396, -0.754717, -0.758687, -0.762376, -0.765837, -0.77222, -0.778061, -0.793857, -2.90263", \
+					  "3.21358, 1.42897, 0.566736, 0.283653, 2.7611e-09, -0.139177, -0.272415, -0.399544, -0.520374, -0.634672, -0.742113, -0.84215, -0.933685, -1.01432, -1.07974, -1.12679, -1.15833, -1.18003, -1.19596, -1.2084, -1.21859, -1.22723, -1.23476, -1.24147, -1.24753, -1.25823, -1.26756, -1.29082, -3.20426", \
+					  "3.41214, 1.60571, 0.648809, 0.326906, 1.0078e-08, -0.162874, -0.321615, -0.476134, -0.62634, -0.772134, -0.913404, -1.05002, -1.1818, -1.30851, -1.42974, -1.54475, -1.65205, -1.74878, -1.83047, -1.89357, -1.93935, -1.97253, -1.99747, -2.01707, -2.0331, -2.05832, -2.0779, -2.12011, -3.71194");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0751929, 0.1425939, 0.2154001, 0.3002747, 0.4244672");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1469966, 0.2144195, 0.2877457, 0.3719209, 0.4951714");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0255361, 0.0449254, 0.0647596, 0.0852475, 0.1147706");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0972117, 0.1166955, 0.1364795, 0.1570171, 0.1864380");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.7613");
+						index_3("0.001");
+						index_4("6.8862347, 7.2676286, 8.8206699, 9.9952784, 10.3095043");
+						values("0.41686, 0.666976, 0.83372, 0.666976, 0.41686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.4054");
+						index_3("0.001");
+						index_4("4.2115909, 4.4746304, 5.5221119, 6.3128945, 6.5237900");
+						values("0.430771, 0.689234, 0.861543, 0.689234, 0.430771");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.67932");
+						index_3("0.001");
+						index_4("2.8500052, 3.0473715, 3.8177136, 4.3808007, 4.5349297");
+						values("0.435845, 0.697353, 0.871691, 0.697353, 0.435845");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.88063");
+						index_3("0.001");
+						index_4("3.4785954, 3.6913950, 4.6395857, 5.0256917, 5.1852435");
+						values("0.221221, 0.353953, 0.442442, 0.353953, 0.221221");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.2027");
+						index_3("0.001");
+						index_4("2.1337621, 2.2813222, 2.9291086, 3.1731174, 3.2820710");
+						values("0.226971, 0.363154, 0.453942, 0.363154, 0.226971");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.83966");
+						index_3("0.001");
+						index_4("1.4494273, 1.5606367, 1.9947137, 2.2005266, 2.2818503");
+						values("0.228035, 0.364855, 0.456069, 0.364855, 0.228035");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.6081");
+						index_3("0.001");
+						index_4("6.2743771, 6.6609437, 8.2644248, 9.4253320, 9.7466409");
+						values("0.60152, 0.962433, 1.20304, 0.962433, 0.60152");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.56289");
+						index_3("0.001");
+						index_4("1.2943391, 1.4092226, 1.9780497, 2.2948003, 2.4371362");
+						values("1.17556, 0.920894, 0.751118, 0.920894, 1.17556");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.49309");
+						index_3("0.001");
+						index_4("0.7572725, 0.8350104, 1.1850984, 1.3989022, 1.4952117");
+						values("1.1697, 0.911525, 0.739407, 0.911525, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.01616");
+						index_3("0.001");
+						index_4("0.5169897, 0.5754980, 0.8488364, 0.9855231, 1.0630974");
+						values("1.16603, 0.905649, 0.732061, 0.905649, 1.16603");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.28144");
+						index_3("0.001");
+						index_4("0.6571300, 0.7201951, 1.0207358, 1.1647871, 1.2488612");
+						values("1.39546, 1.27274, 1.19092, 1.27274, 1.39546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.746544");
+						index_3("0.001");
+						index_4("0.3855548, 0.4282105, 0.5881290, 0.7138073, 0.7728802");
+						values("1.39473, 1.27158, 1.18947, 1.27158, 1.39473");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.508078");
+						index_3("0.001");
+						index_4("0.2643969, 0.2961246, 0.4427336, 0.5056108, 0.5571669");
+						values("1.39534, 1.27255, 1.19068, 1.27255, 1.39534");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.23963");
+						index_3("0.001");
+						index_4("1.1301031, 1.2435884, 1.7693928, 2.0737030, 2.2146965");
+						values("0.944019, 0.55043, 0.288038, 0.55043, 0.944019");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006354;
+			capacitance : 0.006212;
+			fall_capacitance : 0.006069;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6702337, 3.5198409, 3.3975003, 3.3083210, 3.2146268, 3.1211727, 3.0709049", \
+					  "4.1131701, 3.9642883, 3.8388246, 3.7451720, 3.6620960, 3.5998307, 3.5169021", \
+					  "4.5732634, 4.4228557, 4.2959843, 4.1945747, 4.1320687, 4.0599238, 3.9556694", \
+					  "5.0251197, 4.8768690, 4.7271789, 4.6609381, 4.5633548, 4.5117801, 4.4129232", \
+					  "5.4267673, 5.2745486, 5.1200931, 5.0355767, 4.9611198, 4.8746805, 4.7678495", \
+					  "5.7592085, 5.6088017, 5.4876280, 5.4504492, 5.3594913, 5.2236018, 5.2190845", \
+					  "6.1650905, 6.0145029, 5.8878580, 5.8056953, 5.7098959, 5.6375738, 5.5447352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1890445, 4.0170709, 3.8346697, 3.6463932, 3.4744268, 3.2819883, 3.0849455", \
+					  "4.6478233, 4.4773761, 4.2933881, 4.1012219, 3.9290072, 3.7271751, 3.5578023", \
+					  "5.1022780, 4.9327043, 4.7478424, 4.5530191, 4.3951370, 4.1968685, 4.0139095", \
+					  "5.5260594, 5.3540863, 5.1704532, 4.9936777, 4.8181457, 4.5996773, 4.4285893", \
+					  "5.9412158, 5.7295691, 5.5440553, 5.3529588, 5.1904115, 4.9980502, 4.7952871", \
+					  "6.3401509, 6.1697037, 5.9783940, 5.7965231, 5.6242698, 5.4335157, 5.2341627", \
+					  "6.6893977, 6.5158988, 6.3336246, 6.1516479, 5.9734722, 5.7719481, 5.5843319");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5029632, -3.3634679, -3.2426701, -3.1428861, -3.0694176, -3.0279989, -2.8999482", \
+					  "-3.9472392, -3.8045053, -3.6667919, -3.1031506, -3.0207734, -3.4256395, -3.3738001", \
+					  "-4.3996173, -4.2600472, -4.1269696, -4.0466148, -3.9689724, -3.9195093, -3.7910832", \
+					  "-4.8489063, -4.7109349, -4.5821921, -4.4981980, -3.9099974, -4.3587060, -4.2861485", \
+					  "-5.2552036, -5.1155215, -4.9959727, -4.9016237, -4.8451791, -4.7694672, -4.6759300", \
+					  "-5.6408715, -5.5029756, -5.3695796, -5.2888611, -5.2381363, -5.1207138, -5.0552253", \
+					  "-5.9936724, -5.8603373, -5.7332048, -5.6551809, -5.5801072, -5.5030595, -5.4354922");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6784508, -3.5284175, -3.3353782, -3.1470124, -2.9435629, -2.7728439, -2.5948712", \
+					  "-4.1181487, -3.9696417, -3.7799525, -3.5732290, -3.4365530, -3.2156553, -3.0376343", \
+					  "-4.5735790, -4.4235457, -4.2459096, -4.0313008, -3.8479990, -3.6742262, -3.4915570", \
+					  "-5.0061361, -4.8561028, -4.6710269, -4.4668031, -4.2880816, -4.1046204, -3.9485328", \
+					  "-5.4049558, -5.2564483, -5.0683934, -4.8627735, -4.6764048, -4.4949233, -4.3014123", \
+					  "-5.7648177, -5.6149043, -5.4309310, -5.2241084, -5.0586177, -4.8592110, -4.6667352", \
+					  "-6.1782083, -6.0277598, -5.8519946, -5.6459452, -5.4644708, -5.2792088, -5.0901130");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263749, 0.0309620, 0.0355490, 0.0354413, 0.0353379, 0.0352302, 0.0351225");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224572, 0.0256981, 0.0289390, 0.0288389, 0.0287429, 0.0286428, 0.0285428");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.000988256";
+				miller_cap_rise : "0.000933588";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72805e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50166e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27045e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94293e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37091e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33867e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57987e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39291e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1038168, 0.2119605, 0.3317199, 0.4723342, 0.6694523");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1743548, 0.2822474, 0.4025512, 0.5445606, 0.7476207");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274997, 0.0489806, 0.0704971, 0.0917145, 0.1207964");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996057, 0.1212619, 0.1427823, 0.1643646, 0.1933294");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9591");
+						index_3("0.001");
+						index_4("10.4676679, 11.0648362, 13.3736406, 15.1131982, 15.5525648");
+						values("0.411066, 0.657706, 0.822132, 0.657706, 0.411066");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.736");
+						index_3("0.001");
+						index_4("6.3666665, 6.7742603, 8.5651160, 9.4968956, 9.7851099");
+						values("0.422248, 0.675596, 0.844495, 0.675596, 0.422248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64503");
+						index_3("0.001");
+						index_4("4.3267116, 4.6315711, 5.7864281, 6.6141170, 6.8251749");
+						values("0.425305, 0.680488, 0.85061, 0.680488, 0.425305");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.2846331, 5.6100521, 6.9203377, 7.5878338, 7.8119420");
+						values("0.21916, 0.350655, 0.438319, 0.350655, 0.21916");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.36798");
+						index_3("0.001");
+						index_4("3.2212822, 3.4467277, 4.3358957, 4.7664820, 4.9163113");
+						values("0.224426, 0.359081, 0.448852, 0.359081, 0.224426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32252");
+						index_3("0.001");
+						index_4("2.1941583, 2.3640283, 3.0198706, 3.3203460, 3.4299969");
+						values("0.225287, 0.360459, 0.450573, 0.360459, 0.225287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1039");
+						index_3("0.001");
+						index_4("9.4904305, 10.0992563, 12.5727974, 14.1861360, 14.6298090");
+						values("0.589983, 0.943972, 1.17997, 0.943972, 0.589983");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84381");
+						index_3("0.001");
+						index_4("1.4351560, 1.5600420, 2.1484236, 2.6012848, 2.7860726");
+						values("1.17048, 0.912762, 0.740952, 0.912762, 1.17048");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.66204");
+						index_3("0.001");
+						index_4("0.8424910, 0.9263899, 1.2751291, 1.5938573, 1.7252852");
+						values("1.16344, 0.901496, 0.72687, 0.901496, 1.16344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13381");
+						index_3("0.001");
+						index_4("0.5772234, 0.6409423, 0.9494789, 1.1282934, 1.2483425");
+						values("1.16017, 0.896273, 0.720341, 0.896273, 1.16017");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42191");
+						index_3("0.001");
+						index_4("0.7276572, 0.7944659, 1.1512676, 1.3223284, 1.4317136");
+						values("1.3906, 1.26495, 1.18119, 1.26495, 1.3906");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.831022");
+						index_3("0.001");
+						index_4("0.4292196, 0.4743594, 0.6682208, 0.8151679, 0.8995267");
+						values("1.38772, 1.26035, 1.17544, 1.26035, 1.38772");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.566904");
+						index_3("0.001");
+						index_4("0.2950762, 0.3293197, 0.4817812, 0.5783775, 0.6616633");
+						values("1.3852, 1.25632, 1.1704, 1.25632, 1.3852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.49307");
+						index_3("0.001");
+						index_4("1.2592985, 1.3834208, 1.9554018, 2.3587111, 2.5464943");
+						values("0.931197, 0.529914, 0.262393, 0.529914, 0.931197");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014607;
+			capacitance : 0.015082;
+			rise_capacitance : 0.015556;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116067, -0.0013654, 0.0088758, 0.0208884, 0.0324205, 0.0444331, 0.0564456");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439349, 0.1026500, 0.1613651, 0.4213280, 0.6708923, 0.9308551, 1.1908180");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00140765";
+				miller_cap_rise : "0.000949824";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("1.86224, 0.261617, 0.248448, 0.243376, 0.237248, 0.233626, 0.229499, 0.224725, 0.219135, 0.212586, 0.205034, 0.196522, 0.187094, 0.176763, 0.165526, 0.15337, 0.140282, 0.126246, 0.111245, 0.0952619, 0.0782795, 0.0602801, 0.0412459, 0.0211586, -8.94047e-10, -0.0442542, -0.0904651, -0.258781, -1.6818", \
+					  "1.78141, 0.136589, 0.127332, 0.125325, 0.123054, 0.121791, 0.120423, 0.118928, 0.117277, 0.115429, 0.113329, 0.110894, 0.108004, 0.104493, 0.10018, 0.0949387, 0.0887092, 0.0814577, 0.0731579, 0.0637854, 0.0533164, 0.0417272, 0.0289942, 0.0150932, -1.74633e-10, -0.0328174, -0.0685758, -0.207488, -1.67134", \
+					  "1.74053, 0.0740282, 0.0645802, 0.0636299, 0.0625893, 0.0620273, 0.061432, 0.0607981, 0.0601188, 0.0593855, 0.0585866, 0.0577068, 0.0567245, 0.0556084, 0.0543104, 0.0527514, 0.0507923, 0.0482024, 0.0447221, 0.040192, 0.0345406, 0.0277272, 0.0197192, 0.0104867, 5.33415e-10, -0.0241943, -0.0522083, -0.168879, -1.66313", \
+					  "1.72923, 0.0573232, 0.0467214, 0.0460315, 0.0452846, 0.044885, 0.0444649, 0.0440212, 0.0435501, 0.0430467, 0.042505, 0.0419168, 0.0412712, 0.040553, 0.0397397, 0.0387965, 0.0376641, 0.0362277, 0.0342557, 0.031429, 0.0275356, 0.022487, 0.0162378, 0.00875293, 8.20408e-10, -0.0209627, -0.0461043, -0.154397, -1.66017", \
+					  "1.71953, 0.044579, 0.0309787, 0.0305082, 0.0300049, 0.0297385, 0.0294605, 0.0291693, 0.028863, 0.0285392, 0.0281948, 0.0278259, 0.0274274, 0.0269924, 0.0265112, 0.0259698, 0.0253458, 0.0246006, 0.0236525, 0.0222955, 0.0201546, 0.016941, 0.0125425, 0.0069081, 1.12378e-09, -0.0175326, -0.0396434, -0.13901, -1.65706", \
+					  "1.71535, 0.0398515, 0.0240373, 0.0236617, 0.0232625, 0.0230524, 0.0228341, 0.0226064, 0.0223681, 0.0221176, 0.0218527, 0.0215709, 0.0212689, 0.0209422, 0.0205847, 0.0201879, 0.0197386, 0.0192154, 0.0185768, 0.0177182, 0.01635, 0.0140555, 0.010612, 0.00594139, 1.27631e-09, -0.0157395, -0.0362736, -0.130962, -1.65545", \
+					  "1.71166, 0.0362215, 0.0177949, 0.0175045, 0.0171982, 0.0170379, 0.0168721, 0.0167001, 0.016521, 0.0163337, 0.016137, 0.0159292, 0.0157082, 0.0154714, 0.0152151, 0.0149343, 0.0146215, 0.0142651, 0.0138449, 0.0133156, 0.012533, 0.011104, 0.00862512, 0.00494304, 1.42097e-09, -0.0138926, -0.0328085, -0.122674, -1.65382", \
+					  "1.70848, 0.0336962, 0.0123153, 0.0121002, 0.0118759, 0.0117593, 0.0116393, 0.0115154, 0.0113872, 0.0112539, 0.0111149, 0.0109692, 0.0108156, 0.0106525, 0.0104779, 0.0102891, 0.0100821, 0.00985109, 0.00958641, 0.00926952, 0.00884914, 0.00811715, 0.00658291, 0.00391055, 1.52218e-09, -0.0119905, -0.0292473, -0.11416, -1.65216", \
+					  "1.70587, 0.0323074, 0.00767831, 0.00752706, 0.00737413, 0.00729527, 0.00721454, 0.00713172, 0.00704654, 0.00695865, 0.00686768, 0.00677311, 0.00667435, 0.0065706, 0.00646087, 0.00634379, 0.00621751, 0.00607934, 0.00592514, 0.00574772, 0.00553117, 0.00521557, 0.00450099, 0.00284281, 1.37866e-09, -0.0100316, -0.0255909, -0.105454, -1.65052", \
+					  "1.70391, 0.0321495, 0.00402614, 0.00391639, 0.00382403, 0.00377688, 0.00372893, 0.0036801, 0.00363025, 0.00357925, 0.00352693, 0.00347308, 0.00341745, 0.00335972, 0.00329947, 0.00323617, 0.00316909, 0.00309721, 0.00301905, 0.00293221, 0.0028322, 0.00270693, 0.00248542, 0.00175786, -1.14917e-10, -0.00801403, -0.0218439, -0.0966208, -1.64888", \
+					  "1.70273, 0.033496, 0.00164763, 0.0014945, 0.00144937, 0.00142685, 0.00140414, 0.00138119, 0.00135797, 0.00133444, 0.00131056, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115508, 0.00112578, 0.00109477, 0.00106147, 0.00102489, 0.000982948, 0.000927812, 0.000769753, -8.54995e-09, -0.00593919, -0.0180205, -0.0877504, -1.64728", \
+					  "1.70243, 0.0364574, 0.000919792, 0.00036669, 0.000348577, 0.000341213, 0.000333853, 0.000326477, 0.000319078, 0.00031165, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265827, 0.000257807, 0.000249589, 0.000241111, 0.000232284, 0.00022296, 0.000212868, 0.000201262, 0.000181873, -5.14829e-08, -0.00383895, -0.0141643, -0.0789358, -1.64573", \
+					  "1.70272, 0.0405129, 0.00180641, 7.91113e-05, 5.63946e-05, 5.47923e-05, 5.32877e-05, 5.17934e-05, 5.03037e-05, 4.88171e-05, 4.73322e-05, 4.58478e-05, 4.43624e-05, 4.2874e-05, 4.13805e-05, 3.98788e-05, 3.83652e-05, 3.68345e-05, 3.52795e-05, 3.36896e-05, 3.20482e-05, 3.03252e-05, 2.84459e-05, 2.59347e-05, -2.97927e-07, -0.00190515, -0.0103883, -0.0702594, -1.64422", \
+					  "1.70323, 0.0450727, 0.00378492, 0.000127138, 7.16037e-06, 6.29059e-06, 5.97675e-06, 5.70171e-06, 5.43694e-06, 5.17716e-06, 4.92042e-06, 4.66574e-06, 4.41246e-06, 4.16005e-06, 3.90805e-06, 3.656e-06, 3.40335e-06, 3.14944e-06, 2.8934e-06, 2.634e-06, 2.36931e-06, 2.09596e-06, 1.80567e-06, 1.44921e-06, -1.75896e-06, -0.000610722, -0.00688781, -0.0617867, -1.64276", \
+					  "1.70379, 0.0498975, 0.00644802, 0.000602003, 7.73509e-07, -3.23894e-06, -3.92429e-06, -4.37863e-06, -4.77532e-06, -5.14594e-06, -5.50154e-06, -5.84742e-06, -6.18662e-06, -6.52106e-06, -6.85206e-06, -7.18057e-06, -7.5073e-06, -7.83281e-06, -8.15757e-06, -8.48203e-06, -8.8066e-06, -9.13185e-06, -9.45879e-06, -9.79268e-06, -1.04301e-05, -0.000127367, -0.00390126, -0.0535714, -1.64137", \
+					  "1.70437, 0.0549244, 0.0095639, 0.00179965, 7.9723e-08, -2.47782e-05, -2.8928e-05, -3.15158e-05, -3.37174e-05, -3.57445e-05, -3.76702e-05, -3.95298e-05, -4.13431e-05, -4.31229e-05, -4.48777e-05, -4.66135e-05, -4.83349e-05, -5.00453e-05, -5.17472e-05, -5.34427e-05, -5.51334e-05, -5.68208e-05, -5.8506e-05, -6.01903e-05, -6.1904e-05, -8.18974e-05, -0.00174397, -0.0456892, -1.64007", \
+					  "1.70497, 0.0601292, 0.0129673, 0.00355753, 1.0655e-08, -0.000157998, -0.000186955, -0.000202281, -0.000214406, -0.000225118, -0.000235011, -0.000244363, -0.000253327, -0.000262004, -0.000270459, -0.000278738, -0.000286877, -0.000294902, -0.000302832, -0.000310685, -0.000318472, -0.000326205, -0.000333892, -0.000341541, -0.00034916, -0.000366432, -0.000853672, -0.038387, -1.63895", \
+					  "1.70559, 0.0654931, 0.0165234, 0.005559, 3.9929e-09, -0.000685905, -0.00086878, -0.000944572, -0.000996147, -0.00103813, -0.00107485, -0.00110817, -0.00113911, -0.00116827, -0.00119605, -0.00122274, -0.00124854, -0.0012736, -0.00129804, -0.00132195, -0.00134542, -0.00136849, -0.00139122, -0.00141366, -0.00143583, -0.00147974, -0.00161028, -0.0322875, -1.63829", \
+					  "1.70622, 0.0709982, 0.0201276, 0.00761682, 3.35102e-09, -0.00163337, -0.00230506, -0.00257297, -0.00272669, -0.0028397, -0.00293242, -0.00301282, -0.00308488, -0.00315088, -0.00321227, -0.00327003, -0.00332486, -0.00337728, -0.00342769, -0.00347638, -0.0035236, -0.00356954, -0.00361437, -0.00365822, -0.0037012, -0.00378493, -0.00387956, -0.027801, -1.6382", \
+					  "1.70686, 0.0766265, 0.0237085, 0.00965235, 3.28282e-09, -0.00272644, -0.00420939, -0.00489866, -0.00525904, -0.0054982, -0.00568176, -0.00583383, -0.00596557, -0.00608304, -0.00618991, -0.00628861, -0.0063808, -0.00646769, -0.00655019, -0.00662899, -0.00670463, -0.00677753, -0.00684806, -0.0069165, -0.00698309, -0.00711151, -0.00723646, -0.0248657, -1.63862", \
+					  "1.70751, 0.0823593, 0.0272232, 0.0116353, 3.2693e-09, -0.00383068, -0.00627705, -0.00763145, -0.00835307, -0.00879674, -0.00911542, -0.00936744, -0.00957851, -0.00976189, -0.00992532, -0.0100737, -0.0102102, -0.0103373, -0.0104566, -0.0105694, -0.0106767, -0.0107793, -0.0108778, -0.0109727, -0.0110645, -0.01124, -0.0114066, -0.0234809, -1.63942", \
+					  "1.70817, 0.0881779, 0.0306485, 0.0135544, 3.26125e-09, -0.00490811, -0.00835984, -0.0105433, -0.0118064, -0.0125628, -0.0130758, -0.013463, -0.0137763, -0.0140415, -0.0142731, -0.0144798, -0.0146675, -0.0148401, -0.0150005, -0.0151507, -0.0152925, -0.015427, -0.0155554, -0.0156783, -0.0157964, -0.0160205, -0.0162312, -0.0237947, -1.64055", \
+					  "1.70883, 0.0940625, 0.0339732, 0.0154058, 3.25401e-09, -0.00594818, -0.0104009, -0.0134895, -0.0154494, -0.01665, -0.0174353, -0.0180028, -0.0184462, -0.0188117, -0.0191243, -0.0193988, -0.0196446, -0.0198681, -0.0200737, -0.0202647, -0.0204436, -0.0206122, -0.0207719, -0.0209241, -0.0210696, -0.0213438, -0.0215994, -0.0259489, -1.64197", \
+					  "1.70951, 0.0999926, 0.0371928, 0.0171891, 3.24712e-09, -0.00694817, -0.0123795, -0.0163945, -0.0191513, -0.0209284, -0.0220819, -0.022887, -0.023495, -0.0239828, -0.0243912, -0.0247438, -0.0250553, -0.0253353, -0.0255905, -0.0258256, -0.0260441, -0.0262487, -0.0264415, -0.0266242, -0.026798, -0.0271234, -0.0274245, -0.0298691, -1.64365", \
+					  "1.71019, 0.105947, 0.0403065, 0.0189056, 3.24054e-09, -0.00790803, -0.0142882, -0.0192235, -0.0228257, -0.0252869, -0.0269141, -0.028027, -0.0288423, -0.0294789, -0.0300004, -0.030443, -0.0308285, -0.0311712, -0.0314804, -0.031763, -0.0320237, -0.0322664, -0.0324938, -0.0327082, -0.0329113, -0.0332892, -0.0336362, -0.03519, -1.64559", \
+					  "1.71158, 0.117843, 0.0462218, 0.0221462, 3.22822e-09, -0.00971186, -0.0178918, -0.024605, -0.0299204, -0.0339275, -0.0367849, -0.0387606, -0.0401552, -0.041192, -0.0420051, -0.0426708, -0.0432342, -0.0437231, -0.0441558, -0.0445447, -0.0448986, -0.0452239, -0.0455254, -0.045807, -0.0460714, -0.0465578, -0.0469986, -0.0482125, -1.65025", \
+					  "1.71298, 0.129577, 0.0517392, 0.0251464, 3.21693e-09, -0.0113715, -0.0212209, -0.0296043, -0.0365744, -0.0421826, -0.0464955, -0.0496482, -0.0518899, -0.0535093, -0.0547305, -0.0556947, -0.0564862, -0.0571561, -0.057737, -0.0582501, -0.0587103, -0.0591281, -0.0595113, -0.0598656, -0.0601956, -0.0607961, -0.0613336, -0.0627001, -1.65594", \
+					  "1.71722, 0.161618, 0.0661652, 0.0328956, 3.1882e-09, -0.0156116, -0.0297669, -0.0425078, -0.0538718, -0.0638894, -0.0725781, -0.0799365, -0.0859511, -0.0906475, -0.0941744, -0.0967958, -0.098782, -0.100337, -0.101597, -0.102647, -0.103544, -0.104326, -0.105019, -0.10564, -0.106204, -0.107197, -0.108054, -0.110105, -1.676", \
+					  "1.7238, 0.2061, 0.0845438, 0.0425795, 3.15313e-09, -0.0208195, -0.0403278, -0.0585539, -0.0755251, -0.0912657, -0.105795, -0.119124, -0.131251, -0.142151, -0.151766, -0.160013, -0.166819, -0.172218, -0.176392, -0.179612, -0.182138, -0.184167, -0.185838, -0.187247, -0.188457, -0.190454, -0.192058, -0.195552, -1.71252");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2122330, 0.4867790, 0.7831018, 1.1114446, 1.5636206");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2877912, 0.5623319, 0.8586944, 1.1873077, 1.6396450");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2055833, 0.4672801, 0.7370559, 1.0235279, 1.3948394");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2805561, 0.5422927, 0.8121293, 1.0993198, 1.4700826");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("52.2339");
+						index_3("0.001");
+						index_4("26.1541236, 27.6505147, 37.1014323, 42.0474896, 43.5931979");
+						values("0.412108, 0.659373, 0.824217, 0.659373, 0.412108");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("30.1967");
+						index_3("0.001");
+						index_4("15.1396745, 16.1385692, 22.2428547, 25.1236573, 26.1645489");
+						values("0.421628, 0.674606, 0.843257, 0.674606, 0.421628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("20.1553");
+						index_3("0.001");
+						index_4("10.1175095, 10.8671952, 15.0546332, 17.2171260, 17.9911448");
+						values("0.427598, 0.684157, 0.855196, 0.684157, 0.427598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("26.117");
+						index_3("0.001");
+						index_4("13.1823588, 14.0107462, 18.9627243, 21.1484436, 21.9735772");
+						values("0.213742, 0.341987, 0.427483, 0.341987, 0.213742");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("15.0983");
+						index_3("0.001");
+						index_4("7.6489540, 8.1981236, 11.3134178, 12.6510235, 13.2080064");
+						values("0.217478, 0.347965, 0.434956, 0.347965, 0.217478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("10.0776");
+						index_3("0.001");
+						index_4("5.1227389, 5.5300310, 7.7815878, 8.6673996, 9.0976946");
+						values("0.219756, 0.351609, 0.439512, 0.351609, 0.219756");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("45.295");
+						index_3("0.001");
+						index_4("22.5910643, 24.0639499, 32.6848062, 37.5073632, 39.0444014");
+						values("0.603101, 0.964962, 1.2062, 0.964962, 0.603101");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("42.5441");
+						index_3("0.001");
+						index_4("21.3278776, 22.7283334, 30.8832079, 35.4805496, 36.8679901");
+						values("1.2365, 0.988407, 0.823009, 0.988407, 1.2365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("24.7316");
+						index_3("0.001");
+						index_4("12.4194279, 13.3640485, 18.4132848, 21.3209441, 22.2649565");
+						values("1.22642, 0.972279, 0.802848, 0.972279, 1.22642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("16.629");
+						index_3("0.001");
+						index_4("8.3661721, 9.0807386, 13.0418651, 14.7209776, 15.4224954");
+						values("1.22074, 0.963184, 0.79148, 0.963184, 1.22074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("21.272");
+						index_3("0.001");
+						index_4("10.7472139, 11.4980763, 16.2472373, 17.8640017, 18.5909708");
+						values("1.44076, 1.31522, 1.23152, 1.31522, 1.44076");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("12.3658");
+						index_3("0.001");
+						index_4("6.2738625, 6.7825978, 9.3554857, 10.7459615, 11.2525635");
+						values("1.43725, 1.3096, 1.2245, 1.3096, 1.43725");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("8.31451");
+						index_3("0.001");
+						index_4("4.2364397, 4.6267034, 6.6311838, 7.4235934, 7.8120434");
+						values("1.43524, 1.30638, 1.22048, 1.30638, 1.43524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("37.0974");
+						index_3("0.001");
+						index_4("18.5507857, 19.9419269, 27.3350433, 31.7967924, 33.1806411");
+						values("1.02915, 0.656634, 0.408292, 0.656634, 1.02915");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.030962;
+			capacitance : 0.030976;
+			fall_capacitance : 0.030989;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044549, -0.0042680, -0.0040812, -0.0042472, -0.0044066, -0.0045726, -0.0047387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0528958, 0.0764351, 0.0999744, 0.0998857, 0.0998006, 0.0997119, 0.0996232");
+				}
+			}*/
+			/* Copied over from enable_vswitch_h. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006596;
+			capacitance : 0.006450;
+			fall_capacitance : 0.006304;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0774063, -0.2179084, -0.3410472, -0.4334523, -0.5171666, -0.5998993, -0.6736859", \
+					  "0.3661463, 0.2348286, 0.1089237, 0.0112132, -0.0750987, -0.1649495, -0.2375447", \
+					  "0.8100215, 0.6798310, 0.5683376, 0.4777308, 0.3983915, 0.3179179, 0.2240285", \
+					  "1.2330517, 1.0977495, 0.9919647, 0.9080393, 0.8305834, 0.7447017, 0.6670371", \
+					  "1.6375096, 1.4743166, 1.3807624, 1.3032093, 1.2229366, 1.1410475, 1.0862151", \
+					  "2.0307056, 1.8985833, 1.7442802, 1.6643387, 1.5993514, 1.5238088, 1.4715047", \
+					  "2.3902809, 2.2737785, 2.1104909, 2.0137639, 1.9664968, 1.8813478, 1.8183169");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3337404, -0.5047814, -0.6894201, -0.8709674, -1.0385685, -1.2259194, -1.3586933", \
+					  "0.1026319, -0.0726835, -0.2539427, -0.4496022, -0.6248265, -0.7973195, -0.9794963", \
+					  "0.5418514, 0.3785308, 0.2083960, 0.0168109, -0.1710050, -0.3624440, -0.5466349", \
+					  "0.9643008, 0.8079360, 0.6279105, 0.4525999, 0.2778543, 0.0776511, -0.0907839", \
+					  "1.3470207, 1.1782561, 1.0378817, 0.8449287, 0.6714458, 0.4904320, 0.2908434", \
+					  "1.7627210, 1.5380087, 1.4043649, 1.2118081, 1.0440019, 0.8910825, 0.7013185", \
+					  "2.1337665, 1.9425022, 1.7481360, 1.5851616, 1.4199513, 1.2593131, 1.0493598");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4735606, 0.6247309, 0.7478874, 0.8430556, 0.9353136, 1.0211272, 1.0796092", \
+					  "0.0230268, 0.1697260, 0.2960048, 0.3907732, 0.4523912, 0.5426262, 0.6114209", \
+					  "-0.4160068, -0.2765302, -0.1554966, -0.0633616, 0.0010369, 0.0802300, 0.1594369", \
+					  "-0.8114308, -0.6931115, -0.5784480, -0.4892964, -0.4171149, -0.3164848, -0.2390226", \
+					  "-1.2177282, -1.0810984, -0.9662728, -0.8713668, -0.7943160, -0.7185361, -0.6322401", \
+					  "-1.6079736, -1.4362486, -1.3412595, -1.2506404, -1.1656906, -1.0812457, -1.0642398", \
+					  "-1.9699297, -1.7646354, -1.6849051, -1.5988637, -1.5247306, -1.4352299, -1.3783122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4415065, 0.6369806, 0.7896292, 0.9954749, 1.1430934, 1.3024875, 1.5234444", \
+					  "-0.0102981, 0.1719051, 0.3696177, 0.5565603, 0.7029893, 0.8842736, 1.0736562", \
+					  "-0.4328029, -0.2753332, -0.0788555, 0.0937090, 0.2866518, 0.4754247, 0.6529799", \
+					  "-0.8426939, -0.6690556, -0.5074518, -0.3259332, -0.1542790, 0.0412818, 0.2036161", \
+					  "-1.2315603, -1.0643869, -0.8909955, -0.7141967, -0.5360245, -0.3447124, -0.1857252", \
+					  "-1.5737718, -1.4247721, -1.2835794, -1.0831789, -0.9186146, -0.7835795, -0.6017080", \
+					  "-1.9699411, -1.7329334, -1.6195395, -1.4507585, -1.2828433, -1.0820365, -0.9417408");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263717, 0.0308353, 0.0352989, 0.0351979, 0.0351008, 0.0349998, 0.0348987");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225191, 0.0257149, 0.0289107, 0.0288101, 0.0287134, 0.0286128, 0.0285121");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987884";
+				miller_cap_rise : "0.000932598";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72796e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14966e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50173e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27052e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.943e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20486e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37097e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33874e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57997e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39283e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1034027, 0.2100632, 0.3304757, 0.4688756, 0.6654270");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1740046, 0.2812572, 0.4008897, 0.5422689, 0.7444183");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274444, 0.0488197, 0.0702212, 0.0913668, 0.1202208");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0995425, 0.1211047, 0.1425229, 0.1640048, 0.1928435");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.8784");
+						index_3("0.001");
+						index_4("10.4272256, 11.0221904, 13.3180288, 15.0551278, 15.4926824");
+						values("0.411714, 0.658743, 0.823428, 0.658743, 0.411714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.6869");
+						index_3("0.001");
+						index_4("6.3419616, 6.7482301, 8.5138193, 9.4600746, 9.7473619");
+						values("0.423087, 0.676939, 0.846173, 0.676939, 0.423087");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.61173");
+						index_3("0.001");
+						index_4("4.3100144, 4.6136258, 5.7631878, 6.5887420, 6.7989178");
+						values("0.425964, 0.681543, 0.851928, 0.681543, 0.425964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4392");
+						index_3("0.001");
+						index_4("5.2634097, 5.5889536, 6.7345715, 7.5600376, 7.7828200");
+						values("0.219506, 0.35121, 0.439013, 0.35121, 0.219506");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.34345");
+						index_3("0.001");
+						index_4("3.2090473, 3.4337905, 4.3158534, 4.7483073, 4.8973404");
+						values("0.224875, 0.3598, 0.44975, 0.3598, 0.224875");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.30586");
+						index_3("0.001");
+						index_4("2.1847635, 2.3521617, 2.9146560, 3.3077238, 3.4167086");
+						values("0.225488, 0.360781, 0.450976, 0.360781, 0.225488");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.0303");
+						index_3("0.001");
+						index_4("9.4537725, 10.0611516, 12.5044236, 14.1306665, 14.5735003");
+						values("0.590784, 0.945255, 1.18157, 0.945255, 0.590784");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.83124");
+						index_3("0.001");
+						index_4("1.4289035, 1.5532746, 2.1406801, 2.5900774, 2.7740609");
+						values("1.17023, 0.912372, 0.740465, 0.912372, 1.17023");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.65469");
+						index_3("0.001");
+						index_4("0.8386711, 0.9223326, 1.3322537, 1.5880203, 1.7189978");
+						values("1.16173, 0.898761, 0.723451, 0.898761, 1.16173");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.12879");
+						index_3("0.001");
+						index_4("0.5747143, 0.6381486, 0.9469523, 1.1234158, 1.2420637");
+						values("1.16003, 0.896049, 0.720061, 0.896049, 1.16003");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.41562");
+						index_3("0.001");
+						index_4("0.7245504, 0.7913956, 1.0564779, 1.3147487, 1.4238732");
+						values("1.39051, 1.26481, 1.18101, 1.26481, 1.39051");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.827347");
+						index_3("0.001");
+						index_4("0.4274108, 0.4723740, 0.6656033, 0.8115374, 0.8954472");
+						values("1.38773, 1.26037, 1.17546, 1.26037, 1.38773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.564397");
+						index_3("0.001");
+						index_4("0.2938385, 0.3279803, 0.4794403, 0.5758489, 0.6587186");
+						values("1.38519, 1.2563, 1.17037, 1.2563, 1.38519");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.48204");
+						index_3("0.001");
+						index_4("1.2538393, 1.3774614, 1.9482284, 2.3481997, 2.5351272");
+						values("0.930639, 0.529023, 0.261278, 0.529023, 0.930639");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013755;
+			capacitance : 0.013699;
+			fall_capacitance : 0.013642;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6662346, -3.5235174, -3.3934146, -3.3058473, -3.2231564, -3.1864502, -3.0699307", \
+					  "-4.1059327, -3.9632157, -3.8300729, -3.7394418, -3.6684357, -3.5971705, -3.5253731", \
+					  "-4.5613630, -4.4186456, -4.2904664, -4.2025016, -4.1136096, -4.0806729, -3.9775736", \
+					  "-5.0106516, -4.8694606, -4.7411730, -4.6691115, -4.5554331, -4.5217241, -4.4160344", \
+					  "-5.4169493, -5.2742319, -5.1470985, -5.0580879, -4.9632056, -4.8771167, -4.8343527", \
+					  "-5.8026168, -5.6584188, -5.5404780, -5.4437558, -5.3539934, -5.3003728, -5.2123911", \
+					  "-6.1615212, -6.0170732, -5.8888927, -5.8187590, -5.7334903, -5.6270679, -5.5442017");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5273901, -3.3309342, -3.1347271, -3.0188067, -2.8150812, -2.6167812, -2.4410111", \
+					  "-3.9716658, -3.7651897, -3.5983718, -3.4627929, -3.2277847, -3.0450233, -2.8965661", \
+					  "-4.4091559, -4.2112609, -4.0416650, -3.9030847, -3.6781953, -3.4868825, -3.3212781", \
+					  "-4.8733330, -4.6920150, -4.5148194, -4.3734063, -4.1423724, -3.9512106, -3.7836061", \
+					  "-5.2796302, -5.0762063, -4.9122188, -4.7760224, -4.5328694, -4.3571086, -4.2380887", \
+					  "-5.6652982, -5.4651094, -5.2995010, -5.1628206, -4.9251822, -4.7323311, -4.6179675", \
+					  "-6.0242026, -5.8264257, -5.6691120, -5.5121207, -5.2856126, -5.1096285, -4.9443074");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0806974, 3.9348789, 3.8082438, 3.7097141, 3.6250385, 3.5277477, 3.4686543", \
+					  "4.5221394, 4.3778468, 4.2508435, 4.1511948, 4.0585142, 4.0081394, 3.9381456", \
+					  "4.9807214, 4.8365381, 4.7078997, 4.6082398, 4.5229014, 4.4462348, 4.3721829", \
+					  "5.4135290, 5.2661846, 5.1437590, 5.0425471, 4.9541836, 4.8727216, 4.8019387", \
+					  "5.8019765, 5.6608290, 5.5352574, 5.4328176, 5.3411038, 5.2474849, 5.1919112", \
+					  "6.1683279, 6.0301384, 5.9000829, 5.7944696, 5.7431298, 5.6340708, 5.5860328", \
+					  "6.5855639, 6.4397463, 6.3160853, 6.2060306, 6.1267234, 6.0590922, 5.9887395");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7160133, 3.5771102, 3.3600310, 3.1590442, 2.9905761, 2.8211904, 2.6293406", \
+					  "4.1587603, 4.0351813, 3.8165482, 3.6405810, 3.4535009, 3.2777359, 3.0888705", \
+					  "4.6267699, 4.4878672, 4.2575426, 4.0937656, 3.9202342, 3.7258437, 3.5354344", \
+					  "5.0387439, 4.9311859, 4.6994056, 4.5053244, 4.3151103, 4.1763431, 3.9871558", \
+					  "5.4902454, 5.3280578, 5.1354559, 4.9181608, 4.7608284, 4.5768387, 4.3799722", \
+					  "5.8596832, 5.7207806, 5.5095500, 5.3145206, 5.1326044, 4.9679903, 4.7618472", \
+					  "6.2128514, 6.0765933, 5.8659222, 5.6749146, 5.5193315, 5.3119258, 5.1001126");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224721, 0.0257553, 0.0290384, 0.0289108, 0.0287884, 0.0286608, 0.0285332");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263728, 0.0309450, 0.0355171, 0.0354051, 0.0352975, 0.0351854, 0.0350733");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000984778";
+				miller_cap_rise : "0.000925039";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72806e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50165e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27043e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94291e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37089e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33864e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57986e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39292e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039606, 0.2121597, 0.3321481, 0.4731003, 0.6703353");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744746, 0.2828639, 0.4027054, 0.5434460, 0.7407177");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0275871, 0.0490914, 0.0706230, 0.0919709, 0.1210138");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996582, 0.1213416, 0.1429670, 0.1647125, 0.1938020");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9869");
+						index_3("0.001");
+						index_4("10.4711798, 11.0726242, 13.3119249, 15.1413414, 15.5798103");
+						values("0.414729, 0.663567, 0.829458, 0.663567, 0.414729");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7528");
+						index_3("0.001");
+						index_4("6.3754004, 6.7832083, 8.5244658, 9.5056741, 9.7967010");
+						values("0.422515, 0.676023, 0.845029, 0.676023, 0.422515");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.65649");
+						index_3("0.001");
+						index_4("4.3322928, 4.6376993, 5.7992672, 6.6229889, 6.8343549");
+						values("0.425618, 0.680989, 0.851237, 0.680989, 0.425618");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4934");
+						index_3("0.001");
+						index_4("5.2901455, 5.6180763, 6.7353850, 7.6001417, 7.8239655");
+						values("0.218709, 0.349934, 0.437418, 0.349934, 0.218709");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37642");
+						index_3("0.001");
+						index_4("3.2254724, 3.4506936, 4.3534319, 4.7738382, 4.9229052");
+						values("0.224455, 0.359129, 0.448911, 0.359129, 0.224455");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32824");
+						index_3("0.001");
+						index_4("2.1970765, 2.3672250, 3.0199840, 3.3247972, 3.4345379");
+						values("0.225361, 0.360577, 0.450721, 0.360577, 0.225361");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1293");
+						index_3("0.001");
+						index_4("9.5022611, 10.1160056, 12.4915030, 14.2038938, 14.6492185");
+						values("0.590062, 0.944099, 1.18012, 0.944099, 0.590062");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84955");
+						index_3("0.001");
+						index_4("1.4380760, 1.5631958, 2.1531860, 2.6065543, 2.7916954");
+						values("1.16999, 0.911977, 0.739971, 0.911977, 1.16999");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.6654");
+						index_3("0.001");
+						index_4("0.8440436, 0.9281940, 1.3404670, 1.5982726, 1.7301052");
+						values("1.16142, 0.898275, 0.722844, 0.898275, 1.16142");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.1361");
+						index_3("0.001");
+						index_4("0.5783598, 0.6421138, 0.8835333, 1.1300784, 1.2496487");
+						values("1.15978, 0.895652, 0.719565, 0.895652, 1.15978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42478");
+						index_3("0.001");
+						index_4("0.7293547, 0.7960119, 1.0787765, 1.3217579, 1.4330570");
+						values("1.38986, 1.26377, 1.17971, 1.26377, 1.38986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.832699");
+						index_3("0.001");
+						index_4("0.4301439, 0.4753052, 0.6709363, 0.8160215, 0.9007391");
+						values("1.38755, 1.26007, 1.17509, 1.26007, 1.38755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.568048");
+						index_3("0.001");
+						index_4("0.2957065, 0.3299757, 0.4829697, 0.5795484, 0.6627410");
+						values("1.38499, 1.25599, 1.16998, 1.25599, 1.38499");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.4981");
+						index_3("0.001");
+						index_4("1.2618608, 1.3861710, 1.9601760, 2.3634569, 2.5515635");
+						values("0.930491, 0.528785, 0.260982, 0.528785, 0.930491");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.518265;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.4709746, 0.4784262, 0.4891377, 0.5070763, 0.5436270, 0.6014861, 0.8635238", \
+					  "-0.5070500, -0.5019151, -0.4888559, -0.4718216, -0.4326391, -0.3757808, -0.1111515", \
+					  "-0.3454389, -0.3443206, -0.3461385, -0.3424343, -0.3307182, -0.3032259, -0.0434266", \
+					  "-0.1616749, -0.1594890, -0.1694245, -0.1592875, -0.1578880, -0.1586050, 0.0184719", \
+					  "0.1281601, 0.1376252, 0.1282466, 0.1375793, 0.1398359, 0.1398585, 0.1356698", \
+					  "0.1806815, 0.1887427, 0.1804351, 0.1904643, 0.1916735, 0.1884371, 0.1923620", \
+					  "0.2237826, 0.2350515, 0.2257350, 0.2358357, 0.2373518, 0.2338525, 0.2387410");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5907662, 0.5947313, 0.6031021, 0.6166587, 0.6355970, 0.6637926, 0.7099852", \
+					  "1.6073442, 1.6116546, 1.6196162, 1.6328216, 1.6513697, 1.6786980, 1.7240927", \
+					  "1.5553413, 1.5603922, 1.5717227, 1.5899883, 1.6166741, 1.6617276, 1.7195207", \
+					  "1.6541184, 1.6428316, 1.6436759, 1.6449052, 1.6442837, 1.6476672, 1.6726718", \
+					  "1.7966565, 1.7895452, 1.7877775, 1.7889608, 1.7921617, 1.7916933, 1.8026735", \
+					  "1.8532736, 1.8457260, 1.8446343, 1.8453342, 1.8581365, 1.8480241, 1.8606562", \
+					  "1.8586261, 1.8558636, 1.8463917, 1.8465917, 1.8598445, 1.8493696, 1.8617453");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.6178647, 0.6309351, 0.6617586, 0.7316540, 0.8351122, 0.8446205, 0.8405930", \
+					  "-0.2565612, -0.2363088, -0.2066968, -0.1367518, -0.0335200, -0.0206961, -0.0275122", \
+					  "-0.0538115, -0.0325179, -0.0054390, 0.0658555, 0.1681093, 0.1789904, 0.1766773", \
+					  "0.3938975, 0.4057211, 0.4062303, 0.4064106, 0.4152651, 0.3866411, 0.3558577", \
+					  "0.5849112, 0.5973873, 0.5968771, 0.5986233, 0.6025923, 0.5961155, 0.5630792", \
+					  "0.7409620, 0.7519683, 0.7485825, 0.7482560, 0.7571819, 0.7445995, 0.7502841", \
+					  "0.8869987, 0.9021626, 0.8948058, 0.8962456, 0.9015050, 0.8908563, 0.8950149");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.9054365, 0.9103299, 0.9210225, 0.9407611, 0.9735643, 1.0199269, 1.0568733", \
+					  "1.9070685, 1.9123264, 1.9231444, 1.9421749, 1.9815096, 2.0213460, 2.0580820", \
+					  "1.9058323, 1.9109382, 1.9212774, 1.9409389, 1.9833957, 2.0195193, 2.0580553", \
+					  "1.8968436, 1.9026291, 1.9132716, 1.9283826, 1.9785332, 2.0156108, 2.0517518", \
+					  "1.8523085, 1.8582850, 1.8714101, 1.8950948, 1.9448278, 1.9986246, 2.0409638", \
+					  "1.9225554, 1.9224856, 1.9251426, 1.9355420, 1.9389820, 1.9576474, 1.9989281", \
+					  "1.9915688, 1.9898343, 2.0021797, 2.0040380, 2.0043260, 2.0078891, 1.9982336");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5608986, 0.5737073, 0.6039250, 0.6744709, 0.7753316, 0.7867645, 0.7820514", \
+					  "-0.4948568, -0.4833666, -0.4532519, -0.3841467, -0.2775042, -0.2686824, -0.2740053", \
+					  "-0.4388933, -0.4295184, -0.4163075, -0.3500179, -0.2590556, -0.2524994, -0.2577239", \
+					  "-0.1672225, -0.1653720, -0.1756160, -0.1655129, -0.1626196, -0.1648940, -0.2394040", \
+					  "-0.1533508, -0.1516854, -0.1634999, -0.1514087, -0.1467682, -0.1500592, -0.1548485", \
+					  "-0.1519710, -0.1387075, -0.1487752, -0.1457202, -0.1361274, -0.1371411, -0.1486350", \
+					  "-0.1345895, -0.1257354, -0.1356978, -0.1331073, -0.1205961, -0.1228208, -0.1352894");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.8494760, 0.8551666, 0.8667456, 0.8864118, 0.9213918, 0.9713479, 1.0114220", \
+					  "1.8668400, 1.8726392, 1.8846571, 1.9046327, 1.9379583, 1.9877351, 2.0283690", \
+					  "1.8602977, 1.8660750, 1.8770022, 1.8978319, 1.9313949, 1.9803501, 2.0215218", \
+					  "1.8567758, 1.8624386, 1.8734545, 1.8938163, 1.9270781, 1.9769913, 2.0180936", \
+					  "1.8512585, 1.8584973, 1.8684670, 1.8853046, 1.9233460, 1.9749152, 2.0148074", \
+					  "1.8286466, 1.8364526, 1.8472778, 1.8723814, 1.9089895, 1.9670947, 2.0094646", \
+					  "1.7942172, 1.8129210, 1.8141714, 1.8472960, 1.8767312, 1.9414418, 1.9869588");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.7277388, 0.7312817, 0.7387439, 0.7500709, 0.7656809, 0.7863175, 0.8214479", \
+					  "0.7238581, 0.7274020, 0.7347568, 0.7461155, 0.7619933, 0.7824899, 0.8181965", \
+					  "0.7200900, 0.7238813, 0.7312614, 0.7420972, 0.7577154, 0.7781677, 0.8141652", \
+					  "0.7199398, 0.7234913, 0.7309290, 0.7424840, 0.7581558, 0.7785604, 0.8154305", \
+					  "0.7193072, 0.7230259, 0.7301485, 0.7423652, 0.7582237, 0.7787188, 0.8152205", \
+					  "0.7199528, 0.7235038, 0.7309405, 0.7424246, 0.7574091, 0.7779352, 0.8157831", \
+					  "0.7195848, 0.7231488, 0.7305956, 0.7422809, 0.7580433, 0.7785329, 0.8149253");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("1.5317099, 1.5348844, 1.5328816, 1.5321826, 1.5328207, 1.5387555, 1.5459022", \
+					  "1.5274920, 1.5302229, 1.5283372, 1.5264311, 1.5281858, 1.5324618, 1.5420560", \
+					  "1.5210548, 1.5213597, 1.5223566, 1.5209493, 1.5227135, 1.5286339, 1.5361728", \
+					  "1.5233775, 1.5217771, 1.5228654, 1.5218806, 1.5231030, 1.5267988, 1.5365534", \
+					  "1.5234757, 1.5216895, 1.5228012, 1.5217105, 1.5233906, 1.5286092, 1.5353348", \
+					  "1.5214304, 1.5224651, 1.5230878, 1.5213923, 1.5234987, 1.5289293, 1.5374130", \
+					  "1.5208298, 1.5202800, 1.5224818, 1.5208511, 1.5225327, 1.5284066, 1.5369430");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.2930626, 2.3110573, 2.3434308, 2.4099336, 2.5415505, 2.8045943, 3.3327849", \
+					  "5.0356714, 5.0521063, 5.0854636, 5.1514886, 5.2826601, 5.5464264, 6.0748406", \
+					  "7.4251094, 7.4446360, 7.4707778, 7.5414555, 7.6753537, 7.9364906, 8.4672953", \
+					  "7.9563351, 7.9781568, 8.0021012, 8.0740135, 8.2088958, 8.4690415, 9.0009324", \
+					  "11.1497680, 11.1671460, 11.2006510, 11.2644720, 11.3978210, 11.6585140, 12.1899440", \
+					  "13.8204830, 13.8316400, 13.8703600, 13.9291510, 14.0623390, 14.3027700, 14.8544330", \
+					  "15.8372270, 15.8513060, 15.8864530, 15.9525900, 16.0820460, 16.3220260, 16.8738640", \
+					  "18.6645630, 18.6769980, 18.7220980, 18.8014630, 18.9404420, 19.1999660, 19.7120240", \
+					  "57.5485370, 57.5485402, 57.5817440, 57.5873820, 57.6897920, 58.0805480, 58.5291670", \
+					  "82.1715100, 82.2746170, 82.2801030, 82.2801095, 82.4090950, 82.7555060, 83.1950190", \
+					  "187.5941000, 187.7265400, 187.7265549, 187.7265702, 187.9584200, 187.9584275, 188.4463900", \
+					  "565.5955400, 565.9700300, 565.9700623, 565.9701233, 565.9701844, 566.0920100, 566.6565800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1386815, 0.1589119, 0.2002555, 0.2840771, 0.4548312, 0.8025699, 1.4997412", \
+					  "0.1396229, 0.1601819, 0.2026080, 0.2860384, 0.4576300, 0.8011217, 1.4994250", \
+					  "0.1398499, 0.1600271, 0.2017482, 0.2863201, 0.4578997, 0.8013858, 1.4981991", \
+					  "0.1392020, 0.1600908, 0.2019525, 0.2863172, 0.4564276, 0.8013903, 1.4980832", \
+					  "0.1392087, 0.1605605, 0.2019157, 0.2863564, 0.4571320, 0.8013849, 1.4976781", \
+					  "0.1399797, 0.1605659, 0.2019693, 0.2863545, 0.4571173, 0.8013820, 1.4976332", \
+					  "0.1393548, 0.1605036, 0.2019083, 0.2872687, 0.4571955, 0.8010202, 1.4988192", \
+					  "0.1402773, 0.1600328, 0.2018042, 0.2865808, 0.4579999, 0.8004943, 1.4988676", \
+					  "0.1396036, 0.1599786, 0.2025141, 0.2872529, 0.4579240, 0.8013616, 1.4996482", \
+					  "0.1397625, 0.1600168, 0.2017498, 0.2872375, 0.4576020, 0.8004959, 1.4995925", \
+					  "0.1396003, 0.1599786, 0.2026033, 0.2863488, 0.4573081, 0.8007378, 1.5002515", \
+					  "0.1397832, 0.1599251, 0.2018096, 0.2872875, 0.4579646, 0.8004512, 1.4997524");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.3580646, 2.3708377, 2.3969171, 2.4428497, 2.5258815, 2.6635951, 2.8836804", \
+					  "5.3044822, 5.3181091, 5.3438267, 5.3903433, 5.4729656, 5.6103366, 5.8289258", \
+					  "8.0281177, 8.0281187, 8.0520168, 8.0991622, 8.1794731, 8.3190157, 8.5281480", \
+					  "8.6140934, 8.6280130, 8.6536414, 8.7013303, 8.7799998, 8.9213446, 9.1264960", \
+					  "12.1566750, 12.1566759, 12.1927770, 12.2406950, 12.2966190, 12.4587960, 12.6817510", \
+					  "15.0187450, 15.0230140, 15.0591860, 15.1129260, 15.1980400, 15.3309670, 15.5569800", \
+					  "17.1851210, 17.1994990, 17.2255860, 17.2695830, 17.3398660, 17.4895530, 17.7017530", \
+					  "20.1785420, 20.2112780, 20.2112799, 20.2589070, 20.3188030, 20.4817430, 20.6731400", \
+					  "59.2247820, 59.2247830, 59.2490930, 59.2995060, 59.3954360, 59.5329190, 59.7391930", \
+					  "82.6979940, 82.7111820, 82.7463470, 82.7723450, 82.8609360, 82.9965780, 83.2226310", \
+					  "177.6548700, 177.6548844, 177.7326900, 177.7543200, 177.7959000, 177.9520200, 178.1602900", \
+					  "484.0951500, 484.1300600, 484.1373900, 484.2265800, 484.2266083, 484.4984000, 484.5850900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1207172, 0.1307149, 0.1514868, 0.1921159, 0.2642620, 0.3835136, 0.5884186", \
+					  "0.1225172, 0.1320003, 0.1525892, 0.1932378, 0.2660008, 0.3854695, 0.5908589", \
+					  "0.1214421, 0.1326179, 0.1524224, 0.1932451, 0.2671570, 0.3861113, 0.5913175", \
+					  "0.1220256, 0.1327283, 0.1536009, 0.1929156, 0.2670359, 0.3860580, 0.5912681", \
+					  "0.1207527, 0.1327153, 0.1535951, 0.1928217, 0.2669988, 0.3867603, 0.5912818", \
+					  "0.1212368, 0.1326201, 0.1523653, 0.1927945, 0.2667100, 0.3867553, 0.5922063", \
+					  "0.1218025, 0.1334584, 0.1538809, 0.1928617, 0.2659427, 0.3859928, 0.5909632", \
+					  "0.1210274, 0.1326385, 0.1523383, 0.1927612, 0.2668819, 0.3860145, 0.5911078", \
+					  "0.1210542, 0.1315997, 0.1522236, 0.1938447, 0.2678565, 0.3860707, 0.5922262", \
+					  "0.1225066, 0.1318587, 0.1528801, 0.1936820, 0.2657919, 0.3860602, 0.5918305", \
+					  "0.1216780, 0.1318278, 0.1524516, 0.1935275, 0.2670152, 0.3859639, 0.5913105", \
+					  "0.1215631, 0.1321812, 0.1526301, 0.1945292, 0.2664702, 0.3866517, 0.5919623");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2531689, 4.2719490, 4.3026582, 4.3673554, 4.4995798, 4.7560675, 5.2790088", \
+					  "6.8029786, 6.8165145, 6.8492110, 6.9143478, 7.0438215, 7.3144077, 7.8231785", \
+					  "8.9190841, 8.9384979, 8.9707557, 9.0360848, 9.1660848, 9.4251345, 9.9453459", \
+					  "9.3950803, 9.4153920, 9.4430224, 9.5132435, 9.6428208, 9.9001056, 10.4223110", \
+					  "12.2628820, 12.2795460, 12.3155300, 12.3763220, 12.5090080, 12.7588620, 13.2822460", \
+					  "14.6371240, 14.6530210, 14.6880150, 14.7528030, 14.8827900, 15.1370310, 15.6553240", \
+					  "16.4366350, 16.4528800, 16.4858900, 16.5410120, 16.6703110, 16.9393650, 17.4607390", \
+					  "18.9810920, 19.0004580, 19.0347610, 19.0805580, 19.2125850, 19.4838870, 20.0093450", \
+					  "53.7803150, 54.1346880, 54.2754820, 54.2834330, 54.3275220, 54.5791040, 55.2067580", \
+					  "76.6029230, 76.6089910, 76.7110390, 76.7847940, 76.9161520, 77.1481790, 77.4408450", \
+					  "171.8614400, 171.8614426, 171.8614579, 172.0884200, 172.2177700, 172.3520000, 172.9971800", \
+					  "509.1110300, 509.3563100, 509.3563386, 509.3563691, 509.8671600, 509.8671723, 510.4539600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1376396, 0.1572643, 0.1985125, 0.2814957, 0.4500355, 0.7916477, 1.4801095", \
+					  "0.1382594, 0.1587162, 0.2000052, 0.2834929, 0.4546684, 0.7925402, 1.4832018", \
+					  "0.1384689, 0.1581112, 0.1988658, 0.2832482, 0.4546514, 0.7939327, 1.4818323", \
+					  "0.1383698, 0.1583023, 0.2012446, 0.2834565, 0.4545356, 0.7925295, 1.4807174", \
+					  "0.1382974, 0.1582145, 0.1998807, 0.2834932, 0.4544820, 0.7927151, 1.4822592", \
+					  "0.1383916, 0.1583962, 0.1999190, 0.2838588, 0.4545980, 0.7916290, 1.4845232", \
+					  "0.1385740, 0.1591145, 0.1997858, 0.2837872, 0.4546555, 0.7929237, 1.4823468", \
+					  "0.1382094, 0.1583892, 0.1997405, 0.2835155, 0.4545292, 0.7931170, 1.4819055", \
+					  "0.1383472, 0.1586341, 0.2001315, 0.2838756, 0.4545064, 0.7930043, 1.4822734", \
+					  "0.1385932, 0.1588582, 0.1996984, 0.2835950, 0.4545059, 0.7936514, 1.4820137", \
+					  "0.1384571, 0.1587907, 0.1997147, 0.2834606, 0.4544087, 0.7917039, 1.4819780", \
+					  "0.1386835, 0.1588422, 0.1997599, 0.2837557, 0.4544899, 0.7918107, 1.4819497");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.8705062, 4.8815822, 4.9063917, 4.9512616, 5.0279372, 5.1563691, 5.3677693", \
+					  "7.6084170, 7.6214448, 7.6460758, 7.6892777, 7.7661602, 7.8941689, 8.1034708", \
+					  "10.7899560, 10.8028790, 10.8259410, 10.8690460, 10.9465510, 11.0752150, 11.2903880", \
+					  "11.5134580, 11.5265650, 11.5509260, 11.5962160, 11.6689720, 11.7973980, 12.0127230", \
+					  "15.7160260, 15.7292900, 15.7466130, 15.7944430, 15.8545120, 16.0012660, 16.2104980", \
+					  "19.0792570, 19.0877430, 19.1041800, 19.1582570, 19.2385750, 19.3620230, 19.5613530", \
+					  "21.5786610, 21.6040360, 21.6270770, 21.6767260, 21.7476030, 21.8764240, 22.0868140", \
+					  "25.0534690, 25.0785790, 25.1029220, 25.1573320, 25.2270880, 25.3500390, 25.5692760", \
+					  "69.6638910, 69.6875380, 69.6875420, 69.7367210, 69.7916960, 69.9444770, 70.1565100", \
+					  "96.4651010, 96.4651070, 96.4759790, 96.5304420, 96.5785110, 96.7288540, 96.9601220", \
+					  "204.7047300, 204.7525700, 204.7630800, 204.8029300, 204.8735300, 205.0122100, 205.2022600", \
+					  "561.5658000, 561.5781300, 561.7035900, 561.7220600, 561.7901600, 561.8462600, 562.1180900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1162635, 0.1255679, 0.1444844, 0.1814018, 0.2484505, 0.3624281, 0.5663413", \
+					  "0.1179360, 0.1277874, 0.1466143, 0.1828558, 0.2505059, 0.3659450, 0.5696028", \
+					  "0.1172952, 0.1266687, 0.1475922, 0.1838997, 0.2503299, 0.3657057, 0.5686674", \
+					  "0.1171797, 0.1261893, 0.1469185, 0.1835033, 0.2510064, 0.3667798, 0.5694142", \
+					  "0.1172144, 0.1274245, 0.1466232, 0.1833425, 0.2510182, 0.3667360, 0.5697942", \
+					  "0.1168016, 0.1270220, 0.1459823, 0.1832671, 0.2505376, 0.3657802, 0.5684017", \
+					  "0.1171714, 0.1263574, 0.1470956, 0.1843920, 0.2505373, 0.3657134, 0.5682537", \
+					  "0.1173073, 0.1271209, 0.1467398, 0.1832705, 0.2505532, 0.3664121, 0.5697944", \
+					  "0.1172929, 0.1264910, 0.1466276, 0.1824062, 0.2508920, 0.3665328, 0.5684366", \
+					  "0.1181395, 0.1277495, 0.1467955, 0.1828551, 0.2498669, 0.3663395, 0.5693960", \
+					  "0.1172728, 0.1263953, 0.1468267, 0.1835163, 0.2505540, 0.3665819, 0.5691030", \
+					  "0.1174358, 0.1276869, 0.1459466, 0.1836819, 0.2498721, 0.3666889, 0.5681879");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2356514, 4.2520121, 4.2834425, 4.3488249, 4.4767190, 4.7383168, 5.2588480", \
+					  "6.4933765, 6.5097150, 6.5423782, 6.6075461, 6.7366877, 6.9959532, 7.5164008", \
+					  "8.1678104, 8.1842577, 8.2168725, 8.2820995, 8.4114573, 8.6707646, 9.1910071", \
+					  "8.5313028, 8.5478158, 8.5804599, 8.6455483, 8.7750056, 9.0343102, 9.5544284", \
+					  "10.6385430, 10.6552710, 10.6833340, 10.7531710, 10.8826940, 11.1415020, 11.6613370", \
+					  "12.3089940, 12.3271460, 12.3592530, 12.4243860, 12.5536180, 12.8184210, 13.3392190", \
+					  "13.5594740, 13.5796770, 13.6122450, 13.6750560, 13.8046130, 14.0630850, 14.5830320", \
+					  "15.3000780, 15.3198400, 15.3494140, 15.4120750, 15.5423180, 15.8031450, 16.3231460", \
+					  "37.8865050, 37.9208700, 37.9509370, 38.0289540, 38.1326610, 38.3877710, 38.8898260", \
+					  "51.7686020, 51.7686024, 51.8179240, 51.8495150, 52.0134690, 52.2435390, 52.7919650", \
+					  "109.1092000, 109.1322400, 109.1765600, 109.2331600, 109.4751400, 109.6138700, 110.1309300", \
+					  "307.7236700, 307.7488500, 307.8271200, 307.8533800, 307.8534089, 307.8674200, 308.4075800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1374130, 0.1572340, 0.1982147, 0.2814156, 0.4503929, 0.7917279, 1.4797809", \
+					  "0.1382261, 0.1587792, 0.2009984, 0.2839066, 0.4545441, 0.7929482, 1.4812880", \
+					  "0.1384854, 0.1585405, 0.2000858, 0.2838477, 0.4544226, 0.7914107, 1.4813888", \
+					  "0.1385700, 0.1588180, 0.1996452, 0.2836245, 0.4545162, 0.7923974, 1.4800222", \
+					  "0.1383242, 0.1584574, 0.1999770, 0.2833917, 0.4545002, 0.7928677, 1.4827378", \
+					  "0.1383125, 0.1592555, 0.2010976, 0.2838433, 0.4554416, 0.7925599, 1.4816468", \
+					  "0.1383719, 0.1587234, 0.1999030, 0.2835429, 0.4544733, 0.7925181, 1.4814128", \
+					  "0.1382247, 0.1587806, 0.1999618, 0.2835952, 0.4545134, 0.7926167, 1.4833142", \
+					  "0.1382699, 0.1587091, 0.1998329, 0.2834994, 0.4546674, 0.7934992, 1.4812072", \
+					  "0.1386469, 0.1586740, 0.1999031, 0.2836117, 0.4544953, 0.7934914, 1.4822805", \
+					  "0.1383923, 0.1586938, 0.1996988, 0.2837076, 0.4544670, 0.7924799, 1.4830890", \
+					  "0.1382581, 0.1581824, 0.1999832, 0.2835486, 0.4545797, 0.7926368, 1.4819690");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("7.1102715, 7.1228330, 7.1447004, 7.1911548, 7.2688899, 7.3974196, 7.6067632", \
+					  "9.4443866, 9.4574251, 9.4819788, 9.5252943, 9.6013988, 9.7283426, 9.9386703", \
+					  "12.5835800, 12.6002860, 12.6212760, 12.6645010, 12.7395070, 12.8676540, 13.0781610", \
+					  "13.3351970, 13.3494610, 13.3789880, 13.4184330, 13.4956520, 13.6218900, 13.8338750", \
+					  "17.9649340, 17.9776210, 17.9989210, 18.0370950, 18.1211170, 18.2490880, 18.4412910", \
+					  "21.6744860, 21.6744872, 21.7098820, 21.7218440, 21.8292480, 21.9567420, 22.1670200", \
+					  "24.4123830, 24.4407130, 24.4640250, 24.4856860, 24.5857590, 24.7070420, 24.9198210", \
+					  "28.2363200, 28.2551800, 28.2702540, 28.3152170, 28.3872050, 28.5187520, 28.7277790", \
+					  "76.0655110, 76.0913750, 76.0913811, 76.1406230, 76.2084510, 76.3609760, 76.5314210", \
+					  "104.5619300, 104.5619317, 104.5886700, 104.6373400, 104.7075300, 104.8392000, 105.0395800", \
+					  "219.2238400, 219.2460200, 219.2527300, 219.2833600, 219.4069100, 219.5089100, 219.7190300", \
+					  "596.6686000, 596.7045200, 596.7481800, 596.7481995, 596.8429900, 596.9404200, 597.1718300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1158933, 0.1257108, 0.1443264, 0.1813256, 0.2484507, 0.3624084, 0.5663046", \
+					  "0.1183798, 0.1272546, 0.1462182, 0.1823519, 0.2502411, 0.3667171, 0.5687876", \
+					  "0.1173391, 0.1277712, 0.1455357, 0.1834016, 0.2509281, 0.3666661, 0.5681536", \
+					  "0.1175568, 0.1265284, 0.1458407, 0.1834461, 0.2507020, 0.3658934, 0.5684475", \
+					  "0.1175445, 0.1263464, 0.1458254, 0.1824152, 0.2502076, 0.3662423, 0.5687668", \
+					  "0.1189683, 0.1267444, 0.1468097, 0.1826428, 0.2504751, 0.3664901, 0.5693485", \
+					  "0.1175741, 0.1275268, 0.1458252, 0.1826300, 0.2502364, 0.3657257, 0.5692573", \
+					  "0.1173744, 0.1269971, 0.1466332, 0.1834062, 0.2505153, 0.3657433, 0.5683947", \
+					  "0.1181370, 0.1277867, 0.1474522, 0.1832261, 0.2505556, 0.3662719, 0.5691883", \
+					  "0.1171742, 0.1265690, 0.1471225, 0.1833388, 0.2508187, 0.3657494, 0.5689429", \
+					  "0.1171058, 0.1268908, 0.1466947, 0.1844952, 0.2505772, 0.3659632, 0.5691499", \
+					  "0.1174904, 0.1271821, 0.1468685, 0.1839186, 0.2499116, 0.3667333, 0.5686056");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("21.5350160, 21.5525040, 21.5846930, 21.6493670, 21.7795030, 22.0388670, 22.5613930", \
+					  "21.6311320, 21.6493490, 21.6781060, 21.7454040, 21.8727650, 22.1348880, 22.6548100", \
+					  "21.7278300, 21.7442690, 21.7772450, 21.8420410, 21.9719070, 22.2316480, 22.7539520", \
+					  "21.8337900, 21.8529160, 21.8824190, 21.9506870, 22.0770810, 22.3376110, 22.8591240", \
+					  "21.9318130, 21.9472900, 21.9817550, 22.0450610, 22.1764170, 22.4356370, 22.9584620", \
+					  "22.0389910, 22.0553000, 22.0890670, 22.1530860, 22.2836970, 22.5427530, 23.0657680", \
+					  "22.1391690, 22.1578860, 22.1904680, 22.2556340, 22.3851300, 22.6429840, 23.1671750", \
+					  "22.2436660, 22.2624920, 22.2919670, 22.3602720, 22.4866280, 22.7474890, 23.2686720", \
+					  "22.3432260, 22.3590500, 22.3930240, 22.4568260, 22.5876830, 22.8470420, 23.3697290", \
+					  "22.4461050, 22.4642100, 22.4952960, 22.5620070, 22.6899580, 22.9500880, 23.4720050", \
+					  "22.5407040, 22.5599410, 22.5911610, 22.6579020, 22.7858180, 23.0445210, 23.5678610", \
+					  "22.6372560, 22.6584560, 22.6863200, 22.7515820, 22.8809790, 23.1410680, 23.6630220");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1386605, 0.1587379, 0.2001442, 0.2837853, 0.4546486, 0.7983536, 1.4978744", \
+					  "0.1386606, 0.1587384, 0.2001455, 0.2837865, 0.4546473, 0.7983588, 1.4978694", \
+					  "0.1386604, 0.1587391, 0.2001442, 0.2837874, 0.4546490, 0.7983609, 1.4978780", \
+					  "0.1386583, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7984410, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001442, 0.2838156, 0.4546490, 0.7984408, 1.4978782", \
+					  "0.1386578, 0.1587391, 0.2001455, 0.2837839, 0.4546491, 0.7983875, 1.4978693", \
+					  "0.1386606, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7983582, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001458, 0.2838149, 0.4546484, 0.7984412, 1.4978796", \
+					  "0.1386605, 0.1587393, 0.2001442, 0.2837856, 0.4546490, 0.7983527, 1.4978790", \
+					  "0.1386597, 0.1587375, 0.2001440, 0.2837877, 0.4546494, 0.7983671, 1.4978836", \
+					  "0.1386606, 0.1587488, 0.2001458, 0.2835736, 0.4546481, 0.7983456, 1.4978747", \
+					  "0.1386603, 0.1587372, 0.2001444, 0.2837883, 0.4546492, 0.7983644, 1.4978819");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("14.9028260, 14.9139830, 14.9381950, 14.9810010, 15.0582850, 15.1841790, 15.3914230", \
+					  "14.9904600, 15.0034690, 15.0276700, 15.0633670, 15.1447940, 15.2731160, 15.4745190", \
+					  "15.0557470, 15.0687440, 15.0929690, 15.1369890, 15.2106220, 15.3382040, 15.5467750", \
+					  "15.1214630, 15.1344860, 15.1586710, 15.2027530, 15.2788720, 15.4043760, 15.6138440", \
+					  "15.1843620, 15.1973210, 15.2214760, 15.2593810, 15.3355070, 15.4655060, 15.6705300", \
+					  "15.2388660, 15.2520730, 15.2761380, 15.3198570, 15.3937200, 15.5205640, 15.7299760", \
+					  "15.2781240, 15.2911250, 15.3153720, 15.3580300, 15.4340030, 15.5611120, 15.7689560", \
+					  "15.3325900, 15.3455970, 15.3698560, 15.4057760, 15.4887680, 15.6130470, 15.8165210", \
+					  "15.3652810, 15.3784400, 15.4025200, 15.4533060, 15.5212640, 15.6471140, 15.8631400", \
+					  "15.4154590, 15.4285670, 15.4527470, 15.4875630, 15.5699380, 15.6969640, 15.8985200", \
+					  "15.4585250, 15.4716990, 15.4959030, 15.5417760, 15.6144960, 15.7403650, 15.9515940", \
+					  "15.4938620, 15.5070200, 15.5311000, 15.5767670, 15.6528830, 15.7756940, 15.9878560");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1159085, 0.1255768, 0.1447270, 0.1809250, 0.2480104, 0.3630184, 0.5663965", \
+					  "0.1158124, 0.1256810, 0.1452476, 0.1810095, 0.2489983, 0.3627330, 0.5663726", \
+					  "0.1158854, 0.1257243, 0.1452266, 0.1805826, 0.2474456, 0.3624151, 0.5669380", \
+					  "0.1163781, 0.1255762, 0.1444503, 0.1809337, 0.2477774, 0.3627393, 0.5663887", \
+					  "0.1175072, 0.1260286, 0.1442827, 0.1809976, 0.2477801, 0.3633141, 0.5663658", \
+					  "0.1160718, 0.1253969, 0.1440108, 0.1816857, 0.2486090, 0.3631893, 0.5668572", \
+					  "0.1164697, 0.1255783, 0.1449660, 0.1809734, 0.2478307, 0.3626987, 0.5667155", \
+					  "0.1164801, 0.1263675, 0.1450277, 0.1809824, 0.2477925, 0.3630187, 0.5668076", \
+					  "0.1159052, 0.1254795, 0.1441581, 0.1805014, 0.2474492, 0.3630453, 0.5669510", \
+					  "0.1156340, 0.1251579, 0.1450615, 0.1815192, 0.2485884, 0.3631700, 0.5667557", \
+					  "0.1158939, 0.1255759, 0.1447629, 0.1805275, 0.2476408, 0.3630359, 0.5669473", \
+					  "0.1159033, 0.1254804, 0.1441599, 0.1809335, 0.2477776, 0.3630444, 0.5663892");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.269730;
+			max_transition : 3.753621;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8621691, 0.8633481, 0.8658625, 0.8686618, 0.8721933, 0.8735460, 0.8764354", \
+					  "-0.1145392, -0.1112146, -0.1098834, -0.1073944, -0.1038753, -0.1036655, -0.1085014", \
+					  "-0.0453887, -0.0433508, -0.0410149, -0.0375365, -0.0319422, -0.0360801, -0.0458073", \
+					  "0.0350019, 0.0331303, 0.0278132, 0.0210977, 0.0207153, 0.0180354, 0.0055296", \
+					  "0.1350445, 0.1376471, 0.1389392, 0.1371992, 0.1141766, 0.0774131, 0.0420544", \
+					  "0.1798185, 0.1894635, 0.1909543, 0.1920059, 0.1955422, 0.1669435, 0.1121089", \
+					  "0.2251633, 0.2351252, 0.2378119, 0.2403611, 0.2413163, 0.2435412, 0.1544157");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8600709, 0.8630368, 0.8699072, 0.8824406, 0.8975953, 0.9081171, 0.9126347", \
+					  "1.8746617, 1.8883647, 1.8851552, 1.8957362, 1.9162456, 1.9213916, 1.9313761", \
+					  "1.8692209, 1.8850978, 1.8803824, 1.8907243, 1.9171292, 1.9165240, 1.9325908", \
+					  "1.8634202, 1.8828600, 1.8755099, 1.8868724, 1.9139835, 1.9140412, 1.9311347", \
+					  "1.8119402, 1.8267410, 1.8283042, 1.8432499, 1.8819018, 1.9037332, 1.9301563", \
+					  "1.8443699, 1.8573691, 1.8559674, 1.8486801, 1.8639923, 1.8569059, 1.9141340", \
+					  "1.8468159, 1.8588985, 1.8573979, 1.8506386, 1.8646189, 1.8542307, 1.8761765");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.9775958, 0.9824053, 0.9892748, 1.0033059, 1.0222016, 1.0367533, 1.0439450", \
+					  "1.9944543, 2.0000093, 2.0059132, 2.0207562, 2.0420625, 2.0541849, 2.0616317", \
+					  "1.9867317, 2.0030635, 2.0032929, 2.0133428, 2.0453669, 2.0472311, 2.0549972", \
+					  "1.9842914, 2.0032602, 2.0085854, 2.0129308, 2.0407907, 2.0442153, 2.0546106", \
+					  "1.9803120, 1.9983826, 2.0033400, 2.0090813, 2.0395862, 2.0432905, 2.0596779", \
+					  "1.9746151, 1.9926758, 1.9986291, 2.0127279, 2.0369715, 2.0394842, 2.0580872", \
+					  "1.9390975, 1.9571196, 1.9685087, 1.9865687, 2.0170246, 2.0435973, 2.0557911");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.7816796, 0.7831884, 0.7846140, 0.7868220, 0.7886683, 0.7898475, 0.7937747", \
+					  "-0.2725442, -0.2711102, -0.2696947, -0.2668318, -0.2645205, -0.2651968, -0.2710517", \
+					  "-0.2557752, -0.2547015, -0.2531830, -0.2508655, -0.2490924, -0.2451033, -0.2513796", \
+					  "-0.1650174, -0.1660685, -0.1639803, -0.1633643, -0.1892793, -0.2304942, -0.2413083", \
+					  "-0.1518482, -0.1524116, -0.1503292, -0.1487443, -0.1450485, -0.1504047, -0.2260569", \
+					  "-0.1394160, -0.1473626, -0.1432080, -0.1417528, -0.1345531, -0.1289820, -0.1918134", \
+					  "-0.1238265, -0.1331743, -0.1326800, -0.1304831, -0.1190560, -0.1121293, -0.1251196");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.8398649, 0.8414281, 0.8428542, 0.8450968, 0.8475089, 0.8479262, 0.8406724", \
+					  "-0.0259023, -0.0246497, -0.0226298, -0.0187588, -0.0176221, -0.0177190, -0.0370652", \
+					  "0.1745119, 0.1755734, 0.1775564, 0.1802013, 0.1788936, 0.1798898, 0.1768402", \
+					  "0.4121564, 0.4102253, 0.4045979, 0.3909827, 0.3626632, 0.3560604, 0.3621693", \
+					  "0.5973888, 0.5979758, 0.5962665, 0.5998600, 0.5892618, 0.5419915, 0.5155428", \
+					  "0.7523925, 0.7535196, 0.7513079, 0.7545370, 0.7504548, 0.7597354, 0.6833437", \
+					  "0.9007112, 0.9006900, 0.8928470, 0.9032447, 0.8972046, 0.9028748, 0.8544818");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0260633, 1.0293521, 1.0362834, 1.0487062, 1.0667343, 1.0792436, 1.0854227", \
+					  "2.0276083, 2.0317977, 2.0384935, 2.0515954, 2.0672498, 2.0807457, 2.0880739", \
+					  "2.0263320, 2.0306461, 2.0381967, 2.0502842, 2.0658000, 2.0800113, 2.0862602", \
+					  "2.0194831, 2.0237143, 2.0301560, 2.0442498, 2.0619309, 2.0744480, 2.0810773", \
+					  "1.9957850, 1.9969712, 2.0107104, 2.0303566, 2.0660576, 2.0698413, 2.0898181", \
+					  "1.9571816, 1.9727724, 1.9675147, 1.9881670, 2.0255076, 2.0501986, 2.0854627", \
+					  "1.9926814, 2.0016308, 1.9937663, 1.9942537, 2.0075306, 2.0147035, 2.0659511");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.1633199, 1.1753314, 1.2042299, 1.2508779, 1.5327675, 1.5342545, 1.5325688", \
+					  "1.1604377, 1.1709821, 1.1996931, 1.2456099, 1.5277282, 1.5305480, 1.5375488", \
+					  "1.1544929, 1.1649846, 1.1936620, 1.2408844, 1.5213471, 1.5253719, 1.5205182", \
+					  "1.1558718, 1.1663882, 1.1940649, 1.2414241, 1.5226887, 1.5243313, 1.5225425", \
+					  "1.1559876, 1.1665263, 1.1942496, 1.2405337, 1.5222754, 1.5250656, 1.5321827", \
+					  "1.1525785, 1.1675075, 1.1953897, 1.2414672, 1.5232929, 1.5267319, 1.5234386", \
+					  "1.1542470, 1.1663812, 1.1943778, 1.2414297, 1.5236935, 1.5240195, 1.5226932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0384333, 1.0398685, 1.0463638, 1.0579181, 1.0752513, 1.0949284, 1.1095916", \
+					  "1.0339646, 1.0370218, 1.0420632, 1.0535591, 1.0711960, 1.0913954, 1.1038314", \
+					  "1.0301979, 1.0323923, 1.0378180, 1.0493748, 1.0672794, 1.0869292, 1.1016310", \
+					  "1.0308302, 1.0320766, 1.0387633, 1.0492418, 1.0667437, 1.0873520, 1.0986396", \
+					  "1.0293494, 1.0325841, 1.0370686, 1.0479616, 1.0675350, 1.0863053, 1.1016267", \
+					  "1.0298705, 1.0320902, 1.0388141, 1.0461664, 1.0661461, 1.0872648, 1.0994856", \
+					  "1.0302960, 1.0319112, 1.0384407, 1.0465599, 1.0670273, 1.0869125, 1.1008002");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.0763576, 5.1130993, 5.1896660, 5.3447771, 5.6550307, 6.3007026, 7.7127114", \
+					  "7.8166025, 7.8531510, 7.9296951, 8.0849185, 8.3950855, 9.0423731, 10.4523150", \
+					  "10.2078320, 10.2443320, 10.3195690, 10.4712720, 10.7888390, 11.4358970, 12.8444180", \
+					  "10.7421670, 10.7787410, 10.8511160, 11.0070500, 11.3241110, 11.9714160, 13.3769920", \
+					  "13.9306250, 13.9534610, 14.0453490, 14.2003250, 14.5116400, 15.1589390, 16.5664390", \
+					  "16.5969500, 16.6212860, 16.7134400, 16.8643500, 17.1752440, 17.8219480, 19.2365500", \
+					  "18.6199750, 18.6574450, 18.7316790, 18.8774470, 19.1952300, 19.8440540, 21.2548910", \
+					  "21.4715610, 21.5082030, 21.5647430, 21.7116550, 22.0366550, 22.6899580, 24.1083540", \
+					  "60.2987950, 60.3164170, 60.3164197, 60.5726740, 60.8711870, 61.5202110, 62.9010870", \
+					  "84.9363740, 84.9721860, 85.0019150, 85.0019188, 85.5115450, 86.1626010, 87.5577730", \
+					  "190.1562600, 190.3607900, 190.4441800, 190.6370000, 190.9918000, 191.4202700, 192.9376500", \
+					  "568.3576800, 568.3576966, 568.4674400, 568.6061400, 569.0966400, 569.7299300, 571.2885200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4212255, 0.4564317, 0.5280314, 0.6827377, 1.0393275, 1.8520833, 3.7561594", \
+					  "0.4232254, 0.4562903, 0.5279098, 0.6825938, 1.0334066, 1.8596173, 3.7131389", \
+					  "0.4229929, 0.4561951, 0.5280896, 0.6831706, 1.0327872, 1.8452493, 3.7748884", \
+					  "0.4249673, 0.4572304, 0.5306064, 0.6839773, 1.0325909, 1.8494084, 3.7119661", \
+					  "0.4232570, 0.4564206, 0.5279984, 0.6825467, 1.0344569, 1.8597093, 3.7151782", \
+					  "0.4231310, 0.4564182, 0.5280348, 0.6827450, 1.0339195, 1.8583275, 3.7287915", \
+					  "0.4231377, 0.4563513, 0.5280341, 0.6843007, 1.0364587, 1.8590649, 3.7182435", \
+					  "0.4218282, 0.4564184, 0.5283467, 0.6854980, 1.0368122, 1.8590358, 3.7286076", \
+					  "0.4232563, 0.4564214, 0.5280474, 0.6828913, 1.0351573, 1.8596846, 3.7125503", \
+					  "0.4230819, 0.4564153, 0.5280257, 0.6828055, 1.0336970, 1.8584754, 3.7291588", \
+					  "0.4231742, 0.4563997, 0.5280889, 0.6830423, 1.0341386, 1.8532551, 3.7282919", \
+					  "0.4230540, 0.4564110, 0.5280378, 0.6829202, 1.0345573, 1.8577214, 3.7282346");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.2367750, 5.2616143, 5.3136433, 5.4179523, 5.6162768, 5.9745768, 6.6194348", \
+					  "8.1817175, 8.2073299, 8.2602369, 8.3632141, 8.5652134, 8.9233190, 9.5685904", \
+					  "10.8913380, 10.9118090, 10.9675380, 11.0706270, 11.2739460, 11.6289800, 12.2762140", \
+					  "11.4917820, 11.4951330, 11.5713300, 11.6748430, 11.8759460, 12.2324980, 12.8783060", \
+					  "15.0311850, 15.0611290, 15.1096950, 15.2144960, 15.4147720, 15.7551200, 16.4198430", \
+					  "17.8982000, 17.9366390, 17.9761910, 18.0880680, 18.2870310, 18.6294460, 19.2713600", \
+					  "20.0373660, 20.0525000, 20.1392380, 20.2209180, 20.4445240, 20.8055530, 21.4441940", \
+					  "23.0742870, 23.0742884, 23.1206790, 23.2570050, 23.4329690, 23.8164600, 24.4414800", \
+					  "62.0915300, 62.1089380, 62.1300130, 62.2370070, 62.4912100, 62.8282710, 63.4394150", \
+					  "85.5748470, 85.5944720, 85.6642330, 85.7387120, 85.9661280, 86.3098460, 86.9561920", \
+					  "180.5238000, 180.5560700, 180.6049400, 180.6897700, 180.9404200, 181.3096900, 181.9155800", \
+					  "486.8611500, 487.0836400, 487.0836640, 487.1653800, 487.3596700, 487.6607700, 488.3019100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3234174, 0.3419862, 0.3834112, 0.4728988, 0.6517988, 0.9742871, 1.6631450", \
+					  "0.3241913, 0.3443534, 0.3860392, 0.4741796, 0.6511540, 0.9771847, 1.6651824", \
+					  "0.3234163, 0.3429322, 0.3865708, 0.4750623, 0.6508727, 0.9735117, 1.6653756", \
+					  "0.3235462, 0.3421738, 0.3838525, 0.4731801, 0.6514313, 0.9775781, 1.6567582", \
+					  "0.3231995, 0.3407662, 0.3843201, 0.4747426, 0.6524494, 0.9744922, 1.6576601", \
+					  "0.3230327, 0.3417478, 0.3857009, 0.4750570, 0.6511837, 0.9739960, 1.6633375", \
+					  "0.3232425, 0.3422611, 0.3830326, 0.4752044, 0.6506353, 0.9744252, 1.6632119", \
+					  "0.3230271, 0.3428512, 0.3833827, 0.4743040, 0.6524367, 0.9742418, 1.6639766", \
+					  "0.3232657, 0.3438666, 0.3852832, 0.4750085, 0.6527191, 0.9769764, 1.6655929", \
+					  "0.3235833, 0.3406809, 0.3863638, 0.4769810, 0.6513056, 0.9753407, 1.6638179", \
+					  "0.3225292, 0.3415117, 0.3855025, 0.4751700, 0.6515005, 0.9734351, 1.6624851", \
+					  "0.3233550, 0.3407443, 0.3837642, 0.4751684, 0.6523417, 0.9778389, 1.6642533");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9188471, 4.9549804, 5.0312767, 5.1854383, 5.4965064, 6.1444734, 7.5617610", \
+					  "7.1788748, 7.2153390, 7.2913235, 7.4456734, 7.7565749, 8.4039158, 9.8190291", \
+					  "8.8537480, 8.8900087, 8.9658121, 9.1196819, 9.4304564, 10.0770690, 11.4966210", \
+					  "9.2173051, 9.2534895, 9.3292307, 9.4838537, 9.7943387, 10.4413330, 11.8553080", \
+					  "11.3250780, 11.3611170, 11.4370330, 11.5915270, 11.9006540, 12.5492950, 13.9713760", \
+					  "12.9974640, 13.0347530, 13.1079050, 13.2683750, 13.5763050, 14.2242080, 15.6442560", \
+					  "14.2513840, 14.2841160, 14.3587600, 14.5182510, 14.8230190, 15.4775070, 16.8876930", \
+					  "15.9860040, 16.0248210, 16.1009460, 16.2534160, 16.5630410, 17.2111550, 18.6268300", \
+					  "38.5769030, 38.6143530, 38.6947470, 38.8488350, 39.1312800, 39.7556050, 41.1786270", \
+					  "52.4547660, 52.4594950, 52.5636070, 52.7195000, 53.0320290, 53.6802890, 55.0697800", \
+					  "109.8232800, 109.8383300, 109.9221300, 110.0791900, 110.3735800, 111.0470700, 112.4556800", \
+					  "308.0465100, 308.4513200, 308.4513398, 308.4513703, 308.6426800, 309.3431600, 310.7063000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4176675, 0.4500745, 0.5213447, 0.6784371, 1.0391777, 1.8624734, 3.7353343", \
+					  "0.4167368, 0.4488195, 0.5214111, 0.6781328, 1.0364011, 1.8654382, 3.7850905", \
+					  "0.4133870, 0.4457932, 0.5202133, 0.6804082, 1.0379603, 1.8531261, 3.7249404", \
+					  "0.4150573, 0.4479499, 0.5224920, 0.6806012, 1.0328302, 1.8564483, 3.7270307", \
+					  "0.4167454, 0.4482493, 0.5216980, 0.6796349, 1.0375995, 1.8635604, 3.7664223", \
+					  "0.4166891, 0.4489861, 0.5214033, 0.6804408, 1.0378653, 1.8498351, 3.7713384", \
+					  "0.4162299, 0.4471662, 0.5202256, 0.6795590, 1.0375955, 1.8625005, 3.7627372", \
+					  "0.4167897, 0.4472880, 0.5223354, 0.6801998, 1.0373636, 1.8450304, 3.7700594", \
+					  "0.4164858, 0.4493818, 0.5210405, 0.6803730, 1.0365884, 1.8607614, 3.7268726", \
+					  "0.4145144, 0.4500650, 0.5215092, 0.6801497, 1.0349263, 1.8598396, 3.7501562", \
+					  "0.4151456, 0.4483284, 0.5207619, 0.6808783, 1.0363581, 1.8511788, 3.7338928", \
+					  "0.4167653, 0.4481938, 0.5217778, 0.6785240, 1.0365443, 1.8594359, 3.7454568");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("7.7040747, 7.7292359, 7.7837301, 7.8916589, 8.1050553, 8.4759302, 9.1405639", \
+					  "10.0395620, 10.0620530, 10.1212220, 10.2280440, 10.4410440, 10.8136100, 11.4747630", \
+					  "13.1789080, 13.2050510, 13.2598070, 13.3673620, 13.5920430, 13.9526040, 14.6053130", \
+					  "13.9314490, 13.9603480, 14.0162420, 14.1260350, 14.3352320, 14.7081720, 15.3673750", \
+					  "18.5590420, 18.5769640, 18.6389450, 18.7460820, 18.9588010, 19.3310360, 19.9932570", \
+					  "22.2662330, 22.2873760, 22.3486830, 22.4547900, 22.6648120, 23.0393840, 23.7020430", \
+					  "25.0253900, 25.0269210, 25.1005300, 25.2093440, 25.4186400, 25.7859010, 26.4555790", \
+					  "28.8336410, 28.8582370, 28.9071720, 29.0153930, 29.2263390, 29.5933680, 30.2594470", \
+					  "76.6634830, 76.6995140, 76.7515760, 76.8453180, 77.0456840, 77.4480640, 78.0796800", \
+					  "105.1464600, 105.1705600, 105.2327000, 105.3388300, 105.5515900, 105.9286300, 106.5888100", \
+					  "219.8125800, 219.8215600, 219.8952000, 220.0133600, 220.2415900, 220.5948800, 221.2662100", \
+					  "597.2787600, 597.3038200, 597.4268300, 597.4268494, 597.7467500, 598.0644500, 598.7299700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3237757, 0.3445484, 0.3890809, 0.4870551, 0.6734222, 1.0063631, 1.6915965", \
+					  "0.3245671, 0.3428980, 0.3897500, 0.4861551, 0.6742714, 1.0068871, 1.6913755", \
+					  "0.3247838, 0.3426799, 0.3899411, 0.4858479, 0.6728305, 1.0064132, 1.6914918", \
+					  "0.3246847, 0.3433630, 0.3900386, 0.4871178, 0.6744959, 1.0070221, 1.6914634", \
+					  "0.3245179, 0.3438653, 0.3898971, 0.4863983, 0.6746235, 1.0064382, 1.6912858", \
+					  "0.3245852, 0.3436288, 0.3872779, 0.4858026, 0.6727694, 1.0064193, 1.6927509", \
+					  "0.3245873, 0.3433801, 0.3897806, 0.4854627, 0.6744260, 1.0051214, 1.6881957", \
+					  "0.3238911, 0.3428703, 0.3896718, 0.4864669, 0.6733699, 1.0060003, 1.6912503", \
+					  "0.3247886, 0.3439568, 0.3899587, 0.4870989, 0.6727933, 1.0063234, 1.6879610", \
+					  "0.3246196, 0.3437770, 0.3897766, 0.4859244, 0.6745408, 1.0065652, 1.6913595", \
+					  "0.3251108, 0.3437435, 0.3903413, 0.4862947, 0.6748602, 1.0069152, 1.6935356", \
+					  "0.3253773, 0.3433078, 0.3905752, 0.4866865, 0.6755877, 1.0079090, 1.6920955");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9399884, 4.9763216, 5.0526006, 5.2048130, 5.5181890, 6.1652066, 7.5823312", \
+					  "7.4858688, 7.5219244, 7.5979578, 7.7524600, 8.0620701, 8.7115053, 10.1257360", \
+					  "9.6081560, 9.6442294, 9.7169757, 9.8746593, 10.1849680, 10.8337730, 12.2398520", \
+					  "10.0848280, 10.1209040, 10.1915930, 10.3518700, 10.6633280, 11.3085350, 12.7234300", \
+					  "12.9492610, 12.9869050, 13.0606560, 13.2197510, 13.5308990, 14.1735610, 15.5859750", \
+					  "15.3215980, 15.3589500, 15.4359090, 15.5917390, 15.9000230, 16.5456360, 17.9693720", \
+					  "17.1216680, 17.1593440, 17.2352140, 17.3940840, 17.7047760, 18.3462350, 19.7650750", \
+					  "19.6699460, 19.7083470, 19.7838440, 19.9419810, 20.2500810, 20.8956550, 22.3141310", \
+					  "54.5022020, 54.8216260, 55.0166810, 55.0560980, 55.4927130, 55.7266300, 57.5381340", \
+					  "77.3369560, 77.3369561, 77.3567520, 77.6505900, 77.9415930, 78.5090890, 79.6493540", \
+					  "172.6628100, 172.6628190, 172.6628342, 172.7176900, 173.0030900, 173.8866000, 175.2690800", \
+					  "509.8124200, 509.8398800, 509.9231000, 510.5415000, 510.5477500, 511.0444500, 512.4030100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4178342, 0.4501365, 0.5211704, 0.6812558, 1.0381715, 1.8632880, 3.7554857", \
+					  "0.4141850, 0.4499696, 0.5211576, 0.6797060, 1.0329311, 1.8616284, 3.7246688", \
+					  "0.4157033, 0.4500337, 0.5208746, 0.6801459, 1.0325580, 1.8456502, 3.7463899", \
+					  "0.4152904, 0.4469074, 0.5222290, 0.6796518, 1.0375337, 1.8574137, 3.7259777", \
+					  "0.4148546, 0.4493207, 0.5220610, 0.6773406, 1.0373533, 1.8514729, 3.7182921", \
+					  "0.4164727, 0.4469331, 0.5220521, 0.6808007, 1.0374851, 1.8609798, 3.7586254", \
+					  "0.4145389, 0.4492618, 0.5219274, 0.6798082, 1.0319896, 1.8633155, 3.7330712", \
+					  "0.4167737, 0.4476843, 0.5223396, 0.6796320, 1.0355371, 1.8596975, 3.7322176", \
+					  "0.4171282, 0.4473725, 0.5224990, 0.6804168, 1.0356999, 1.8595805, 3.7341024", \
+					  "0.4163526, 0.4486855, 0.5220099, 0.6786950, 1.0374478, 1.8533236, 3.7550136", \
+					  "0.4155688, 0.4471999, 0.5224836, 0.6796118, 1.0373368, 1.8548020, 3.7323020", \
+					  "0.4161519, 0.4484460, 0.5224390, 0.6808456, 1.0372750, 1.8526092, 3.7362473");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("5.4644445, 5.4902265, 5.5438778, 5.6539031, 5.8642455, 6.2364198, 6.8983188", \
+					  "8.2033473, 8.2290024, 8.2828464, 8.3914840, 8.6025427, 8.9748768, 9.6369073", \
+					  "11.3839280, 11.4107670, 11.4640160, 11.5832640, 11.7839420, 12.1564230, 12.8182600", \
+					  "12.1073230, 12.1356590, 12.1874870, 12.2997910, 12.5071380, 12.8850730, 13.5405980", \
+					  "16.3113450, 16.3378950, 16.3906580, 16.4985200, 16.7075310, 17.0803530, 17.7437050", \
+					  "19.6554450, 19.7026150, 19.7516690, 19.8472580, 20.0585380, 20.4441420, 21.1072370", \
+					  "22.1809630, 22.2127790, 22.2567230, 22.3765610, 22.5848670, 22.9599200, 23.6055350", \
+					  "25.6707010, 25.6904120, 25.7388240, 25.8741830, 26.0595780, 26.4369180, 27.0825090", \
+					  "70.2649030, 70.2976950, 70.3211280, 70.4485000, 70.6724420, 71.0129560, 71.7014640", \
+					  "97.0437740, 97.0800120, 97.0800133, 97.2176220, 97.4380680, 97.8200280, 98.4965710", \
+					  "205.3192500, 205.3472500, 205.3721300, 205.4906600, 205.7225400, 206.0842900, 206.7427000", \
+					  "562.2481500, 562.3323900, 562.3324280, 562.4281700, 562.6402000, 562.9667000, 563.6364900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3232919, 0.3429214, 0.3877421, 0.4867428, 0.6729060, 1.0060572, 1.6878283", \
+					  "0.3226394, 0.3436849, 0.3894012, 0.4867604, 0.6715449, 1.0042813, 1.6904720", \
+					  "0.3228427, 0.3438175, 0.3897621, 0.4849431, 0.6732195, 1.0059178, 1.6928802", \
+					  "0.3245905, 0.3439901, 0.3897882, 0.4854345, 0.6743621, 1.0063270, 1.6913201", \
+					  "0.3239623, 0.3425449, 0.3876817, 0.4851123, 0.6745773, 1.0064838, 1.6907570", \
+					  "0.3243832, 0.3440225, 0.3897926, 0.4855360, 0.6742106, 1.0049280, 1.6911792", \
+					  "0.3243142, 0.3424449, 0.3895762, 0.4863619, 0.6745630, 1.0066484, 1.6912138", \
+					  "0.3239039, 0.3440947, 0.3898049, 0.4865140, 0.6743930, 1.0066562, 1.6910403", \
+					  "0.3228856, 0.3428709, 0.3898460, 0.4864677, 0.6729202, 1.0055301, 1.6904329", \
+					  "0.3239400, 0.3443651, 0.3899835, 0.4867270, 0.6746098, 1.0068156, 1.6913302", \
+					  "0.3228415, 0.3442987, 0.3894013, 0.4871550, 0.6749701, 1.0068537, 1.6916564", \
+					  "0.3253298, 0.3451120, 0.3904914, 0.4873430, 0.6738542, 1.0078026, 1.6922076");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("19.7117020, 19.7479900, 19.8242740, 19.9792380, 20.2891590, 20.9349960, 22.3499870", \
+					  "19.8100170, 19.8467200, 19.9229770, 20.0747380, 20.3845140, 21.0328790, 22.4491340", \
+					  "19.9050070, 19.9405670, 20.0168130, 20.1717540, 20.4818850, 21.1276120, 22.5426680", \
+					  "20.0111540, 20.0491160, 20.1253130, 20.2802040, 20.5901380, 21.2360260, 22.6505890", \
+					  "20.1083150, 20.1440230, 20.2202570, 20.3751770, 20.6851120, 21.3310450, 22.7461040", \
+					  "20.2157260, 20.2512320, 20.3274360, 20.4824730, 20.7924020, 21.4383110, 22.8529210", \
+					  "20.3186800, 20.3540740, 20.4302780, 20.5851690, 20.8951350, 21.5409880, 22.9555520", \
+					  "20.4217850, 20.4591210, 20.5353490, 20.6902690, 21.0002080, 21.6460700, 23.0611870", \
+					  "20.5221000, 20.5554650, 20.6317420, 20.7867090, 21.0966360, 21.7425530, 23.1576960", \
+					  "20.6256750, 20.6629260, 20.7391860, 20.8914890, 21.2039610, 21.8496010, 23.2626980", \
+					  "20.7205100, 20.7566460, 20.8328470, 20.9872390, 21.2943440, 21.9431070, 23.3582170", \
+					  "20.8174600, 20.8548750, 20.9329600, 21.0813390, 21.3913310, 22.0420220, 23.4571780");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4200498, 0.4529484, 0.5251278, 0.6790626, 1.0291470, 1.8421012, 3.7293959", \
+					  "0.4172782, 0.4529352, 0.5251082, 0.6788649, 1.0291471, 1.8422085, 3.7295620", \
+					  "0.4200567, 0.4530295, 0.5250550, 0.6789032, 1.0291835, 1.8421187, 3.7323957", \
+					  "0.4200578, 0.4532228, 0.5248732, 0.6785916, 1.0296308, 1.8423063, 3.7199408", \
+					  "0.4200643, 0.4531083, 0.5249829, 0.6787820, 1.0291997, 1.8423019, 3.7308836", \
+					  "0.4201170, 0.4529675, 0.5250096, 0.6790792, 1.0290975, 1.8421029, 3.7264343", \
+					  "0.4200601, 0.4532210, 0.5248729, 0.6785919, 1.0296335, 1.8423069, 3.7199068", \
+					  "0.4200642, 0.4531712, 0.5249249, 0.6786732, 1.0293307, 1.8423101, 3.7313450", \
+					  "0.4201116, 0.4529477, 0.5251275, 0.6790622, 1.0291471, 1.8421009, 3.7294825", \
+					  "0.4201669, 0.4531636, 0.5246720, 0.6773505, 1.0298278, 1.8420981, 3.7291099", \
+					  "0.4201238, 0.4492913, 0.5218485, 0.6780007, 1.0290975, 1.8418246, 3.7312722", \
+					  "0.4201419, 0.4531530, 0.5250170, 0.6788443, 1.0291896, 1.8420975, 3.7322434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("18.6871980, 18.7212290, 18.7894940, 18.9244850, 19.1919960, 19.6751010, 20.4743640", \
+					  "18.7751660, 18.8044980, 18.8762430, 19.0071000, 19.2791400, 19.7573390, 20.5617460", \
+					  "18.8424510, 18.8736690, 18.9452100, 19.0779910, 19.3469080, 19.8290100, 20.6307540", \
+					  "18.9062950, 18.9410780, 19.0091040, 19.1461820, 19.4106580, 19.8960630, 20.6947150", \
+					  "18.9680680, 18.9997650, 19.0701960, 19.2030960, 19.4725500, 19.9533170, 20.7544430", \
+					  "19.0262810, 19.0591130, 19.1291750, 19.2628310, 19.5307770, 20.0131360, 20.8147140", \
+					  "19.0634440, 19.0978580, 19.1651530, 19.3016220, 19.5676350, 20.0515070, 20.8500110", \
+					  "19.1166580, 19.1482440, 19.2190990, 19.3492480, 19.6205580, 20.0990890, 20.9044850", \
+					  "19.1502070, 19.1841140, 19.2532030, 19.3953210, 19.6546480, 20.1456150, 20.9386840", \
+					  "19.2004330, 19.2288900, 19.3023800, 19.4339600, 19.7048010, 20.1837320, 20.9868210", \
+					  "19.2434580, 19.2741400, 19.3464590, 19.4837760, 19.7478940, 20.2341130, 21.0319250", \
+					  "19.2787870, 19.3121360, 19.3817850, 19.5201950, 19.7832290, 20.2700720, 21.0672650");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4804170, 0.5019549, 0.5508025, 0.6621381, 0.9006913, 1.3049970, 2.0055955", \
+					  "0.4768005, 0.5021137, 0.5490564, 0.6646866, 0.9023517, 1.3035829, 2.0115358", \
+					  "0.4804821, 0.5025371, 0.5511553, 0.6647458, 0.9022900, 1.3030903, 2.0013031", \
+					  "0.4803619, 0.5017618, 0.5497886, 0.6628396, 0.9010752, 1.3050586, 2.0045097", \
+					  "0.4766956, 0.5024637, 0.5515417, 0.6646403, 0.9036484, 1.3038127, 2.0097393", \
+					  "0.4805230, 0.5012646, 0.5510536, 0.6646296, 0.9016126, 1.3031647, 2.0021187", \
+					  "0.4783475, 0.5020228, 0.5505798, 0.6626954, 0.9030012, 1.3050325, 2.0115445", \
+					  "0.4781941, 0.5025282, 0.5507863, 0.6623032, 0.9007059, 1.3049186, 2.0094526", \
+					  "0.4805151, 0.5024395, 0.5506948, 0.6646216, 0.9008241, 1.3032648, 2.0038264", \
+					  "0.4765897, 0.5025304, 0.5516747, 0.6642417, 0.9034085, 1.3050283, 2.0111992", \
+					  "0.4805217, 0.5021517, 0.5506903, 0.6645453, 0.9008329, 1.3019003, 2.0038242", \
+					  "0.4805165, 0.5025293, 0.5506927, 0.6628431, 0.9008312, 1.3050446, 2.0038287");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195242;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7908557, 3.8055553, 3.8265571, 3.9238135, 4.2527895, 5.1919857, 5.6055924", \
+					  "3.7458461, 3.7477877, 3.7680883, 3.8671523, 4.1975330, 5.1341258, 5.5446139", \
+					  "3.6813604, 3.6851005, 3.7064302, 3.8133967, 4.1438837, 5.0941019, 5.4768894", \
+					  "3.6774125, 3.6813746, 3.6994291, 3.8107750, 4.1367993, 5.0962506, 5.4944403", \
+					  "3.6823236, 3.6824441, 3.7048446, 3.8011075, 4.1349173, 5.0681321, 5.4893486", \
+					  "3.6671658, 3.6699665, 3.6909614, 3.8042406, 4.1288616, 5.0678930, 5.4842505", \
+					  "3.6617251, 3.6650263, 3.6886133, 3.7935172, 4.1264831, 5.0736374, 5.4762181");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0440090, 8.0598479, 8.0371551, 8.0764050, 7.9649507, 7.0091159, -9.3686023", \
+					  "8.0269995, 8.0265103, 8.0067813, 8.0418201, 7.9433173, 6.9497102, -9.6718594", \
+					  "7.9975608, 7.9999010, 8.0012428, 8.0139999, 7.9102461, 6.8649655, -9.7428785", \
+					  "7.9453395, 7.9656999, 7.9475816, 7.9844905, 7.8725323, 6.9031848, -9.5309500", \
+					  "7.9158617, 7.9343616, 7.9166493, 7.9506811, 7.8402876, 6.8494985, -9.6773621", \
+					  "7.9012570, 7.9009179, 7.9079782, 7.9239724, 7.8177960, 6.8422782, -9.5703001", \
+					  "7.8567578, 7.8726496, 7.8559694, 7.8897063, 7.7787698, 6.8342797, -9.5074495");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7486342, 3.7496642, 3.7592729, 3.7961626, 3.8334943, 3.8394349, 3.8467662", \
+					  "3.6934922, 3.6940044, 3.7032144, 3.7387542, 3.7790093, 3.8551819, 3.7791437", \
+					  "3.6384681, 3.6473911, 3.6497577, 3.6978486, 3.7323015, 3.7076159, 3.7309740", \
+					  "3.6361065, 3.6437107, 3.6451770, 3.6752224, 3.7185306, 3.7219450, 3.7283205", \
+					  "3.6295615, 3.6336576, 3.6397045, 3.6821727, 3.7111777, 3.7142746, 3.7265590", \
+					  "3.6248910, 3.6265282, 3.6341766, 3.6677240, 3.7059421, 3.3366737, 3.7151886", \
+					  "3.6181571, 3.6223313, 3.6322639, 3.6649209, 3.6986383, 3.7758773, 3.7290922");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7747092, 6.7804390, 6.8424250, 7.1812052, 7.9359982, 7.6229552, 1.7193375", \
+					  "6.7398807, 6.7470251, 6.8080987, 7.1487264, 7.9044725, 7.6111768, 1.6798341", \
+					  "6.7071378, 6.7179893, 6.7762414, 7.1207214, 7.8701471, 7.5787006, 1.6457121", \
+					  "6.6765783, 6.6849015, 6.7459599, 7.0932199, 7.8220050, 7.5300137, 1.3322755", \
+					  "6.6464591, 6.6557087, 6.7166309, 7.0637629, 7.7926902, 7.5005333, 1.6853718", \
+					  "6.6176189, 6.6250539, 6.6813227, 7.0333090, 7.7789729, 7.4572953, 1.4968293", \
+					  "6.5865109, 6.5946563, 6.6559271, 7.0052298, 7.7287612, 7.4421415, 1.2443958");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090391, 0.1090384, 0.1090148, 0.1090645, 0.1090420, 0.1090469, 0.1090112", \
+					  "0.1556652, 0.1556249, 0.1556246, 0.1556673, 0.1556635, 0.1557068, 0.1556630", \
+					  "0.2086185, 0.2086213, 0.2086190, 0.2086103, 0.2085931, 0.2085914, 0.2085856", \
+					  "0.2740147, 0.2740200, 0.2740307, 0.2740695, 0.2741065, 0.2741208, 0.2741176", \
+					  "0.3371048, 0.3371108, 0.3371266, 0.3372027, 0.3373484, 0.3374200, 0.3374318", \
+					  "0.4045220, 0.4045324, 0.4045676, 0.4047355, 0.4050917, 0.4052977, 0.4053360", \
+					  "0.4670853, 0.4670876, 0.4670850, 0.4671757, 0.4676691, 0.4680556, 0.4681451");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5502460, 5.5510539, 5.5543941, 5.5581238, 5.5412076, 5.3106577, -0.9040364", \
+					  "5.5492186, 5.5487819, 5.5526863, 5.5556211, 5.5560187, 5.3085414, -0.9014348", \
+					  "5.5471967, 5.5491769, 5.5503266, 5.5535068, 5.5554805, 5.2938157, -0.7820001", \
+					  "5.5472260, 5.5485942, 5.5498879, 5.5580649, 5.5639101, 5.3393285, -0.9045418", \
+					  "5.5470600, 5.5468991, 5.5494990, 5.5557249, 5.5731871, 5.3582002, -0.9057112", \
+					  "5.5467006, 5.5470302, 5.5475576, 5.5551964, 5.5734075, 5.3094863, -0.9051064", \
+					  "5.5460497, 5.5466022, 5.5492650, 5.5557785, 5.5540923, 5.3182627, -0.9037996");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090802, 0.1090672, 0.1090829, 0.1089932, 0.1090660, 0.1090650, 0.1090702", \
+					  "0.1553375, 0.1553354, 0.1553762, 0.1553790, 0.1553765, 0.1553391, 0.1553336", \
+					  "0.2046526, 0.2045443, 0.2046496, 0.2046528, 0.2046503, 0.2045474, 0.2045433", \
+					  "0.2517335, 0.2516268, 0.2517306, 0.2517347, 0.2517324, 0.2517359, 0.2516279", \
+					  "0.2935009, 0.2934986, 0.2934980, 0.2935018, 0.2934998, 0.2935012, 0.2933955", \
+					  "0.3569398, 0.3569355, 0.3569341, 0.3569353, 0.3569287, 0.3569321, 0.3568051", \
+					  "0.4490565, 0.4490536, 0.4490501, 0.4490437, 0.4490338, 0.4490346, 0.4489665");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4201051, 2.4214842, 2.4221905, 2.4193841, 2.3745862, 2.4373159, 2.4217605", \
+					  "2.4188544, 2.4189912, 2.4217130, 2.4200377, 2.4292424, 2.4345354, 2.4259670", \
+					  "2.4177413, 2.4164506, 2.4194363, 2.4187238, 2.4350987, 2.4235700, 2.4290246", \
+					  "2.4174556, 2.4167958, 2.4187964, 2.4175617, 2.3754979, 2.4271543, 2.4205369", \
+					  "2.4173042, 2.4178368, 2.4187579, 2.4170613, 2.4226127, 2.4262031, 2.4273790", \
+					  "2.4171777, 2.4160621, 2.4183218, 2.4051613, 2.3548315, 2.4250395, 2.4325402", \
+					  "2.4165910, 2.4170750, 2.4179887, 2.4184831, 2.4243571, 2.4337814, 2.4283296");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1443784, 3.1439851, 3.1521201, 3.1897816, 3.4044087, 4.7753455, 5.0553598", \
+					  "3.0878872, 3.0896408, 3.0970246, 3.1410122, 3.3516111, 4.7206731, 5.0037822", \
+					  "3.0335306, 3.0362235, 3.0471670, 3.0840132, 3.2948855, 4.6640570, 4.9456885", \
+					  "3.0289750, 3.0313856, 3.0367721, 3.0774293, 3.2885759, 4.6618581, 4.9375325", \
+					  "3.0242580, 3.0260363, 3.0334722, 3.0697914, 3.2936965, 4.6565035, 4.9360039", \
+					  "3.0200983, 3.0210158, 3.0286301, 3.0636510, 3.2815561, 4.6507809, 4.9337238", \
+					  "3.0144902, 3.0174319, 3.0232269, 3.0672818, 3.2750954, 4.6453575, 4.9278512");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429609, 0.1429645, 0.1429488, 0.1429644, 0.1429652, 0.1429606, 0.1429691", \
+					  "0.1621682, 0.1621688, 0.1621688, 0.1621743, 0.1621513, 0.1621707, 0.1621524", \
+					  "0.1870055, 0.1870042, 0.1869906, 0.1869965, 0.1869502, 0.1869903, 0.1869518", \
+					  "0.2366253, 0.2366256, 0.2366232, 0.2366304, 0.2366325, 0.2366268, 0.2366316", \
+					  "0.3234518, 0.3234520, 0.3234527, 0.3234617, 0.3232096, 0.3234797, 0.3232151", \
+					  "0.5343773, 0.5343775, 0.5343765, 0.5343781, 0.5350147, 0.5343995, 0.5350381", \
+					  "0.6921904, 0.6921875, 0.6921691, 0.6921407, 0.6922556, 0.6918403, 0.6920585");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4567640, 3.4614195, 3.4755838, 3.5371823, 3.6110824, 3.8777141, 5.4765385", \
+					  "3.4014422, 3.4084968, 3.4199599, 3.4852660, 3.5558610, 3.8188229, 5.4214624", \
+					  "3.3516916, 3.3505784, 3.3663212, 3.4267629, 3.5014384, 3.7658063, 5.3672575", \
+					  "3.3485863, 3.3503518, 3.3610741, 3.4166189, 3.4969752, 3.7617326, 5.3610050", \
+					  "3.3378320, 3.3448867, 3.3570512, 3.4145625, 3.4917620, 3.7567315, 5.3562289", \
+					  "3.3379565, 3.3360672, 3.3524675, 3.4085034, 3.4870304, 3.7526968, 5.3529506", \
+					  "3.3332975, 3.3339044, 3.3467284, 3.4029816, 3.4820229, 3.7458375, 5.3480168");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429576, 0.1429585, 0.1429610, 0.1429646, 0.1429631, 0.1429584, 0.1429656", \
+					  "0.1621426, 0.1621661, 0.1621640, 0.1621521, 0.1621771, 0.1621663, 0.1621696", \
+					  "0.1869791, 0.1869808, 0.1869783, 0.1869846, 0.1869854, 0.1869764, 0.1869791", \
+					  "0.2364494, 0.2364504, 0.2364510, 0.2364553, 0.2364530, 0.2364418, 0.2364431", \
+					  "0.3233119, 0.3233127, 0.3233187, 0.3233269, 0.3233725, 0.3233838, 0.3233841", \
+					  "0.5399994, 0.5400901, 0.5399959, 0.5400801, 0.5400904, 0.5401441, 0.5401635", \
+					  "0.7076633, 0.7076610, 0.7076309, 0.7075149, 0.7069778, 0.7065232, 0.7064253");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090789, 0.1090657, 0.1090740, 0.1090657, 0.1090493, 0.1090403, 0.1090661", \
+					  "0.1553736, 0.1553742, 0.1553736, 0.1553741, 0.1553772, 0.1553309, 0.1553336", \
+					  "0.2046481, 0.2046496, 0.2046483, 0.2046498, 0.2046531, 0.2045390, 0.2045445", \
+					  "0.2517301, 0.2517317, 0.2517304, 0.2517329, 0.2517371, 0.2516246, 0.2516301", \
+					  "0.2934989, 0.2935020, 0.2935002, 0.2935011, 0.2935059, 0.2933930, 0.2933986", \
+					  "0.3569306, 0.3569325, 0.3569298, 0.3569287, 0.3569301, 0.3567980, 0.3568047", \
+					  "0.4490862, 0.4490870, 0.4490825, 0.4490746, 0.4490693, 0.4489962, 0.4489998");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4218834, 2.4250243, 2.4233432, 2.4256304, 2.4065998, 2.4208200, 2.4371091", \
+					  "2.4204529, 2.4182210, 2.4198870, 2.4228263, 2.3874854, 2.4381463, 2.4476742", \
+					  "2.4199433, 2.4107167, 2.4169292, 2.4165458, 2.3668868, 2.4246372, 2.4340819", \
+					  "2.4183802, 2.4205443, 2.4186780, 2.4178048, 2.4277664, 2.4273340, 2.4253343", \
+					  "2.4192549, 2.4216378, 2.4173888, 2.4179495, 2.4243517, 2.4353839, 2.4303552", \
+					  "2.4187192, 2.4117554, 2.4170316, 2.4183922, 2.3828861, 2.4190699, 2.4237807", \
+					  "2.4175997, 2.4212021, 2.4174857, 2.4203443, 2.4269445, 2.4313359, 2.4295004");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3969988, 5.3981363, 5.3954280, 5.3653123, 5.2080913, 4.1184460, -12.3346124", \
+					  "5.3965226, 5.3977799, 5.3925688, 5.3622965, 5.2152276, 4.1088070, -12.1238287", \
+					  "5.3950138, 5.3924416, 5.3883134, 5.3625519, 5.2017556, 4.1032845, -12.3418151", \
+					  "5.3933013, 5.3943361, 5.3909681, 5.3625269, 5.1988245, 4.1407408, -12.4674050", \
+					  "5.3947365, 5.3927355, 5.3908841, 5.3600646, 5.2149545, 4.0346834, -12.3997035", \
+					  "5.3941859, 5.3944396, 5.3857833, 5.3591813, 5.1987025, 4.1075558, -12.6231334", \
+					  "5.3949995, 5.3949210, 5.3897962, 5.3588774, 5.2038282, 4.0816599, -12.2373214");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090476, 0.1092098, 0.1090385, 0.1090300, 0.1090377, 0.1090393, 0.1090407", \
+					  "0.1556646, 0.1556631, 0.1556234, 0.1556657, 0.1556278, 0.1556666, 0.1556272", \
+					  "0.2086308, 0.2086299, 0.2086339, 0.2086317, 0.2085182, 0.2086311, 0.2085169", \
+					  "0.2739956, 0.2739953, 0.2740010, 0.2740045, 0.2740128, 0.2740106, 0.2739617", \
+					  "0.3370549, 0.3370563, 0.3370626, 0.3370684, 0.3370782, 0.3370782, 0.3369785", \
+					  "0.4046090, 0.4046099, 0.4046202, 0.4046342, 0.4046541, 0.4046540, 0.4046143", \
+					  "0.4673188, 0.4673181, 0.4673247, 0.4673316, 0.4673427, 0.4673410, 0.4672751");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7351892, 7.7251642, 7.7297959, 7.7140646, 7.5479067, 6.4254738, -10.1363559", \
+					  "7.7024111, 7.7012210, 7.6951166, 7.6765949, 7.5077109, 6.4107303, -10.4081199", \
+					  "7.6579306, 7.6642702, 7.6667534, 7.6503880, 7.4696932, 6.3721540, -9.9584049", \
+					  "7.6419433, 7.6432218, 7.6330684, 7.6178046, 7.4559263, 6.2916540, -9.9708785", \
+					  "7.6105660, 7.6143164, 7.6049836, 7.5849849, 7.4216563, 6.2613080, -10.0142965", \
+					  "7.5835667, 7.5758246, 7.5765090, 7.5610942, 7.3757078, 6.2536761, -10.1509988", \
+					  "7.5497629, 7.5503050, 7.5439507, 7.5326395, 7.3634996, 6.2551609, -10.2832968");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0003955, 3.0006379, 2.9988705, 3.0013351, 3.0118917, 3.0152768, 2.9985006", \
+					  "2.9434687, 2.9461987, 2.9442792, 2.9530704, 3.0038557, 2.9656395, 2.9119286", \
+					  "2.8956046, 2.8914415, 2.8884847, 2.8949277, 2.8968981, 2.8949389, 2.9031006", \
+					  "2.8847262, 2.8836435, 2.8889195, 2.8880474, 2.8922380, 2.8890498, 2.9118883", \
+					  "2.8824625, 2.8839134, 2.8828494, 2.8912669, 2.8826683, 2.8926933, 2.9125001", \
+					  "2.8748623, 2.8749679, 2.8783094, 2.8769253, 2.8822574, 2.8948520, 2.8953017", \
+					  "2.8711309, 2.8701690, 2.8740711, 2.8705268, 2.8778114, 2.8884491, 2.8751846");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090325, 0.1090328, 0.1090274, 0.1090338, 0.1090374, 0.1090060, 0.1090854", \
+					  "0.1556638, 0.1556641, 0.1556215, 0.1556615, 0.1556651, 0.1556611, 0.1554304", \
+					  "0.2086191, 0.2086189, 0.2086171, 0.2086053, 0.2085962, 0.2085861, 0.2084120", \
+					  "0.2740162, 0.2740182, 0.2740297, 0.2740652, 0.2741105, 0.2741159, 0.2740789", \
+					  "0.3371110, 0.3371149, 0.3371296, 0.3372027, 0.3373547, 0.3374196, 0.3372680", \
+					  "0.4045367, 0.4045432, 0.4045795, 0.4047429, 0.4051103, 0.4053048, 0.4053399", \
+					  "0.4671173, 0.4671130, 0.4671116, 0.4671995, 0.4677015, 0.4680805, 0.4678995");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7160201, 6.7217039, 6.7474233, 6.8652692, 6.7728383, 5.5612372, -0.1968575", \
+					  "6.7135858, 6.7195342, 6.7441820, 6.8626554, 6.7606519, 5.5438306, -0.1102560", \
+					  "6.7122080, 6.7182986, 6.7427500, 6.8526534, 6.7691754, 5.5469322, -0.1680864", \
+					  "6.7121496, 6.7181704, 6.7427132, 6.8610652, 6.7688915, 5.5525919, -0.1047361", \
+					  "6.7123800, 6.7179814, 6.7430000, 6.8521566, 6.7536998, 5.5456622, -0.2675021", \
+					  "6.7115149, 6.7176741, 6.7424004, 6.8629151, 6.7689716, 5.5404773, -0.2059874", \
+					  "6.7110666, 6.7170735, 6.7426555, 6.8601218, 6.7591666, 5.5608907, -0.1111942");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7455550, 7.7205672, 7.7172461, 7.7073425, 7.5536460, 6.4349389, -9.9459373", \
+					  "7.6897849, 7.7009522, 7.6779289, 7.6828512, 7.5151685, 6.3519948, -10.3245269", \
+					  "7.6686018, 7.6645477, 7.6653665, 7.6499769, 7.4819924, 6.3659060, -10.2782818", \
+					  "7.6432660, 7.6430986, 7.6347789, 7.6204639, 7.4559948, 6.3285390, -10.1243755", \
+					  "7.6128298, 7.6070453, 7.6051866, 7.5850491, 7.4218133, 6.3079135, -10.0649392", \
+					  "7.5834488, 7.5820610, 7.5787286, 7.5590768, 7.3736331, 6.2129734, -10.3541270", \
+					  "7.5497100, 7.5504941, 7.5438943, 7.5322223, 7.3636410, 6.2899113, -10.1115704");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0004296, 3.0013793, 3.0012820, 3.0057343, 3.0416689, 3.0132453, 3.0255366", \
+					  "2.9453478, 2.9461269, 2.9447068, 2.9527683, 2.9947918, 2.9737788, 2.9291516", \
+					  "2.8932591, 2.8914378, 2.8885831, 2.8900646, 2.8969462, 2.9098129, 2.9048787", \
+					  "2.8836711, 2.8838485, 2.8886569, 2.8878609, 2.8922521, 2.9089044, 2.9030130", \
+					  "2.8816999, 2.8812504, 2.8831529, 2.8852071, 2.8876127, 2.8840001, 2.8941446", \
+					  "2.8763958, 2.8742209, 2.8784135, 2.8809380, 2.8855872, 2.8825872, 2.8930075", \
+					  "2.8710015, 2.8701500, 2.8629496, 2.8710403, 2.8780022, 2.8626534, 2.8838246");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7056356, 6.7183962, 6.7745722, 7.1168938, 7.8675278, 7.5663043, 1.7381046", \
+					  "6.6728405, 6.6847694, 6.7415529, 7.0817652, 7.8352198, 7.5333076, 1.6898053", \
+					  "6.6389892, 6.6479369, 6.7100174, 7.0482664, 7.8116896, 7.4940461, 1.5799486", \
+					  "6.6127006, 6.6170218, 6.6794428, 7.0226591, 7.7753227, 7.4932055, 1.5527721", \
+					  "6.5792633, 6.5887193, 6.6464388, 6.9939242, 7.7462795, 7.5081835, 1.5917002", \
+					  "6.5496603, 6.5602820, 6.6201121, 6.9592905, 7.7155028, 7.4916073, 1.4188481", \
+					  "6.5208368, 6.5267757, 6.5895440, 6.9328892, 7.6823626, 7.4220647, 1.3754577");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1759969, 0.1759917, 0.1759537, 0.1756265, 0.1752103, 0.1750264, 0.1749879", \
+					  "0.1916089, 0.1915863, 0.1915179, 0.1912994, 0.1906115, 0.1902455, 0.1901613", \
+					  "0.2015665, 0.2015632, 0.2015503, 0.2014927, 0.2008110, 0.2002938, 0.2001617", \
+					  "0.2415160, 0.2415386, 0.2416536, 0.2417386, 0.2414206, 0.2408805, 0.2407246", \
+					  "0.2736501, 0.2735871, 0.2736385, 0.2739279, 0.2740944, 0.2736845, 0.2734462", \
+					  "0.3101463, 0.3101673, 0.3102072, 0.3103941, 0.3110463, 0.3108479, 0.3107212", \
+					  "0.4072829, 0.4072198, 0.4068596, 0.4056876, 0.4046439, 0.4040420, 0.4038179");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.1970446, 8.2031083, 8.2520570, 8.4629291, 8.8072006, 8.4598777, 2.8446383", \
+					  "8.1635179, 8.1717787, 8.2216312, 8.4412168, 8.7850988, 8.4369858, 2.8077572", \
+					  "8.1316359, 8.1397639, 8.1898029, 8.4095820, 8.7365929, 8.4096600, 2.6364008", \
+					  "8.1005036, 8.1077955, 8.1578674, 8.3667472, 8.7355095, 8.3744632, 2.7547842", \
+					  "8.0780427, 8.0785371, 8.1288814, 8.3367247, 8.6934445, 8.3255817, 2.7254626", \
+					  "8.0412015, 8.0496210, 8.0993442, 8.3132088, 8.6466842, 8.2999494, 2.6175463", \
+					  "8.0145777, 8.0197117, 8.0693404, 8.2813479, 8.6151763, 8.2956741, 2.6672729");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5443809, 4.5487642, 4.5854718, 4.7175328, 5.0820585, 6.0390005, 6.4522767", \
+					  "4.4863376, 4.4977933, 4.5308866, 4.6563643, 5.0255005, 5.9838832, 6.3872324", \
+					  "4.4339507, 4.4383448, 4.4760832, 4.6112919, 4.9733101, 5.9210151, 6.3339105", \
+					  "4.4315527, 4.4378302, 4.4701659, 4.6053551, 4.9695009, 5.9249124, 6.3327385", \
+					  "4.4246295, 4.4313741, 4.4649185, 4.5983339, 4.9621050, 5.9163013, 6.3237263", \
+					  "4.4191441, 4.4239224, 4.4664071, 4.5956887, 4.9572389, 5.9019485, 6.3133069", \
+					  "4.4140127, 4.4184700, 4.4527976, 4.5897371, 4.9516455, 5.9028478, 6.3205141");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090519, 0.1090103, 0.1090614, 0.1090730, 0.1090578, 0.1090748, 0.1090497", \
+					  "0.1553346, 0.1553738, 0.1553740, 0.1553334, 0.1553755, 0.1553744, 0.1553740", \
+					  "0.2045390, 0.2046431, 0.2046422, 0.2045398, 0.2046449, 0.2046432, 0.2046427", \
+					  "0.2517338, 0.2517315, 0.2517307, 0.2517345, 0.2517338, 0.2517325, 0.2517318", \
+					  "0.2935092, 0.2935083, 0.2935063, 0.2935101, 0.2935099, 0.2935066, 0.2935063", \
+					  "0.3568994, 0.3568965, 0.3568954, 0.3569005, 0.3568978, 0.3568946, 0.3568937", \
+					  "0.4488763, 0.4488741, 0.4488727, 0.4488722, 0.4488605, 0.4488516, 0.4488489");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0267468, 3.0377003, 3.0449093, 3.1042527, 3.1826262, 3.4491647, 5.0494946", \
+					  "3.0252031, 3.0359697, 3.0430042, 3.1060393, 3.1802020, 3.4479424, 5.0489257", \
+					  "3.0308687, 3.0283947, 3.0406811, 3.1047833, 3.1790242, 3.4463850, 5.0457866", \
+					  "3.0240310, 3.0291115, 3.0407732, 3.1025320, 3.1799835, 3.4462306, 5.0472049", \
+					  "3.0224427, 3.0338429, 3.0402135, 3.1042618, 3.1799600, 3.4453501, 5.0457813", \
+					  "3.0219971, 3.0287031, 3.0404096, 3.1044895, 3.1785958, 3.4432482, 5.0413115", \
+					  "3.0238422, 3.0283180, 3.0407692, 3.1019579, 3.1787010, 3.4448245, 5.0452190");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7167892, 2.7179673, 2.7250057, 2.7685397, 2.9776793, 4.3478992, 4.6302115", \
+					  "2.7141891, 2.7148203, 2.7227016, 2.7624205, 2.9817487, 4.3451171, 4.6326467", \
+					  "2.7140147, 2.7150410, 2.7222271, 2.7615062, 2.9697886, 4.3439735, 4.6246526", \
+					  "2.7118887, 2.7137748, 2.7214445, 2.7617033, 2.9778459, 4.3449954, 4.6272756", \
+					  "2.7129906, 2.7150261, 2.7217731, 2.7709638, 2.9761918, 4.3441403, 4.6259448", \
+					  "2.7117398, 2.7135773, 2.7212433, 2.7603776, 2.9765285, 4.3435203, 4.6275318", \
+					  "2.7117351, 2.7142194, 2.7206154, 2.7710707, 2.9768375, 4.3441791, 4.6263561");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090739, 0.1090733, 0.1090460, 0.1090676, 0.1090685, 0.1090720, 0.1090727", \
+					  "0.1553792, 0.1553775, 0.1553788, 0.1553758, 0.1553341, 0.1553763, 0.1553395", \
+					  "0.2046506, 0.2046490, 0.2046505, 0.2046466, 0.2045399, 0.2046476, 0.2045446", \
+					  "0.2517333, 0.2517313, 0.2517328, 0.2517288, 0.2516239, 0.2517300, 0.2516257", \
+					  "0.2935072, 0.2935059, 0.2935083, 0.2935030, 0.2933993, 0.2935062, 0.2934040", \
+					  "0.3569260, 0.3569235, 0.3569255, 0.3569202, 0.3567970, 0.3569206, 0.3568040", \
+					  "0.4492822, 0.4492805, 0.4492819, 0.4492770, 0.4492085, 0.4492733, 0.4492108");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090486, 0.1090948, 0.1090370, 0.1090655, 0.1089987, 0.1090186, 0.1090824", \
+					  "0.1553804, 0.1553463, 0.1553347, 0.1553321, 0.1553391, 0.1553749, 0.1553753", \
+					  "0.2046506, 0.2046581, 0.2045374, 0.2046447, 0.2045437, 0.2046441, 0.2047203", \
+					  "0.2517409, 0.2517491, 0.2516303, 0.2517342, 0.2516374, 0.2517346, 0.2517345", \
+					  "0.2935093, 0.2935145, 0.2933977, 0.2935033, 0.2934039, 0.2935036, 0.2935011", \
+					  "0.3571117, 0.3569203, 0.3567808, 0.3569021, 0.3567866, 0.3568983, 0.3568985", \
+					  "0.4486488, 0.4486551, 0.4485791, 0.4486378, 0.4485665, 0.4486139, 0.4486112");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4331717, 2.4312977, 2.4361780, 2.4565809, 2.5903279, 3.4544622, 4.2249164", \
+					  "2.4304584, 2.4301891, 2.4339708, 2.4551283, 2.5852458, 3.4308242, 4.2291383", \
+					  "2.4285608, 2.4291514, 2.4323761, 2.4539330, 2.5870488, 3.4603218, 4.2198310", \
+					  "2.4282489, 2.4285783, 2.4308408, 2.4542151, 2.5845097, 3.4465812, 4.2177972", \
+					  "2.4286831, 2.4286632, 2.4308702, 2.4535549, 2.5934753, 3.4465093, 4.2161411", \
+					  "2.4280447, 2.4285174, 2.4316306, 2.4537413, 2.5870030, 3.4415432, 4.2176097", \
+					  "2.4256662, 2.4276735, 2.4307006, 2.4520505, 2.5858209, 3.4462086, 4.2183821");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1089647, 0.1085551, 0.1090392, 0.1090381, 0.1090545, 0.1093760, 0.1089721", \
+					  "0.1556657, 0.1556594, 0.1556638, 0.1556635, 0.1556241, 0.1555483, 0.1555883", \
+					  "0.2086205, 0.2086191, 0.2086158, 0.2086069, 0.2084816, 0.2083966, 0.2083680", \
+					  "0.2740128, 0.2740893, 0.2740232, 0.2740620, 0.2740550, 0.2740256, 0.2739766", \
+					  "0.3371024, 0.3369995, 0.3371183, 0.3371961, 0.3372423, 0.3372523, 0.3372451", \
+					  "0.4045220, 0.4044741, 0.4045607, 0.4047284, 0.4050505, 0.4052251, 0.4052720", \
+					  "0.4670869, 0.4676916, 0.4670816, 0.4671710, 0.4676014, 0.4683085, 0.4680687");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522396, 5.5513454, 5.5540498, 5.5590987, 5.5687620, 5.2963692, -0.5292242", \
+					  "5.5486189, 5.5488131, 5.5513302, 5.5640102, 5.5635583, 5.2939224, -0.6871544", \
+					  "5.5461073, 5.5493951, 5.5505435, 5.5529794, 5.5427194, 5.2940078, -0.8553861", \
+					  "5.5475941, 5.5462653, 5.5486762, 5.5526366, 5.5442860, 5.3159652, -0.5024012", \
+					  "5.5470617, 5.5483896, 5.5505481, 5.5568321, 5.5462282, 5.3140580, -0.4959330", \
+					  "5.5472150, 5.5468242, 5.5497346, 5.5548967, 5.5478919, 5.2920935, -0.6288515", \
+					  "5.5472618, 5.5465354, 5.5493032, 5.5558075, 5.5623427, 5.2958202, -0.7191433");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8012743, 7.8094015, 7.8474180, 8.0380945, 8.3145018, 8.1401977, 2.1112345", \
+					  "7.7682568, 7.7768836, 7.8149504, 8.0107590, 8.2820481, 7.8171431, 2.1381428", \
+					  "7.7370782, 7.7454743, 7.7826954, 7.9785448, 8.2513691, 7.7734589, 2.0995943", \
+					  "7.7071212, 7.7142856, 7.7523489, 7.9484394, 8.2190979, 7.7723797, 2.0663946", \
+					  "7.6769703, 7.6825076, 7.7220653, 7.9071421, 8.1910400, 7.7149304, 1.9607436", \
+					  "7.6471114, 7.6549185, 7.6926132, 7.8875935, 8.1339234, 7.6956880, 1.9936790", \
+					  "7.6159678, 7.6242025, 7.6619163, 7.8578732, 8.1306611, 7.6679930, 1.9752243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1760153, 0.1759922, 0.1759557, 0.1756347, 0.1752159, 0.1750212, 0.1748452", \
+					  "0.1916122, 0.1915880, 0.1914378, 0.1913082, 0.1906130, 0.1902216, 0.1902005", \
+					  "0.2015687, 0.2015617, 0.2016115, 0.2014940, 0.2008138, 0.2002905, 0.1999988", \
+					  "0.2415244, 0.2415445, 0.2416543, 0.2417444, 0.2414246, 0.2408800, 0.2406732", \
+					  "0.2735923, 0.2736012, 0.2736478, 0.2739419, 0.2741057, 0.2736928, 0.2732950", \
+					  "0.3101751, 0.3101958, 0.3102316, 0.3105035, 0.3110719, 0.3108716, 0.3106384", \
+					  "0.4072852, 0.4072212, 0.4070006, 0.4056915, 0.4046496, 0.4040168, 0.4039253");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090133, 0.1090171, 0.1090377, 0.1089893, 0.1090347, 0.1090386, 0.1090354", \
+					  "0.1557298, 0.1556695, 0.1556669, 0.1556636, 0.1556621, 0.1556648, 0.1556198", \
+					  "0.2086231, 0.2086247, 0.2086200, 0.2086079, 0.2085930, 0.2085903, 0.2085863", \
+					  "0.2740130, 0.2740171, 0.2740248, 0.2740607, 0.2741003, 0.2741140, 0.2741127", \
+					  "0.3371055, 0.3371071, 0.3371218, 0.3370258, 0.3373416, 0.3374164, 0.3374250", \
+					  "0.4045250, 0.4045340, 0.4045658, 0.4047281, 0.4050880, 0.4052755, 0.4053541", \
+					  "0.4670916, 0.4670892, 0.4670841, 0.4671708, 0.4676667, 0.4680523, 0.4681448");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522873, 5.5506428, 5.5535636, 5.5601270, 5.5426123, 5.3263253, -0.8296479", \
+					  "5.5493613, 5.5482567, 5.5513719, 5.5583251, 5.5450145, 5.2834443, -0.6087420", \
+					  "5.5470736, 5.5480589, 5.5491539, 5.5563335, 5.5667150, 5.3214481, -0.9072857", \
+					  "5.5482191, 5.5469532, 5.5483370, 5.5555578, 5.5643429, 5.2921344, -0.9114474", \
+					  "5.5485826, 5.5468869, 5.5503906, 5.5536307, 5.5428546, 5.3214397, -0.5376775", \
+					  "5.5477883, 5.5464309, 5.5492949, 5.5545953, 5.5454598, 5.3131570, -0.6927734", \
+					  "5.5454573, 5.5461516, 5.5480299, 5.5541027, 5.5567863, 5.3181209, -0.9191256");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090472, 0.1090711, 0.1090414, 0.1090677, 0.1090711, 0.1090683, 0.1090595", \
+					  "0.1553411, 0.1553777, 0.1553723, 0.1553373, 0.1553763, 0.1553737, 0.1553746", \
+					  "0.2045508, 0.2046530, 0.2046461, 0.2045478, 0.2046515, 0.2045666, 0.2046494", \
+					  "0.2516317, 0.2517347, 0.2517276, 0.2516323, 0.2517344, 0.2517312, 0.2517321", \
+					  "0.2934032, 0.2928083, 0.2934953, 0.2934003, 0.2935013, 0.2935015, 0.2935006", \
+					  "0.3568254, 0.3569410, 0.3569303, 0.3568159, 0.3569318, 0.3569270, 0.3569277", \
+					  "0.4489993, 0.4490600, 0.4490506, 0.4489833, 0.4490384, 0.4490334, 0.4490339");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4199110, 2.4201688, 2.4229010, 2.4216195, 2.4292326, 2.4205310, 2.4366505", \
+					  "2.4187945, 2.4190274, 2.4219749, 2.4201483, 2.4249188, 2.4111563, 2.4562804", \
+					  "2.4176619, 2.4158962, 2.4174394, 2.4143664, 2.4237831, 2.4503554, 2.3941360", \
+					  "2.4173340, 2.4166759, 2.4183161, 2.4166364, 2.4238882, 2.4213568, 2.4345320", \
+					  "2.4180410, 2.4164700, 2.4185645, 2.4210696, 2.4244445, 2.4304877, 2.4359624", \
+					  "2.4172230, 2.4161410, 2.4181949, 2.4163333, 2.4233518, 2.4239963, 2.4267647", \
+					  "2.4190948, 2.4159155, 2.4178413, 2.4163594, 2.4279931, 2.4209490, 2.4437715");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7161892, 6.7205746, 6.7460395, 6.8645883, 6.7730443, 5.5729023, -0.1109154", \
+					  "6.7138278, 6.7198413, 6.7440281, 6.8511767, 6.7531401, 5.5462865, -0.0990544", \
+					  "6.7123537, 6.7126000, 6.7430943, 6.8613296, 6.7710086, 5.5530896, -0.2514641", \
+					  "6.7121023, 6.7179861, 6.7411659, 6.8614711, 6.7703895, 5.4974923, -0.1694732", \
+					  "6.7128037, 6.7182833, 6.7420598, 6.8600392, 6.7683391, 5.5707268, -0.3098450", \
+					  "6.7117097, 6.7176550, 6.7424485, 6.8604618, 6.7546220, 5.5567464, -0.2623019", \
+					  "6.7113485, 6.7169273, 6.7417009, 6.8606735, 6.7678454, 5.5420113, -0.1108244");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090093, 0.1090539, 0.1090361, 0.1090114, 0.1090143, 0.1090137, 0.1090371", \
+					  "0.1556662, 0.1556252, 0.1556638, 0.1556665, 0.1556189, 0.1556603, 0.1556222", \
+					  "0.2086239, 0.2086460, 0.2086193, 0.2086135, 0.2085953, 0.2085877, 0.2084744", \
+					  "0.2740112, 0.2740146, 0.2741425, 0.2740644, 0.2741005, 0.2741089, 0.2740629", \
+					  "0.3371031, 0.3371084, 0.3371201, 0.3372014, 0.3373448, 0.3374126, 0.3373267", \
+					  "0.4045374, 0.4045448, 0.4045754, 0.4047469, 0.4051024, 0.4053011, 0.4053063", \
+					  "0.4671195, 0.4671163, 0.4671103, 0.4672028, 0.4676969, 0.4680785, 0.4681054");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7517060, 3.7561850, 3.7573738, 3.7965616, 3.8314560, 3.8116988, 3.8491658", \
+					  "3.6957057, 3.6975744, 3.7051951, 3.7436838, 3.7752037, 3.8117197, 3.7974273", \
+					  "3.6422637, 3.6442508, 3.6513558, 3.6840133, 3.7340119, 3.9124338, 3.7348229", \
+					  "3.6362492, 3.6352149, 3.6460712, 3.6856940, 3.7210355, 3.7491168, 3.7386131", \
+					  "3.6326181, 3.6313192, 3.6432125, 3.6842149, 3.7152161, 3.7050107, 3.7326319", \
+					  "3.6276206, 3.6296121, 3.6380428, 3.6698963, 3.7102169, 3.7983118, 3.7239051", \
+					  "3.6240577, 3.6267652, 3.6311966, 3.6658407, 3.7031928, 3.7636436, 3.7184896");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8694438, 7.8772032, 7.9141606, 8.1035369, 8.3803901, 7.9167089, 2.2269035", \
+					  "7.8363725, 7.8439090, 7.8814831, 8.0668418, 8.3491368, 7.9090704, 2.1888727", \
+					  "7.8043387, 7.8124043, 7.8496054, 8.0345521, 8.3169373, 7.8598828, 2.1706453", \
+					  "7.7742142, 7.7820361, 7.8170888, 7.9998523, 8.2864347, 7.8212443, 2.1105769", \
+					  "7.7450417, 7.7451788, 7.7877255, 7.9704005, 8.2572240, 7.8017446, 1.9853491", \
+					  "7.7075205, 7.7222952, 7.7591129, 7.9468256, 8.2249460, 8.0473737, 2.0709904", \
+					  "7.6839707, 7.6920163, 7.7286580, 7.9096313, 8.1962397, 8.0159039, 2.0186765");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1009938, 7.1156934, 7.1779388, 7.5549297, 8.3639319, 8.2533259, 2.0451986", \
+					  "7.0722667, 7.0818840, 7.1439247, 7.5171816, 8.3374066, 8.1671990, 2.2167507", \
+					  "7.0351029, 7.0435371, 7.1107893, 7.4883536, 8.2926867, 8.1223152, 2.1836635", \
+					  "7.0045170, 7.0141859, 7.0831880, 7.4473342, 8.2771661, 8.0881480, 2.2181426", \
+					  "6.9791466, 6.9847875, 7.0534829, 7.4227943, 8.2476492, 8.0977596, 1.9100870", \
+					  "6.9449422, 6.9570570, 7.0227497, 7.3868890, 8.2099372, 8.0291461, 2.0918086", \
+					  "6.9128310, 6.9255543, 6.9913745, 7.3617423, 8.1843005, 8.0690940, 1.8696468");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7618433, 3.7631062, 3.7744955, 3.8239737, 3.9923585, 4.8665070, 5.6360125", \
+					  "3.7047401, 3.7068513, 3.7187168, 3.7691500, 3.9369411, 4.8084714, 5.5830324", \
+					  "3.6512998, 3.6546531, 3.6645709, 3.7130113, 3.8818108, 4.7586940, 5.5132878", \
+					  "3.6505498, 3.6513565, 3.6603848, 3.7108484, 3.8767604, 4.7505796, 5.5407713", \
+					  "3.6433010, 3.6426032, 3.6549089, 3.7053833, 3.8713664, 4.7446877, 5.5120084", \
+					  "3.6367088, 3.6387320, 3.6495380, 3.6999386, 3.8670341, 4.7401643, 5.5100215", \
+					  "3.6328407, 3.6348655, 3.6448458, 3.6952191, 3.8620531, 4.7366786, 5.5109347");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0116743, 3.0124664, 3.0153371, 3.0353240, 3.1692227, 4.0304253, 4.7826331", \
+					  "2.9567794, 2.9562323, 2.9596870, 2.9824717, 3.1173643, 3.9572933, 4.6981994", \
+					  "2.9020168, 2.9028831, 2.9058157, 2.9275231, 3.0602772, 3.9273282, 4.6890791", \
+					  "2.8972702, 2.8975753, 2.9018005, 2.9238640, 3.0542727, 3.9191180, 4.6791485", \
+					  "2.8922707, 2.8926755, 2.8959847, 2.9185808, 3.0451581, 3.8931371, 4.6370998", \
+					  "2.8874898, 2.8880202, 2.8908554, 2.9110462, 3.0462643, 3.8998694, 4.6806927", \
+					  "2.8816154, 2.8826181, 2.8857009, 2.9058209, 3.0456268, 3.9003047, 4.6673096");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0392749, 8.0550141, 8.0621811, 8.0807999, 7.9612714, 7.0032478, -9.1875275", \
+					  "8.0031792, 8.0206227, 8.0031618, 8.0412017, 7.9313885, 7.0047304, -9.5802738", \
+					  "7.9919256, 7.9978202, 7.9709025, 8.0118567, 7.8942797, 6.9006002, -9.4788007", \
+					  "7.9423584, 7.9585060, 7.9664710, 7.9809959, 7.8653375, 6.8664226, -9.6456673", \
+					  "7.9134764, 7.9303423, 7.9367799, 7.9509048, 7.8425930, 6.8582814, -9.4207574", \
+					  "7.9032737, 7.9067743, 7.9047404, 7.9217892, 7.8154914, 6.8372635, -9.6464415", \
+					  "7.8708151, 7.8782831, 7.8421089, 7.8941602, 7.7723756, 6.7673181, -9.7943025");
+				}
+			}*/
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7807288, 2.7829407, 2.7897910, 2.8239007, 2.9415865, 3.4736542, 3.8978301", \
+					  "2.8253941, 2.8280379, 2.8348792, 2.8696082, 2.9873459, 3.5182498, 3.9487403", \
+					  "2.8781481, 2.8777360, 2.8857455, 2.9199476, 3.0318775, 3.5737418, 3.9908405", \
+					  "2.9423644, 2.9438657, 2.9499710, 2.9847871, 3.1034609, 3.6380450, 4.0590252", \
+					  "3.0059836, 3.0078295, 3.0142878, 3.0512835, 3.1759186, 3.7014160, 4.1245358", \
+					  "3.0728864, 3.0735321, 3.0816295, 3.1135274, 3.2303470, 3.7650116, 4.1912316", \
+					  "3.1346197, 3.1363226, 3.1430137, 3.1808888, 3.3014640, 3.8308664, 4.2544363");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9490117, 5.9496325, 5.9598815, 5.9823177, 5.8894777, 5.0082048, -5.0782523", \
+					  "5.9928990, 5.9943678, 6.0006722, 6.0248723, 5.9349432, 5.0459392, -4.9828927", \
+					  "6.0401896, 6.0413403, 6.0482382, 6.0713220, 5.9839402, 5.0980336, -5.0088822", \
+					  "6.0870493, 6.0888325, 6.0957005, 6.1203075, 6.0306691, 5.1417887, -4.9654083", \
+					  "6.1291199, 6.1303762, 6.1371990, 6.1616358, 6.0698884, 5.2002129, -4.8563066", \
+					  "6.1922250, 6.1932242, 6.1997402, 6.2258378, 6.1332503, 5.2477477, -4.9085676", \
+					  "6.2835583, 6.2844475, 6.2912519, 6.3161402, 6.2255157, 5.3417697, -4.7521956");
+				}
+			}*/
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9271790, 5.9325245, 5.9497123, 6.0297771, 6.2634762, 7.1351136, 7.8463692", \
+					  "5.8407114, 5.8456468, 5.8624524, 5.9453835, 6.1864827, 7.0683428, 7.7393893", \
+					  "5.7544301, 5.7575716, 5.7746219, 5.8576400, 6.0862314, 6.9927314, 7.6666749", \
+					  "5.7596900, 5.7632291, 5.7802932, 5.8608664, 6.0882976, 6.9775380, 7.6848115", \
+					  "5.7630332, 5.7662248, 5.7845643, 5.8664151, 6.0906467, 6.9631415, 7.6778321", \
+					  "5.7654424, 5.7672361, 5.7880401, 5.8665750, 6.0956845, 6.9245829, 7.6872848", \
+					  "5.7894828, 5.7926955, 5.8093757, 5.8897109, 6.1183108, 7.0172243, 7.7108631");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.7165080, 12.7251263, 12.7734766, 13.0536884, 13.4972089, 12.5196775, -4.2778452", \
+					  "12.6666368, 12.6799818, 12.7198271, 13.0055475, 13.4525494, 12.4193976, -4.4898825", \
+					  "12.6240477, 12.6346050, 12.6828976, 12.9633705, 13.4015949, 12.3526093, -4.4614117", \
+					  "12.5869361, 12.6006381, 12.6484783, 12.9258488, 13.3730687, 12.3237206, -4.4606418", \
+					  "12.5674035, 12.5780449, 12.6275993, 12.9021929, 13.3502099, 12.3120997, -4.4453403", \
+					  "12.5923296, 12.6015455, 12.6529306, 12.9279179, 13.3612833, 12.3736324, -4.4928273", \
+					  "12.5935991, 12.6057495, 12.6470806, 12.9328496, 13.3691701, 12.4096508, -4.5245769");
+				}
+			}*/
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0925577, 8.0962197, 8.0962205, 8.1152685, 8.1152692, 8.1152702, 8.1152711", \
+					  "8.2217415, 8.2370253, 8.2591196, 8.2591205, 8.2591215, 8.2591224, 8.2615177", \
+					  "8.3688612, 8.3761993, 8.3762002, 8.3791125, 8.3791128, 8.3791137, 8.4079792", \
+					  "8.4639034, 8.4715275, 8.4715276, 8.4715286, 8.4715295, 8.4715305, 8.5601544", \
+					  "8.5244736, 8.5244737, 8.5244747, 8.5244756, 8.5244766, 8.5244775, 8.6124397", \
+					  "8.6152907, 8.6239558, 8.6239563, 8.6239572, 8.6239582, 8.6239591, 8.6562029", \
+					  "8.6549656, 8.6618916, 8.6618925, 8.6618934, 8.6618944, 8.6618953, 8.7217442");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9079419, 7.9137905, 7.9502049, 8.1784348, 9.8011208, 20.5281270, 89.3495620", \
+					  "8.0554994, 8.0613392, 8.0971683, 8.3252782, 9.9480264, 20.7085070, 89.4432330", \
+					  "8.1690219, 8.1749221, 8.2109679, 8.4394944, 10.0609120, 20.7887540, 89.6103030", \
+					  "8.2661895, 8.2720435, 8.3080050, 8.5364533, 10.1593810, 20.8844710, 89.7060200", \
+					  "8.3435632, 8.3494056, 8.3853173, 8.6131209, 10.2360230, 20.9637820, 89.7853450", \
+					  "8.4209022, 8.4274365, 8.4625986, 8.6908125, 10.3125850, 21.0391300, 89.8608970", \
+					  "8.4906659, 8.4965777, 8.5324841, 8.7612300, 10.3833160, 21.1107860, 89.9306230");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0181908, 1.0332472, 1.1285961, 1.7191936, 5.7588627, 31.6356330, 191.7248500", \
+					  "1.0206945, 1.0356206, 1.1290250, 1.7198915, 5.7583787, 31.6323470, 191.4653000", \
+					  "1.0201110, 1.0346286, 1.1279758, 1.7193602, 5.7587228, 31.6433170, 191.7255200", \
+					  "1.0203463, 1.0348470, 1.1281910, 1.7193178, 5.7592593, 31.6144620, 191.4317400", \
+					  "1.0209459, 1.0357609, 1.1289090, 1.7200286, 5.7587761, 31.6434130, 191.7266400", \
+					  "1.0198550, 1.0355095, 1.1285566, 1.7193924, 5.7585106, 31.6394550, 191.7301900", \
+					  "1.0206074, 1.0355599, 1.1280243, 1.7199202, 5.7585541, 31.6433950, 191.7216000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.5694500, 101.5785100, 101.6482000, 102.0501900, 104.7021900, 122.5145100, 158.9042900", \
+					  "101.7508400, 101.7650000, 101.8318400, 102.2228800, 104.8880000, 122.7266500, 159.0878900", \
+					  "101.9379100, 101.9512200, 102.0178600, 102.4250100, 105.0686900, 122.8896900, 159.2727700", \
+					  "102.1320900, 102.1462900, 102.2136300, 102.6223000, 105.2574500, 123.0791800, 159.4609100", \
+					  "102.2990800, 102.3178600, 102.3845100, 102.7873500, 105.4408200, 123.1127300, 159.6230800", \
+					  "102.4832600, 102.4921700, 102.5587600, 102.9659300, 105.6148500, 123.3107100, 159.8186700", \
+					  "102.6338300, 102.6487400, 102.7144200, 103.1189700, 105.7708100, 123.5989200, 159.9243500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4078300, 124.4022900, 124.3790300, 124.2830300, 123.8837200, 122.0896000, 109.8355200", \
+					  "124.4053600, 124.4025300, 124.3790100, 124.2539000, 123.8865500, 122.1344400, 109.8301100", \
+					  "124.4079500, 124.4090100, 124.3800500, 124.2976900, 123.8735600, 122.0826400, 109.8866300", \
+					  "124.4064000, 124.4007100, 124.3786600, 124.2812300, 123.8639700, 122.0857500, 109.8354000", \
+					  "124.3987200, 124.4039400, 124.3797100, 124.2613200, 123.8874500, 122.1511100, 109.8274300", \
+					  "124.3834300, 124.4088600, 124.3848800, 124.2794200, 123.8951100, 122.1378900, 109.8513300", \
+					  "124.4082000, 124.4049900, 124.3792600, 124.2893400, 123.8861500, 122.1461500, 109.8742200");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9379072, 7.9438101, 7.9803275, 8.2118570, 9.8565796, 20.5414920, 87.0414420", \
+					  "8.0839611, 8.0905176, 8.1260296, 8.3583540, 10.0029780, 20.6437660, 87.2063740", \
+					  "8.1986649, 8.2061762, 8.2406828, 8.4729441, 10.1172000, 20.7818600, 87.2903170", \
+					  "8.2959515, 8.3019831, 8.3379481, 8.5702556, 10.2145260, 20.8848010, 87.3878820", \
+					  "8.3756815, 8.3820701, 8.4176222, 8.6500522, 10.2941680, 20.9515180, 87.4595760", \
+					  "8.4503204, 8.4550207, 8.4923508, 8.7245811, 10.3692170, 21.0079260, 87.5594850", \
+					  "8.5204297, 8.5251441, 8.5622140, 8.7949896, 10.4390820, 21.0779730, 87.6271360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9954327, 1.0096064, 1.1022014, 1.6988921, 5.7546106, 31.6319660, 191.6341700", \
+					  "0.9979745, 1.0096396, 1.1042934, 1.7015437, 5.7548655, 31.6340480, 191.6163200", \
+					  "0.9950911, 1.0094163, 1.1028294, 1.6987881, 5.7546186, 31.6344250, 191.3898200", \
+					  "0.9950433, 1.0084350, 1.1028172, 1.6988817, 5.7546199, 31.6321400, 191.3922000", \
+					  "0.9939440, 1.0106732, 1.1035132, 1.6985962, 5.7546217, 31.6347370, 191.3688800", \
+					  "0.9954326, 1.0109933, 1.1027443, 1.7000500, 5.7544893, 31.6380560, 191.4026200", \
+					  "0.9959619, 1.0098938, 1.1052451, 1.7005950, 5.7543250, 31.6368160, 191.4000800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("150.7920300, 150.7920304, 150.8362900, 151.1471500, 152.9510900, 161.5741200, 198.1854600", \
+					  "150.9450000, 150.9638000, 150.9920200, 151.3208200, 153.1320900, 161.7590000, 198.4129500", \
+					  "151.1545500, 151.1545640, 151.2059700, 151.5106800, 153.3206100, 161.9237900, 198.6011900", \
+					  "151.3476200, 151.3476334, 151.3990900, 151.7040000, 153.5161700, 162.1381900, 198.7943800", \
+					  "151.4938900, 151.5104500, 151.5701600, 151.8750700, 153.6873100, 162.3106300, 198.9190700", \
+					  "151.6849200, 151.6849289, 151.7358200, 151.9914300, 153.8595300, 162.4421500, 199.1050600", \
+					  "151.8490500, 151.8494600, 151.8749700, 152.2049900, 154.0183000, 162.6425600, 199.2955800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3431930, 59.2763530, 59.2765160, 59.0028290, 57.0317770, 46.9042230, 92.3394910", \
+					  "59.3060820, 59.2646680, 59.2474550, 59.0051420, 57.0359230, 46.9049550, 92.2188480", \
+					  "59.4159890, 59.3147720, 59.2791200, 59.0065600, 57.0591720, 47.1100950, 92.2172990", \
+					  "59.4157900, 59.3184590, 59.2748430, 59.0037140, 57.0312220, 46.9072460, 92.2184850", \
+					  "59.3070060, 59.3532440, 59.2538750, 59.0044170, 57.0359830, 46.9062940, 92.3186580", \
+					  "59.3032250, 59.4000760, 59.2595020, 58.9590420, 57.0411700, 47.0466760, 92.3466250", \
+					  "59.4155200, 59.3238490, 59.2471640, 59.0027760, 57.0313650, 46.9030970, 92.2174910");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9476080, 17.1382800, 18.3359160, 25.7328790, 71.1964030, 350.6396200, 2072.1095000", \
+					  "17.0729390, 17.2808150, 18.4741950, 25.8711290, 71.3424630, 350.5399800, 2071.7835000", \
+					  "17.1849040, 17.3797300, 18.5909300, 25.9823270, 71.4710420, 350.7236700, 2072.0686000", \
+					  "17.2882810, 17.4751930, 18.6840130, 26.0778710, 71.5243970, 350.8154400, 2072.4196000", \
+					  "17.3652490, 17.5588890, 18.7613040, 26.1520320, 71.6181460, 350.8924900, 2072.4010000", \
+					  "17.4297710, 17.6272240, 18.8347190, 26.2275450, 71.7141260, 350.9668700, 2072.0479000", \
+					  "17.5073180, 17.6964060, 18.9030800, 26.2947570, 71.7600700, 351.0343400, 2072.6661000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5857150, 14.9682760, 17.3156810, 31.8598590, 122.1668400, 678.4834500, 4111.2144000", \
+					  "14.5832320, 14.9674740, 17.3155940, 31.8861130, 122.2615500, 678.8201300, 4108.6464000", \
+					  "14.5766470, 14.9583950, 17.3271760, 31.8601000, 122.2154400, 678.3771500, 4109.7383000", \
+					  "14.5859450, 14.9638240, 17.3145660, 31.8548740, 121.9243300, 679.1260600, 4110.0120000", \
+					  "14.5859500, 14.9682790, 17.3146970, 31.8908700, 122.1975100, 678.4747500, 4108.5032000", \
+					  "14.5787190, 14.9697660, 17.3230030, 31.8728790, 122.2174300, 679.1669900, 4110.5909000", \
+					  "14.5858450, 14.9683110, 17.3146790, 31.8925930, 122.1659900, 678.8238800, 4110.7769000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1636090, 13.2157530, 13.5250900, 15.3525140, 20.4470630, 39.6982000, 82.7076580", \
+					  "13.3470330, 13.3984260, 13.7064080, 15.5382050, 20.6327290, 39.8730790, 82.8694060", \
+					  "13.5388890, 13.5891370, 13.8989660, 15.7243230, 20.8235280, 40.0716470, 83.0821070", \
+					  "13.7272030, 13.7777160, 14.0863380, 15.9137720, 21.0145630, 40.2606910, 83.2657110", \
+					  "13.8990800, 13.9501250, 14.2594680, 16.0899650, 21.1857620, 40.4248120, 83.4249650", \
+					  "14.0660920, 14.1163460, 14.4262370, 16.2513450, 21.3510540, 40.6019570, 83.6116880", \
+					  "14.2283930, 14.2786160, 14.5884470, 16.4136700, 21.5107220, 40.7596410, 83.7714540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0221783, 3.0915381, 3.5303624, 5.0937330, 6.9754611, 29.0312840, 48.3881980", \
+					  "3.0213958, 3.0915859, 3.5304102, 5.0864624, 6.9651427, 29.0355310, 48.2961720", \
+					  "3.0220688, 3.0904879, 3.5291692, 5.0940674, 6.9763173, 29.0321460, 48.3940180", \
+					  "3.0210521, 3.0924125, 3.5312577, 5.0922031, 6.9806210, 29.0329980, 48.3968490", \
+					  "3.0213871, 3.0915921, 3.5303989, 5.0863669, 6.9628920, 29.0355190, 48.2945590", \
+					  "3.0221998, 3.0904757, 3.5291834, 5.0931468, 6.9762636, 29.0311900, 48.3948210", \
+					  "3.0221967, 3.0906546, 3.5293704, 5.0931384, 6.9757591, 29.0331760, 48.3873000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7634184, 7.7978886, 7.7978890, 7.7978895, 7.7978900, 7.7978905, 7.7978910", \
+					  "7.9469045, 7.9469049, 7.9469054, 7.9469059, 7.9469064, 7.9469069, 7.9469073", \
+					  "8.0634086, 8.0634094, 8.0634103, 8.0634113, 8.0634122, 8.0634132, 8.0634141", \
+					  "8.1563698, 8.1574116, 8.1574121, 8.1574131, 8.1574140, 8.1574150, 8.1574159", \
+					  "8.2365377, 8.2373548, 8.2373558, 8.2373567, 8.2373577, 8.2373586, 8.2373596", \
+					  "8.3136760, 8.3136764, 8.3136774, 8.3136783, 8.3136793, 8.3136802, 8.3136812", \
+					  "8.3797627, 8.3797632, 8.3797641, 8.3797651, 8.3797660, 8.3797670, 8.3797679");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6127318, 7.6167703, 7.6167706, 7.6167710, 7.6167715, 7.6167720, 7.6167725", \
+					  "7.7485334, 7.7590645, 7.7590650, 7.7590654, 7.7590659, 7.7590664, 7.7590669", \
+					  "7.8615452, 7.8629609, 7.8629611, 7.8629616, 7.8629621, 7.8629625, 7.8629630", \
+					  "7.9559443, 7.9561575, 7.9561580, 7.9561584, 7.9561589, 7.9561594, 7.9561599", \
+					  "8.0337550, 8.0388274, 8.0388275, 8.0388284, 8.0388294, 8.0388303, 8.0388313", \
+					  "8.1067615, 8.1102201, 8.1102205, 8.1102214, 8.1102224, 8.1102233, 8.1102243", \
+					  "8.1676186, 8.1734299, 8.1734300, 8.1734310, 8.1734319, 8.1734329, 8.1734338");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4250290, 10.4754000, 10.7897060, 12.6864870, 24.3079490, 95.8222660, 416.3952400", \
+					  "10.5636570, 10.6200730, 10.9275950, 12.8255390, 24.4468750, 95.9755940, 416.5389100", \
+					  "10.6729690, 10.7276820, 11.0367480, 12.9343960, 24.5558060, 96.0849820, 416.6526800", \
+					  "10.7725260, 10.8215050, 11.1295310, 13.0273920, 24.6428350, 96.1739130, 416.7118000", \
+					  "10.8432760, 10.9010260, 11.2065600, 13.1044280, 24.7198480, 96.2509080, 416.8056500", \
+					  "10.9160250, 10.9708860, 11.2801800, 13.1778970, 24.7988390, 96.3025220, 416.9079900", \
+					  "10.9910050, 11.0389700, 11.3475510, 13.2457550, 24.8604960, 96.3919580, 416.9948500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0337293, 3.1106566, 3.5944427, 6.6031354, 25.0129070, 138.8857800, 350.6489200", \
+					  "3.0335967, 3.1109850, 3.5947927, 6.6031367, 25.0123600, 138.8357700, 350.6509400", \
+					  "3.0334570, 3.1103953, 3.5942433, 6.6030408, 25.0188670, 138.8360400, 350.6521900", \
+					  "3.0336464, 3.1109793, 3.5947902, 6.6019301, 25.0195460, 138.8696100, 350.5007600", \
+					  "3.0327389, 3.1109797, 3.5947909, 6.6019412, 25.0194590, 138.8691700, 350.5844600", \
+					  "3.0336726, 3.1109781, 3.5947496, 6.6018822, 25.0184000, 138.8486500, 350.5360000", \
+					  "3.0337057, 3.1110010, 3.5943020, 6.6019785, 25.0180460, 138.8655700, 350.5272200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7697730, 20.9653180, 22.1437090, 29.3389030, 73.4514170, 345.0460600, 2022.4725000", \
+					  "20.9587100, 21.1532750, 22.3299120, 29.5300830, 73.6487070, 345.0664400, 2021.6862000", \
+					  "21.1423430, 21.3221670, 22.5117830, 29.7539860, 73.8376760, 345.1174800, 2018.8595000", \
+					  "21.3336190, 21.5160440, 22.6956180, 29.9164820, 73.9661840, 345.4546800, 2019.1701000", \
+					  "21.5050560, 21.6995080, 22.8757140, 30.0797430, 74.1497670, 345.4990700, 2022.9861000", \
+					  "21.6812810, 21.8555980, 23.0524350, 30.2507640, 74.3053620, 345.7957400, 2020.2936000", \
+					  "21.8343410, 22.0340690, 23.2040860, 30.4426100, 74.5326720, 345.8743800, 2021.6050000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5272170, 13.8966780, 16.1986070, 30.4016080, 118.4781300, 660.6617800, 4003.4952000", \
+					  "13.5284130, 13.8852380, 16.2015720, 30.4177310, 118.4869200, 660.5012600, 4005.9986000", \
+					  "13.5221860, 13.8829920, 16.2029680, 30.4222530, 118.4870900, 660.7393200, 4003.8012000", \
+					  "13.5299440, 13.8791040, 16.1898030, 30.4184590, 118.3982100, 660.3481800, 4004.5984000", \
+					  "13.5312280, 13.8987040, 16.2050980, 30.3822250, 118.3203600, 660.7566000, 4004.4442000", \
+					  "13.5211220, 13.8835600, 16.1944400, 30.4283890, 118.4098800, 660.4356600, 4005.7171000", \
+					  "13.5162130, 13.8953240, 16.1999780, 30.4230740, 118.4895400, 660.4988100, 4002.7321000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7490308, 7.7540410, 7.7540415, 7.7540420, 7.7540424, 7.7540429, 7.7540434", \
+					  "7.9323108, 7.9323109, 7.9323113, 7.9323118, 7.9323123, 7.9323128, 7.9323133", \
+					  "8.0488967, 8.0488973, 8.0488983, 8.0488992, 8.0489002, 8.0489011, 8.0489021", \
+					  "8.1419032, 8.1425053, 8.1425062, 8.1425071, 8.1425081, 8.1425090, 8.1425100", \
+					  "8.2218792, 8.2245499, 8.2245508, 8.2245517, 8.2245527, 8.2245536, 8.2245546", \
+					  "8.2989420, 8.2989421, 8.2989431, 8.2989440, 8.2989450, 8.2989460, 8.2989469", \
+					  "8.3649923, 8.3649927, 8.3649936, 8.3649946, 8.3649955, 8.3649965, 8.3649974");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943723, 8.0970413, 8.1126927, 8.1126934, 8.1126943, 8.1126953, 8.1332443", \
+					  "8.2403524, 8.2426626, 8.2426630, 8.2426639, 8.2426649, 8.2426658, 8.2426668", \
+					  "8.3469214, 8.3469215, 8.3469224, 8.3469234, 8.3469244, 8.3469253, 8.4030640", \
+					  "8.4399172, 8.4399181, 8.4399190, 8.4399200, 8.4399210, 8.4399219, 8.5127994", \
+					  "8.5234815, 8.5234819, 8.5234828, 8.5234838, 8.5234848, 8.5234857, 8.5566372", \
+					  "8.5935783, 8.5935789, 8.5935798, 8.5968068, 8.5968071, 8.5968080, 8.6464595", \
+					  "8.6676248, 8.6676250, 8.6676260, 8.6676269, 8.6676279, 8.6676288, 8.6858858");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0769850, 13.1280060, 13.4478430, 15.3365360, 26.7042100, 93.3974180, 184.9966100", \
+					  "13.2599500, 13.3107250, 13.6304580, 15.5168540, 26.8847750, 93.5786420, 185.1935400", \
+					  "13.4495180, 13.5032920, 13.8198710, 15.7077600, 27.0734030, 93.7682290, 185.3721900", \
+					  "13.6408670, 13.6930700, 14.0126010, 15.8993090, 27.2675200, 93.9606080, 185.5751200", \
+					  "13.8142960, 13.8658180, 14.1821950, 16.0723540, 27.4418270, 94.1311670, 185.7344800", \
+					  "13.9822630, 14.0332710, 14.3517830, 16.2404420, 27.6079200, 94.3036300, 185.9189400", \
+					  "14.1424770, 14.1928580, 14.5106480, 16.3998880, 27.7656030, 94.4621380, 186.0809000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0545064, 3.1235985, 3.5680094, 6.3949313, 24.2851530, 97.2303980, 63.6671660", \
+					  "3.0566758, 3.1255631, 3.5681273, 6.3949304, 24.2851800, 97.2313070, 63.7305670", \
+					  "3.0555296, 3.1255849, 3.5687675, 6.3952315, 24.2850970, 97.2288630, 63.6659650", \
+					  "3.0566492, 3.1259355, 3.5683279, 6.3950987, 24.2850200, 97.2313290, 63.7313280", \
+					  "3.0567646, 3.1250247, 3.5750456, 6.3949712, 24.2851250, 97.1715930, 63.6754720", \
+					  "3.0554457, 3.1293104, 3.5680696, 6.3947039, 24.2853700, 97.1849370, 63.6712440", \
+					  "3.0567562, 3.1252263, 3.5683090, 6.3949290, 24.2858410, 97.2305470, 63.6708750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0979206, 8.1002742, 8.1002746, 8.1143039, 8.1143041, 8.1143051, 8.1143060", \
+					  "8.2422797, 8.2443892, 8.2443901, 8.2443910, 8.2443920, 8.2443929, 8.2443939", \
+					  "8.3483620, 8.3483625, 8.3483634, 8.3483644, 8.3483654, 8.3483663, 8.4208569", \
+					  "8.4413356, 8.4413362, 8.4413372, 8.4413381, 8.4413391, 8.4413400, 8.5138083", \
+					  "8.5249043, 8.5249048, 8.5249057, 8.5249067, 8.5249076, 8.5249086, 8.5548324", \
+					  "8.5948146, 8.5948148, 8.5948158, 8.5975236, 8.5975242, 8.5975252, 8.6359000", \
+					  "8.6424574, 8.6623713, 8.6623722, 8.6638821, 8.6638828, 8.6638837, 8.7101188");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0420640, 13.0926750, 13.4023820, 15.2214700, 20.4060730, 39.7562840, 141.6925500", \
+					  "13.2237820, 13.2741380, 13.5847190, 15.4044070, 20.5904360, 39.9384530, 141.8722500", \
+					  "13.4129860, 13.4653310, 13.7736140, 15.5977380, 20.7733580, 40.1140560, 142.0577600", \
+					  "13.6048910, 13.6561380, 13.9648470, 15.7867390, 20.9683990, 40.3210760, 142.2748900", \
+					  "13.7780190, 13.8282350, 14.1390730, 15.9593740, 21.1418350, 40.4910630, 142.4533200", \
+					  "13.9452220, 13.9973580, 14.3044940, 16.1300480, 21.3067870, 40.6610820, 142.6101100", \
+					  "14.1056340, 14.1567660, 14.4688870, 16.2896240, 21.4697580, 40.8063910, 142.7567000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0302394, 3.1004490, 3.5363605, 5.1177027, 7.0435080, 32.0683430, 98.0612430", \
+					  "3.0320935, 3.0985938, 3.5363594, 5.1161635, 7.0437061, 32.0683680, 98.0571500", \
+					  "3.0244562, 3.1004694, 3.5363226, 5.1147264, 7.0437514, 32.0554610, 98.0563540", \
+					  "3.0307714, 3.0988716, 3.5363252, 5.1162097, 7.0416522, 32.0683850, 98.1106960", \
+					  "3.0320209, 3.1006169, 3.5363139, 5.1160649, 7.0431763, 32.0682610, 98.1215540", \
+					  "3.0256930, 3.1023502, 3.5353356, 5.1137161, 7.0348842, 32.0684420, 98.0354860", \
+					  "3.0307414, 3.0993514, 3.5363235, 5.1120499, 7.0470225, 32.0553890, 98.0603120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9790950, 17.1706700, 18.3875460, 25.7255730, 70.9524670, 349.9936800, 2071.7289000", \
+					  "17.1605180, 17.3590600, 18.5528620, 25.9070050, 71.1353110, 350.1439200, 2071.6363000", \
+					  "17.3519920, 17.5513840, 18.7335210, 26.0709390, 71.3271500, 350.3335200, 2071.9074000", \
+					  "17.5400580, 17.7324410, 18.9305300, 26.2596040, 71.5189970, 350.5088600, 2071.8721000", \
+					  "17.7180690, 17.9163720, 19.1005680, 26.4616470, 71.6961280, 350.6965000, 2072.4128000", \
+					  "17.8828470, 18.0723050, 19.2611630, 26.6234610, 71.8602560, 350.8338300, 2071.8862000", \
+					  "18.0351130, 18.2420890, 19.4282240, 26.7805760, 72.0094700, 351.0358200, 2072.6006000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5924380, 14.9667710, 17.3274340, 31.9044810, 122.1126100, 678.4184700, 4109.5559000", \
+					  "14.5796180, 14.9645810, 17.3311340, 31.9044240, 121.9133200, 678.6081000, 4110.8474000", \
+					  "14.5797150, 14.9735320, 17.3244530, 31.8788370, 122.0839600, 678.9918500, 4109.9024000", \
+					  "14.5923630, 14.9611030, 17.3313380, 31.8786810, 122.1238200, 678.9230200, 4110.5513000", \
+					  "14.5923620, 14.9701960, 17.3325250, 31.9055820, 122.0866900, 678.7289000, 4108.5659000", \
+					  "14.5796100, 14.9647780, 17.3226540, 31.9043350, 122.1236800, 678.4036400, 4108.2658000", \
+					  "14.5802670, 14.9629640, 17.3311160, 31.9055720, 122.1134800, 679.0702400, 4111.5841000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5561960, 14.6064430, 14.9167650, 16.7486610, 21.8594940, 41.1116230, 84.1244940", \
+					  "14.7430320, 14.7937840, 15.1062330, 16.9289260, 22.0461640, 41.2930380, 84.3088090", \
+					  "14.9343400, 14.9839890, 15.2930400, 17.1213570, 22.2366960, 41.4844980, 84.4997810", \
+					  "15.1217070, 15.1674550, 15.4767360, 17.3050270, 22.4264360, 41.6728800, 84.6856380", \
+					  "15.2953950, 15.3391420, 15.6546350, 17.4759600, 22.5964100, 41.8414460, 84.8642970", \
+					  "15.4625490, 15.5130630, 15.8209410, 17.6522960, 22.7595740, 42.0119330, 85.0311110", \
+					  "15.6123680, 15.6711960, 15.9726740, 17.8027050, 22.9141200, 42.1717880, 85.1808650");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0281384, 3.0978566, 3.5359904, 5.1077627, 6.9853474, 29.0350570, 48.3885860", \
+					  "3.0278835, 3.0980869, 3.5363674, 5.1071911, 6.9859398, 29.0350590, 48.3989280", \
+					  "3.0278766, 3.0981231, 3.5362913, 5.1077613, 6.9859327, 29.0350360, 48.3990910", \
+					  "3.0278837, 3.0978566, 3.5360845, 5.1074180, 6.9859203, 29.0350340, 48.3885610", \
+					  "3.0277796, 3.0978138, 3.5360792, 5.1074043, 6.9851054, 29.0367000, 48.3883760", \
+					  "3.0277885, 3.0981884, 3.5360297, 5.1077569, 6.9851844, 29.0350390, 48.4017480", \
+					  "3.0280405, 3.0981044, 3.5360783, 5.1075029, 6.9853416, 29.0350370, 48.3885890");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5174420, 16.7121710, 17.9063310, 25.2307560, 70.5534970, 349.5014800, 2070.7348000", \
+					  "16.6508260, 16.8466700, 18.0393410, 25.4031160, 70.6987820, 349.6944000, 2070.3671000", \
+					  "16.7723200, 16.9610580, 18.1476560, 25.4801250, 70.7843450, 349.8202000, 2071.1293000", \
+					  "16.8576420, 17.0504530, 18.2478510, 25.6005580, 70.8937760, 349.8420500, 2071.1655000", \
+					  "16.9354520, 17.1231470, 18.3252860, 25.6782930, 70.9849320, 349.9687300, 2071.5838000", \
+					  "17.0107250, 17.2000850, 18.3929740, 25.7253130, 71.0254750, 349.9877700, 2071.3444000", \
+					  "17.0726650, 17.2692810, 18.4973350, 25.8228280, 71.1373080, 350.0503900, 2071.5245000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5806020, 14.9705310, 17.3205400, 31.8512260, 122.0305800, 678.8785100, 4109.3567000", \
+					  "14.5828710, 14.9696680, 17.3244030, 31.9200520, 122.2118300, 678.6522100, 4108.3058000", \
+					  "14.5896830, 14.9705550, 17.3217560, 31.8921530, 122.1895600, 679.1251500, 4110.4413000", \
+					  "14.5816190, 14.9644920, 17.3207010, 31.9129650, 122.0404200, 678.8847600, 4110.3467000", \
+					  "14.5778170, 14.9570380, 17.3206530, 31.9162550, 122.0413700, 678.9333600, 4111.1648000", \
+					  "14.5841770, 14.9589050, 17.3280550, 31.8860140, 122.1843300, 678.9105100, 4109.0902000", \
+					  "14.5791370, 14.9648510, 17.3247780, 31.8624590, 122.2093900, 677.9888500, 4110.2548000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2816360, 20.4768150, 21.6329070, 28.8116480, 72.8245480, 344.0856600, 2019.8195000", \
+					  "20.4670930, 20.6553550, 21.8167970, 29.0026420, 73.0207500, 344.2729100, 2018.9607000", \
+					  "20.6587970, 20.8477840, 22.0121380, 29.1851020, 73.1322980, 344.5879000, 2020.1778000", \
+					  "20.8482010, 21.0358630, 22.2001160, 29.3746700, 73.3208050, 344.7542300, 2019.2208000", \
+					  "21.0062300, 21.2060560, 22.3676030, 29.5423170, 73.5638040, 345.2816800, 2019.4000000", \
+					  "21.1869170, 21.3754170, 22.5387230, 29.7161870, 73.6649990, 345.1809800, 2020.2237000", \
+					  "21.3457160, 21.5384050, 22.7006570, 29.8860600, 73.8388050, 345.1651800, 2020.3286000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5276430, 13.9057240, 16.2101160, 30.3804380, 118.5091300, 660.8522100, 4008.1040000", \
+					  "13.5228960, 13.8934010, 16.2067530, 30.4048060, 118.1378700, 660.3827400, 4009.3146000", \
+					  "13.5322700, 13.9094980, 16.2058080, 30.3828780, 118.4259400, 660.4607300, 4009.8612000", \
+					  "13.5316090, 13.9095140, 16.2038860, 30.3809100, 118.4246200, 660.5747000, 4008.4537000", \
+					  "13.5144860, 13.9093430, 16.2097410, 30.4191980, 118.5139300, 660.8272000, 4008.0581000", \
+					  "13.5355340, 13.9104040, 16.1987950, 30.3833440, 118.4100600, 660.3396500, 4008.3555000", \
+					  "13.5256090, 13.9045480, 16.1945320, 30.3937920, 118.2475500, 660.5925500, 4006.7457000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1409484, 8.1484277, 8.1484285, 8.1484294, 8.1484304, 8.1484314, 8.1568388", \
+					  "8.2736911, 8.2896898, 8.2896905, 8.2896915, 8.2896925, 8.2896934, 8.3078540", \
+					  "8.4020106, 8.4041574, 8.4043350, 8.4043355, 8.4043365, 8.4043375, 8.4185618", \
+					  "8.4942355, 8.4960883, 8.4960886, 8.4994021, 8.4994026, 8.4994035, 8.5068317", \
+					  "8.5773557, 8.5773559, 8.5773569, 8.5773578, 8.5773588, 8.5773597, 8.5773607", \
+					  "8.6740810, 8.6740814, 8.6740823, 8.6740833, 8.6740842, 8.6740852, 8.6740861", \
+					  "8.7218170, 8.7219183, 8.7219186, 8.7219196, 8.7219205, 8.7219215, 8.7346477");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6158289, 7.6158293, 7.6158298, 7.6158302, 7.6158307, 7.6158312, 7.6158317", \
+					  "7.7478095, 7.7581328, 7.7581332, 7.7581337, 7.7581342, 7.7581347, 7.7581351", \
+					  "7.8612441, 7.8622699, 7.8622702, 7.8622706, 7.8622711, 7.8622716, 7.8622721", \
+					  "7.9527744, 7.9552978, 7.9552982, 7.9552987, 7.9552992, 7.9552997, 7.9553001", \
+					  "8.0325711, 8.0376195, 8.0376201, 8.0376211, 8.0376220, 8.0376230, 8.0376239", \
+					  "8.1034344, 8.1066878, 8.1066881, 8.1066890, 8.1066900, 8.1066909, 8.1066919", \
+					  "8.1735569, 8.1735578, 8.1735588, 8.1735597, 8.1735607, 8.1735616, 8.1735626");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9425470, 10.9953360, 11.3013650, 13.2003780, 24.8129410, 96.3393060, 416.9920100", \
+					  "11.1276860, 11.1772020, 11.4892910, 13.3816020, 25.0002010, 96.5196630, 417.1744200", \
+					  "11.3195380, 11.3697220, 11.6739140, 13.5739410, 25.1929890, 96.7126250, 417.4168600", \
+					  "11.5090310, 11.5603440, 11.8677170, 13.7610630, 25.3771710, 96.9035200, 417.5548400", \
+					  "11.6834760, 11.7277330, 12.0351660, 13.9352760, 25.5644520, 97.0832940, 417.7286700", \
+					  "11.8440070, 11.9004660, 12.2056300, 14.0963770, 25.7201030, 97.2413400, 417.8930100", \
+					  "12.0034660, 12.0508180, 12.3592150, 14.2564970, 25.8692730, 97.3970630, 418.0478200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0348708, 3.1122305, 3.5949930, 6.6021011, 25.0090900, 138.9383200, 350.6021900", \
+					  "3.0351404, 3.1121903, 3.5950032, 6.6021038, 25.0205440, 138.8597000, 350.6027900", \
+					  "3.0352656, 3.1116854, 3.5949927, 6.6021033, 25.0262550, 138.9375800, 350.5408300", \
+					  "3.0353771, 3.1117457, 3.5955490, 6.6022379, 25.0115450, 138.9184100, 350.6022500", \
+					  "3.0353173, 3.1122096, 3.5957963, 6.6015637, 25.0190020, 138.9185100, 350.6029900", \
+					  "3.0348764, 3.1121987, 3.5955503, 6.6015633, 25.0174160, 138.9373800, 350.6022500", \
+					  "3.0340017, 3.1123155, 3.5956880, 6.6022081, 25.0186450, 138.9363600, 350.5973900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3817710, 10.3817716, 10.3838350, 10.3838354, 10.3845660, 10.3845668, 10.3882570", \
+					  "10.4620840, 10.4620843, 10.5799300, 10.5799308, 10.5799318, 10.5799327, 10.5799337", \
+					  "10.6399820, 10.6783420, 10.6783424, 10.6783433, 10.6783443, 10.6783453, 10.6905100", \
+					  "10.6731800, 10.8556530, 10.8556533, 10.8556543, 10.8556552, 10.8556562, 10.8556572", \
+					  "10.9051970, 10.9053440, 10.9053445, 10.9053455, 10.9053464, 10.9053474, 10.9727630", \
+					  "10.9260440, 10.9260450, 10.9260459, 10.9260469, 10.9268250, 10.9488830, 11.0189650", \
+					  "11.0490200, 11.0491860, 11.0493250, 11.0502800, 11.0502801, 11.0502811, 11.0502821");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5168310, 16.7119400, 17.9090290, 25.2597910, 70.5531780, 349.5354100, 2071.1432000", \
+					  "16.6593580, 16.8444850, 18.0393960, 25.3670400, 70.7015890, 349.6768400, 2071.2016000", \
+					  "16.7692940, 16.9610760, 18.1546300, 25.4745950, 70.8131720, 349.8253700, 2071.2453000", \
+					  "16.8579570, 17.0505010, 18.2478990, 25.6005920, 70.8938900, 349.8400600, 2071.3621000", \
+					  "16.9298970, 17.1231540, 18.3253320, 25.6783220, 70.9853580, 349.9692100, 2071.4676000", \
+					  "17.0126280, 17.2016410, 18.4320520, 25.7319590, 71.0237980, 349.9897900, 2070.8464000", \
+					  "17.0744010, 17.2693310, 18.4974080, 25.8228800, 71.1373360, 350.3223200, 2071.7168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5816680, 14.9679340, 17.3107020, 31.9154520, 122.0358900, 679.1671000, 4109.9229000", \
+					  "14.5905150, 14.9693750, 17.3224400, 31.8585550, 122.2176800, 679.1116800, 4107.8843000", \
+					  "14.5881760, 14.9707680, 17.3258620, 31.8875200, 122.2268900, 679.0036800, 4107.5855000", \
+					  "14.5816630, 14.9645290, 17.3209360, 31.9137530, 122.0412900, 678.8776000, 4109.3344000", \
+					  "14.5837200, 14.9693880, 17.3209020, 31.9169370, 122.0420100, 678.9381400, 4109.0325000", \
+					  "14.5854490, 14.9635650, 17.3238240, 31.8967960, 122.1771800, 678.9252300, 4109.8078000", \
+					  "14.5790160, 14.9648100, 17.3250570, 31.9031410, 122.2094100, 679.3019900, 4111.2635000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2817280, 20.4727280, 21.6335310, 28.8208050, 72.8394980, 344.0877700, 2020.2824000", \
+					  "20.4634020, 20.6552940, 21.8152310, 29.0025320, 73.0203880, 344.4533500, 2019.6183000", \
+					  "20.6603960, 20.8476220, 22.0106050, 29.1829210, 73.1308210, 344.5765100, 2021.3818000", \
+					  "20.8480810, 21.0356550, 22.2000520, 29.3744660, 73.3209400, 344.7515000, 2019.4464000", \
+					  "21.0137610, 21.2066900, 22.3533350, 29.5099270, 73.6344180, 344.9906700, 2019.9343000", \
+					  "21.1870470, 21.3749050, 22.5376930, 29.7063690, 73.7289620, 345.0834600, 2020.1333000", \
+					  "21.3483130, 21.5383780, 22.6979840, 29.8813210, 73.8371280, 345.1634400, 2019.8336000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5270560, 13.9096080, 16.2089680, 30.4057470, 118.1391800, 660.6910000, 4008.9967000", \
+					  "13.5387030, 13.8938960, 16.2067800, 30.4025850, 118.1396600, 660.3016800, 4008.8312000", \
+					  "13.5323950, 13.9093600, 16.2058030, 30.4191870, 118.4270100, 660.4360600, 4007.3628000", \
+					  "13.5311920, 13.9095040, 16.2037810, 30.3811800, 118.4247600, 660.4246900, 4006.7416000", \
+					  "13.5409280, 13.8937300, 16.1895140, 30.4002480, 118.5716700, 660.3829100, 4006.6252000", \
+					  "13.5299590, 13.9103510, 16.2085720, 30.4173040, 118.5091000, 660.6073800, 4008.4433000", \
+					  "13.5254580, 13.9056420, 16.1958290, 30.3839550, 118.2321200, 660.4045100, 4007.8121000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3994000, 10.4524560, 10.7634680, 12.6622750, 24.2764900, 95.8089000, 416.4217800", \
+					  "10.5466120, 10.5893940, 10.9051890, 12.8056040, 24.4222490, 95.9436760, 416.5311200", \
+					  "10.6508970, 10.7083670, 11.0187670, 12.9158510, 24.5469320, 96.0484600, 416.6703000", \
+					  "10.7487980, 10.7976130, 11.1098050, 13.0022540, 24.6238830, 96.1531580, 416.7405300", \
+					  "10.8183630, 10.8723890, 11.1803620, 13.0786310, 24.7010000, 96.2256480, 416.8943800", \
+					  "10.8971510, 10.9420390, 11.2613200, 13.1587980, 24.7753800, 96.3046180, 416.9795000", \
+					  "10.9665440, 11.0165130, 11.3224380, 13.2243500, 24.8384020, 96.3872040, 416.9726500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0350817, 3.1143912, 3.5950607, 6.6020451, 25.0178690, 138.9207700, 350.4676400", \
+					  "3.0339702, 3.1129728, 3.5954271, 6.6035875, 25.0200480, 138.8930300, 350.6753500", \
+					  "3.0348331, 3.1123009, 3.5961203, 6.6035510, 25.0181870, 138.8881400, 350.6931700", \
+					  "3.0352463, 3.1123155, 3.5954462, 6.6033219, 25.0140120, 138.8432800, 350.7078900", \
+					  "3.0341694, 3.1121936, 3.5956638, 6.6020172, 25.0131470, 138.8802100, 350.4750100", \
+					  "3.0349279, 3.1117142, 3.5966332, 6.6035928, 25.0138030, 138.8769800, 350.7317100", \
+					  "3.0339666, 3.1123497, 3.5951044, 6.6020336, 25.0176240, 138.9065600, 350.5977900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.1706776, 9.1710799, 9.1737240, 9.1737247, 9.1737257, 9.1737266, 9.1737276", \
+					  "9.3265324, 9.3323118, 9.3323122, 9.3323131, 9.3323141, 9.3323150, 9.3363983", \
+					  "9.4286525, 9.4495558, 9.4673860, 9.4673868, 9.4673877, 9.4673887, 9.4673896", \
+					  "9.5015844, 9.5015880, 9.5015884, 9.5015893, 9.5076995, 9.5077005, 9.5560786", \
+					  "9.5959889, 9.6397059, 9.6397062, 9.6397071, 9.6397081, 9.6397090, 9.6538306", \
+					  "9.6994732, 9.6994739, 9.6994749, 9.6994758, 9.6994768, 9.6994777, 9.6994787", \
+					  "9.7420658, 9.7424246, 9.7424691, 9.7424694, 9.7424703, 9.7424713, 9.7659294");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5717070, 10.6227590, 10.9366870, 12.9019660, 19.2503060, 39.3467130, 160.9738400", \
+					  "10.7116350, 10.7628340, 11.0775380, 13.0404500, 19.3940210, 39.4874000, 161.1135600", \
+					  "10.8206170, 10.8718460, 11.1866600, 13.1501940, 19.4979130, 39.5996980, 161.2217500", \
+					  "10.9126060, 10.9636500, 11.2778060, 13.2425510, 19.5914320, 39.6859220, 161.3041100", \
+					  "10.9895420, 11.0405620, 11.3547830, 13.3198390, 19.6730170, 39.7669420, 161.3810800", \
+					  "11.0644850, 11.1156920, 11.4303150, 13.3946360, 19.7412810, 39.8368700, 161.4650100", \
+					  "11.1338650, 11.1849520, 11.4985350, 13.4630400, 19.8092390, 39.9034900, 161.5249200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0905518, 3.1744141, 3.7005818, 5.6414984, 8.4199332, 33.4703320, 200.3601200", \
+					  "3.0900811, 3.1742495, 3.7003522, 5.6412546, 8.4192937, 33.4692340, 200.3598600", \
+					  "3.0899703, 3.1742138, 3.7002408, 5.6411129, 8.4183682, 33.4703750, 200.2559000", \
+					  "3.0904983, 3.1742686, 3.7002206, 5.6418958, 8.4138838, 33.4662360, 200.3647900", \
+					  "3.0894891, 3.1743623, 3.7004073, 5.6416161, 8.4115923, 33.4711810, 200.3648700", \
+					  "3.0899783, 3.1742036, 3.7002521, 5.6418030, 8.4193328, 33.4716790, 200.2809100", \
+					  "3.0906684, 3.1743774, 3.7002392, 5.6405538, 8.4181124, 33.4646300, 200.3641100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3430100, 13.3943620, 13.7098280, 15.5415790, 20.5202730, 39.7652860, 82.7232400", \
+					  "13.5260150, 13.5770950, 13.8887010, 15.7224120, 20.6999430, 39.9437210, 82.8675300", \
+					  "13.7154030, 13.7665030, 14.0822790, 15.9132120, 20.8887200, 40.1266220, 83.0950100", \
+					  "13.9062820, 13.9573900, 14.2688490, 16.1048900, 21.0857130, 40.3261210, 83.2894290", \
+					  "14.0775200, 14.1286640, 14.4402930, 16.2807810, 21.2522600, 40.4987870, 83.4658640", \
+					  "14.2471330, 14.2982190, 14.6097100, 16.4511760, 21.4242170, 40.6542920, 83.6230920", \
+					  "14.4107140, 14.4616200, 14.7733940, 16.6106060, 21.5838030, 40.8286520, 83.7672470");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9764897, 3.0537348, 3.4969467, 4.9724012, 6.9018347, 29.0070510, 48.4102710", \
+					  "2.9787872, 3.0534055, 3.4958889, 4.9708722, 6.9017183, 29.0084880, 48.3743920", \
+					  "2.9790075, 3.0541882, 3.4968184, 4.9705213, 6.8866517, 29.0101880, 48.4177280", \
+					  "2.9791600, 3.0542364, 3.4965739, 4.9711071, 6.9043966, 29.0090560, 48.3971510", \
+					  "2.9785174, 3.0540837, 3.4952947, 4.9730380, 6.8920101, 29.0081520, 48.4698820", \
+					  "2.9790412, 3.0542064, 3.4964853, 4.9726632, 6.8878458, 29.0020520, 48.3808620", \
+					  "2.9797254, 3.0543783, 3.4955856, 4.9672186, 6.8941719, 29.0079760, 48.2852120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.2285743, 9.2285753, 9.2366568, 9.2366577, 9.2366586, 9.2366596, 9.2930090", \
+					  "9.3539230, 9.3706021, 9.3727159, 9.3727165, 9.3993160, 9.3993164, 9.4387991", \
+					  "9.4948964, 9.4948965, 9.4948974, 9.5125925, 9.5125928, 9.5125938, 9.5125947", \
+					  "9.6028501, 9.6028505, 9.6028514, 9.6028524, 9.6028533, 9.6028543, 9.6596073", \
+					  "9.6737249, 9.6737257, 9.6737266, 9.6737276, 9.6737285, 9.6737295, 9.7344888", \
+					  "9.7434718, 9.7434726, 9.7434736, 9.7434745, 9.7493009, 9.7493015, 9.7657935", \
+					  "9.8425632, 9.8426023, 9.8426033, 9.8426042, 9.8426052, 9.8426061, 9.8788489");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0610567, 8.0726590, 8.1508889, 8.1508890, 8.1508899, 8.1508909, 8.1508918", \
+					  "8.2570839, 8.2985077, 8.2989180, 8.2989183, 8.2989193, 8.2989202, 8.3184437", \
+					  "8.4075680, 8.4143075, 8.4143081, 8.4143091, 8.4143100, 8.4143110, 8.4409750", \
+					  "8.5057632, 8.5072589, 8.5072599, 8.5072608, 8.5072618, 8.5072628, 8.5127398", \
+					  "8.5758742, 8.5758911, 8.5908960, 8.5908962, 8.5908971, 8.5908981, 8.5908990", \
+					  "8.5971674, 8.6608853, 8.6608854, 8.6608863, 8.6608873, 8.6608882, 8.6976524", \
+					  "8.7297876, 8.7299883, 8.7299886, 8.7299896, 8.7299905, 8.7299915, 8.7651237");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6162818, 7.6162823, 7.6162828, 7.6162832, 7.6162837, 7.6162842, 7.6162847", \
+					  "7.7477909, 7.7546245, 7.7546247, 7.7546251, 7.7546256, 7.7546261, 7.7546266", \
+					  "7.8611908, 7.8611911, 7.8611916, 7.8611920, 7.8611925, 7.8611930, 7.8611935", \
+					  "7.9527842, 7.9534299, 7.9534300, 7.9534305, 7.9534309, 7.9534314, 7.9534319", \
+					  "8.0325497, 8.0325504, 8.0325513, 8.0325523, 8.0325532, 8.0325542, 8.0325552", \
+					  "8.1067455, 8.1067462, 8.1067472, 8.1067482, 8.1067491, 8.1067501, 8.1067510", \
+					  "8.1732496, 8.1732498, 8.1732507, 8.1732517, 8.1732526, 8.1732536, 8.1732545");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9400520, 10.9906100, 11.3017310, 13.2403060, 19.7013690, 39.7910010, 161.4111200", \
+					  "11.1218960, 11.1729950, 11.4854270, 13.4247260, 19.8840110, 39.9736140, 161.5852300", \
+					  "11.3109370, 11.3637590, 11.6757220, 13.6151290, 20.0718060, 40.1632190, 161.7856200", \
+					  "11.5017880, 11.5524040, 11.8625540, 13.8064060, 20.2660270, 40.3515550, 161.9721100", \
+					  "11.6748700, 11.7250720, 12.0360320, 13.9722900, 20.4379320, 40.5291910, 162.1403100", \
+					  "11.8366120, 11.8940050, 12.2013890, 14.1411200, 20.6026060, 40.6981610, 162.3070000", \
+					  "11.9965830, 12.0456210, 12.3595170, 14.3049650, 20.7570600, 40.8496740, 162.4621700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866294, 3.1721887, 3.6959569, 5.7388174, 8.5390344, 33.4677640, 200.1974600", \
+					  "3.0869909, 3.1720815, 3.6959618, 5.7385581, 8.5397325, 33.4724780, 200.3644500", \
+					  "3.0871463, 3.1721850, 3.6959631, 5.7395098, 8.5345029, 33.4612480, 200.3558600", \
+					  "3.0866081, 3.1721936, 3.6959635, 5.7397260, 8.5381959, 33.4677670, 200.3415900", \
+					  "3.0869902, 3.1709624, 3.6959669, 5.7385567, 8.5395806, 33.4677420, 200.3646000", \
+					  "3.0867109, 3.1721834, 3.6958783, 5.7385585, 8.5373433, 33.4774660, 200.3608700", \
+					  "3.0870964, 3.1720286, 3.6959638, 5.7389991, 8.5395753, 33.4672380, 200.3645100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6276970, 21.8125070, 22.9758010, 30.1222800, 74.1162940, 345.7091100, 2021.4877000", \
+					  "21.8106870, 21.9991830, 23.1586980, 30.3026300, 74.3629020, 345.9092600, 2021.0598000", \
+					  "22.0003700, 22.1868090, 23.3486990, 30.4888170, 74.4877230, 346.0391700, 2020.3343000", \
+					  "22.1854040, 22.3762000, 23.5425300, 30.6791850, 74.7960480, 346.3116200, 2022.2035000", \
+					  "22.3641890, 22.5463750, 23.6981690, 30.8559900, 74.9741820, 346.4256200, 2022.2376000", \
+					  "22.5310650, 22.7184000, 23.8791530, 31.0234720, 75.0748800, 346.5998500, 2020.8133000", \
+					  "22.6816150, 22.8746040, 24.0295820, 31.1780080, 75.2960570, 346.7671700, 2020.9643000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5221110, 13.8822370, 16.1851400, 30.4195990, 118.1519300, 660.7911000, 4003.4311000", \
+					  "13.5191510, 13.8976570, 16.1808060, 30.3600420, 118.1450400, 660.7300500, 4006.8312000", \
+					  "13.5219020, 13.9000720, 16.1857510, 30.3798620, 118.1537600, 661.1900300, 4007.0167000", \
+					  "13.5333180, 13.8994930, 16.2118750, 30.3792010, 118.5083200, 660.7287400, 4006.1855000", \
+					  "13.5188700, 13.8829750, 16.1963990, 30.4120360, 118.4643700, 661.0084100, 4006.3937000", \
+					  "13.5213800, 13.9004190, 16.1866730, 30.4187820, 118.1466000, 660.6483700, 4006.4247000", \
+					  "13.5332450, 13.8831300, 16.1872120, 30.4172580, 118.4660100, 660.7762700, 4004.0582000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7497093, 7.7666984, 7.7893256, 7.7893260, 7.7893265, 7.7893270, 7.7893274", \
+					  "7.9324352, 7.9324353, 7.9324358, 7.9324363, 7.9324368, 7.9324372, 7.9324377", \
+					  "8.0478157, 8.0478158, 8.0478168, 8.0478178, 8.0478187, 8.0478197, 8.0478206", \
+					  "8.1420009, 8.1427212, 8.1427217, 8.1427227, 8.1427236, 8.1427246, 8.1427255", \
+					  "8.2220284, 8.2246565, 8.2246566, 8.2246576, 8.2246585, 8.2246595, 8.2246604", \
+					  "8.2992857, 8.2992864, 8.2992874, 8.2992883, 8.2992893, 8.2992902, 8.2992912", \
+					  "8.3650971, 8.3650976, 8.3650985, 8.3650995, 8.3651004, 8.3651014, 8.3651023");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943670, 8.0943676, 8.0943685, 8.0943695, 8.0943704, 8.0943714, 8.0943723", \
+					  "8.2202165, 8.2202173, 8.2566913, 8.2566915, 8.2566925, 8.2566934, 8.2566944", \
+					  "8.3672296, 8.3672300, 8.3672309, 8.3811269, 8.3811279, 8.3811288, 8.4046068", \
+					  "8.4614573, 8.4614578, 8.4614587, 8.4614597, 8.4614606, 8.4614616, 8.4835898", \
+					  "8.5226839, 8.5226846, 8.5409209, 8.5607255, 8.5607257, 8.5607267, 8.6138936", \
+					  "8.6143643, 8.6143652, 8.6143661, 8.6143671, 8.6143680, 8.6143690, 8.6366692", \
+					  "8.6600682, 8.6600690, 8.6600700, 8.6600709, 8.6600719, 8.6600729, 8.7563095");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4934190, 14.5468340, 14.8652760, 16.7552820, 28.1429530, 94.8143040, 186.4180600", \
+					  "14.6756100, 14.7288840, 15.0522150, 16.9371680, 28.3272230, 95.0006150, 186.6052100", \
+					  "14.8683970, 14.9203160, 15.2377200, 17.1251830, 28.4917030, 95.1891010, 186.7849700", \
+					  "15.0571340, 15.1091180, 15.4261650, 17.3161200, 28.7048640, 95.3792070, 186.9675000", \
+					  "15.2325100, 15.2829890, 15.6027420, 17.4922650, 28.8744810, 95.5532540, 187.1656800", \
+					  "15.3982110, 15.4486610, 15.7701000, 17.6524270, 29.0225530, 95.7182210, 187.3302200", \
+					  "15.5520560, 15.6042060, 15.9217420, 17.8163670, 29.2100300, 95.8700000, 187.4989100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0563714, 3.1230392, 3.5683581, 6.3923418, 24.3046220, 97.2252890, 63.6743560", \
+					  "3.0561818, 3.1230871, 3.5683345, 6.3924515, 24.3041570, 97.3004360, 63.6266860", \
+					  "3.0582601, 3.1257226, 3.5681924, 6.3936274, 24.2865620, 97.2390670, 63.6678550", \
+					  "3.0564105, 3.1234002, 3.5679866, 6.3937815, 24.3047960, 97.1964340, 63.7853650", \
+					  "3.0563878, 3.1261287, 3.5679624, 6.3923311, 24.3055740, 97.2217080, 63.6733830", \
+					  "3.0528022, 3.1294234, 3.5683425, 6.3954451, 24.2827340, 97.2218640, 63.7259770", \
+					  "3.0561011, 3.1261440, 3.5683418, 6.3930017, 24.3057020, 97.1826850, 63.6976130");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1196569, 8.1212752, 8.1427745, 8.1427751, 8.1427761, 8.1427770, 8.1427780", \
+					  "8.2680858, 8.2681249, 8.2681251, 8.2681261, 8.2681270, 8.2681280, 8.2861904", \
+					  "8.3832212, 8.3848057, 8.3848062, 8.3848072, 8.3848081, 8.3848091, 8.3992715", \
+					  "8.4781204, 8.4781213, 8.4808933, 8.4811074, 8.4811082, 8.4811092, 8.4875245", \
+					  "8.5965288, 8.5965295, 8.5965305, 8.5965314, 8.5965324, 8.5965333, 8.6163148", \
+					  "8.6321608, 8.6321617, 8.6321626, 8.6321636, 8.6321645, 8.6321655, 8.6441707", \
+					  "8.6989234, 8.6989989, 8.6989990, 8.6989999, 8.6990009, 8.6990018, 8.7128331");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0931297, 8.1239859, 8.1239868, 8.1239877, 8.1239887, 8.1239896, 8.1962668", \
+					  "8.2221847, 8.2255855, 8.2609510, 8.2609516, 8.2609525, 8.2609535, 8.2609544", \
+					  "8.3724361, 8.3779419, 8.3779426, 8.3848803, 8.3848806, 8.3848815, 8.4092733", \
+					  "8.4656042, 8.4744016, 8.4744020, 8.4744030, 8.4744039, 8.4744049, 8.4850180", \
+					  "8.5253955, 8.5253959, 8.5450766, 8.5665457, 8.5665460, 8.5665470, 8.6289518", \
+					  "8.6177874, 8.6217780, 8.6217781, 8.6217790, 8.6217800, 8.6217809, 8.6398586", \
+					  "8.6549541, 8.6629157, 8.6629158, 8.6629167, 8.6629177, 8.6629186, 8.7421546");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7637345, 7.7980244, 7.7980245, 7.7980249, 7.7980254, 7.7980259, 7.7980264", \
+					  "7.9470892, 7.9470895, 7.9470900, 7.9470904, 7.9470909, 7.9470914, 7.9470919", \
+					  "8.0635836, 8.0635839, 8.0635849, 8.0635858, 8.0635868, 8.0635877, 8.0635887", \
+					  "8.1565315, 8.1575582, 8.1575590, 8.1575599, 8.1575609, 8.1575618, 8.1575628", \
+					  "8.2367011, 8.2374934, 8.2374940, 8.2374950, 8.2374959, 8.2374969, 8.2374979", \
+					  "8.3136378, 8.3136383, 8.3136392, 8.3136402, 8.3136411, 8.3136421, 8.3136430", \
+					  "8.3798106, 8.3798109, 8.3798118, 8.3798128, 8.3798137, 8.3798147, 8.3798156");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6115549, 7.6167508, 7.6213693, 7.6213697, 7.6213701, 7.6213706, 7.6213711", \
+					  "7.7485911, 7.7593132, 7.7593134, 7.7593139, 7.7593143, 7.7593148, 7.7593153", \
+					  "7.8617894, 7.8629396, 7.8664044, 7.8664048, 7.8664053, 7.8664058, 7.8664063", \
+					  "7.9535374, 7.9561477, 7.9589215, 7.9589217, 7.9589222, 7.9589227, 7.9589232", \
+					  "8.0332221, 8.0389926, 8.0389934, 8.0389944, 8.0389953, 8.0389963, 8.0389972", \
+					  "8.1049878, 8.1102112, 8.1102119, 8.1102129, 8.1102138, 8.1102148, 8.1102157", \
+					  "8.1741929, 8.1775484, 8.1775489, 8.1775499, 8.1775508, 8.1775518, 8.1775528");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4026580, 10.4511630, 10.7644420, 12.7047740, 19.1656860, 39.2502420, 160.8687200", \
+					  "10.5405090, 10.5903710, 10.9029990, 12.8418420, 19.3047620, 39.3925250, 160.9979000", \
+					  "10.6516750, 10.7041250, 11.0125330, 12.9520310, 19.4153830, 39.5044600, 161.1211400", \
+					  "10.7454260, 10.7920970, 11.1049050, 13.0469440, 19.5040040, 39.5938250, 161.2160800", \
+					  "10.8198150, 10.8715210, 11.1854850, 13.1220890, 19.5834920, 39.6696680, 161.2870600", \
+					  "10.8933570, 10.9441090, 11.2543260, 13.1956130, 19.6522730, 39.7459170, 161.3594800", \
+					  "10.9615210, 11.0114530, 11.3214040, 13.2632650, 19.7235740, 39.8129960, 161.4335500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0868088, 3.1718670, 3.6958787, 5.7392211, 8.5382110, 33.4764110, 200.2080100", \
+					  "3.0869824, 3.1709835, 3.6958736, 5.7389018, 8.5403142, 33.4686630, 200.3670800", \
+					  "3.0867762, 3.1719636, 3.6958805, 5.7390133, 8.5403213, 33.4719990, 200.3610400", \
+					  "3.0869007, 3.1710901, 3.6958798, 5.7388121, 8.5416749, 33.4598200, 200.3663900", \
+					  "3.0868073, 3.1718372, 3.6960732, 5.7395551, 8.5403498, 33.4705030, 200.2438000", \
+					  "3.0866809, 3.1720764, 3.6959056, 5.7398157, 8.5378140, 33.4693130, 200.3613000", \
+					  "3.0867024, 3.1721055, 3.6959451, 5.7387943, 8.5402982, 33.4604630, 200.3665400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5121930, 10.5476120, 10.5476127, 10.5476137, 10.5476146, 10.5476156, 10.5539540", \
+					  "10.6677630, 10.6677633, 10.6677642, 10.6677652, 10.6677661, 10.6677671, 10.7004390", \
+					  "10.7852370, 10.7852378, 10.7852388, 10.7852397, 10.7852407, 10.7852417, 10.8160990", \
+					  "10.8758530, 10.8758630, 10.8758636, 10.8758646, 10.8758655, 10.8758665, 10.9118800", \
+					  "10.9565140, 10.9565149, 10.9565158, 10.9565168, 10.9565177, 10.9565187, 10.9809010", \
+					  "11.0280140, 11.0291630, 11.0291639, 11.0299460, 11.0299469, 11.0299478, 11.0580710", \
+					  "11.0983640, 11.0995860, 11.0995861, 11.0995870, 11.0995880, 11.0995889, 11.1217060");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9391210, 10.9923010, 11.3016980, 13.2412820, 19.7003050, 39.7950790, 161.4114500", \
+					  "11.1212460, 11.1723420, 11.4842260, 13.4253960, 19.8804420, 39.9796890, 161.5924400", \
+					  "11.3129840, 11.3641570, 11.6748820, 13.6154260, 20.0751630, 40.1620000, 161.7750600", \
+					  "11.5042700, 11.5528950, 11.8624350, 13.8050730, 20.2624190, 40.3553740, 161.9692800", \
+					  "11.6791230, 11.7296440, 12.0381780, 13.9746560, 20.4356410, 40.5290170, 162.1348400", \
+					  "11.8405310, 11.8892640, 12.2028530, 14.1424040, 20.5979570, 40.7043430, 162.3107800", \
+					  "11.9961430, 12.0459030, 12.3585660, 14.2975400, 20.7579110, 40.8491520, 162.4671900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0871059, 3.1712413, 3.6961838, 5.7389333, 8.5405878, 33.4750290, 200.3624600", \
+					  "3.0871388, 3.1712282, 3.6962238, 5.7398672, 8.5377332, 33.4605090, 200.3618400", \
+					  "3.0871423, 3.1724576, 3.6960468, 5.7390057, 8.5405887, 33.4603070, 200.2490600", \
+					  "3.0871439, 3.1712442, 3.6962147, 5.7389324, 8.5405745, 33.4807520, 200.3614400", \
+					  "3.0871123, 3.1710672, 3.6961669, 5.7398521, 8.5405727, 33.4676070, 200.2552700", \
+					  "3.0871423, 3.1711638, 3.6960595, 5.7389329, 8.5405203, 33.4721480, 200.2507200", \
+					  "3.0871405, 3.1712299, 3.6962231, 5.7389311, 8.5405780, 33.4606870, 200.3617000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5555540, 14.6056850, 14.9158390, 16.7392930, 21.8591760, 41.0925730, 84.1162380", \
+					  "14.7425010, 14.7874460, 15.1017210, 16.9339900, 22.0461690, 41.2995540, 84.2430620", \
+					  "14.9322780, 14.9833110, 15.2927680, 17.1151950, 22.2319920, 41.4804580, 84.4337930", \
+					  "15.1252040, 15.1718460, 15.4755800, 17.3052270, 22.4180800, 41.6706350, 84.6793570", \
+					  "15.2914340, 15.3428910, 15.6504040, 17.4799460, 22.5979610, 41.8242760, 84.8412540", \
+					  "15.4624940, 15.5113660, 15.8239750, 17.6449240, 22.7590660, 41.9975450, 84.9822190", \
+					  "15.6132960, 15.6633750, 15.9706080, 17.8007560, 22.9232770, 42.1667180, 85.1671730");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0245531, 3.0991796, 3.5347092, 5.1070357, 6.9833139, 29.0487860, 48.3949630", \
+					  "3.0257670, 3.0984515, 3.5328078, 5.1051821, 6.9836257, 29.0287830, 48.3475800", \
+					  "3.0270038, 3.0964800, 3.5327361, 5.1070397, 6.9830093, 29.0347440, 48.3298340", \
+					  "3.0270035, 3.0965071, 3.5362526, 5.1067559, 6.9846511, 29.0347120, 48.3973890", \
+					  "3.0268701, 3.0986069, 3.5298271, 5.1080082, 6.9958288, 29.0396830, 48.2975620", \
+					  "3.0269416, 3.0963136, 3.5326777, 5.1078439, 6.9827220, 29.0287120, 48.4312000", \
+					  "3.0270497, 3.0991778, 3.5363883, 5.1077857, 6.9956418, 29.0342540, 48.3809470");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9447920, 10.9931510, 11.3007590, 13.1983030, 24.8146500, 96.3420700, 416.9865200", \
+					  "11.1269260, 11.1767450, 11.4825390, 13.3819200, 24.9966070, 96.5228820, 417.1386100", \
+					  "11.3189840, 11.3691860, 11.6740610, 13.5732810, 25.1925720, 96.7171370, 417.4043800", \
+					  "11.5059550, 11.5580640, 11.8619890, 13.7626950, 25.3749160, 96.9019070, 417.5709000", \
+					  "11.6801450, 11.7230000, 12.0446590, 13.9357320, 25.5483440, 97.0808780, 417.7050500", \
+					  "11.8495360, 11.8942110, 12.2014350, 14.0990450, 25.7187320, 97.2510820, 417.8938900", \
+					  "11.9952900, 12.0533140, 12.3571130, 14.2590330, 25.8758690, 97.3992510, 418.0496400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0354939, 3.1125207, 3.5952930, 6.6021451, 25.0096920, 138.9284000, 350.5505200", \
+					  "3.0354519, 3.1125058, 3.5952956, 6.6023391, 25.0156070, 138.6897600, 350.7287500", \
+					  "3.0356249, 3.1119938, 3.5958962, 6.6023329, 25.0176380, 138.9275300, 350.6285700", \
+					  "3.0342238, 3.1125222, 3.5958736, 6.6021415, 25.0136130, 138.9048900, 350.6136700", \
+					  "3.0354936, 3.1125176, 3.5963310, 6.6022192, 25.0147830, 138.9284800, 350.4924300", \
+					  "3.0354614, 3.1125684, 3.5960561, 6.6021473, 25.0170850, 138.8260800, 350.6442000", \
+					  "3.0353358, 3.1125746, 3.5958909, 6.6021459, 25.0210800, 138.9293900, 350.6186500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5693570, 14.6271550, 14.9364360, 16.8188450, 28.2054400, 98.0702240, 525.1722500", \
+					  "14.7546680, 14.8088390, 15.1252630, 17.0100840, 28.3797950, 98.2470000, 525.3704700", \
+					  "14.9462910, 14.9993550, 15.3167910, 17.2008420, 28.5706610, 98.4429530, 525.5610600", \
+					  "15.1295850, 15.1825720, 15.4957290, 17.3842590, 28.7725740, 98.6373930, 525.7180100", \
+					  "15.3039020, 15.3529860, 15.6788360, 17.5612590, 28.9300650, 98.8083850, 525.9211700", \
+					  "15.4766480, 15.5305100, 15.8445080, 17.7343530, 29.1002480, 99.0373440, 526.1009600", \
+					  "15.6240760, 15.6788110, 15.9988540, 17.8815970, 29.2540020, 99.1343680, 526.2301700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0565137, 3.1299818, 3.5780330, 6.3920740, 24.3069260, 135.3929100, 504.1801500", \
+					  "3.0530989, 3.1299803, 3.5777652, 6.3795964, 24.3035260, 135.3893300, 504.2467100", \
+					  "3.0569052, 3.1299809, 3.5777692, 6.3795764, 24.3035250, 135.3930200, 504.2476800", \
+					  "3.0565797, 3.1298988, 3.5657852, 6.3826895, 24.2997710, 135.4024700, 504.1836200", \
+					  "3.0567098, 3.1222678, 3.5771006, 6.3884560, 24.2947170, 135.3984700, 504.2468800", \
+					  "3.0569836, 3.1299794, 3.5777650, 6.3780714, 24.3032630, 135.4421600, 504.1916300", \
+					  "3.0502258, 3.1298997, 3.5777015, 6.3814771, 24.3035970, 135.4024900, 504.1931700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1402565, 8.1448963, 8.1448970, 8.1448980, 8.1448989, 8.1448999, 8.1591551", \
+					  "8.2921652, 8.2921653, 8.2921663, 8.2921672, 8.2921682, 8.2921691, 8.3095024", \
+					  "8.3935321, 8.3935323, 8.3935333, 8.3935342, 8.3935352, 8.3935361, 8.3935371", \
+					  "8.4859852, 8.4862677, 8.4862686, 8.4862695, 8.4862705, 8.4862714, 8.4862724", \
+					  "8.5658103, 8.5658107, 8.5658117, 8.5658126, 8.5658136, 8.5658145, 8.5658155", \
+					  "8.6337142, 8.6337152, 8.6337162, 8.6337171, 8.6337181, 8.6337190, 8.6672847", \
+					  "8.7022398, 8.7023109, 8.7023111, 8.7023120, 8.7023130, 8.7023139, 8.7373939");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1039655, 8.1039663, 8.1039672, 8.1118827, 8.1118837, 8.1118846, 8.1670049", \
+					  "8.2428535, 8.2428537, 8.2428546, 8.2428556, 8.2428566, 8.2428575, 8.3639744", \
+					  "8.3486746, 8.3497618, 8.3497625, 8.3497634, 8.3497644, 8.3497654, 8.4155403", \
+					  "8.4416332, 8.4416338, 8.4416347, 8.4416357, 8.4416366, 8.4416376, 8.4959035", \
+					  "8.5256993, 8.5257001, 8.5257011, 8.5257020, 8.5257030, 8.5257040, 8.5606233", \
+					  "8.5960945, 8.6001650, 8.6001659, 8.6001668, 8.6001678, 8.6001688, 8.6421315", \
+					  "8.6436369, 8.6655216, 8.6655221, 8.6658716, 8.6658721, 8.6658731, 8.7181258");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1636221, 7.1642319, 7.1642321, 7.1739117, 7.1739119, 7.1739123, 7.1739128", \
+					  "7.3434552, 7.3449340, 7.3449343, 7.3449348, 7.3449352, 7.3449357, 7.3449362", \
+					  "7.5462010, 7.5462015, 7.5462020, 7.5462025, 7.5462029, 7.5462034, 7.6321918", \
+					  "7.7119671, 7.7469426, 7.7476603, 7.7676088, 7.7676089, 7.7676094, 7.7676099", \
+					  "7.8849043, 7.9044788, 7.9044793, 7.9063840, 7.9063843, 7.9063848, 7.9382838", \
+					  "8.0905087, 8.0905090, 8.0905099, 8.0905109, 8.0905118, 8.0905128, 8.1493940", \
+					  "8.2508373, 8.2548182, 8.2552933, 8.2552934, 8.2552944, 8.2552953, 8.2921430");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4587000, 14.5099630, 14.8172200, 16.6435590, 21.8172130, 41.1738380, 143.1023300", \
+					  "14.6468720, 14.6938950, 14.9978680, 16.8238900, 21.9983460, 41.3474410, 143.2775000", \
+					  "14.8314510, 14.8856260, 15.1893250, 17.0140220, 22.1900150, 41.5334180, 143.4799700", \
+					  "15.0221760, 15.0712460, 15.3787630, 17.2061800, 22.3828940, 41.7366480, 143.6685900", \
+					  "15.1948550, 15.2462260, 15.5495970, 17.3846360, 22.5578950, 41.9118070, 143.8435500", \
+					  "15.3562780, 15.4124910, 15.7145640, 17.5474870, 22.7202860, 42.0673060, 144.0294100", \
+					  "15.5246290, 15.5662010, 15.8833200, 17.7119780, 22.8816650, 42.2339280, 144.1674200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0314196, 3.0991110, 3.5360792, 5.1164166, 7.0394566, 32.0686590, 98.0524250", \
+					  "3.0314129, 3.1005847, 3.5360770, 5.1161368, 7.0399819, 32.0563630, 98.0647530", \
+					  "3.0286631, 3.1011700, 3.5360779, 5.1166751, 7.0400015, 32.0597520, 98.0670550", \
+					  "3.0314729, 3.1025031, 3.5360788, 5.1164086, 7.0466672, 32.0680830, 98.0768530", \
+					  "3.0313889, 3.1008252, 3.5360648, 5.1160804, 7.0462916, 32.0694690, 98.0592600", \
+					  "3.0314062, 3.1024892, 3.5360810, 5.1127915, 7.0409314, 32.0625550, 98.1085720", \
+					  "3.0308551, 3.1021030, 3.5360668, 5.1099192, 7.0394965, 32.0684420, 98.0587770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1606121, 7.1606124, 7.1834978, 7.1834982, 7.1834987, 7.1834991, 7.1834996", \
+					  "7.3452195, 7.3452795, 7.3452800, 7.3452805, 7.3452809, 7.3452814, 7.3452819", \
+					  "7.5241608, 7.5372695, 7.5372699, 7.5372704, 7.5372708, 7.5372713, 7.6027202", \
+					  "7.7168218, 7.7168220, 7.7168224, 7.7399793, 7.7399796, 7.7399800, 7.7399805", \
+					  "7.8954114, 7.8954118, 7.8954123, 7.8954127, 7.8954132, 7.8954137, 7.9109688", \
+					  "8.0606570, 8.0606571, 8.0606580, 8.0606590, 8.0606599, 8.0606609, 8.1228801", \
+					  "8.2197573, 8.2197576, 8.2197586, 8.2197595, 8.2197605, 8.2197614, 8.2958396");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9765620, 17.1696990, 18.3973230, 25.6931450, 70.9294670, 349.9585300, 2071.8737000", \
+					  "17.1622220, 17.3578480, 18.5506220, 25.8785030, 71.1353390, 350.1410800, 2072.2771000", \
+					  "17.3540670, 17.5454210, 18.7413160, 26.0678550, 71.3521620, 350.3563200, 2072.4372000", \
+					  "17.5446700, 17.7305650, 18.9245950, 26.2576340, 71.5166020, 350.5227300, 2071.7907000", \
+					  "17.7191830, 17.9046640, 19.0982800, 26.4645110, 71.6630240, 350.7195700, 2072.3655000", \
+					  "17.8770860, 18.0777380, 19.2718610, 26.6278820, 71.8312450, 350.8609700, 2072.5381000", \
+					  "18.0354650, 18.2254990, 19.4454600, 26.7948380, 72.0129520, 351.0190800, 2072.3298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5824030, 14.9651540, 17.3119300, 31.8935880, 122.1295900, 678.8287100, 4111.4836000", \
+					  "14.5790210, 14.9649500, 17.3212820, 31.9009600, 122.0200800, 678.1102700, 4111.5773000", \
+					  "14.5873890, 14.9672700, 17.3216890, 31.8889630, 121.9722200, 679.1221400, 4111.4213000", \
+					  "14.5867990, 14.9559990, 17.3273320, 31.8955950, 122.0444800, 678.6596000, 4110.2330000", \
+					  "14.5790600, 14.9558450, 17.3273000, 31.8966120, 122.1559000, 679.0693900, 4110.7201000", \
+					  "14.5823720, 14.9644810, 17.3215450, 31.8741580, 121.9583800, 678.7818200, 4109.4963000", \
+					  "14.5819370, 14.9630350, 17.3213090, 31.9080620, 122.0464400, 678.2261200, 4110.7983000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6286470, 21.8226680, 22.9832860, 30.1682480, 74.1019970, 345.4591400, 2020.8936000", \
+					  "21.8112320, 22.0040770, 23.1677450, 30.3547870, 74.2824540, 345.6426600, 2020.6994000", \
+					  "22.0024350, 22.1952550, 23.3559380, 30.5464490, 74.4810510, 345.8319200, 2022.3667000", \
+					  "22.1843610, 22.3773460, 23.5395100, 30.7339220, 74.6658200, 346.0247600, 2020.8697000", \
+					  "22.3638190, 22.5409970, 23.7187030, 30.9077990, 74.8555420, 346.1933600, 2022.1437000", \
+					  "22.5279420, 22.7172630, 23.8854620, 31.0735970, 75.0021200, 346.3569600, 2020.8936000", \
+					  "22.6867380, 22.8596950, 24.0416660, 31.2259660, 75.1776530, 346.5339400, 2021.8697000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5301570, 13.9029910, 16.2085170, 30.3983970, 118.4831400, 660.4608400, 4008.2327000", \
+					  "13.5424930, 13.9029660, 16.2058810, 30.4009050, 118.4822400, 660.3754600, 4009.0526000", \
+					  "13.5423430, 13.9003360, 16.2086240, 30.4007340, 118.4486600, 660.4419700, 4008.3783000", \
+					  "13.5444820, 13.9031680, 16.2058530, 30.4005670, 118.4862500, 660.3880800, 4008.3205000", \
+					  "13.5423170, 13.8753100, 16.2078510, 30.4035020, 118.1456500, 660.3989400, 4008.9976000", \
+					  "13.5426110, 13.9126550, 16.2085350, 30.4007950, 118.4773200, 660.3887600, 4008.9553000", \
+					  "13.5412920, 13.8779230, 16.2085760, 30.3945100, 118.1451200, 660.5272300, 4008.8175000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4283780, 10.4758920, 10.7875750, 12.7288530, 19.1779890, 39.2608750, 160.8766700", \
+					  "10.5653130, 10.6152520, 10.9282170, 12.8679080, 19.3146920, 39.4003760, 161.0194300", \
+					  "10.6746630, 10.7245630, 11.0358230, 12.9765270, 19.4226750, 39.5148730, 161.1173800", \
+					  "10.7691940, 10.8197860, 11.1301520, 13.0717720, 19.5185810, 39.6032080, 161.2168600", \
+					  "10.8444660, 10.8944880, 11.2071820, 13.1487870, 19.5955730, 39.6858330, 161.2933600", \
+					  "10.9178840, 10.9675680, 11.2788420, 13.2223240, 19.6637820, 39.7507410, 161.3704200", \
+					  "10.9864540, 11.0378330, 11.3483080, 13.2898340, 19.7364860, 39.8182430, 161.4349700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866760, 3.1713148, 3.6961805, 5.7264860, 8.5275467, 33.4708150, 200.3597900", \
+					  "3.0868272, 3.1715275, 3.6966947, 5.7263776, 8.5278149, 33.4832780, 200.3653900", \
+					  "3.0882490, 3.1712917, 3.6961889, 5.7261542, 8.5278975, 33.4619730, 200.3652100", \
+					  "3.0867062, 3.1717844, 3.6964207, 5.7268932, 8.5228375, 33.4649710, 200.3555600", \
+					  "3.0873615, 3.1714160, 3.6964232, 5.7268927, 8.5227834, 33.4597270, 200.2722100", \
+					  "3.0877227, 3.1713061, 3.6961953, 5.7266001, 8.5206802, 33.4754700, 200.3596900", \
+					  "3.0868865, 3.1719463, 3.6965850, 5.7267231, 8.5225338, 33.4752630, 200.3553400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7723780, 20.9630480, 22.1461620, 29.3856810, 73.4337180, 344.9765100, 2018.2595000", \
+					  "20.9565750, 21.1471730, 22.3263200, 29.5287580, 73.7149790, 345.0805400, 2020.9109000", \
+					  "21.1435420, 21.3364900, 22.5199720, 29.7567200, 73.8379950, 345.4310600, 2020.8849000", \
+					  "21.3358100, 21.5272650, 22.7070580, 29.9515540, 74.1047400, 345.3418500, 2019.2806000", \
+					  "21.5082690, 21.7031480, 22.8802950, 30.1109000, 74.2046780, 345.5038800, 2019.3113000", \
+					  "21.6758980, 21.8678910, 23.0474630, 30.2496020, 74.3769700, 345.9287000, 2020.0675000", \
+					  "21.8390390, 22.0323240, 23.2126960, 30.4103090, 74.5186310, 345.8320100, 2022.1523000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5206610, 13.9043380, 16.1878070, 30.4247510, 118.4373800, 660.7176600, 4006.0113000", \
+					  "13.5200010, 13.9003550, 16.1963230, 30.4086040, 118.4421300, 660.4419100, 4002.3592000", \
+					  "13.5197380, 13.9023730, 16.2004530, 30.4285170, 118.4867500, 660.5880600, 4005.9035000", \
+					  "13.5192740, 13.9022130, 16.1939320, 30.4240610, 118.5180200, 660.6696300, 4004.6239000", \
+					  "13.5144280, 13.8916690, 16.2037990, 30.4237740, 118.5000600, 660.3701800, 4006.7221000", \
+					  "13.5222800, 13.9023390, 16.1940490, 30.4234360, 118.5219200, 660.7999100, 4006.8267000", \
+					  "13.5134520, 13.9045820, 16.1871000, 30.4285910, 118.4789200, 660.3743300, 4002.3606000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9360500, 10.9945460, 11.3004020, 13.1978710, 24.8115450, 96.3377000, 416.9481800", \
+					  "11.1244760, 11.1766780, 11.4838740, 13.3902260, 24.9917330, 96.5186260, 417.1805600", \
+					  "11.3115060, 11.3689690, 11.6782000, 13.5713050, 25.1880920, 96.7116950, 417.3455200", \
+					  "11.5065300, 11.5568790, 11.8617940, 13.7624990, 25.3753270, 96.9053320, 417.5367100", \
+					  "11.6788930, 11.7275080, 12.0430020, 13.9335090, 25.5463740, 97.0747540, 417.7188700", \
+					  "11.8479830, 11.8971150, 12.2103970, 14.1047230, 25.7129140, 97.2447150, 417.8428700", \
+					  "12.0117840, 12.0504750, 12.3586100, 14.2557600, 25.8676080, 97.3931260, 418.0203600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0346747, 3.1121958, 3.5950591, 6.6017014, 25.0102340, 138.9088000, 350.4544800", \
+					  "3.0344778, 3.1121872, 3.5949013, 6.6040156, 25.0111360, 138.9361700, 350.5910200", \
+					  "3.0342429, 3.1127310, 3.5955212, 6.6021135, 24.9976800, 138.9368300, 350.5694200", \
+					  "3.0348257, 3.1122056, 3.5957652, 6.6034023, 25.0182260, 138.9254600, 350.6227400", \
+					  "3.0348426, 3.1117096, 3.5955057, 6.6021477, 25.0163180, 138.9369400, 350.4819700", \
+					  "3.0348191, 3.1123368, 3.5961107, 6.6032846, 25.0200910, 138.9370800, 350.6883100", \
+					  "3.0348466, 3.1122651, 3.5950602, 6.6022050, 25.0100440, 138.9102900, 350.6065100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6249120, 21.8092140, 22.9755240, 30.1613630, 74.2314940, 345.6964900, 2021.3854000", \
+					  "21.8014280, 21.9912550, 23.1571780, 30.3415750, 74.3650260, 345.9250000, 2020.9382000", \
+					  "21.9944680, 22.1821190, 23.3484250, 30.5329560, 74.4903300, 346.1187800, 2020.9263000", \
+					  "22.1828400, 22.3728130, 23.5370160, 30.7225000, 74.6737710, 346.2557700, 2021.4834000", \
+					  "22.3654520, 22.5565860, 23.7183360, 30.8547920, 74.9707280, 346.4981500, 2020.6185000", \
+					  "22.5319830, 22.7094130, 23.8770910, 31.0610560, 75.0110090, 346.6326500, 2021.4729000", \
+					  "22.6858990, 22.8651300, 24.0332980, 31.1829960, 75.1676690, 346.8206300, 2021.3074000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5449120, 13.8858190, 16.1844760, 30.3978820, 118.5018500, 660.8110500, 4007.7994000", \
+					  "13.5447100, 13.8846660, 16.1847660, 30.4031500, 118.1517500, 660.7179400, 4008.8385000", \
+					  "13.5426210, 13.8848230, 16.1852770, 30.4021410, 118.1481000, 660.7240800, 4007.4979000", \
+					  "13.5445110, 13.8850910, 16.1852420, 30.3962620, 118.4088300, 660.8107100, 4009.1695000", \
+					  "13.5365360, 13.9119860, 16.2119940, 30.4200260, 118.4696900, 660.6841200, 4008.8953000", \
+					  "13.5276560, 13.8837360, 16.2099740, 30.3997500, 118.3718500, 660.9744800, 4007.7725000", \
+					  "13.5300490, 13.8811310, 16.2016710, 30.4201500, 118.3994800, 660.7391500, 4007.2505000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9785960, 17.1713240, 18.3712460, 25.7259970, 70.9919950, 349.9366400, 2071.4322000", \
+					  "17.1625270, 17.3528250, 18.5589680, 25.9076480, 71.1346360, 350.1523600, 2071.4429000", \
+					  "17.3511750, 17.5437070, 18.7423450, 26.0979490, 71.3209470, 350.3382400, 2071.8635000", \
+					  "17.5377420, 17.7331910, 18.9290700, 26.2858610, 71.5147830, 350.5207100, 2072.4383000", \
+					  "17.7144380, 17.9064530, 19.0984540, 26.4584390, 71.6914810, 350.6952200, 2072.6661000", \
+					  "17.8835770, 18.0718850, 19.2718750, 26.5963710, 71.8601500, 350.8956200, 2072.1893000", \
+					  "18.0362160, 18.2251970, 19.4244270, 26.7840220, 72.0100890, 351.0170600, 2072.0831000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5795340, 14.9674640, 17.3311750, 31.9034580, 122.1113200, 678.8894800, 4109.8256000", \
+					  "14.5923970, 14.9662020, 17.3312670, 31.9031810, 122.1688100, 678.7361700, 4109.7337000", \
+					  "14.5803030, 14.9661030, 17.3317730, 31.9044280, 122.1550000, 678.6274300, 4110.6173000", \
+					  "14.5824790, 14.9620620, 17.3321390, 31.9027260, 122.0929800, 678.9793500, 4113.4963000", \
+					  "14.5796090, 14.9660140, 17.3276720, 31.9027970, 122.1075600, 678.5776900, 4111.4599000", \
+					  "14.5795240, 14.9613350, 17.3312670, 31.8639480, 122.1037700, 679.1638600, 4110.9956000", \
+					  "14.5794880, 14.9718740, 17.3319170, 31.9035320, 122.0936200, 678.6299900, 4109.3313000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5750980, 14.6235120, 14.9435400, 16.8291230, 28.2036690, 98.1769690, 525.1977800", \
+					  "14.7572070, 14.8085740, 15.1263340, 17.0163470, 28.3897350, 98.3661150, 525.3787700", \
+					  "14.9487690, 14.9996670, 15.3147240, 17.2070910, 28.5768170, 98.5567330, 525.5703900", \
+					  "15.1361660, 15.1832160, 15.4965480, 17.3973280, 28.7651310, 98.6904280, 525.7588200", \
+					  "15.3115900, 15.3610440, 15.6676540, 17.5700330, 28.9403930, 98.8607520, 525.9299200", \
+					  "15.4795470, 15.5289720, 15.8454390, 17.7350900, 29.1075200, 99.0908260, 526.1005600", \
+					  "15.6309920, 15.6788640, 15.9935580, 17.8850410, 29.2597200, 99.2409210, 526.2539800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0542375, 3.1257619, 3.5719359, 6.3933392, 24.2921330, 135.4170800, 504.3348800", \
+					  "3.0541962, 3.1266865, 3.5714753, 6.3944392, 24.3041430, 135.4225100, 504.3207800", \
+					  "3.0541047, 3.1266927, 3.5718795, 6.3944485, 24.2909660, 135.4206800, 504.3219700", \
+					  "3.0541651, 3.1264533, 3.5694803, 6.3944832, 24.3037790, 135.4223800, 504.3354500", \
+					  "3.0542544, 3.1297476, 3.5693037, 6.3921837, 24.2649720, 135.2395600, 504.2950300", \
+					  "3.0542551, 3.1266774, 3.5717254, 6.3944157, 24.2908860, 135.4219800, 504.3358400", \
+					  "3.0533718, 3.1264531, 3.5695054, 6.3933379, 24.2958150, 135.4200700, 504.2555800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9407150, 10.9891010, 11.3016330, 13.2456270, 19.7026680, 39.7902620, 161.4097200", \
+					  "11.1239470, 11.1735330, 11.4840160, 13.4250580, 19.8835790, 39.9731060, 161.5922700", \
+					  "11.3132940, 11.3640920, 11.6770610, 13.6158080, 20.0758720, 40.1646540, 161.7882600", \
+					  "11.5027150, 11.5559460, 11.8629240, 13.8054520, 20.2634640, 40.3539140, 161.9713900", \
+					  "11.6791110, 11.7294680, 12.0402000, 13.9789470, 20.4339960, 40.5278020, 162.1528600", \
+					  "11.8404490, 11.8900920, 12.2040580, 14.1440420, 20.6075570, 40.6993910, 162.3065100", \
+					  "12.0009050, 12.0484590, 12.3674980, 14.3017040, 20.7605040, 40.8480680, 162.4656200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0867393, 3.1708869, 3.6958618, 5.7388840, 8.5405585, 33.4652950, 200.3491800", \
+					  "3.0867393, 3.1719876, 3.6958623, 5.7389555, 8.5404048, 33.4603100, 200.3630500", \
+					  "3.0867393, 3.1721101, 3.6959371, 5.7392411, 8.5408196, 33.4603880, 200.1981600", \
+					  "3.0868170, 3.1720300, 3.6958623, 5.7388845, 8.5405487, 33.4639550, 200.3630000", \
+					  "3.0867982, 3.1721172, 3.6958785, 5.7392349, 8.5376115, 33.4605450, 200.2651800", \
+					  "3.0867382, 3.1720031, 3.6958054, 5.7390914, 8.5355456, 33.4702510, 200.2029500", \
+					  "3.0867324, 3.1721179, 3.6958101, 5.7388840, 8.5406198, 33.4675400, 200.3631000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9788810, 17.1762370, 18.3706310, 25.7250380, 70.9496750, 349.9598100, 2071.6602000", \
+					  "17.1610530, 17.3543810, 18.5462560, 25.8765060, 71.1376840, 350.1473900, 2071.9401000", \
+					  "17.3569390, 17.5435330, 18.7373690, 26.0989770, 71.3262270, 350.3159300, 2071.8414000", \
+					  "17.5443460, 17.7326940, 18.9647000, 26.2867540, 71.5177680, 350.5213000, 2072.3051000", \
+					  "17.7126220, 17.9094370, 19.0973280, 26.4286690, 71.6862660, 350.7051900, 2072.6873000", \
+					  "17.8790080, 18.0720400, 19.2982410, 26.5874430, 71.8609030, 350.8999400, 2072.6902000", \
+					  "18.0365390, 18.2421100, 19.4263180, 26.7831870, 72.0132580, 350.9993000, 2072.4128000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5796750, 14.9701090, 17.3307130, 31.9033550, 121.9342500, 679.0000400, 4111.1198000", \
+					  "14.5797090, 14.9659720, 17.3245080, 31.8692560, 121.9304700, 678.9227900, 4111.4440000", \
+					  "14.5885800, 14.9629780, 17.3242520, 31.9033580, 121.9307100, 679.0347100, 4110.9688000", \
+					  "14.5884400, 14.9649870, 17.3265900, 31.9032940, 122.0725100, 678.9609900, 4109.1389000", \
+					  "14.5814440, 14.9673570, 17.3243940, 31.8771140, 121.9344500, 678.3275200, 4113.1366000", \
+					  "14.5920210, 14.9629980, 17.3278320, 31.8892430, 122.0780200, 679.0783700, 4111.3972000", \
+					  "14.5884730, 14.9659400, 17.3325690, 31.9034080, 121.9304100, 678.0131800, 4111.0211000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6287660, 21.8183520, 22.9780340, 30.1653140, 74.1192280, 345.6519300, 2020.3022000", \
+					  "21.8110790, 22.0000730, 23.1684790, 30.3543220, 74.3095680, 345.6283400, 2021.1062000", \
+					  "22.0016400, 22.1909830, 23.3532480, 30.5257860, 74.5001570, 346.0188500, 2020.8033000", \
+					  "22.1865990, 22.3772630, 23.5435690, 30.7167940, 74.6801730, 346.0339700, 2020.8965000", \
+					  "22.3500120, 22.5574970, 23.7190910, 30.9026690, 74.8571910, 346.2851100, 2022.0655000", \
+					  "22.5358100, 22.7217520, 23.8811620, 31.0715120, 75.0283750, 346.3540000, 2021.6453000", \
+					  "22.6891840, 22.8745890, 24.0428870, 31.2286050, 75.2325560, 346.5076200, 2021.9434000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5307590, 13.9030960, 16.2081530, 30.3978890, 118.3613700, 660.4642500, 4007.1368000", \
+					  "13.5406270, 13.9127690, 16.2044010, 30.3990890, 118.2449300, 660.3828500, 4008.3446000", \
+					  "13.5308880, 13.9129080, 16.2034470, 30.4212120, 118.2452400, 660.4136000, 4007.7002000", \
+					  "13.5385050, 13.9019910, 16.2030740, 30.4212410, 118.3634000, 660.5229100, 4006.8044000", \
+					  "13.5275450, 13.8976130, 16.2030390, 30.4031000, 118.3374400, 660.4797700, 4008.3319000", \
+					  "13.5405250, 13.8983500, 16.2111230, 30.4023470, 118.2468200, 660.3454500, 4008.1036000", \
+					  "13.5301270, 13.9020240, 16.2098580, 30.3990330, 118.5145800, 660.3180500, 4008.4724000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5713330, 10.6288200, 10.9372850, 12.8542580, 24.5170120, 96.1269320, 416.5605700", \
+					  "10.7124200, 10.7639240, 11.0771450, 12.9941810, 24.6585540, 96.2680390, 416.7018200", \
+					  "10.8242270, 10.8739200, 11.1845430, 13.1089730, 24.7652350, 96.3503270, 416.8136900", \
+					  "10.9126170, 10.9641420, 11.2804250, 13.1951530, 24.8628730, 96.4564040, 416.9162700", \
+					  "10.9895700, 11.0411200, 11.3576050, 13.2746250, 24.9485340, 96.5380170, 417.0373100", \
+					  "11.0645260, 11.1156000, 11.4354460, 13.3450760, 25.0141760, 96.6074440, 417.0531400", \
+					  "11.1376930, 11.1879290, 11.4966220, 13.4105140, 25.0793040, 96.6864700, 417.1508600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0274592, 3.1053149, 3.5896397, 6.6025110, 25.0147870, 138.8336800, 350.3350300", \
+					  "3.0291252, 3.1073026, 3.5900258, 6.6028805, 25.0130730, 138.8391400, 350.3712700", \
+					  "3.0283840, 3.1056460, 3.5895611, 6.6033206, 25.0219100, 138.9011700, 350.3721200", \
+					  "3.0264171, 3.1051481, 3.5901988, 6.6030896, 25.0165470, 138.8955300, 350.4800900", \
+					  "3.0274137, 3.1051959, 3.5902097, 6.6023675, 25.0177980, 138.9096400, 350.3512000", \
+					  "3.0263592, 3.1044234, 3.5911036, 6.6030106, 25.0142500, 138.8965700, 350.3726000", \
+					  "3.0284861, 3.1064984, 3.5896419, 6.6020136, 25.0127510, 138.8324800, 350.3186000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3681590, 13.4144290, 13.7299100, 15.6254880, 27.0226790, 96.9178160, 523.9725700", \
+					  "13.5510790, 13.6035000, 13.9178780, 15.8137380, 27.2078380, 97.0956010, 524.1827800", \
+					  "13.7367660, 13.7894250, 14.1068320, 16.0029110, 27.3838370, 97.3245090, 524.3692300", \
+					  "13.9320260, 13.9844330, 14.2996650, 16.1942090, 27.5915820, 97.4623970, 524.5636900", \
+					  "14.0986750, 14.1494590, 14.4668160, 16.3621810, 27.7507100, 97.6657550, 524.7151200", \
+					  "14.2723150, 14.3247190, 14.6391690, 16.5345920, 27.9308720, 97.8038130, 524.9019100", \
+					  "14.4351540, 14.4875520, 14.8028060, 16.6972750, 28.0944280, 97.9644740, 525.0575400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0086824, 3.0837704, 3.5332786, 6.3783410, 24.3045900, 135.4116300, 503.9870500", \
+					  "3.0090983, 3.0839125, 3.5330678, 6.3787646, 24.3047700, 135.4007000, 504.0282600", \
+					  "3.0132634, 3.0846183, 3.5378167, 6.3759322, 24.3083780, 135.4074600, 504.0350900", \
+					  "3.0095042, 3.0841845, 3.5369159, 6.3791776, 24.3044710, 135.3895600, 504.0868100", \
+					  "3.0086658, 3.0848382, 3.5377814, 6.3773027, 24.3063930, 135.4181100, 503.9842100", \
+					  "3.0093916, 3.0840870, 3.5330718, 6.3790830, 24.3044610, 135.3899700, 504.0490700", \
+					  "3.0094782, 3.0841656, 3.5369703, 6.3792478, 24.3044540, 135.3886300, 504.0579400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9467090, 17.1352690, 18.3436180, 25.7355100, 71.2002400, 350.4758000, 2072.1729000", \
+					  "17.0775750, 17.2719400, 18.4828400, 25.8714330, 71.3304690, 350.6209500, 2071.7478000", \
+					  "17.1825580, 17.3772680, 18.5925300, 25.9798530, 71.4482410, 350.7185800, 2072.2434000", \
+					  "17.2862400, 17.4757290, 18.6833290, 26.0768560, 71.4967140, 350.8157200, 2071.7694000", \
+					  "17.3621560, 17.5507410, 18.7601770, 26.1538440, 71.6420700, 350.8935700, 2072.8457000", \
+					  "17.4410550, 17.6261990, 18.8338460, 26.2273740, 71.7151280, 350.9671600, 2072.0863000", \
+					  "17.4945520, 17.6890000, 18.8960440, 26.2922820, 71.7517140, 351.0368700, 2072.7473000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5860110, 14.9619570, 17.3277040, 31.8599550, 122.1671800, 678.6043500, 4111.7428000", \
+					  "14.5868600, 14.9627120, 17.3152730, 31.8613190, 121.9582100, 678.3050700, 4109.0793000", \
+					  "14.5830410, 14.9612430, 17.3152510, 31.8596630, 122.1654500, 678.3676600, 4109.4845000", \
+					  "14.5862660, 14.9619680, 17.3173510, 31.8600400, 122.1874900, 678.7864800, 4109.7287000", \
+					  "14.5864130, 14.9611200, 17.3176800, 31.8602250, 122.2023900, 678.9274500, 4113.7287000", \
+					  "14.5811840, 14.9671620, 17.3197470, 31.8607040, 122.2035600, 678.7889800, 4110.0520000", \
+					  "14.5843220, 14.9588340, 17.3169370, 31.8597630, 122.1625200, 678.9543900, 4108.1448000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1765820, 13.2331340, 13.5456800, 15.4349010, 26.8011570, 96.7341900, 523.7591300", \
+					  "13.3587330, 13.4121030, 13.7298940, 15.6154270, 26.9720830, 96.8977500, 523.9784900", \
+					  "13.5541920, 13.6058460, 13.9191170, 15.8113290, 27.1831570, 97.1573750, 524.1844300", \
+					  "13.7386090, 13.7920070, 14.1116730, 15.9953370, 27.3679210, 97.2701240, 524.3463800", \
+					  "13.9104470, 13.9638140, 14.2835100, 16.1671580, 27.5432760, 97.4505100, 524.5353200", \
+					  "14.0803800, 14.1317290, 14.4499520, 16.3388640, 27.7045690, 97.6379010, 524.6557700", \
+					  "14.2427870, 14.2941330, 14.6120040, 16.5011310, 27.8646260, 97.8025980, 524.8233500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0485356, 3.1208940, 3.5705832, 6.3929457, 24.2992080, 135.4199100, 504.2174400", \
+					  "3.0471377, 3.1228649, 3.5727366, 6.3857963, 24.3086690, 135.4153900, 504.2254500", \
+					  "3.0473926, 3.1208489, 3.5657468, 6.3910175, 24.2718910, 135.4149800, 504.2646200", \
+					  "3.0472715, 3.1229341, 3.5727459, 6.3857710, 24.3161690, 135.4171400, 504.2343200", \
+					  "3.0471419, 3.1228535, 3.5727317, 6.3857426, 24.2796360, 135.4157200, 504.2268800", \
+					  "3.0485123, 3.1195928, 3.5707077, 6.3929235, 24.3005970, 135.4200700, 504.2575100", \
+					  "3.0486031, 3.1198575, 3.5705963, 6.3929866, 24.2997710, 135.4192000, 504.2210200");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0805558, -1.1118021, -1.1430484, -1.1457451, -1.1483338, -1.1510304, -1.1537271");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0892479, 1.1354345, 1.1816210, 1.1824795, 1.1833036, 1.1841621, 1.1850206");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.00156143";
+				miller_cap_rise : "0.00267412";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("18.6606, 0.376294, 0.263585, 0.262017, 0.260169, 0.259098, 0.257896, 0.256521, 0.254913, 0.252975, 0.250548, 0.247359, 0.24299, 0.236982, 0.228988, 0.218764, 0.206105, 0.190833, 0.172786, 0.15183, 0.127849, 0.100747, 0.0704471, 0.0368823, -8.13666e-07, -0.0825278, -0.175796, -0.536955, -5.00705", \
+					  "18.6505, 0.353491, 0.237934, 0.236313, 0.234452, 0.233402, 0.232246, 0.230959, 0.229501, 0.227813, 0.225805, 0.223324, 0.220101, 0.215694, 0.209552, 0.20125, 0.190528, 0.177203, 0.161116, 0.14213, 0.120123, 0.0949888, 0.0666386, 0.0349968, -7.08615e-08, -0.0789552, -0.16897, -0.52209, -5.00106", \
+					  "18.6287, 0.275968, 0.141657, 0.140405, 0.139044, 0.138313, 0.137541, 0.13672, 0.135842, 0.134893, 0.133857, 0.132709, 0.131416, 0.129921, 0.128134, 0.125886, 0.122826, 0.118243, 0.111227, 0.10121, 0.0879446, 0.0712905, 0.0511389, 0.0274007, -6.70305e-08, -0.0650417, -0.142871, -0.466799, -4.97942", \
+					  "18.6351, 0.272439, 0.104329, 0.103304, 0.102209, 0.101628, 0.101021, 0.100384, 0.0997118, 0.0989971, 0.0982313, 0.0974026, 0.0964944, 0.0954827, 0.0943312, 0.0929788, 0.0913154, 0.0891003, 0.0857422, 0.0801511, 0.0714241, 0.0591876, 0.0432744, 0.0235738, -7.52901e-08, -0.0582751, -0.130438, -0.441239, -4.96975", \
+					  "18.6487, 0.298786, 0.0691397, 0.0683588, 0.067536, 0.0671056, 0.0666601, 0.0661976, 0.0657153, 0.0652098, 0.0646771, 0.0641115, 0.0635057, 0.0628496, 0.062129, 0.0613215, 0.0603925, 0.0592783, 0.0578415, 0.0556927, 0.0517561, 0.0446792, 0.0338298, 0.0189785, -7.37197e-08, -0.0503288, -0.116043, -0.412193, -4.95899", \
+					  "18.6576, 0.325641, 0.0529106, 0.0522541, 0.0515688, 0.051212, 0.0508449, 0.0504658, 0.0500728, 0.0496638, 0.0492359, 0.0487857, 0.0483084, 0.0477979, 0.0472452, 0.0466383, 0.0459569, 0.0451686, 0.04421, 0.0429263, 0.0407848, 0.0363737, 0.0283779, 0.016317, -8.17893e-07, -0.0458127, -0.107956, -0.396108, -4.95313", \
+					  "18.6678, 0.362221, 0.0379347, 0.0374011, 0.0368527, 0.0365694, 0.0362792, 0.035981, 0.0356737, 0.0353561, 0.0350263, 0.0346824, 0.0343214, 0.0339397, 0.0335324, 0.0330927, 0.0326099, 0.032068, 0.0314381, 0.0306602, 0.0295549, 0.027367, 0.0223532, 0.0133532, -8.16093e-07, -0.0408613, -0.0991735, -0.378807, -4.94692", \
+					  "18.6794, 0.408655, 0.0245799, 0.0241515, 0.0237395, 0.0235285, 0.0233133, 0.0230937, 0.0228684, 0.0226373, 0.0223993, 0.0221532, 0.0218974, 0.0216305, 0.0213494, 0.0210505, 0.0207294, 0.0203783, 0.0199855, 0.0195269, 0.0189509, 0.018045, 0.0157367, 0.0100353, -1.22353e-08, -0.0354075, -0.0896014, -0.360124, -4.94029", \
+					  "18.6931, 0.465225, 0.0135274, 0.0130977, 0.0128206, 0.0126799, 0.0125372, 0.0123922, 0.0122448, 0.0120945, 0.011941, 0.0117837, 0.0116221, 0.0114552, 0.0112821, 0.0111012, 0.0109106, 0.0107074, 0.0104871, 0.0102422, 0.00995758, 0.00959111, 0.00889346, 0.00638079, -1.02698e-08, -0.0293561, -0.0791285, -0.339862, -4.93321", \
+					  "18.7093, 0.532591, 0.00627935, 0.00524889, 0.00509418, 0.00501808, 0.00494136, 0.00486395, 0.00478575, 0.00470666, 0.00462655, 0.00454526, 0.00446261, 0.00437833, 0.00429213, 0.00420358, 0.00411211, 0.00401692, 0.00391681, 0.00380991, 0.00369286, 0.00355826, 0.0033777, 0.00283189, -1.17129e-08, -0.0225977, -0.0676336, -0.317778, -4.9256", \
+					  "18.729, 0.611227, 0.00606297, 0.00137131, 0.00129172, 0.0012639, 0.00123607, 0.00120816, 0.00118013, 0.00115198, 0.00112371, 0.00109527, 0.00106659, 0.00103764, 0.00100838, 0.000978743, 0.000948637, 0.000917928, 0.000886422, 0.000853829, 0.000819648, 0.0007829, 0.000740789, 0.00067044, -4.36551e-08, -0.0150981, -0.0550182, -0.29361, -4.91739", \
+					  "18.7515, 0.699813, 0.0176415, 0.00037187, 0.000217265, 0.00021071, 0.000204749, 0.000198821, 0.000192896, 0.000186975, 0.000181091, 0.000175365, 0.000169736, 0.000163897, 0.000157924, 0.000151895, 0.000145819, 0.000139685, 0.000133469, 0.00012714, 0.000120639, 0.000113863, 0.000106529, 9.68722e-05, -1.1567e-08, -0.00757203, -0.0414321, -0.267194, -4.90848", \
+					  "18.7759, 0.796148, 0.0433759, 0.000891637, 2.80206e-05, 2.31358e-05, 2.19673e-05, 2.10187e-05, 2.01148e-05, 1.92377e-05, 1.84201e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.73816e-05, 1.65415e-05, 1.56878e-05, 1.48161e-05, 1.39136e-05, 1.29537e-05, 1.17441e-05, -1.02081e-08, -0.00237736, -0.027799, -0.238766, -4.89894", \
+					  "18.8015, 0.898868, 0.08234, 0.00484491, 3.06935e-06, -2.23993e-05, -2.47105e-05, -2.56479e-05, -2.63069e-05, -2.68439e-05, -2.72649e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -0.000448247, -0.0156838, -0.20908, -4.88898", \
+					  "18.8281, 1.00706, 0.132368, 0.018162, 3.1441e-07, -0.000159613, -0.000173819, -0.000179169, -0.000182754, -0.000185602, -0.000187992, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00667122, -0.178991, -4.87888", \
+					  "18.8555, 1.11977, 0.190616, 0.0433502, 3.4002e-08, -0.00103108, -0.00112943, -0.0011638, -0.00118605, -0.00120349, -0.00121823, -0.00123097, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00186527, -0.149149, -4.86886", \
+					  "18.8836, 1.23585, 0.253561, 0.0763004, 6.28787e-09, -0.00568845, -0.00644807, -0.00665907, -0.00677979, -0.00686869, -0.00694129, -0.00700362, -0.00705749, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.120057, -4.85906", \
+					  "18.9121, 1.35393, 0.317834, 0.111716, 3.56603e-09, -0.0182666, -0.0228864, -0.0239843, -0.0244812, -0.0248063, -0.0250546, -0.0252589, -0.0254338, -0.0255819, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0922394, -4.84955", \
+					  "18.941, 1.47251, 0.381256, 0.146892, 3.28489e-09, -0.0359937, -0.0513353, -0.05591, -0.0575739, -0.0584972, -0.0591406, -0.0596416, -0.0600559, -0.0604085, -0.0606943, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.0665097, -4.84039", \
+					  "18.9699, 1.59019, 0.442802, 0.180929, 3.24131e-09, -0.0547606, -0.0861108, -0.0995073, -0.104405, -0.106701, -0.108126, -0.109162, -0.109982, -0.110664, -0.111237, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -4.83159", \
+					  "18.9988, 1.70581, 0.502081, 0.213572, 3.22152e-09, -0.0731217, -0.12223, -0.149619, -0.161788, -0.167116, -0.170039, -0.171992, -0.173456, -0.174632, -0.175611, -0.176406, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -4.84068", \
+					  "19.0273, 1.81861, 0.558978, 0.244773, 3.23586e-09, -0.0907304, -0.157644, -0.201559, -0.225698, -0.237129, -0.242904, -0.246419, -0.248891, -0.250792, -0.252336, -0.253612, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -4.89423", \
+					  "19.0554, 1.92813, 0.613504, 0.274557, 3.20306e-09, -0.10752, -0.191722, -0.252773, -0.292006, -0.313573, -0.324578, -0.330779, -0.334836, -0.337802, -0.34013, -0.342032, -0.343543, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -4.95985", \
+					  "19.0829, 2.03416, 0.665722, 0.302978, 3.18004e-09, -0.123499, -0.224299, -0.302262, -0.357819, -0.392913, -0.412493, -0.423259, -0.429847, -0.434394, -0.437819, -0.440548, -0.44276, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -5.03441", \
+					  "19.1097, 2.13663, 0.715716, 0.330097, 3.16213e-09, -0.1387, -0.255363, -0.349699, -0.421715, -0.472158, -0.503664, -0.521724, -0.532354, -0.539284, -0.544267, -0.548109, -0.551193, -0.553553, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -5.11721", \
+					  "19.1614, 2.33099, 0.809423, 0.380698, 3.13353e-09, -0.166926, -0.313145, -0.438258, -0.541952, -0.624103, -0.685061, -0.726452, -0.752324, -0.76829, -0.778778, -0.786251, -0.79194, -0.796424, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -5.30615", \
+					  "19.2103, 2.51171, 0.895399, 0.426869, 3.10951e-09, -0.192522, -0.365597, -0.518814, -0.651781, -0.764156, -0.855708, -0.926493, -0.97743, -1.0114, -1.03332, -1.04793, -1.05831, -1.06613, -1.07217, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -5.52292", \
+					  "19.3413, 2.98054, 1.11396, 0.543209, 3.05073e-09, -0.256345, -0.496446, -0.719966, -0.926551, -1.11583, -1.28742, -1.44092, -1.5759, -1.69186, -1.78829, -1.8649, -1.92236, -1.96339, -1.99227, -2.01303, -2.02824, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -6.28748", \
+					  "19.5136, 3.56627, 1.37919, 0.682546, 2.9811e-09, -0.331603, -0.650707, -0.957101, -1.25056, -1.53085, -1.7977, -2.05086, -2.29003, -2.51489, -2.7251, -2.92027, -3.0999, -3.26341, -3.40998, -3.53856, -3.64793, -3.73723, -3.80684, -3.85844, -3.89243, -3.91046, -3.91623, -3.94163, -7.78207");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("1.2969402, 1.8007240, 2.2448743, 2.6823170, 3.2330454");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("1.3128940, 1.8171784, 2.2611158, 2.6995782, 3.2469292");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3498552, 0.4307575, 0.4998847, 0.5675126, 0.6797961");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4010063, 0.4819254, 0.5511181, 0.6187031, 0.7308792");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("167.437");
+						index_3("0.001");
+						index_4("84.9891916, 90.0856939, 117.1829710, 133.4508480, 134.3635660");
+						values("0.658628, 1.05381, 1.31726, 1.05381, 0.658628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("101.773");
+						index_3("0.001");
+						index_4("51.9287824, 55.3809852, 73.9131895, 83.6135479, 84.2607697");
+						values("0.694152, 1.11064, 1.3883, 1.11064, 0.694152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("67.3446");
+						index_3("0.001");
+						index_4("34.5618827, 37.1265826, 49.8425710, 56.2755748, 56.8152975");
+						values("0.700814, 1.1213, 1.40163, 1.1213, 0.700814");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("83.7184");
+						index_3("0.001");
+						index_4("43.3282041, 47.3404862, 61.5202579, 64.1058897, 65.1987969");
+						values("0.329732, 0.527571, 0.659464, 0.527571, 0.329732");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("50.8867");
+						index_3("0.001");
+						index_4("26.5873120, 29.4920502, 38.4303879, 40.0384743, 40.7620981");
+						values("0.344651, 0.551441, 0.689302, 0.551441, 0.344651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("33.6723");
+						index_3("0.001");
+						index_4("17.8013105, 20.0419899, 26.0733221, 27.0959395, 27.6158247");
+						values("0.344445, 0.551112, 0.688889, 0.551112, 0.344445");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("152.66");
+						index_3("0.001");
+						index_4("76.3846300, 79.3311250, 108.5192620, 129.6101020, 130.4118930");
+						values("0.869565, 1.3913, 1.65, 1.3913, 0.869565");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("26.9413");
+						index_3("0.001");
+						index_4("13.0052023, 13.6620678, 14.1807576, 22.8134401, 23.7309617");
+						values("1.54969, 1.4895, 1.44937, 1.4895, 1.54969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("15.9372");
+						index_3("0.001");
+						index_4("8.7551514, 9.4844043, 13.2813828, 15.2521911, 16.0935257");
+						values("0.879448, 0.417116, 0.108895, 0.417116, 0.879448");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("10.8892");
+						index_3("0.001");
+						index_4("5.9388946, 6.4623427, 9.2872431, 10.8313268, 11.6362179");
+						values("0.758647, 0.223836, 0, 0.223836, 0.758647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("13.4706");
+						index_3("0.001");
+						index_4("6.6116503, 6.9189190, 7.2186060, 7.6797579, 12.2560230");
+						values("1.58278, 1.54245, 1.51557, 1.54245, 1.58278");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("7.96858");
+						index_3("0.001");
+						index_4("4.1071573, 4.2679030, 4.5530906, 6.9278077, 7.6346701");
+						values("1.5567, 1.50072, 1.46341, 1.50072, 1.5567");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("5.44458");
+						index_3("0.001");
+						index_4("2.8996829, 3.0311361, 3.3210057, 5.1768619, 5.5238425");
+						values("1.52532, 1.45051, 1.40063, 1.45051, 1.52532");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("23.9057");
+						index_3("0.001");
+						index_4("12.4512854, 12.9200046, 19.7535468, 22.4157468, 23.6352621");
+						values("0.741386, 0.196217, 0, 0.196217, 0.741386");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157976;
+			capacitance : 0.157476;
+			fall_capacitance : 0.156975;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0487250, -0.0473064, -0.0458878, -0.0462409, -0.0465798, -0.0469328, -0.0472858");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439035, 0.0449932, 0.0460829, 0.0463960, 0.0466966, 0.0470098, 0.0473229");
+				}
+			}*/
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150650;
+			capacitance : 0.150117;
+			fall_capacitance : 0.149584;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0490589, -0.0476052, -0.0461516, -0.0464106, -0.0466593, -0.0469183, -0.0471773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0441369, 0.0448927, 0.0456485, 0.0460515, 0.0464383, 0.0468412, 0.0472441");
+				}
+			}*/
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_tt_tt_025C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_tt_tt_025C_1v80_3v30.lib
new file mode 100644
index 0000000..e2e7cce
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_wrapped_tt_tt_025C_1v80_3v30.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__gpiov2_pad_wrapped_tt_tt_025C_1v80_3v30") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 25.000000;
+	nom_voltage : 1.800000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.800000);
+	voltage_map("VCCHIB",1.800000);
+	voltage_map("VDDA",3.300000);
+	voltage_map("VDDIO",3.300000);
+	voltage_map("VDDIO_Q",3.300000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",3.300000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.330; 
+			 voh : 2.970; 
+			 vomax : 3.465; 
+			 vomin : -0.165; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.180; 
+			 voh : 1.620; 
+			 vomax : 1.890; 
+			 vomin : -0.090; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.825; 
+			 vih : 2.475; 
+			 vimax : 3.465; 
+			 vimin : -0.165; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.540; 
+			 vih : 1.260; 
+			 vimax : 1.890; 
+			 vimin : -0.090; 
+		}
+	 operating_conditions ("tt_tt_1p80v_x_3p30v_025C") { 
+		process : 1.000000;
+		temperature : 25.000000;
+		voltage : 1.800000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_ef_io__gpiov2_pad_wrapped_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_ef_io__gpiov2_pad_wrapped") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 8.809100e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7326300";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "7.0009600";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6322500";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7192200";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7894900";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5619700";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5622300";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5753800";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7194800";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.2505300";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.4727600";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5585400";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6518600";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.4879500";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.2347200";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6451900";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.8091000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7157900";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_ef_io__gpiov2_pad_wrapped_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006624;
+			capacitance : 0.006439;
+			fall_capacitance : 0.006255;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6794944, 0.5726626, 0.5047490, 0.4737636, 0.4245998, 0.3934920, 0.3700116", \
+					  "0.8195869, 0.7153796, 0.6459349, 0.6185472, 0.5760139, 0.5538333, 0.5270450", \
+					  "0.8854419, 0.7871931, 0.6865370, 0.6745692, 0.6369177, 0.6039684, 0.5767744", \
+					  "0.9338041, 0.8255869, 0.7414902, 0.7079572, 0.6873086, 0.6703315, 0.6310783", \
+					  "0.9661726, 0.8564642, 0.7894618, 0.7210472, 0.7196608, 0.7038117, 0.7081846", \
+					  "0.9909053, 0.8839295, 0.7745959, 0.7709138, 0.7528288, 0.7369797, 0.6697884", \
+					  "1.0095226, 0.8964433, 0.8254713, 0.7944794, 0.7554697, 0.7126741, 0.6778880");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5574401, 0.4176499, 0.2723786, 0.1573455, 0.0675442, -0.0190848, -0.0957284", \
+					  "0.7005846, 0.5601700, 0.4237927, 0.3101241, 0.2092325, 0.1221823, 0.0403461", \
+					  "0.7456019, 0.6162898, 0.4771234, 0.3648158, 0.2772805, 0.1824131, 0.1025863", \
+					  "0.8132756, 0.6465573, 0.5062108, 0.4217140, 0.3244048, 0.2398219, 0.1274609", \
+					  "0.8425926, 0.6961363, 0.5535490, 0.4525008, 0.3817697, 0.3008364, 0.1904101", \
+					  "0.8688509, 0.7157845, 0.5775618, 0.4773522, 0.3995488, 0.3339850, 0.2324704", \
+					  "0.8874682, 0.7268005, 0.5824461, 0.4947960, 0.4142110, 0.3503169, 0.2624428");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4817082, -0.3666611, -0.3086751, -0.2601569, -0.2268131, -0.2002829, -0.1737507", \
+					  "-0.6187440, -0.5133075, -0.4560712, -0.4100453, -0.3817586, -0.3529925, -0.3262841", \
+					  "-0.6897964, -0.5668988, -0.5000012, -0.4791628, -0.4473885, -0.4123310, -0.3986687", \
+					  "-0.7329875, -0.6001172, -0.5543514, -0.5220487, -0.4819347, -0.4492588, -0.4158860", \
+					  "-0.7621705, -0.6480866, -0.5900163, -0.5627652, -0.5190682, -0.4807737, -0.4607663", \
+					  "-0.7946444, -0.6755044, -0.6079580, -0.5744895, -0.5304239, -0.5408579, -0.5236174", \
+					  "-0.8132617, -0.6935649, -0.6340791, -0.6062778, -0.5479688, -0.5596721, -0.5439046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5397034, -0.4017310, -0.2622750, -0.1441901, -0.0513370, 0.0374395, 0.1098708", \
+					  "-0.6843478, -0.5435443, -0.4136892, -0.2905307, -0.2007868, -0.1136469, -0.0286345", \
+					  "-0.7365312, -0.6032460, -0.4641456, -0.3547123, -0.2662783, -0.1782149, -0.0918037", \
+					  "-0.7955604, -0.6288350, -0.4945658, -0.4057606, -0.3097124, -0.2343122, -0.1282320", \
+					  "-0.8277957, -0.6839147, -0.5387703, -0.4376342, -0.3686019, -0.2606680, -0.1738085", \
+					  "-0.8526395, -0.6980748, -0.5673610, -0.4671367, -0.3888695, -0.3206811, -0.2066277", \
+					  "-0.8712569, -0.7170947, -0.5920815, -0.4830849, -0.4059100, -0.3173139, -0.2498362");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375519, 0.0370222, 0.0364925, 0.0363356, 0.0361850, 0.0360281, 0.0358713");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468398, 0.0461588, 0.0454779, 0.0456219, 0.0457602, 0.0459042, 0.0460482");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004835;
+			capacitance : 0.004674;
+			fall_capacitance : 0.004514;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4405008, -0.3295483, -0.2653267, -0.2082770, -0.1673038, -0.1438254, -0.1203450", \
+					  "-0.5836400, -0.4666625, -0.4167410, -0.3613802, -0.3203981, -0.2911589, -0.2643793", \
+					  "-0.6577513, -0.5265750, -0.4714891, -0.4371334, -0.3837096, -0.3519201, -0.3281175", \
+					  "-0.6963556, -0.5532205, -0.4960433, -0.4848867, -0.4223833, -0.4027178, -0.3754244", \
+					  "-0.7005467, -0.5893497, -0.5566590, -0.5230305, -0.4696999, -0.4297312, -0.4294044", \
+					  "-0.7564887, -0.6285070, -0.5852939, -0.5239402, -0.5089877, -0.4677288, -0.4165306", \
+					  "-0.7598472, -0.6471243, -0.6053245, -0.5247344, -0.5202738, -0.5028669, -0.4896010");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5137437, -0.3712388, -0.2241280, -0.1029914, -0.0070865, 0.0926859, 0.1721973", \
+					  "-0.6553571, -0.5093184, -0.3755423, -0.2540338, -0.1468520, -0.0565056, 0.0357212", \
+					  "-0.7264094, -0.5645595, -0.4359201, -0.3089359, -0.2237710, -0.1062674, -0.0372219", \
+					  "-0.7711265, -0.5947262, -0.4698850, -0.3689584, -0.2653162, -0.1523245, -0.0759208", \
+					  "-0.7972577, -0.6442215, -0.5077064, -0.4010860, -0.2855835, -0.2035559, -0.0996732", \
+					  "-0.8282057, -0.6728704, -0.5348100, -0.4246393, -0.3391945, -0.2493378, -0.1508720", \
+					  "-0.8468230, -0.6916818, -0.5580392, -0.4437541, -0.3593817, -0.2749942, -0.1779532");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6581203, 0.5469117, 0.4837391, 0.4367674, 0.3880927, 0.3507674, 0.3242352", \
+					  "0.8027894, 0.6923317, 0.6190033, 0.5803660, 0.5423838, 0.5102635, 0.4775151", \
+					  "0.8577623, 0.7627534, 0.6637569, 0.6412921, 0.6107079, 0.5750224, 0.5465375", \
+					  "0.9154839, 0.8009793, 0.7219877, 0.6715266, 0.6478875, 0.6308922, 0.5762628", \
+					  "0.9447814, 0.8305259, 0.7603895, 0.6870028, 0.6799924, 0.6609679, 0.6452361", \
+					  "0.9695311, 0.8546875, 0.7503333, 0.7347394, 0.7043450, 0.6964662, 0.6504996", \
+					  "0.9866225, 0.8686379, 0.8030644, 0.7539391, 0.7396987, 0.6822114, 0.6453404");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5360460, 0.3913472, 0.2449648, 0.1191998, 0.0202431, -0.0734257, -0.1581470", \
+					  "0.6731816, 0.5250470, 0.3917493, 0.2752364, 0.1616604, 0.0684385, -0.0179936", \
+					  "0.7426487, 0.5816807, 0.4567633, 0.3266688, 0.2380887, 0.1313673, 0.0434839", \
+					  "0.7950978, 0.6157313, 0.4840615, 0.3860112, 0.2742362, 0.1949603, 0.1001835", \
+					  "0.8280243, 0.6703213, 0.5288106, 0.4274857, 0.3038271, 0.2244724, 0.1007554", \
+					  "0.8550888, 0.6867047, 0.5528539, 0.4429228, 0.3594605, 0.2674304, 0.1683230", \
+					  "0.8660767, 0.7053221, 0.5653897, 0.4594785, 0.3686326, 0.2967176, 0.1962648");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0442266, 0.0440402, 0.0438538, 0.0437961, 0.0437408, 0.0436831, 0.0436254");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538664, 0.0535166, 0.0531668, 0.0533150, 0.0534573, 0.0536056, 0.0537538");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006515;
+			capacitance : 0.006331;
+			fall_capacitance : 0.006147;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6886498, 0.5834835, 0.5196045, 0.4780232, 0.4322292, 0.4056990, 0.3791668", \
+					  "0.8317933, 0.7259014, 0.6596678, 0.6246899, 0.5897469, 0.5652071, 0.5411765", \
+					  "0.8996062, 0.7985187, 0.6987409, 0.6819049, 0.6534325, 0.6146952, 0.5849870", \
+					  "0.9460125, 0.8346462, 0.7534392, 0.7125781, 0.6965573, 0.6897701, 0.6418756", \
+					  "0.9768424, 0.8688466, 0.8015348, 0.7291682, 0.7303419, 0.7083893, 0.7173780", \
+					  "1.0015864, 0.8961312, 0.7818057, 0.7800691, 0.7616715, 0.7461350, 0.7432944", \
+					  "1.0186779, 0.9086450, 0.8344215, 0.8038087, 0.7568057, 0.7686055, 0.7135913");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589883, 0.4178066, 0.2693299, 0.1558226, 0.0583919, -0.0220440, -0.1048321", \
+					  "0.6992849, 0.5559191, 0.4237927, 0.2985830, 0.2066608, 0.1223379, 0.0310212", \
+					  "0.7582921, 0.6061652, 0.4767247, 0.3632899, 0.2714890, 0.1945180, 0.1021312", \
+					  "0.8152960, 0.6407428, 0.5040662, 0.4186952, 0.3233317, 0.2352745, 0.1437715", \
+					  "0.8540197, 0.7029311, 0.5496681, 0.4533520, 0.3809434, 0.2824134, 0.1936377", \
+					  "0.8769979, 0.7178217, 0.5721549, 0.4850011, 0.3931638, 0.3004077, 0.2362052", \
+					  "0.8920720, 0.7348801, 0.5892464, 0.4948367, 0.4196780, 0.3448725, 0.2621390");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4801825, -0.3746609, -0.3099014, -0.2693122, -0.2329167, -0.1972312, -0.1783284", \
+					  "-0.6217958, -0.5107410, -0.4559990, -0.4115711, -0.3847866, -0.3530042, -0.3311894", \
+					  "-0.6898048, -0.5668931, -0.5000129, -0.4806336, -0.4474069, -0.4123310, -0.3986786", \
+					  "-0.7329963, -0.5985999, -0.5528040, -0.5223150, -0.4819082, -0.4370518, -0.4174180", \
+					  "-0.7637723, -0.6509778, -0.5870472, -0.5613923, -0.5205835, -0.4837722, -0.4607619", \
+					  "-0.7931185, -0.6779709, -0.6079367, -0.5759325, -0.5303865, -0.5446107, -0.5236207", \
+					  "-0.8117358, -0.6862991, -0.6130526, -0.6061086, -0.5494886, -0.5627374, -0.5536213");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5335999, -0.3928806, -0.2531197, -0.1396125, -0.0452335, 0.0359977, 0.1127809", \
+					  "-0.6813572, -0.5419254, -0.4045339, -0.2905306, -0.1932607, -0.1072858, -0.0224935", \
+					  "-0.7365321, -0.5940909, -0.4612749, -0.3455570, -0.2612953, -0.1644657, -0.0889998", \
+					  "-0.7879313, -0.6242576, -0.4871393, -0.3966206, -0.3049005, -0.2343121, -0.1282320", \
+					  "-0.8201660, -0.6743784, -0.5326673, -0.4330173, -0.3639156, -0.2595324, -0.1706906", \
+					  "-0.8465364, -0.6936438, -0.5566800, -0.4610256, -0.3842013, -0.3111774, -0.2066277", \
+					  "-0.8651534, -0.7124678, -0.5798750, -0.4769818, -0.4013699, -0.3126670, -0.2440203");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375796, 0.0370404, 0.0365011, 0.0363476, 0.0362003, 0.0360468, 0.0358933");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467406, 0.0460464, 0.0453523, 0.0455007, 0.0456433, 0.0457917, 0.0459402");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028245;
+			capacitance : 0.028241;
+			fall_capacitance : 0.028236;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0160412, -0.0138001, -0.0115590, -0.0016902, 0.0077839, 0.0176527, 0.0275215");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1161986, 0.1200552, 0.1239118, 0.1342717, 0.1442172, 0.1545771, 0.1649370");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.033150;
+			capacitance : 0.032784;
+			fall_capacitance : 0.032418;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1073470, 0.1115002, 0.1156534, 0.1163079, 0.1169362, 0.1175907, 0.1182452");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1236924, 0.1244588, 0.1252251, 0.1261162, 0.1269716, 0.1278627, 0.1287539");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052525;
+			capacitance : 0.051969;
+			fall_capacitance : 0.051413;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0167277, -0.0169717, -0.0172157, -0.0172099, -0.0172043, -0.0171985, -0.0171927");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0173449, 0.0173256, 0.0173064, 0.0172998, 0.0172935, 0.0172869, 0.0172803");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016840;
+			capacitance : 0.016761;
+			fall_capacitance : 0.016681;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224745, 0.0221643, 0.0218541, 0.0223954, 0.0229151, 0.0234564, 0.0239977");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0265850, 0.0263451, 0.0261051, 0.0267782, 0.0274244, 0.0280975, 0.0287706");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037418;
+			capacitance : 0.036793;
+			fall_capacitance : 0.036167;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7990881, -0.6962510, -0.6444013, -0.6004620, -0.5686069, -0.5466574, -0.5277546", \
+					  "-0.9422274, -0.8424558, -0.7812798, -0.7359719, -0.7169724, -0.6904422, -0.6718288", \
+					  "-1.0106752, -0.9089500, -0.8326101, -0.7863700, -0.7806580, -0.7602313, -0.7352251", \
+					  "-1.0534192, -0.9506853, -0.8811476, -0.8524556, -0.8236895, -0.7971592, -0.7965670", \
+					  "-1.0826022, -0.9742406, -0.9209523, -0.8837863, -0.8488285, -0.8341356, -0.8289192", \
+					  "-1.1120242, -1.0076612, -0.9578413, -0.9074467, -0.8570042, -0.8626835, -0.8424134", \
+					  "-1.1291156, -1.0232268, -0.9570992, -0.9276737, -0.8911274, -0.8708978, -0.8639199");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5686806, -0.4606777, -0.5660139, -0.4661505, -0.3855045, -0.3025168, -0.2256306", \
+					  "-0.7102932, -0.8350673, -0.5386340, -0.6145499, -0.5337533, -0.4524284, -0.3707113", \
+					  "-0.7595851, -0.8939970, -0.5712598, -0.6690433, -0.5929750, -0.5160907, -0.4361528", \
+					  "-0.8230244, -0.9431437, -0.6314757, -0.7052825, -0.6439062, -0.5499669, -0.4714156", \
+					  "-0.8552066, -0.9718541, -0.6770805, -0.6162764, -0.6793725, -0.5993741, -0.5039070", \
+					  "-0.8739871, -0.7635316, -0.8683833, -0.7653879, -0.6958257, -0.6233941, -0.5556823", \
+					  "-0.8941303, -0.7852918, -0.8858225, -0.7850139, -0.6059269, -0.6433981, -0.5684532");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9572019, 0.8545504, 0.7973147, 0.7557660, 0.7267210, 0.7093489, 0.6889202", \
+					  "1.0942396, 0.9974766, 0.9359848, 0.8886028, 0.8638981, 0.8446030, 0.8311791", \
+					  "1.1703952, 1.0540479, 0.9876077, 0.9507523, 0.9340661, 0.9183451, 0.8943834", \
+					  "1.2061440, 1.1041855, 1.0379771, 0.9998882, 0.9597922, 0.9537471, 0.9348444", \
+					  "1.2392444, 1.1321500, 1.0792078, 1.0372798, 0.9749218, 0.9861074, 0.9736298", \
+					  "1.2655607, 1.1667746, 1.1187967, 1.0537489, 1.0290513, 1.0066635, 1.0079940", \
+					  "1.2857038, 1.1725683, 1.1480214, 1.0693457, 1.0491560, 1.0119932, 1.0311890");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8458125, 0.7032994, 0.5748779, 0.4747297, 0.3913293, 0.3080442, 0.2326839", \
+					  "0.9844838, 0.8379120, 0.7117073, 0.6188420, 0.5408295, 0.4589611, 0.3730665", \
+					  "1.0647857, 0.9167715, 0.7677323, 0.6807950, 0.6000267, 0.5261942, 0.4432045", \
+					  "1.1014251, 0.9577013, 0.8310902, 0.7166446, 0.6511556, 0.5615963, 0.4781845", \
+					  "1.1393168, 0.9878783, 0.8545271, 0.7298549, 0.6834632, 0.6035449, 0.5079070", \
+					  "1.1618037, 0.9951064, 0.8277749, 0.7770173, 0.7033890, 0.6314352, 0.5604273", \
+					  "1.1712658, 1.0242706, 0.8989773, 0.7947596, 0.7191657, 0.6477693, 0.5714476");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1815255, 0.2242796, 0.2670336, 0.3021521, 0.3358659, 0.3709843, 0.4061028");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3118948, 0.3859556, 0.4600165, 0.4611906, 0.4623178, 0.4634919, 0.4646660");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.012603;
+			capacitance : 0.012304;
+			fall_capacitance : 0.012006;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2809469, 3.0245966, 5.7682461, 6.5034695, 7.2092839, 7.9445072, 8.6797305");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3681365, 3.0158359, 5.6635352, 6.0719794, 6.4640859, 6.8725302, 7.2809744");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006908;
+			capacitance : 0.006725;
+			fall_capacitance : 0.006543;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7374779, 0.6339137, 0.5733451, 0.5282645, 0.4871609, 0.4621565, 0.4402020", \
+					  "0.8806220, 0.7767422, 0.7044054, 0.6672506, 0.6350674, 0.6131812, 0.5867717", \
+					  "0.9538006, 0.8504741, 0.7567262, 0.7362172, 0.7053124, 0.6635233, 0.6444321", \
+					  "0.9948395, 0.8863901, 0.8147873, 0.7653486, 0.7607647, 0.7401241, 0.6907037", \
+					  "1.0256796, 0.9167822, 0.8537102, 0.8241922, 0.7809062, 0.7694245, 0.7340938", \
+					  "1.0504146, 0.9445806, 0.8745977, 0.8273713, 0.7782512, 0.7894200, 0.7719753", \
+					  "1.0675060, 0.9527470, 0.8961907, 0.8506397, 0.8040867, 0.8127887, 0.8020654");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589749, 0.4179180, 0.2739049, 0.1512424, 0.0583893, -0.0234173, -0.1001950", \
+					  "0.6991010, 0.5601012, 0.4192151, 0.2970647, 0.2063756, 0.1216267, 0.0372436", \
+					  "0.7456225, 0.6083793, 0.4729522, 0.3617641, 0.2713983, 0.1830737, 0.1007710", \
+					  "0.8133095, 0.6404728, 0.4992971, 0.4175361, 0.3169232, 0.2398626, 0.1205119", \
+					  "0.8429720, 0.6951339, 0.5476892, 0.4497311, 0.3800155, 0.2763946, 0.1888242", \
+					  "0.8749647, 0.7157558, 0.5701758, 0.4746739, 0.3921187, 0.3303798, 0.2396619", \
+					  "0.8326801, 0.6723509, 0.5781120, 0.4874360, 0.4143097, 0.3437902, 0.2584870");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4817082, -0.3747839, -0.3086751, -0.2632087, -0.2237613, -0.2033347, -0.1798542", \
+					  "-0.6263734, -0.5153499, -0.4574186, -0.4161488, -0.3847871, -0.3547159, -0.3328812", \
+					  "-0.6974258, -0.5714761, -0.5014384, -0.4836717, -0.4483166, -0.4123310, -0.4027664", \
+					  "-0.7390911, -0.5985910, -0.5558886, -0.5253644, -0.4819347, -0.4492588, -0.4308805", \
+					  "-0.7652223, -0.6496121, -0.5912569, -0.5659228, -0.5206000, -0.4822494, -0.4624894", \
+					  "-0.7946444, -0.6755052, -0.6093151, -0.5787981, -0.5304234, -0.5408578, -0.5250917", \
+					  "-0.8132617, -0.6935663, -0.6340786, -0.6077277, -0.5510143, -0.5673204, -0.5439046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5320740, -0.3921054, -0.2546456, -0.1396125, -0.0467594, 0.0432052, 0.1127811", \
+					  "-0.6843478, -0.5435450, -0.4060598, -0.2887863, -0.1919490, -0.1012835, -0.0192862", \
+					  "-0.7365315, -0.5895124, -0.4627107, -0.3455570, -0.2629678, -0.1644644, -0.0889998", \
+					  "-0.7909827, -0.6257827, -0.4886236, -0.3981480, -0.3018151, -0.2343137, -0.1272697", \
+					  "-0.8171138, -0.6743788, -0.5326665, -0.4330170, -0.3639154, -0.2572830, -0.1692452", \
+					  "-0.8450105, -0.6906861, -0.5582051, -0.4594979, -0.3812572, -0.3032438, -0.2066278", \
+					  "-0.8621016, -0.7028834, -0.5646151, -0.4754547, -0.3988600, -0.3228574, -0.2423436");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0376904, 0.0371341, 0.0365778, 0.0364236, 0.0362757, 0.0361216, 0.0359674");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467795, 0.0460860, 0.0453925, 0.0455459, 0.0456932, 0.0458466, 0.0459999");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017763;
+			capacitance : 0.017677;
+			fall_capacitance : 0.017592;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1136737, 0.1580135, 0.2023533, 0.2380142, 0.2722488, 0.3079097, 0.3435707");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2188847, 0.2933303, 0.3677759, 0.3689977, 0.3701705, 0.3713923, 0.3726140");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005502;
+			capacitance : 0.005341;
+			fall_capacitance : 0.005179;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4511931, -0.3427205, -0.2775338, -0.2250617, -0.1810367, -0.1575583, -0.1310261", \
+					  "-0.5943324, -0.4829500, -0.4304739, -0.3810536, -0.3420028, -0.3147777, -0.2849853", \
+					  "-0.6653848, -0.5363581, -0.4804926, -0.4493905, -0.3957261, -0.3779467, -0.3465274", \
+					  "-0.7101018, -0.5632584, -0.5045721, -0.4918698, -0.4300405, -0.4019566, -0.3907072", \
+					  "-0.7377589, -0.6158054, -0.5647504, -0.5330423, -0.4833796, -0.4327829, -0.4419409", \
+					  "-0.7580258, -0.6415967, -0.5826596, -0.5420892, -0.5004706, -0.4818896, -0.4848000", \
+					  "-0.7827466, -0.6556363, -0.6073805, -0.5426175, -0.5373303, -0.5083702, -0.4880842");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4954398, -0.3561192, -0.2119210, -0.0968879, 0.0126373, 0.0962490, 0.1683501", \
+					  "-0.6370531, -0.4925304, -0.3602835, -0.2498156, -0.1349438, -0.0455500, 0.0414296", \
+					  "-0.7143181, -0.5502214, -0.4177541, -0.3013065, -0.2106130, -0.1148996, -0.0165231", \
+					  "-0.7528172, -0.5803994, -0.4609896, -0.3571184, -0.2536329, -0.1468607, -0.0731889", \
+					  "-0.7850117, -0.6359982, -0.4870089, -0.3924952, -0.2784595, -0.1991709, -0.0987814", \
+					  "-0.8099018, -0.6495824, -0.5190582, -0.4185111, -0.3280108, -0.2378006, -0.1411598", \
+					  "-0.8285191, -0.6632101, -0.5300462, -0.4315002, -0.3444134, -0.2741615, -0.1971656");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6978119, 0.5909546, 0.5226562, 0.4674444, 0.4322292, 0.3965437, 0.3715375", \
+					  "0.8424821, 0.7338102, 0.6596679, 0.6218330, 0.5821175, 0.5502423, 0.5205745", \
+					  "0.9124308, 0.8061002, 0.7078988, 0.6745692, 0.6396996, 0.6055360, 0.5751562", \
+					  "0.9536197, 0.8433658, 0.7610683, 0.7079621, 0.6997125, 0.6718152, 0.6264620", \
+					  "0.9860182, 0.8701504, 0.7953775, 0.7210503, 0.7257643, 0.6931305, 0.6864348", \
+					  "1.0107486, 0.9002721, 0.7818238, 0.7724397, 0.7528288, 0.7285070, 0.7101374", \
+					  "1.0278401, 0.9145207, 0.8404773, 0.7929271, 0.7554137, 0.7639465, 0.7426776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5192800, 0.3757662, 0.2296549, 0.1130959, 0.0065099, -0.0792872, -0.1555881", \
+					  "0.6640212, 0.5144511, 0.3795423, 0.2640380, 0.1555201, 0.0590588, -0.0256044", \
+					  "0.7308363, 0.5673720, 0.4393511, 0.3205653, 0.2320778, 0.1267298, 0.0410396", \
+					  "0.7736931, 0.5981624, 0.4717761, 0.3728392, 0.2728887, 0.1647964, 0.0839851", \
+					  "0.8127366, 0.6588450, 0.5085862, 0.4163640, 0.2920785, 0.2185318, 0.0964212", \
+					  "0.8383211, 0.6706506, 0.5402540, 0.4293380, 0.3489903, 0.2616251, 0.1612322", \
+					  "0.8447329, 0.6907939, 0.5527678, 0.4521910, 0.3673647, 0.2938071, 0.1675130");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439212, 0.0438236, 0.0437259, 0.0435497, 0.0433805, 0.0432042, 0.0430280");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0521624, 0.0517178, 0.0512733, 0.0513624, 0.0514479, 0.0515370, 0.0516261");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005650;
+			capacitance : 0.005352;
+			fall_capacitance : 0.005053;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0353275, -0.0349824, -0.0346373, -0.0346118, -0.0345873, -0.0345618, -0.0345363");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0366676, 0.0366498, 0.0366320, 0.0365777, 0.0365256, 0.0364713, 0.0364170");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6794944, 0.8195869, 0.8854419, 0.9338041, 0.9661726, 0.9909053, 1.0095226", \
+					  "0.5726626, 0.7153796, 0.7871931, 0.8255869, 0.8564642, 0.8839295, 0.8964433", \
+					  "0.5047490, 0.6459349, 0.6865370, 0.7414902, 0.7894618, 0.7745959, 0.8254713", \
+					  "0.4737636, 0.6185472, 0.6745692, 0.7079572, 0.7210472, 0.7709138, 0.7944794", \
+					  "0.4245998, 0.5760139, 0.6369177, 0.6873086, 0.7196608, 0.7528288, 0.7554697", \
+					  "0.3934920, 0.5538333, 0.6039684, 0.6703315, 0.7038117, 0.7369797, 0.7126741", \
+					  "0.3700116, 0.5270450, 0.5767744, 0.6310783, 0.7081846, 0.6697884, 0.6778880");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4817082, -0.6187440, -0.6897964, -0.7329875, -0.7621705, -0.7946444, -0.8132617", \
+					  "-0.3666611, -0.5133075, -0.5668988, -0.6001172, -0.6480866, -0.6755044, -0.6935649", \
+					  "-0.3086751, -0.4560712, -0.5000012, -0.5543514, -0.5900163, -0.6079580, -0.6340791", \
+					  "-0.2601569, -0.4100453, -0.4791628, -0.5220487, -0.5627652, -0.5744895, -0.6062778", \
+					  "-0.2268131, -0.3817586, -0.4473885, -0.4819347, -0.5190682, -0.5304239, -0.5479688", \
+					  "-0.2002829, -0.3529925, -0.4123310, -0.4492588, -0.4807737, -0.5408579, -0.5596721", \
+					  "-0.1737507, -0.3262841, -0.3986687, -0.4158860, -0.4607663, -0.5236174, -0.5439046");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7990881, -0.9422274, -1.0106752, -1.0534192, -1.0826022, -1.1120242, -1.1291156", \
+					  "-0.6962510, -0.8424558, -0.9089500, -0.9506853, -0.9742406, -1.0076612, -1.0232268", \
+					  "-0.6444013, -0.7812798, -0.8326101, -0.8811476, -0.9209523, -0.9578413, -0.9570992", \
+					  "-0.6004620, -0.7359719, -0.7863700, -0.8524556, -0.8837863, -0.9074467, -0.9276737", \
+					  "-0.5686069, -0.7169724, -0.7806580, -0.8236895, -0.8488285, -0.8570042, -0.8911274", \
+					  "-0.5466574, -0.6904422, -0.7602313, -0.7971592, -0.8341356, -0.8626835, -0.8708978", \
+					  "-0.5277546, -0.6718288, -0.7352251, -0.7965670, -0.8289192, -0.8424134, -0.8639199");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9572019, 1.0942396, 1.1703952, 1.2061440, 1.2392444, 1.2655607, 1.2857038", \
+					  "0.8545504, 0.9974766, 1.0540479, 1.1041855, 1.1321500, 1.1667746, 1.1725683", \
+					  "0.7973147, 0.9359848, 0.9876077, 1.0379771, 1.0792078, 1.1187967, 1.1480214", \
+					  "0.7557660, 0.8886028, 0.9507523, 0.9998882, 1.0372798, 1.0537489, 1.0693457", \
+					  "0.7267210, 0.8638981, 0.9340661, 0.9597922, 0.9749218, 1.0290513, 1.0491560", \
+					  "0.7093489, 0.8446030, 0.9183451, 0.9537471, 0.9861074, 1.0066635, 1.0119932", \
+					  "0.6889202, 0.8311791, 0.8943834, 0.9348444, 0.9736298, 1.0079940, 1.0311890");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7374779, 0.8806220, 0.9538006, 0.9948395, 1.0256796, 1.0504146, 1.0675060", \
+					  "0.6339137, 0.7767422, 0.8504741, 0.8863901, 0.9167822, 0.9445806, 0.9527470", \
+					  "0.5733451, 0.7044054, 0.7567262, 0.8147873, 0.8537102, 0.8745977, 0.8961907", \
+					  "0.5282645, 0.6672506, 0.7362172, 0.7653486, 0.8241922, 0.8273713, 0.8506397", \
+					  "0.4871609, 0.6350674, 0.7053124, 0.7607647, 0.7809062, 0.7782512, 0.8040867", \
+					  "0.4621565, 0.6131812, 0.6635233, 0.7401241, 0.7694245, 0.7894200, 0.8127887", \
+					  "0.4402020, 0.5867717, 0.6444321, 0.6907037, 0.7340938, 0.7719753, 0.8020654");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4817082, -0.6263734, -0.6974258, -0.7390911, -0.7652223, -0.7946444, -0.8132617", \
+					  "-0.3747839, -0.5153499, -0.5714761, -0.5985910, -0.6496121, -0.6755052, -0.6935663", \
+					  "-0.3086751, -0.4574186, -0.5014384, -0.5558886, -0.5912569, -0.6093151, -0.6340786", \
+					  "-0.2632087, -0.4161488, -0.4836717, -0.5253644, -0.5659228, -0.5787981, -0.6077277", \
+					  "-0.2237613, -0.3847871, -0.4483166, -0.4819347, -0.5206000, -0.5304234, -0.5510143", \
+					  "-0.2033347, -0.3547159, -0.4123310, -0.4492588, -0.4822494, -0.5408578, -0.5673204", \
+					  "-0.1798542, -0.3328812, -0.4027664, -0.4308805, -0.4624894, -0.5250917, -0.5439046");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4511931, -0.5943324, -0.6653848, -0.7101018, -0.7377589, -0.7580258, -0.7827466", \
+					  "-0.3427205, -0.4829500, -0.5363581, -0.5632584, -0.6158054, -0.6415967, -0.6556363", \
+					  "-0.2775338, -0.4304739, -0.4804926, -0.5045721, -0.5647504, -0.5826596, -0.6073805", \
+					  "-0.2250617, -0.3810536, -0.4493905, -0.4918698, -0.5330423, -0.5420892, -0.5426175", \
+					  "-0.1810367, -0.3420028, -0.3957261, -0.4300405, -0.4833796, -0.5004706, -0.5373303", \
+					  "-0.1575583, -0.3147777, -0.3779467, -0.4019566, -0.4327829, -0.4818896, -0.5083702", \
+					  "-0.1310261, -0.2849853, -0.3465274, -0.3907072, -0.4419409, -0.4848000, -0.4880842");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6978119, 0.8424821, 0.9124308, 0.9536197, 0.9860182, 1.0107486, 1.0278401", \
+					  "0.5909546, 0.7338102, 0.8061002, 0.8433658, 0.8701504, 0.9002721, 0.9145207", \
+					  "0.5226562, 0.6596679, 0.7078988, 0.7610683, 0.7953775, 0.7818238, 0.8404773", \
+					  "0.4674444, 0.6218330, 0.6745692, 0.7079621, 0.7210503, 0.7724397, 0.7929271", \
+					  "0.4322292, 0.5821175, 0.6396996, 0.6997125, 0.7257643, 0.7528288, 0.7554137", \
+					  "0.3965437, 0.5502423, 0.6055360, 0.6718152, 0.6931305, 0.7285070, 0.7639465", \
+					  "0.3715375, 0.5205745, 0.5751562, 0.6264620, 0.6864348, 0.7101374, 0.7426776");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4405008, -0.5836400, -0.6577513, -0.6963556, -0.7005467, -0.7564887, -0.7598472", \
+					  "-0.3295483, -0.4666625, -0.5265750, -0.5532205, -0.5893497, -0.6285070, -0.6471243", \
+					  "-0.2653267, -0.4167410, -0.4714891, -0.4960433, -0.5566590, -0.5852939, -0.6053245", \
+					  "-0.2082770, -0.3613802, -0.4371334, -0.4848867, -0.5230305, -0.5239402, -0.5247344", \
+					  "-0.1673038, -0.3203981, -0.3837096, -0.4223833, -0.4696999, -0.5089877, -0.5202738", \
+					  "-0.1438254, -0.2911589, -0.3519201, -0.4027178, -0.4297312, -0.4677288, -0.5028669", \
+					  "-0.1203450, -0.2643793, -0.3281175, -0.3754244, -0.4294044, -0.4165306, -0.4896010");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6581203, 0.8027894, 0.8577623, 0.9154839, 0.9447814, 0.9695311, 0.9866225", \
+					  "0.5469117, 0.6923317, 0.7627534, 0.8009793, 0.8305259, 0.8546875, 0.8686379", \
+					  "0.4837391, 0.6190033, 0.6637569, 0.7219877, 0.7603895, 0.7503333, 0.8030644", \
+					  "0.4367674, 0.5803660, 0.6412921, 0.6715266, 0.6870028, 0.7347394, 0.7539391", \
+					  "0.3880927, 0.5423838, 0.6107079, 0.6478875, 0.6799924, 0.7043450, 0.7396987", \
+					  "0.3507674, 0.5102635, 0.5750224, 0.6308922, 0.6609679, 0.6964662, 0.6822114", \
+					  "0.3242352, 0.4775151, 0.5465375, 0.5762628, 0.6452361, 0.6504996, 0.6453404");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7975623, -0.9422275, -1.0091494, -1.0534192, -1.0810763, -1.1104984, -1.1275899", \
+					  "-0.6947251, -0.8409285, -0.9123036, -0.9476777, -0.9727147, -1.0077053, -1.0232710", \
+					  "-0.6365395, -0.7781423, -0.8260969, -0.8809866, -0.9160373, -0.9471679, -0.9496182", \
+					  "-0.5958844, -0.7344460, -0.7939994, -0.8433844, -0.8773395, -0.9013823, -0.9276421", \
+					  "-0.5701222, -0.7169724, -0.7760829, -0.8221636, -0.8412603, -0.8507997, -0.8825854", \
+					  "-0.5420798, -0.6889164, -0.7571796, -0.8032628, -0.8329706, -0.8598071, -0.8650751", \
+					  "-0.5231770, -0.6715850, -0.7459062, -0.7950483, -0.8076561, -0.8347578, -0.8669716");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9770380, 1.1156022, 1.1917707, 1.2290320, 1.2575657, 1.2869228, 1.3070661", \
+					  "0.8734995, 1.0185445, 1.0813709, 1.1224876, 1.1525469, 1.1859868, 1.1970235", \
+					  "0.8080791, 0.9634063, 1.0064141, 1.0621631, 1.0886773, 1.1278044, 1.1582648", \
+					  "0.7724016, 0.9156135, 0.9749829, 1.0232088, 1.0662286, 1.0823235, 1.0962328", \
+					  "0.7464826, 0.8803552, 0.9491314, 0.9716053, 0.9980658, 1.0580045, 1.0644148", \
+					  "0.7291732, 0.8645335, 0.9381859, 0.9766353, 1.0059357, 1.0294050, 1.0354017", \
+					  "0.7087566, 0.8418603, 0.9235273, 0.9562066, 0.9901125, 1.0018904, 1.0449219");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4902844, 0.6319029, 0.7011998, 0.7461197, 0.7739149, 0.8032211, 0.8218384", \
+					  "0.3813558, 0.5198572, 0.5769423, 0.6087381, 0.6583462, 0.6833320, 0.6988702", \
+					  "0.3135773, 0.4639352, 0.5099609, 0.5621219, 0.5966005, 0.6161719, 0.6245475", \
+					  "0.2748382, 0.4201488, 0.4907365, 0.5307568, 0.5656378, 0.5857518, 0.6145369", \
+					  "0.2384426, 0.3905027, 0.4550682, 0.4859612, 0.5460141, 0.5390162, 0.5551252", \
+					  "0.2134383, 0.3649038, 0.4191532, 0.4636926, 0.4892656, 0.5517532, 0.5290966", \
+					  "0.1899579, 0.3368413, 0.4098867, 0.4378766, 0.4710864, 0.5320769, 0.5649801");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4633965, -0.6111134, -0.6791141, -0.7253570, -0.7530141, -0.7778586, -0.7949500", \
+					  "-0.3655790, -0.4982823, -0.5532247, -0.5833913, -0.6389902, -0.6597828, -0.6818728", \
+					  "-0.2977025, -0.4467850, -0.4926759, -0.5434705, -0.5875109, -0.6039828, -0.6314752", \
+					  "-0.2540534, -0.4039417, -0.4718155, -0.5224398, -0.5551922, -0.5701524, -0.5739699", \
+					  "-0.2130802, -0.3739731, -0.4278398, -0.4757085, -0.5144751, -0.5260123, -0.5342254", \
+					  "-0.1880759, -0.3385962, -0.3985980, -0.4309483, -0.4762794, -0.5318274, -0.5258814", \
+					  "-0.1615437, -0.3208078, -0.3813414, -0.4097693, -0.4607976, -0.5221615, -0.5408909");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6886498, 0.8317933, 0.8996062, 0.9460125, 0.9768424, 1.0015864, 1.0186779", \
+					  "0.5834835, 0.7259014, 0.7985187, 0.8346462, 0.8688466, 0.8961312, 0.9086450", \
+					  "0.5196045, 0.6596678, 0.6987409, 0.7534392, 0.8015348, 0.7818057, 0.8344215", \
+					  "0.4780232, 0.6246899, 0.6819049, 0.7125781, 0.7291682, 0.7800691, 0.8038087", \
+					  "0.4322292, 0.5897469, 0.6534325, 0.6965573, 0.7303419, 0.7616715, 0.7568057", \
+					  "0.4056990, 0.5652071, 0.6146952, 0.6897701, 0.7083893, 0.7461350, 0.7686055", \
+					  "0.3791668, 0.5411765, 0.5849870, 0.6418756, 0.7173780, 0.7432944, 0.7135913");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4801825, -0.6217958, -0.6898048, -0.7329963, -0.7637723, -0.7931185, -0.8117358", \
+					  "-0.3746609, -0.5107410, -0.5668931, -0.5985999, -0.6509778, -0.6779709, -0.6862991", \
+					  "-0.3099014, -0.4559990, -0.5000129, -0.5528040, -0.5870472, -0.6079367, -0.6130526", \
+					  "-0.2693122, -0.4115711, -0.4806336, -0.5223150, -0.5613923, -0.5759325, -0.6061086", \
+					  "-0.2329167, -0.3847866, -0.4474069, -0.4819082, -0.5205835, -0.5303865, -0.5494886", \
+					  "-0.1972312, -0.3530042, -0.4123310, -0.4370518, -0.4837722, -0.5446107, -0.5627374", \
+					  "-0.1783284, -0.3311894, -0.3986786, -0.4174180, -0.4607619, -0.5236207, -0.5536213");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0148202, 0.1230534, 0.1869965, 0.2153444, 0.2220239, 0.2736467, 0.2877808", \
+					  "-0.1168045, 0.0246792, 0.0857350, 0.1237039, 0.1504375, 0.1607173, 0.1656017", \
+					  "-0.1787001, -0.0386149, 0.0266629, 0.0593340, 0.0886344, 0.1080695, 0.1221092", \
+					  "-0.2210725, -0.0710775, -0.0319127, 0.0330507, 0.0624474, 0.0834084, 0.0974481", \
+					  "-0.2559422, -0.1162866, -0.0367579, -0.0082880, 0.0248988, 0.0682624, 0.0650584", \
+					  "-0.2885759, -0.1407738, -0.0540751, -0.0450789, -0.0209808, 0.0182162, 0.0338966", \
+					  "-0.3075088, -0.1625632, -0.0811310, -0.0796121, -0.0398880, -0.0083514, -0.0175746");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2385472, 0.1023864, 0.0448044, 0.0078765, -0.0136001, -0.0217206, -0.0145254", \
+					  "0.3490102, 0.2038106, 0.1502525, 0.1133246, 0.0888470, 0.0691667, 0.0581787", \
+					  "0.4106376, 0.2669659, 0.2211633, 0.1770980, 0.1519532, 0.1340440, 0.1215302", \
+					  "0.4554483, 0.3152580, 0.2480099, 0.2245895, 0.1572317, 0.1603629, 0.1478499", \
+					  "0.4781429, 0.3602837, 0.2754081, 0.2803515, 0.2161008, 0.2057812, 0.1599475", \
+					  "0.5092507, 0.3696773, 0.3160091, 0.2578685, 0.2416556, 0.2233640, 0.2336691", \
+					  "0.5098094, 0.3870991, 0.3285825, 0.3081693, 0.2718995, 0.3586159, 0.2432830");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4740781, -0.6202691, -0.6867439, -0.7314609, -0.7606438, -0.7870142, -0.8056315", \
+					  "-0.3652263, -0.5080322, -0.5638851, -0.5925258, -0.6450728, -0.6666611, -0.6879555", \
+					  "-0.3086751, -0.4560254, -0.4999076, -0.5527718, -0.5900195, -0.6093742, -0.6341346", \
+					  "-0.2586310, -0.4085194, -0.4776704, -0.5223029, -0.5613945, -0.5744593, -0.6062558", \
+					  "-0.2207096, -0.3802465, -0.4481999, -0.4803811, -0.5175406, -0.5304669, -0.5418424", \
+					  "-0.1911276, -0.3497162, -0.4108051, -0.4355259, -0.4792669, -0.5408717, -0.5566039", \
+					  "-0.1737507, -0.3262841, -0.3813619, -0.4158865, -0.4607059, -0.5236303, -0.5439027");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7283220, 0.8684119, 0.9430552, 0.9841640, 1.0149533, 1.0412585, 1.0583499", \
+					  "0.6229782, 0.7659775, 0.8410737, 0.8757515, 0.9032586, 0.9381278, 0.9494236", \
+					  "0.5598027, 0.6941766, 0.7414588, 0.8102819, 0.8481536, 0.8573398, 0.8917011", \
+					  "0.5205015, 0.6583399, 0.7170154, 0.7518099, 0.8130775, 0.8197419, 0.8460945", \
+					  "0.4764797, 0.6271251, 0.6946312, 0.7514475, 0.7763361, 0.7767790, 0.7898741", \
+					  "0.4514753, 0.6021551, 0.6543680, 0.7309688, 0.7253702, 0.7818156, 0.8022365", \
+					  "0.4310467, 0.5809258, 0.6349336, 0.6846002, 0.7310758, 0.7642967, 0.7945335");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024019;
+			capacitance : 0.024898;
+			rise_capacitance : 0.025205;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7975623, -0.6947251, -0.6365395, -0.5958844, -0.5701222, -0.5420798, -0.5231770", \
+					  "-0.9422275, -0.8409285, -0.7781423, -0.7344460, -0.7169724, -0.6889164, -0.6715850", \
+					  "-1.0091494, -0.9123036, -0.8260969, -0.7939994, -0.7760829, -0.7571796, -0.7459062", \
+					  "-1.0534192, -0.9476777, -0.8809866, -0.8433844, -0.8221636, -0.8032628, -0.7950483", \
+					  "-1.0810763, -0.9727147, -0.9160373, -0.8773395, -0.8412603, -0.8329706, -0.8076561", \
+					  "-1.1104984, -1.0077053, -0.9471679, -0.9013823, -0.8507997, -0.8598071, -0.8347578", \
+					  "-1.1275899, -1.0232710, -0.9496182, -0.9276421, -0.8825854, -0.8650751, -0.8669716");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5412164, -0.4341533, -0.5738867, -0.2799933, -0.2191837, -0.3116720, -0.2271565", \
+					  "-0.6706220, -0.8399169, -0.4790048, -0.6207799, -0.3673368, -0.4524581, -0.3722946", \
+					  "-0.7377485, -0.6094758, -0.5373163, -0.4761822, -0.4003214, -0.5237201, -0.4361528", \
+					  "-0.7864048, -0.6594421, -0.5795958, -0.7097413, -0.4392210, -0.4019566, -0.4729466", \
+					  "-0.8246868, -0.9767233, -0.6351559, -0.5575678, -0.4990199, -0.6010587, -0.5039070", \
+					  "-0.8404194, -0.7285940, -0.8716034, -0.5479654, -0.5049181, -0.6294996, -0.5573003", \
+					  "-0.8681920, -0.7456854, -0.6290288, -0.5635122, -0.4903117, -0.6516003, -0.5746115");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9770380, 0.8734995, 0.8080791, 0.7724016, 0.7464826, 0.7291732, 0.7087566", \
+					  "1.1156022, 1.0185445, 0.9634063, 0.9156135, 0.8803552, 0.8645335, 0.8418603", \
+					  "1.1917707, 1.0813709, 1.0064141, 0.9749829, 0.9491314, 0.9381859, 0.9235273", \
+					  "1.2290320, 1.1224876, 1.0621631, 1.0232088, 0.9716053, 0.9766353, 0.9562066", \
+					  "1.2575657, 1.1525469, 1.0886773, 1.0662286, 0.9980658, 1.0059357, 0.9901125", \
+					  "1.2869228, 1.1859868, 1.1278044, 1.0823235, 1.0580045, 1.0294050, 1.0018904", \
+					  "1.3070661, 1.1970235, 1.1582648, 1.0962328, 1.0644148, 1.0354017, 1.0449219");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8488659, 0.7102961, 0.5822968, 0.4854094, 0.4032375, 0.3141463, 0.2311566", \
+					  "0.9889575, 0.8438808, 0.7146639, 0.6260701, 0.5473206, 0.4626985, 0.3753168", \
+					  "1.0621195, 0.9174035, 0.7738193, 0.6906413, 0.6107079, 0.5338236, 0.4432045", \
+					  "1.1017552, 0.9609726, 0.8226857, 0.7185449, 0.6586544, 0.5631221, 0.4815164", \
+					  "1.1340042, 0.9871787, 0.8552473, 0.7291735, 0.6952467, 0.6097640, 0.5094329", \
+					  "1.1633284, 1.0119094, 0.8833139, 0.7785432, 0.7150328, 0.6366030, 0.5642610", \
+					  "1.1788939, 1.0274749, 0.9007305, 0.7979523, 0.7354300, 0.6569757, 0.5753662");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006458;
+			capacitance : 0.006639;
+			rise_capacitance : 0.006821;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4902844, 0.3813558, 0.3135773, 0.2748382, 0.2384426, 0.2134383, 0.1899579", \
+					  "0.6319029, 0.5198572, 0.4639352, 0.4201488, 0.3905027, 0.3649038, 0.3368413", \
+					  "0.7011998, 0.5769423, 0.5099609, 0.4907365, 0.4550682, 0.4191532, 0.4098867", \
+					  "0.7461197, 0.6087381, 0.5621219, 0.5307568, 0.4859612, 0.4636926, 0.4378766", \
+					  "0.7739149, 0.6583462, 0.5966005, 0.5656378, 0.5460141, 0.4892656, 0.4710864", \
+					  "0.8032211, 0.6833320, 0.6161719, 0.5857518, 0.5390162, 0.5517532, 0.5320769", \
+					  "0.8218384, 0.6988702, 0.6245475, 0.6145369, 0.5551252, 0.5290966, 0.5649801");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7924335, 0.6524726, 0.5079262, 0.3960547, 0.3055822, 0.2134392, 0.1319754", \
+					  "0.9341229, 0.7931095, 0.6459770, 0.5487221, 0.4536699, 0.3535697, 0.2768940", \
+					  "1.0111331, 0.8682799, 0.6930865, 0.6109319, 0.5115818, 0.4193379, 0.3263936", \
+					  "1.0529513, 0.9106403, 0.7549787, 0.6504512, 0.5668723, 0.4608882, 0.3873489", \
+					  "1.0889410, 0.9424161, 0.7833782, 0.6657784, 0.6034974, 0.5159578, 0.4255095", \
+					  "1.1099487, 0.9469109, 0.7754460, 0.6687485, 0.6272637, 0.5312687, 0.4303321", \
+					  "1.1209379, 0.9774256, 0.8284565, 0.7170118, 0.6387923, 0.5557932, 0.4922673");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4633965, -0.3655790, -0.2977025, -0.2540534, -0.2130802, -0.1880759, -0.1615437", \
+					  "-0.6111134, -0.4982823, -0.4467850, -0.4039417, -0.3739731, -0.3385962, -0.3208078", \
+					  "-0.6791141, -0.5532247, -0.4926759, -0.4718155, -0.4278398, -0.3985980, -0.3813414", \
+					  "-0.7253570, -0.5833913, -0.5434705, -0.5224398, -0.4757085, -0.4309483, -0.4097693", \
+					  "-0.7530141, -0.6389902, -0.5875109, -0.5551922, -0.5144751, -0.4762794, -0.4607976", \
+					  "-0.7778586, -0.6597828, -0.6039828, -0.5701524, -0.5260123, -0.5318274, -0.5221615", \
+					  "-0.7949500, -0.6818728, -0.6314752, -0.5739699, -0.5342254, -0.5258814, -0.5408909");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5412302, -0.4051808, -0.2653267, -0.1472419, -0.0513370, 0.0331471, 0.1070271", \
+					  "-0.6889357, -0.5515261, -0.4167410, -0.3021603, -0.2007867, -0.1120582, -0.0286212", \
+					  "-0.7434586, -0.6063359, -0.4684780, -0.3577641, -0.2692355, -0.1783042, -0.0935769", \
+					  "-0.7970872, -0.6303990, -0.4961586, -0.4087775, -0.3097183, -0.2190379, -0.1282320", \
+					  "-0.8277964, -0.6839018, -0.5419260, -0.4422844, -0.3701873, -0.2609668, -0.1783445", \
+					  "-0.8541667, -0.6995478, -0.5705166, -0.4656371, -0.3903878, -0.3128034, -0.2066263", \
+					  "-0.8727837, -0.7136217, -0.5754011, -0.4832474, -0.4060679, -0.3323575, -0.2466693");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0374288, 0.0369051, 0.0363814, 0.0362370, 0.0360983, 0.0359539, 0.0358095");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468905, 0.0462022, 0.0455139, 0.0456500, 0.0457807, 0.0459168, 0.0460530");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.019112;
+			capacitance : 0.018189;
+			fall_capacitance : 0.017265;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0419947, 0.1490228, 0.2560510, 0.2680425, 0.2795543, 0.2915458, 0.3035373");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9296213, 7.4737199, 14.0178180, 14.2378060, 14.4489940, 14.6689820, 14.8889700");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033093;
+			capacitance : 0.033010;
+			fall_capacitance : 0.032927;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0177390, -0.0138055, -0.0098720, 0.0068172, 0.0228388, 0.0395279, 0.0562171");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4103800, 0.4184583, 0.4265366, 0.4440550, 0.4608725, 0.4783909, 0.4959092");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007059;
+			capacitance : 0.006880;
+			fall_capacitance : 0.006701;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0148202, -0.1168045, -0.1787001, -0.2210725, -0.2559422, -0.2885759, -0.3075088", \
+					  "0.1230534, 0.0246792, -0.0386149, -0.0710775, -0.1162866, -0.1407738, -0.1625632", \
+					  "0.1869965, 0.0857350, 0.0266629, -0.0319127, -0.0367579, -0.0540751, -0.0811310", \
+					  "0.2153444, 0.1237039, 0.0593340, 0.0330507, -0.0082880, -0.0450789, -0.0796121", \
+					  "0.2220239, 0.1504375, 0.0886344, 0.0624474, 0.0248988, -0.0209808, -0.0398880", \
+					  "0.2736467, 0.1607173, 0.1080695, 0.0834084, 0.0682624, 0.0182162, -0.0083514", \
+					  "0.2877808, 0.1656017, 0.1221092, 0.0974481, 0.0650584, 0.0338966, -0.0175746");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1521551, -0.2972880, -0.4292861, -0.5439708, -0.6198860, -0.7148845, -0.8012249", \
+					  "-0.0159706, -0.1584394, -0.2964221, -0.4129811, -0.5062374, -0.5873405, -0.6715236", \
+					  "0.0365249, -0.0991807, -0.2276466, -0.3361117, -0.4308050, -0.5188632, -0.6102425", \
+					  "0.0770139, -0.0607275, -0.2031099, -0.2988911, -0.3706555, -0.4793967, -0.5548221", \
+					  "0.0927010, -0.0344783, -0.1757182, -0.2877284, -0.3747847, -0.4544432, -0.5366223", \
+					  "0.0946493, -0.0165691, -0.1562828, -0.2682935, -0.3542807, -0.4347608, -0.5159895", \
+					  "0.1306335, -0.0040557, -0.1422434, -0.2542536, -0.3431893, -0.4215943, -0.5157752");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2385472, 0.3490102, 0.4106376, 0.4554483, 0.4781429, 0.5092507, 0.5098094", \
+					  "0.1023864, 0.2038106, 0.2669659, 0.3152580, 0.3602837, 0.3696773, 0.3870991", \
+					  "0.0448044, 0.1502525, 0.2211633, 0.2480099, 0.2754081, 0.3160091, 0.3285825", \
+					  "0.0078765, 0.1133246, 0.1770980, 0.2245895, 0.2803515, 0.2578685, 0.3081693", \
+					  "-0.0136001, 0.0888470, 0.1519532, 0.1572317, 0.2161008, 0.2416556, 0.2718995", \
+					  "-0.0217206, 0.0691667, 0.1340440, 0.1603629, 0.2057812, 0.2233640, 0.3586159", \
+					  "-0.0145254, 0.0581787, 0.1215302, 0.1478499, 0.1599475, 0.2336691, 0.2432830");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1637813, 0.3073607, 0.4424887, 0.5588536, 0.6500351, 0.7251737, 0.8084420", \
+					  "0.0337076, 0.1652941, 0.3125504, 0.4233430, 0.5177276, 0.5987982, 0.6784187", \
+					  "-0.0211199, 0.1140346, 0.2397139, 0.3626521, 0.4450960, 0.5310796, 0.6155876", \
+					  "-0.0608019, 0.0739466, 0.2124083, 0.3103964, 0.4177863, 0.5010609, 0.5682692", \
+					  "-0.0780168, 0.0476974, 0.1904967, 0.3024871, 0.3897884, 0.4608727, 0.5312545", \
+					  "-0.0802252, 0.0313141, 0.1695354, 0.2830522, 0.3692522, 0.4457882, 0.5245806", \
+					  "-0.1128960, 0.0188003, 0.1554960, 0.2690125, 0.3596482, 0.4335121, 0.5124606");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375150, 0.0369295, 0.0363440, 0.0361929, 0.0360479, 0.0358969, 0.0357458");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467630, 0.0459826, 0.0452022, 0.0453393, 0.0454709, 0.0456080, 0.0457452");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014241;
+			capacitance : 0.014116;
+			fall_capacitance : 0.013990;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4740781, -0.3652263, -0.3086751, -0.2586310, -0.2207096, -0.1911276, -0.1737507", \
+					  "-0.6202691, -0.5080322, -0.4560254, -0.4085194, -0.3802465, -0.3497162, -0.3262841", \
+					  "-0.6867439, -0.5638851, -0.4999076, -0.4776704, -0.4481999, -0.4108051, -0.3813619", \
+					  "-0.7314609, -0.5925258, -0.5527718, -0.5223029, -0.4803811, -0.4355259, -0.4158865", \
+					  "-0.7606438, -0.6450728, -0.5900195, -0.5613945, -0.5175406, -0.4792669, -0.4607059", \
+					  "-0.7870142, -0.6666611, -0.6093742, -0.5744593, -0.5304669, -0.5408717, -0.5236303", \
+					  "-0.8056315, -0.6879555, -0.6341346, -0.6062558, -0.5418424, -0.5566039, -0.5439027");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5366504, -0.3949176, -0.2470546, -0.1380866, -0.0452335, 0.0403392, 0.1215443", \
+					  "-0.6782374, -0.5387105, -0.3984304, -0.2905794, -0.1946188, -0.1027709, -0.0224581", \
+					  "-0.7365201, -0.5926319, -0.4597823, -0.3501346, -0.2643783, -0.1645846, -0.0889317", \
+					  "-0.7879546, -0.6136168, -0.4811867, -0.3981902, -0.3017078, -0.2311783, -0.1270964", \
+					  "-0.8217225, -0.6766218, -0.5357447, -0.4345367, -0.3670987, -0.2596163, -0.1708139", \
+					  "-0.8404312, -0.6935389, -0.5506025, -0.4594698, -0.3813697, -0.3112773, -0.2051440", \
+					  "-0.8666775, -0.7013550, -0.5553752, -0.4755292, -0.3988787, -0.3292605, -0.2408169");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7283220, 0.6229782, 0.5598027, 0.5205015, 0.4764797, 0.4514753, 0.4310467", \
+					  "0.8684119, 0.7659775, 0.6941766, 0.6583399, 0.6271251, 0.6021551, 0.5809258", \
+					  "0.9430552, 0.8410737, 0.7414588, 0.7170154, 0.6946312, 0.6543680, 0.6349336", \
+					  "0.9841640, 0.8757515, 0.8102819, 0.7518099, 0.7514475, 0.7309688, 0.6846002", \
+					  "1.0149533, 0.9032586, 0.8481536, 0.8130775, 0.7763361, 0.7253702, 0.7310758", \
+					  "1.0412585, 0.9381278, 0.8573398, 0.8197419, 0.7767790, 0.7818156, 0.7642967", \
+					  "1.0583499, 0.9494236, 0.8917011, 0.8460945, 0.7898741, 0.8022365, 0.7945335");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498243, 0.4152222, 0.2693279, 0.1527689, 0.0583900, -0.0191361, -0.1018016", \
+					  "0.6991503, 0.5496723, 0.4237927, 0.2985852, 0.2064571, 0.1243868, 0.0403254", \
+					  "0.7575513, 0.6143040, 0.4732699, 0.3648158, 0.2729567, 0.1835846, 0.1006368", \
+					  "0.8118847, 0.6436091, 0.5007157, 0.4195571, 0.3232567, 0.2290293, 0.1283814", \
+					  "0.8479058, 0.7017846, 0.5540190, 0.4545296, 0.3798445, 0.2749884, 0.1920454", \
+					  "0.8673410, 0.7069343, 0.5765059, 0.4810307, 0.3924245, 0.3130168, 0.2349017", \
+					  "0.8798547, 0.7279372, 0.5874936, 0.4955642, 0.4180793, 0.3441652, 0.2572879");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375815, 0.0370577, 0.0365338, 0.0363785, 0.0362294, 0.0360740, 0.0359187");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468326, 0.0461289, 0.0454252, 0.0455667, 0.0457027, 0.0458442, 0.0459858");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.506935;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02278664, 0.05192309, 0.1183153, 0.2696007, 0.6143293, 1.39985");
+					values("1.0545718, 1.0933709, 1.1836003, 1.5587198, 2.6944199, 2.7284020, 2.7155149", \
+					  "0.7675460, 0.7681829, 0.7691789, 0.7702523, 0.9558704, 0.9288862, 0.9151115", \
+					  "1.1544864, 1.1640435, 1.1645704, 1.1672592, 1.1688347, 1.1690959, 1.0173793", \
+					  "1.2602337, 1.2572246, 1.2613510, 1.2643923, 1.2660216, 1.2662454, 1.2482619", \
+					  "1.3463035, 1.3396184, 1.3491053, 1.3472856, 1.3521607, 1.3544404, 1.3539187", \
+					  "1.4388151, 1.4582335, 1.4402929, 1.4359180, 1.4415566, 1.4446258, 1.4340791", \
+					  "1.5062829, 1.4779436, 1.5185263, 1.4905763, 1.5187503, 1.5517187, 1.4964577");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02278664, 0.05192309, 0.1183153, 0.2696007, 0.6143293, 1.39985");
+					values("1.3710187, 1.4775828, 1.7100364, 2.0562230, 2.2790448, 2.3334344, 2.3355443", \
+					  "4.1358475, 4.1349055, 4.1376303, 4.1400663, 4.1431841, 4.1914536, 4.2632759", \
+					  "4.1407810, 4.1417468, 4.1476839, 4.1474363, 4.1517115, 4.1554464, 4.1541588", \
+					  "4.1378467, 4.1448052, 4.1512922, 4.1541939, 4.1567964, 4.1581144, 4.1571234", \
+					  "4.1411883, 4.1540742, 4.1588378, 4.1622877, 4.1764244, 4.1714449, 4.1603132", \
+					  "4.1668357, 4.1268837, 4.1681463, 4.1707506, 4.1853834, 4.1880470, 4.2095268", \
+					  "4.1304273, 4.1417156, 4.1412004, 4.1439864, 4.1554633, 4.1365271, 4.1505120");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.2241442, 3.2698498, 3.3267027, 3.3752269, 3.3871520, 3.3748792, 3.3565287", \
+					  "7.3804192, 7.3817168, 7.3843379, 7.3838461, 7.3837308, 7.2786204, 7.0850699", \
+					  "14.0029080, 13.9977480, 14.0042110, 14.0057090, 14.0290180, 14.0000490, 13.9991360", \
+					  "20.2352960, 20.2397320, 20.2353980, 20.2398240, 20.2997640, 20.3100730, 20.2893670", \
+					  "26.4072320, 26.4059040, 26.4096110, 26.4141260, 26.4343560, 26.4402490, 26.4690560", \
+					  "32.4974280, 32.4988890, 32.4858790, 32.4962420, 32.4834990, 32.4325150, 32.4683300", \
+					  "38.5488520, 38.5380370, 38.5351020, 38.5335240, 38.5301580, 38.5354140, 38.4808710");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.5347636, 3.5509712, 3.5764751, 3.6126623, 3.6400293, 3.6541378, 3.6590522", \
+					  "8.2062412, 8.2068614, 8.2091486, 8.2108877, 8.2132955, 8.2137196, 8.4514756", \
+					  "9.4949491, 9.4956317, 9.4973664, 9.4983405, 9.5001888, 9.5038734, 9.5052317", \
+					  "10.8245440, 10.8232750, 10.8250810, 10.8259300, 10.8309230, 10.8308410, 10.8300640", \
+					  "12.1867560, 12.1827560, 12.1947880, 12.1913070, 12.1942320, 12.2079200, 12.1932220", \
+					  "13.5677540, 13.5713280, 13.5761250, 13.5744520, 13.5744380, 13.5745810, 13.5632300", \
+					  "14.9620330, 14.9616810, 14.9935380, 14.9704940, 14.9747680, 15.0273470, 14.9505690");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("2.7991268, 2.8434208, 2.9003969, 2.9480668, 2.9575002, 2.9542877, 2.9444964", \
+					  "0.6525104, 0.6490169, 0.6578726, 0.6585318, 0.6581877, 0.6561902, 0.3445039", \
+					  "2.3412706, 2.3360719, 2.3436726, 2.3330151, 2.3358531, 2.3353259, 2.3259914", \
+					  "3.9868130, 3.9950465, 3.9803868, 3.9880352, 3.9845401, 3.9825656, 3.9616036", \
+					  "5.5355638, 5.5371697, 5.5352190, 5.5379139, 5.5406790, 5.5196915, 5.5011737", \
+					  "6.9879697, 6.9919990, 6.9450071, 6.9890083, 7.0149802, 7.0110012, 6.9788736", \
+					  "8.4386135, 8.4423865, 8.4141730, 8.4488683, 8.4478579, 8.4467407, 8.4009969");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.4086276, 3.4286307, 3.4630415, 3.5135610, 3.5588000, 3.5836915, 3.5964652", \
+					  "7.8310032, 7.8311030, 7.8326200, 7.8355621, 7.8372456, 7.9847162, 8.1151535", \
+					  "8.6417019, 8.6425268, 8.6409213, 8.6467907, 8.6487024, 8.6467665, 8.6486495", \
+					  "9.4528083, 9.4481688, 9.4510363, 9.4547217, 9.4630614, 9.4704028, 9.4610110", \
+					  "10.2598590, 10.2642980, 10.2651150, 10.2710550, 10.2829690, 10.3195520, 10.2721860", \
+					  "11.0940590, 11.1019200, 11.0908320, 11.0967010, 11.1458060, 11.1442290, 11.1222220", \
+					  "11.9291560, 11.9311510, 11.9219020, 11.9241280, 11.9756930, 11.9777690, 11.9342040");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("6.5148910, 6.5185135, 6.5343182, 6.5545017, 6.5828640, 6.6042097, 6.6062836", \
+					  "6.5147462, 6.5163812, 6.5322804, 6.5523364, 6.5830917, 6.6019213, 6.6070773", \
+					  "6.5182855, 6.5244854, 6.5351349, 6.5519066, 6.5745187, 6.6103599, 6.6151460", \
+					  "6.5200745, 6.5261586, 6.5363514, 6.5521305, 6.5759153, 6.6082145, 6.6130999", \
+					  "6.5154999, 6.5209708, 6.5320982, 6.5540580, 6.5847531, 6.6020166, 6.6041759", \
+					  "6.5205519, 6.5259326, 6.5361926, 6.5519504, 6.5736362, 6.6070561, 6.6126176", \
+					  "6.5108739, 6.5207288, 6.5378709, 6.5487438, 6.5848590, 6.6121956, 6.6084846");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.1794710, 3.2408098, 3.4162311, 3.8528196, 4.4921406, 4.8419294, 4.9294115", \
+					  "3.1784547, 3.2409362, 3.4020311, 3.8391923, 4.4811570, 4.8346087, 4.9373867", \
+					  "3.1813001, 3.2413595, 3.4049786, 3.8450758, 4.4858198, 4.8363103, 4.9299675", \
+					  "3.1930351, 3.2496361, 3.4106141, 3.8500055, 4.4868337, 4.8397610, 4.9459018", \
+					  "3.1873109, 3.2372115, 3.4034221, 3.8448134, 4.4830888, 4.8342085, 4.9249185", \
+					  "3.1892017, 3.2427843, 3.4029487, 3.8418574, 4.4868987, 4.8391902, 4.9315126", \
+					  "3.1965313, 3.2443095, 3.3993604, 3.8396798, 4.4811615, 4.8252010, 4.9301422");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("1.4202939, 1.4307932, 1.4542689, 1.5044389, 1.6158905, 1.8659627, 2.4333648", \
+					  "3.2693741, 3.2801324, 3.3032697, 3.3537026, 3.4647445, 3.7146903, 4.2820654", \
+					  "4.7066573, 4.7174225, 4.7406061, 4.7910644, 4.9020485, 5.1518518, 5.7192775", \
+					  "5.0218496, 5.0326023, 5.0557554, 5.1061755, 5.2172164, 5.4670197, 6.0343672", \
+					  "6.8701764, 6.8809798, 6.9041084, 6.9545343, 7.0684396, 7.3154611, 7.8828597", \
+					  "8.4061957, 8.4169631, 8.4401437, 8.4905780, 8.5911003, 8.8513543, 9.4187360", \
+					  "9.5567394, 9.5988000, 9.5988002, 9.6410560, 9.7372945, 10.0021200, 10.5693320", \
+					  "11.2631880, 11.2631889, 11.2968170, 11.3479960, 11.4241750, 11.7084210, 12.2757180", \
+					  "33.6470830, 33.6470852, 33.6789440, 33.6789456, 33.8120150, 34.0322220, 34.6609750", \
+					  "47.0308380, 47.1867560, 47.1867581, 47.1867619, 47.2269870, 47.4763230, 48.0433400", \
+					  "103.3164600, 103.3164635, 103.4328400, 103.4328423, 103.4328499, 103.7588900, 104.3192800", \
+					  "297.7971900, 297.7971955, 297.7972260, 297.8768200, 297.9828700, 297.9828949, 298.2349100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("0.0761248, 0.0882461, 0.1166696, 0.1827091, 0.3361548, 0.6875857, 1.4924380", \
+					  "0.0762173, 0.0884730, 0.1172825, 0.1828831, 0.3358224, 0.6875556, 1.4945537", \
+					  "0.0761798, 0.0886300, 0.1172621, 0.1833004, 0.3360004, 0.6875424, 1.4995513", \
+					  "0.0761829, 0.0884983, 0.1172531, 0.1828612, 0.3358257, 0.6873339, 1.5004383", \
+					  "0.0762010, 0.0886230, 0.1172837, 0.1829677, 0.3365123, 0.6875189, 1.4991378", \
+					  "0.0761258, 0.0885717, 0.1171842, 0.1826738, 0.3363981, 0.6874785, 1.5005596", \
+					  "0.0764226, 0.0887049, 0.1172651, 0.1831202, 0.3356911, 0.6873806, 1.5005767", \
+					  "0.0764778, 0.0887562, 0.1172916, 0.1829535, 0.3355881, 0.6872785, 1.4999294", \
+					  "0.0761581, 0.0887055, 0.1171154, 0.1831031, 0.3362909, 0.6873303, 1.4936641", \
+					  "0.0764400, 0.0885462, 0.1173001, 0.1831123, 0.3357636, 0.6873688, 1.5005747", \
+					  "0.0764913, 0.0885897, 0.1171835, 0.1827640, 0.3365383, 0.6872174, 1.5007210", \
+					  "0.0760807, 0.0885621, 0.1171542, 0.1825539, 0.3362653, 0.6875074, 1.5010889");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("1.2468747, 1.2611234, 1.2895821, 1.3464940, 1.4473210, 1.6325840, 2.0079443", \
+					  "2.8938183, 2.9083287, 2.9377143, 2.9938285, 3.0945322, 3.2797964, 3.6550738", \
+					  "3.9823291, 3.9964583, 4.0259747, 4.0820622, 4.1827684, 4.3680322, 4.7434039", \
+					  "4.2062887, 4.2205022, 4.2499404, 4.3060093, 4.4067234, 4.5919868, 4.9673576", \
+					  "5.4840159, 5.4982410, 5.5274270, 5.5700768, 5.6543006, 5.8692482, 6.2446239", \
+					  "6.4400654, 6.4541541, 6.4834889, 6.5425994, 6.6384489, 6.8252906, 7.2020643", \
+					  "7.1278574, 7.1419124, 7.1713528, 7.2447297, 7.3455011, 7.5133766, 7.8797688", \
+					  "8.0551965, 8.0696676, 8.0969995, 8.1767206, 8.2770741, 8.4384135, 8.8182350", \
+					  "18.2143140, 18.2640440, 18.2640448, 18.3482240, 18.4501960, 18.5991700, 19.0022490", \
+					  "23.7300100, 23.7430220, 23.7734810, 23.8368280, 23.9326000, 24.1155220, 24.4841780", \
+					  "44.7563550, 44.7788760, 44.8008780, 44.8623290, 44.9498290, 45.1410640, 45.4997640", \
+					  "114.2567800, 114.2975100, 114.2975121, 114.3412500, 114.4748000, 114.5979900, 115.0075200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("0.0943671, 0.1057039, 0.1299191, 0.1757845, 0.2655118, 0.4611126, 0.9247306", \
+					  "0.0947689, 0.1067688, 0.1308661, 0.1774783, 0.2656871, 0.4629955, 0.9290763", \
+					  "0.0948376, 0.1067589, 0.1310911, 0.1776408, 0.2656583, 0.4630141, 0.9240194", \
+					  "0.0944743, 0.1067781, 0.1312035, 0.1776530, 0.2654578, 0.4631425, 0.9243972", \
+					  "0.0945535, 0.1065785, 0.1311251, 0.1776845, 0.2654179, 0.4629826, 0.9252440", \
+					  "0.0943422, 0.1067503, 0.1310907, 0.1764766, 0.2654221, 0.4624173, 0.9252037", \
+					  "0.0943444, 0.1067598, 0.1310863, 0.1768569, 0.2654126, 0.4632908, 0.9289218", \
+					  "0.0944030, 0.1071083, 0.1311502, 0.1768577, 0.2654300, 0.4635700, 0.9278292", \
+					  "0.0944561, 0.1065204, 0.1308768, 0.1765821, 0.2653761, 0.4628218, 0.9297841", \
+					  "0.0948640, 0.1065217, 0.1308898, 0.1772951, 0.2654739, 0.4631665, 0.9293881", \
+					  "0.0944561, 0.1070982, 0.1309355, 0.1773559, 0.2655092, 0.4630563, 0.9248641", \
+					  "0.0948169, 0.1066484, 0.1309796, 0.1769919, 0.2656168, 0.4628710, 0.9293984");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.1515571, 1.1620126, 1.1856297, 1.2352522, 1.3458963, 1.5964560, 2.1662361", \
+					  "2.1536735, 2.1641369, 2.1871458, 2.2373365, 2.3478530, 2.5980418, 3.1678014", \
+					  "2.8829670, 2.8936131, 2.9166680, 2.9664819, 3.0811160, 3.3278296, 3.8970716", \
+					  "3.0493725, 3.0598379, 3.0828429, 3.1330238, 3.2319332, 3.4940046, 4.0629788", \
+					  "4.0089212, 4.0196602, 4.0426764, 4.0926795, 4.2060444, 4.4566102, 5.0311852", \
+					  "4.8111319, 4.8215334, 4.8444417, 4.8950684, 5.0108100, 5.2489737, 5.8293668", \
+					  "5.4305862, 5.4407958, 5.4637903, 5.5139524, 5.6238147, 5.8750729, 6.4443046", \
+					  "6.2893473, 6.2997576, 6.3269119, 6.3727001, 6.4835235, 6.7338934, 7.3032540", \
+					  "18.2551470, 18.2656110, 18.2887430, 18.3388880, 18.4442360, 18.7004150, 19.2692990", \
+					  "26.0482480, 26.0587890, 26.0810380, 26.1324470, 26.2415440, 26.4904440, 27.0595740", \
+					  "60.3508780, 60.4211290, 60.4366550, 60.4647070, 60.5557200, 60.8290660, 61.3645740", \
+					  "191.8019300, 191.8125600, 191.8356200, 191.8859000, 191.9963600, 192.2465500, 192.8156300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0748314, 0.0872028, 0.1157103, 0.1821626, 0.3356674, 0.6902054, 1.4987275", \
+					  "0.0749056, 0.0872287, 0.1159511, 0.1821333, 0.3382554, 0.6888696, 1.4969431", \
+					  "0.0751705, 0.0875236, 0.1160567, 0.1820873, 0.3350548, 0.6927324, 1.4999256", \
+					  "0.0748586, 0.0872582, 0.1159754, 0.1821245, 0.3354104, 0.6897287, 1.4991396", \
+					  "0.0752094, 0.0874679, 0.1159125, 0.1821211, 0.3349212, 0.6898977, 1.5018409", \
+					  "0.0748428, 0.0872985, 0.1159924, 0.1820965, 0.3354351, 0.6900126, 1.4971444", \
+					  "0.0752072, 0.0872708, 0.1159847, 0.1821414, 0.3353976, 0.6890597, 1.4988595", \
+					  "0.0751081, 0.0875186, 0.1158590, 0.1820807, 0.3354100, 0.6884903, 1.5005497", \
+					  "0.0748933, 0.0872397, 0.1159795, 0.1821085, 0.3354115, 0.6892095, 1.4992032", \
+					  "0.0750701, 0.0872561, 0.1157250, 0.1821618, 0.3353346, 0.6885867, 1.4991844", \
+					  "0.0749941, 0.0873258, 0.1160536, 0.1820819, 0.3354341, 0.6884572, 1.4973371", \
+					  "0.0750770, 0.0873998, 0.1160610, 0.1821367, 0.3350944, 0.6892625, 1.4989715");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.3097970, 1.3231630, 1.3502202, 1.4032512, 1.4995037, 1.6807113, 2.0537170", \
+					  "2.4753044, 2.4886790, 2.5161437, 2.5689928, 2.6653191, 2.8467504, 3.2202145", \
+					  "3.4318044, 3.4446612, 3.4720469, 3.5248535, 3.6213945, 3.8028465, 4.1761110", \
+					  "3.6487153, 3.6618004, 3.6892220, 3.7418832, 3.8382209, 4.0196251, 4.3926822", \
+					  "4.9625686, 4.9756412, 5.0030331, 5.0558411, 5.1523497, 5.3325491, 5.7066818", \
+					  "6.0614354, 6.0745267, 6.0942700, 6.1546634, 6.2425398, 6.4336132, 6.8052133", \
+					  "6.9047701, 6.9178538, 6.9494779, 6.9979444, 7.0784942, 7.2685671, 7.6487634", \
+					  "8.0844682, 8.0975431, 8.1439246, 8.1776692, 8.2923490, 8.4614165, 8.8284553", \
+					  "25.0467590, 25.0598240, 25.0944880, 25.1408760, 25.2382360, 25.4251960, 25.7877330", \
+					  "36.1725650, 36.1908510, 36.1946210, 36.2536700, 36.3329530, 36.5510470, 36.9163580", \
+					  "85.4813110, 85.5130720, 85.5383250, 85.5932290, 85.6760420, 85.8559300, 86.2313670", \
+					  "274.7980300, 274.8131200, 274.8389800, 274.9041000, 274.9701800, 275.1720800, 275.5436700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0883807, 0.0999011, 0.1220579, 0.1667410, 0.2560106, 0.4539598, 0.9219290", \
+					  "0.0890420, 0.0999865, 0.1226529, 0.1683794, 0.2597903, 0.4582243, 0.9275906", \
+					  "0.0890437, 0.0997672, 0.1233390, 0.1689148, 0.2589365, 0.4575787, 0.9285910", \
+					  "0.0888163, 0.0996632, 0.1234003, 0.1687289, 0.2592249, 0.4571734, 0.9278978", \
+					  "0.0885427, 0.0997341, 0.1234437, 0.1689287, 0.2590548, 0.4572943, 0.9269245", \
+					  "0.0886261, 0.0995676, 0.1234662, 0.1680452, 0.2589257, 0.4572376, 0.9284615", \
+					  "0.0888681, 0.0996771, 0.1234063, 0.1687933, 0.2591813, 0.4572757, 0.9276822", \
+					  "0.0885046, 0.0997351, 0.1234094, 0.1689265, 0.2588787, 0.4573353, 0.9275923", \
+					  "0.0885470, 0.0997359, 0.1234451, 0.1689348, 0.2592201, 0.4577159, 0.9275188", \
+					  "0.0890162, 0.0997540, 0.1233973, 0.1689352, 0.2593194, 0.4577127, 0.9266430", \
+					  "0.0888322, 0.0997360, 0.1234605, 0.1689352, 0.2592775, 0.4571778, 0.9273645", \
+					  "0.0888773, 0.0996804, 0.1234155, 0.1689672, 0.2591984, 0.4577345, 0.9277695");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.1419207, 1.1524403, 1.1753797, 1.2256314, 1.3365987, 1.5864390, 2.1558946", \
+					  "1.2000076, 1.2106940, 1.2337409, 1.2835538, 1.3942153, 1.6448041, 2.2136650", \
+					  "0.9750831, 0.9857699, 1.0088097, 1.0586325, 1.1692795, 1.4198603, 1.9886612", \
+					  "0.9120639, 0.9228026, 0.9458324, 0.9956829, 1.1062701, 1.3568037, 1.9253705", \
+					  "0.4428294, 0.4533052, 0.4763502, 0.5264762, 0.6370613, 0.8874497, 1.4565973", \
+					  "-0.0427570, -0.0322699, -0.0123603, 0.0408853, 0.1513529, 0.4017483, 0.9710653", \
+					  "-0.4394340, -0.4290787, -0.4042416, -0.3557805, -0.2452153, 0.0051820, 0.5742847", \
+					  "-1.0430505, -1.0307950, -1.0109424, -0.9594048, -0.8492401, -0.5984518, -0.0299800", \
+					  "-11.1315450, -11.1311400, -11.1012330, -11.0480190, -10.9483440, -10.6866800, -10.1287000", \
+					  "-18.3338300, -18.3234140, -18.3234128, -18.2497250, -18.1556710, -17.8892900, -17.3515070", \
+					  "-51.7785210, -51.7785205, -51.7785167, -51.7492540, -51.6443850, -51.3549130, -50.8222620", \
+					  "-185.2189900, -185.2083100, -185.1855600, -185.1496300, -184.9921700, -184.8052200, -184.2372400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0749688, 0.0875051, 0.1160005, 0.1815743, 0.3352628, 0.6902041, 1.4998242", \
+					  "0.0752698, 0.0875197, 0.1159254, 0.1821183, 0.3358060, 0.6916172, 1.4992043", \
+					  "0.0752677, 0.0875165, 0.1159072, 0.1821172, 0.3357078, 0.6914716, 1.5020842", \
+					  "0.0752322, 0.0874913, 0.1158715, 0.1821232, 0.3351357, 0.6905861, 1.5007421", \
+					  "0.0748636, 0.0874066, 0.1160785, 0.1819160, 0.3356473, 0.6894402, 1.4996002", \
+					  "0.0751147, 0.0873234, 0.1160134, 0.1821636, 0.3353869, 0.6886140, 1.5002112", \
+					  "0.0748552, 0.0874001, 0.1160780, 0.1819575, 0.3356373, 0.6895258, 1.4997160", \
+					  "0.0749186, 0.0874029, 0.1159207, 0.1821358, 0.3349213, 0.6895093, 1.5006193", \
+					  "0.0752647, 0.0872492, 0.1158452, 0.1821164, 0.3354096, 0.6917501, 1.4971860", \
+					  "0.0748765, 0.0873510, 0.1158386, 0.1819935, 0.3356385, 0.6894062, 1.4997116", \
+					  "0.0750725, 0.0873298, 0.1160809, 0.1821183, 0.3354473, 0.6897015, 1.4962449", \
+					  "0.0750944, 0.0871944, 0.1159736, 0.1821734, 0.3354367, 0.6895138, 1.4995748");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.5512929, 1.5646050, 1.5915104, 1.6448832, 1.7411669, 1.9222501, 2.2956239", \
+					  "3.0598781, 3.0727600, 3.1001475, 3.1529619, 3.2494705, 3.4309229, 3.8039412", \
+					  "4.3623234, 4.3753898, 4.4024540, 4.4556008, 4.5517852, 4.7320858, 5.1068758", \
+					  "4.6599054, 4.6729820, 4.7004023, 4.7530970, 4.8492228, 5.0304618, 5.4038507", \
+					  "6.4705015, 6.4835608, 6.5110286, 6.5637016, 6.6590373, 6.8416113, 7.2143749", \
+					  "7.9930720, 8.0054319, 8.0335063, 8.0863227, 8.1824103, 8.3646245, 8.7368726", \
+					  "9.1602823, 9.1711652, 9.2008916, 9.2535615, 9.3489971, 9.5306989, 9.9029531", \
+					  "10.8213670, 10.8346820, 10.8623780, 10.9135150, 11.0121520, 11.1929240, 11.5662990", \
+					  "34.4903480, 34.5002280, 34.5297050, 34.5815360, 34.6786420, 34.8598700, 35.2327060", \
+					  "50.0724440, 50.0787820, 50.1058820, 50.1656600, 50.2562150, 50.4414360, 50.8099730", \
+					  "119.1896400, 119.2026900, 119.2282600, 119.2835300, 119.3804500, 119.5610800, 119.9339000", \
+					  "384.6453500, 384.6585900, 384.6865300, 384.7392800, 384.8369400, 385.0173300, 385.3950300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0882888, 0.0997088, 0.1220668, 0.1667535, 0.2560163, 0.4538326, 0.9218312", \
+					  "0.0889584, 0.0997525, 0.1233940, 0.1689322, 0.2589946, 0.4577070, 0.9266195", \
+					  "0.0890052, 0.0997513, 0.1234768, 0.1689303, 0.2591696, 0.4547143, 0.9280783", \
+					  "0.0888804, 0.0996736, 0.1234636, 0.1688395, 0.2591985, 0.4575360, 0.9246474", \
+					  "0.0888747, 0.0996780, 0.1234104, 0.1688050, 0.2590517, 0.4575981, 0.9271184", \
+					  "0.0889448, 0.0997548, 0.1233889, 0.1689305, 0.2589803, 0.4577289, 0.9266847", \
+					  "0.0883791, 0.0995185, 0.1234022, 0.1687597, 0.2592458, 0.4576587, 0.9276602", \
+					  "0.0885242, 0.0997554, 0.1234366, 0.1689299, 0.2591399, 0.4577265, 0.9271019", \
+					  "0.0889349, 0.0997355, 0.1234220, 0.1689358, 0.2591729, 0.4567137, 0.9262947", \
+					  "0.0885627, 0.0997217, 0.1228795, 0.1680268, 0.2591909, 0.4563906, 0.9258346", \
+					  "0.0888151, 0.0996660, 0.1234523, 0.1688493, 0.2586695, 0.4571475, 0.9229108", \
+					  "0.0885200, 0.0997552, 0.1234524, 0.1686297, 0.2594676, 0.4577050, 0.9255231");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("4.8736823, 4.8836015, 4.9066480, 4.9568833, 5.0690105, 5.3182529, 5.8917600", \
+					  "5.0200621, 5.0305515, 5.0535904, 5.1038360, 5.2148352, 5.4651599, 6.0388432", \
+					  "5.1575824, 5.1683022, 5.1913553, 5.2411631, 5.3528662, 5.6051079, 6.1752776", \
+					  "5.2706186, 5.2813327, 5.3043854, 5.3541922, 5.4658971, 5.7181628, 6.2883392", \
+					  "5.3642659, 5.3747482, 5.3977884, 5.4480331, 5.5590554, 5.8092247, 6.3829000", \
+					  "5.4562692, 5.4669753, 5.4900280, 5.5398348, 5.6515388, 5.9038059, 6.4739760", \
+					  "5.5363114, 5.5470881, 5.5701381, 5.6201932, 5.7316352, 5.9832641, 6.5541310");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0753134, 0.0873699, 0.1157887, 0.1825829, 0.3371114, 0.6936776, 1.5047690", \
+					  "0.0751619, 0.0873702, 0.1157930, 0.1825831, 0.3369628, 0.6936873, 1.5050428", \
+					  "0.0753494, 0.0876019, 0.1160968, 0.1823992, 0.3371122, 0.6939343, 1.5069347", \
+					  "0.0753494, 0.0876018, 0.1160985, 0.1823994, 0.3371144, 0.6939889, 1.5068833", \
+					  "0.0751699, 0.0873782, 0.1158018, 0.1825713, 0.3370317, 0.6938810, 1.5047764", \
+					  "0.0753494, 0.0876019, 0.1160975, 0.1823993, 0.3371123, 0.6939796, 1.5068949", \
+					  "0.0753465, 0.0875915, 0.1160898, 0.1824126, 0.3370010, 0.6913772, 1.5031150");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("3.5589898, 3.5725376, 3.5991117, 3.6515042, 3.7472492, 3.9251877, 4.2971204", \
+					  "3.6641066, 3.6771663, 3.7043164, 3.7560848, 3.8517474, 4.0323189, 4.4025694", \
+					  "3.7249757, 3.7379566, 3.7647729, 3.8172709, 3.9130823, 4.0927337, 4.4630468", \
+					  "3.7690211, 3.7820436, 3.8088523, 3.8613002, 3.9570929, 4.1368322, 4.5070898", \
+					  "3.8023873, 3.8153338, 3.8421799, 3.8946331, 3.9904280, 4.1701833, 4.5404369", \
+					  "3.8281707, 3.8411812, 3.8680166, 3.9204684, 4.0162669, 4.1960231, 4.5662700", \
+					  "3.8512136, 3.8642178, 3.8910515, 3.9435117, 4.0393084, 4.2190313, 4.5893152");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0882486, 0.0986230, 0.1220902, 0.1674125, 0.2572006, 0.4514644, 0.9137826", \
+					  "0.0881077, 0.0989034, 0.1220619, 0.1672298, 0.2569057, 0.4514617, 0.9137738", \
+					  "0.0885666, 0.0986111, 0.1220657, 0.1671635, 0.2568654, 0.4514613, 0.9136297", \
+					  "0.0883641, 0.0987706, 0.1220829, 0.1675336, 0.2569336, 0.4514604, 0.9137001", \
+					  "0.0885660, 0.0986229, 0.1220637, 0.1675378, 0.2569207, 0.4514759, 0.9138078", \
+					  "0.0885646, 0.0986074, 0.1220702, 0.1671634, 0.2568173, 0.4514547, 0.9137812", \
+					  "0.0885621, 0.0986061, 0.1220675, 0.1671635, 0.2568788, 0.4514727, 0.9137802");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.28175;
+			max_transition : 3.754211;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02887865, 0.08339766, 0.2408412, 0.695517, 2.00856, 5.80045");
+					values("2.6546440, 2.6304882, 2.6081163, 2.5733959, 2.5163516, 2.4013979, 2.1093072", \
+					  "0.9301744, 0.9110126, 0.8703688, 0.7879044, 0.7329145, 0.6401422, 0.2933691", \
+					  "1.1510555, 1.1235981, 1.0962502, 1.0426006, 0.9936777, 0.7153812, 0.5885029", \
+					  "1.2495417, 1.2282962, 1.1836208, 1.1374624, 1.0929106, 1.0267215, 0.7002061", \
+					  "1.3346755, 1.3132349, 1.2766166, 1.2243688, 1.1760095, 1.1739799, 0.5928395", \
+					  "1.4200102, 1.3952214, 1.3720375, 1.3135116, 1.2664105, 1.2269132, 0.7309369", \
+					  "1.5166861, 1.4842728, 1.4438408, 1.4065399, 1.3354185, 1.3037406, 1.2445091");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02887865, 0.08339766, 0.2408412, 0.695517, 2.00856, 5.80045");
+					values("2.1248235, 2.1246899, 2.1555711, 2.2113580, 2.2332251, 2.2350856, 2.2361563", \
+					  "4.1264206, 4.1108818, 4.0846137, 4.0584359, 4.1015403, 4.1668127, 4.1695020", \
+					  "4.1313177, 4.1164238, 4.0939058, 4.0684795, 4.0563490, 4.0525586, 4.1666679", \
+					  "4.1470052, 4.1128576, 4.0995549, 4.0706861, 4.0646527, 4.0582320, 4.1144878", \
+					  "4.1194014, 4.1016170, 4.1233566, 4.0749947, 4.0752467, 4.0619105, 4.0599078", \
+					  "4.1623887, 4.1215495, 4.1026978, 4.0760416, 4.0660296, 4.0635733, 4.0644003", \
+					  "4.1234303, 4.1428909, 4.1097802, 4.0876877, 4.0769974, 4.0656727, 4.0679135");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("2.8953816, 2.8786157, 2.8485902, 2.8024981, 2.7297377, 2.6118963, 2.2906967", \
+					  "0.6379025, 0.6142242, 0.5769451, 0.5215310, 0.4756884, 0.0208766, -0.2372472", \
+					  "2.3151576, 2.3011718, 2.2525014, 2.2076410, 2.1451098, 2.1102976, 1.5578600", \
+					  "3.9696961, 3.9544800, 3.9181978, 3.8611805, 3.7958304, 3.7481936, 3.4468442", \
+					  "5.5101449, 5.4913778, 5.4528704, 5.3669829, 5.3409898, 5.2906954, 5.2136372", \
+					  "6.9735923, 6.9625824, 6.9137491, 6.8329795, 6.7624348, 6.7528600, 6.8093816", \
+					  "8.4402719, 8.3998902, 8.3588874, 8.2585952, 8.2177832, 8.1922741, 8.1264049");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.0906085, 3.1135502, 3.1995682, 3.3619279, 3.4614447, 3.4807825, 3.4941746", \
+					  "7.8188072, 7.8020064, 7.7738770, 7.7471397, 7.7326080, 7.9940976, 8.0187862", \
+					  "8.6302233, 8.6126262, 8.5817213, 8.5596920, 8.5425885, 8.5357381, 8.7721939", \
+					  "9.4390468, 9.4223232, 9.3921346, 9.3657894, 9.3539638, 9.3447290, 9.4795369", \
+					  "10.2543890, 10.2352000, 10.2091820, 10.1774720, 10.1652640, 10.1542450, 10.1619990", \
+					  "11.0773870, 11.0602960, 11.0321990, 11.0064240, 11.0010720, 10.9817730, 11.0029880", \
+					  "11.9092020, 11.8897500, 11.8656990, 11.8382380, 11.8288560, 11.8167080, 11.8230120");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.3206530, 3.3039467, 3.2770448, 3.2355230, 3.1650286, 3.0430132, 2.7394457", \
+					  "7.3651710, 7.3422535, 7.3032821, 7.2466703, 7.1784119, 6.8197128, 6.4322857", \
+					  "13.9918790, 13.9642240, 13.8364080, 13.8732580, 13.8224920, 13.7877810, 13.3259710", \
+					  "20.2276080, 20.2702650, 20.2082900, 20.1049650, 20.0488880, 19.9991340, 19.3698890", \
+					  "26.3954640, 26.4339700, 26.3752150, 26.2695260, 26.2169040, 26.1590160, 25.9464850", \
+					  "32.5037150, 32.4504000, 32.4066050, 32.3726810, 32.3168920, 32.2543970, 32.2556880", \
+					  "38.4816410, 38.4845590, 38.4214600, 38.4072630, 38.3544410, 38.1757130, 38.2402690");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.2745469, 3.2906312, 3.3570707, 3.4779610, 3.5373692, 3.5447184, 3.5490465", \
+					  "8.1934546, 8.1767371, 8.1490179, 8.1237812, 8.1099624, 8.3372823, 8.3514237", \
+					  "9.4914025, 9.4700333, 9.4888099, 9.4114213, 9.3978480, 9.3928155, 9.6210895", \
+					  "10.8509600, 10.7952830, 10.8078900, 10.7400460, 10.7282040, 10.7142070, 10.7138530", \
+					  "12.2169530, 12.1594430, 12.1944590, 12.1047820, 12.0867910, 12.0791430, 12.0616350", \
+					  "13.6206050, 13.5405060, 13.5632520, 13.4850650, 13.4744970, 13.4932380, 13.4459030", \
+					  "15.0008960, 14.9284130, 14.9770110, 14.8775700, 14.8594960, 14.8780830, 14.8302690");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("6.0624495, 6.0690679, 6.1339800, 6.3723032, 6.3726554, 6.3009901, 6.0094550", \
+					  "6.0626547, 6.0672348, 6.1272276, 6.3696982, 6.3700924, 6.2981395, 6.0076705", \
+					  "6.0622441, 6.0673559, 6.1278235, 6.3619488, 6.3668802, 6.2939949, 6.0259597", \
+					  "6.0626050, 6.0671963, 6.1292908, 6.3644429, 6.3667579, 6.2943848, 6.0265184", \
+					  "6.0635793, 6.0680922, 6.1264244, 6.3692107, 6.3708383, 6.3027803, 6.0223406", \
+					  "6.0618945, 6.0665314, 6.1282485, 6.3639519, 6.3666403, 6.2937962, 6.0257489", \
+					  "6.0652022, 6.0664108, 6.1310579, 6.3645395, 6.3673463, 6.2943873, 6.0134783");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("4.4862747, 4.4533457, 4.4179205, 4.4272902, 4.5145546, 4.4948451, 4.4703096", \
+					  "4.4845120, 4.4586811, 4.4096105, 4.4283894, 4.5100675, 4.4951732, 4.4676201", \
+					  "4.4941066, 4.4568564, 4.4199320, 4.4346572, 4.5275078, 4.4944327, 4.4789785", \
+					  "4.4918328, 4.4704180, 4.4244969, 4.4346853, 4.5243233, 4.5045418, 4.4728727", \
+					  "4.4815224, 4.4490158, 4.4164581, 4.4298861, 4.5197270, 4.5035763, 4.4819547", \
+					  "4.4881754, 4.4603262, 4.4237543, 4.4350148, 4.5151491, 4.4945943, 4.4688606", \
+					  "4.4919471, 4.4430735, 4.4120670, 4.4289212, 4.5174259, 4.5002504, 4.4669988");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("2.0449109, 2.0581659, 2.0934345, 2.1833764, 2.3973479, 2.9620315, 4.5826543", \
+					  "3.8941548, 3.9074646, 3.9430876, 4.0323851, 4.2465547, 4.8113855, 6.4316215", \
+					  "5.3307994, 5.3450591, 5.3802696, 5.4695830, 5.6838139, 6.2482117, 7.8662650", \
+					  "5.6466773, 5.6602141, 5.6959686, 5.7850631, 5.9994414, 6.5646715, 8.1777518", \
+					  "7.4950863, 7.5085973, 7.5440401, 7.6333082, 7.8478397, 8.4126128, 10.0316730", \
+					  "9.0333767, 9.0448156, 9.0800318, 9.1694679, 9.3838270, 9.9486295, 11.5639790", \
+					  "10.2127620, 10.2127624, 10.2305440, 10.3197470, 10.5343890, 11.0990490, 12.7145950", \
+					  "11.8833440, 11.9017130, 11.9371820, 12.0268280, 12.1749690, 12.8055630, 14.4187790", \
+					  "34.3333290, 34.3333302, 34.3333340, 34.4072080, 34.4542490, 35.0828910, 36.8187760", \
+					  "47.6543780, 47.6693640, 47.7046950, 47.7939680, 47.7939702, 48.5731670, 50.1872500", \
+					  "103.7542500, 103.7542534, 103.7542611, 104.1316700, 104.1316720, 104.6442900, 106.4384100", \
+					  "298.4482700, 298.4482880, 298.4799300, 298.8018100, 298.9132200, 298.9132233, 300.4137200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("0.1215717, 0.1338241, 0.1697230, 0.2655937, 0.5318250, 1.3418756, 3.7403187", \
+					  "0.1216228, 0.1344108, 0.1706562, 0.2651789, 0.5304573, 1.3406226, 3.7362571", \
+					  "0.1227053, 0.1361973, 0.1701678, 0.2657682, 0.5306112, 1.3395913, 3.7510253", \
+					  "0.1215473, 0.1338168, 0.1701861, 0.2655102, 0.5316571, 1.3417945, 3.7457486", \
+					  "0.1214217, 0.1339525, 0.1711642, 0.2652873, 0.5319041, 1.3435102, 3.7346433", \
+					  "0.1213730, 0.1339493, 0.1712338, 0.2653203, 0.5318050, 1.3415080, 3.7539731", \
+					  "0.1212490, 0.1339531, 0.1711580, 0.2652834, 0.5318665, 1.3415186, 3.7494328", \
+					  "0.1211830, 0.1339537, 0.1700354, 0.2658593, 0.5305841, 1.3414058, 3.7500434", \
+					  "0.1215652, 0.1339370, 0.1711466, 0.2653020, 0.5318906, 1.3415369, 3.7513255", \
+					  "0.1215271, 0.1339364, 0.1710985, 0.2652896, 0.5318942, 1.3414915, 3.7508392", \
+					  "0.1213939, 0.1339089, 0.1711507, 0.2654500, 0.5318350, 1.3413419, 3.7499510", \
+					  "0.1214029, 0.1340279, 0.1711814, 0.2653355, 0.5313294, 1.3419406, 3.7514121");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("1.5215142, 1.5350466, 1.5695014, 1.6513007, 1.8386509, 2.2983999, 3.5888974", \
+					  "3.1697518, 3.1829457, 3.2175751, 3.2997731, 3.4867507, 3.9471253, 5.2320388", \
+					  "4.2581072, 4.2712962, 4.3059445, 4.3881836, 4.5750777, 5.0355995, 6.3227468", \
+					  "4.4820849, 4.4952775, 4.5299586, 4.6120179, 4.7992379, 5.2589866, 6.5454104", \
+					  "5.7597016, 5.7732286, 5.8075535, 5.8898593, 6.0766858, 6.5369665, 7.8267997", \
+					  "6.7156125, 6.7290848, 6.7635244, 6.8457520, 7.0327371, 7.4929059, 8.7793293", \
+					  "7.4033837, 7.4168449, 7.4506632, 7.5335445, 7.7206117, 8.1806597, 9.4688648", \
+					  "8.3306277, 8.3445526, 8.3771727, 8.4594500, 8.6462428, 9.1079730, 10.3961000", \
+					  "18.4917130, 18.5031870, 18.5630640, 18.6195340, 18.8068800, 19.2681210, 20.5812470", \
+					  "24.0056810, 24.0187960, 24.0565290, 24.1357320, 24.3224230, 24.7832440, 26.0731830", \
+					  "45.0172840, 45.0358790, 45.0620130, 45.1540460, 45.3368190, 45.8009950, 47.0785080", \
+					  "114.5321600, 114.5683100, 114.5891200, 114.6459000, 114.8381400, 115.3047700, 116.6194500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("0.1042680, 0.1142800, 0.1432393, 0.2146985, 0.4080469, 0.9770187, 2.7024465", \
+					  "0.1040887, 0.1151962, 0.1433476, 0.2162484, 0.4085219, 0.9760821, 2.6844569", \
+					  "0.1041025, 0.1151566, 0.1435578, 0.2161062, 0.4084491, 0.9767336, 2.6974343", \
+					  "0.1041081, 0.1150976, 0.1434829, 0.2161155, 0.4087574, 0.9749817, 2.6963023", \
+					  "0.1042396, 0.1145852, 0.1432776, 0.2164827, 0.4079057, 0.9760017, 2.6989211", \
+					  "0.1042022, 0.1145611, 0.1433047, 0.2165096, 0.4083247, 0.9754572, 2.6993034", \
+					  "0.1042224, 0.1145614, 0.1433395, 0.2165282, 0.4081183, 0.9757196, 2.6995002", \
+					  "0.1042447, 0.1148757, 0.1433381, 0.2158186, 0.4082889, 0.9749459, 2.6959164", \
+					  "0.1040520, 0.1148827, 0.1433261, 0.2163144, 0.4084722, 0.9767466, 2.6977660", \
+					  "0.1040445, 0.1148785, 0.1433080, 0.2163200, 0.4085054, 0.9757851, 2.7002229", \
+					  "0.1041126, 0.1151505, 0.1432906, 0.2162789, 0.4077897, 0.9769181, 2.6989804", \
+					  "0.1043101, 0.1149547, 0.1433221, 0.2157086, 0.4079931, 0.9745601, 2.6994091");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2067243, 1.2201776, 1.2557339, 1.3447755, 1.5592909, 2.1250950, 3.7394132", \
+					  "1.2644932, 1.2779672, 1.3135352, 1.4027353, 1.6168679, 2.1812705, 3.8030246", \
+					  "1.0395680, 1.0530429, 1.0886126, 1.1778095, 1.3919420, 1.9563253, 3.5818821", \
+					  "0.9763355, 0.9899167, 1.0253963, 1.1146793, 1.3290595, 1.8938149, 3.5130880", \
+					  "0.5074826, 0.5209043, 0.5564913, 0.6456409, 0.8597273, 1.4247469, 3.0434335", \
+					  "0.0218074, 0.0351784, 0.0708309, 0.1599244, 0.3742901, 0.9399317, 2.5605573", \
+					  "-0.3747863, -0.3613697, -0.3257781, -0.2366341, -0.0200120, 0.5424742, 2.1613000", \
+					  "-0.9785912, -0.9655140, -0.9295975, -0.8404629, -0.6273139, -0.0609423, 1.5579905", \
+					  "-11.0668890, -11.0641110, -11.0179640, -10.9400910, -10.7229960, -10.1606100, -8.5417948", \
+					  "-18.2693040, -18.2693033, -18.2199140, -18.1313510, -17.9481190, -17.3682650, -15.7489190", \
+					  "-51.7753840, -51.7599620, -51.7018210, -51.6352900, -51.4083990, -50.8554230, -49.2360000", \
+					  "-185.1551400, -185.1097000, -185.1096878, -185.0168400, -184.8352900, -184.2056600, -182.5857800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1224711, 0.1349761, 0.1703215, 0.2656548, 0.5309188, 1.3427381, 3.7463015", \
+					  "0.1231186, 0.1352856, 0.1704845, 0.2662689, 0.5316333, 1.3437762, 3.7392249", \
+					  "0.1231210, 0.1352938, 0.1704931, 0.2662718, 0.5316373, 1.3390949, 3.7466483", \
+					  "0.1232577, 0.1355311, 0.1706348, 0.2662582, 0.5318529, 1.3428043, 3.7454813", \
+					  "0.1229684, 0.1350493, 0.1702643, 0.2661948, 0.5311679, 1.3400180, 3.7509360", \
+					  "0.1228596, 0.1352060, 0.1705251, 0.2662356, 0.5320210, 1.3436832, 3.7484806", \
+					  "0.1229645, 0.1350518, 0.1702620, 0.2661946, 0.5311670, 1.3400000, 3.7508170", \
+					  "0.1229532, 0.1350618, 0.1701374, 0.2661476, 0.5311786, 1.3430936, 3.7502130", \
+					  "0.1231232, 0.1350997, 0.1704823, 0.2661587, 0.5317761, 1.3404413, 3.7504995", \
+					  "0.1229693, 0.1351114, 0.1702645, 0.2661946, 0.5312478, 1.3400072, 3.7508139", \
+					  "0.1228889, 0.1351342, 0.1701985, 0.2661949, 0.5311609, 1.3434172, 3.7498449", \
+					  "0.1227966, 0.1350996, 0.1701749, 0.2661196, 0.5310934, 1.3399999, 3.7508072");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2700301, 1.2837821, 1.3196549, 1.4042786, 1.5957277, 2.0609217, 3.3512424", \
+					  "2.7783040, 2.7921676, 2.8278706, 2.9128429, 3.1042791, 3.5690877, 4.8558770", \
+					  "4.0802277, 4.0940540, 4.1297481, 4.2151638, 4.4061554, 4.8709401, 6.1591181", \
+					  "4.3781170, 4.3918846, 4.4278687, 4.5128647, 4.7039759, 5.1688120, 6.4568564", \
+					  "6.1887757, 6.2025856, 6.2373662, 6.3232166, 6.5146484, 6.9785377, 8.2671523", \
+					  "7.7111482, 7.7250348, 7.7570004, 7.8456983, 8.0371301, 8.5017744, 9.7886961", \
+					  "8.8784082, 8.8922327, 8.9278060, 9.0128927, 9.2042951, 9.6690114, 10.9569390", \
+					  "10.5383570, 10.5522440, 10.5901070, 10.6729070, 10.8643270, 11.3312240, 12.6157690", \
+					  "34.2051290, 34.2208790, 34.2553580, 34.3395610, 34.5310660, 34.9957290, 36.2833180", \
+					  "49.7841020, 49.7999470, 49.8338150, 49.9182530, 50.1094170, 50.5759310, 51.8619350", \
+					  "118.9067000, 118.9202700, 118.9551800, 119.0381400, 119.2377800, 119.6983800, 120.9836600", \
+					  "384.3601100, 384.3708200, 384.4072000, 384.4871500, 384.6930700, 385.1532700, 386.4352900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1075552, 0.1188620, 0.1468785, 0.2208663, 0.4141188, 0.9808904, 2.7045779", \
+					  "0.1080003, 0.1190671, 0.1483240, 0.2212060, 0.4137979, 0.9802095, 2.6874811", \
+					  "0.1080880, 0.1191252, 0.1483347, 0.2212477, 0.4138100, 0.9806563, 2.6971065", \
+					  "0.1081168, 0.1191282, 0.1483885, 0.2214444, 0.4137947, 0.9782776, 2.6964304", \
+					  "0.1079872, 0.1190720, 0.1483164, 0.2210534, 0.4142143, 0.9802738, 2.6868869", \
+					  "0.1080189, 0.1190058, 0.1483271, 0.2211734, 0.4137029, 0.9802853, 2.6891247", \
+					  "0.1078716, 0.1190541, 0.1482917, 0.2210528, 0.4142035, 0.9806030, 2.6945781", \
+					  "0.1080291, 0.1189679, 0.1483606, 0.2212025, 0.4136861, 0.9804204, 2.6918447", \
+					  "0.1079320, 0.1190144, 0.1483422, 0.2211575, 0.4142216, 0.9792429, 2.6862803", \
+					  "0.1079585, 0.1189825, 0.1481585, 0.2213553, 0.4140049, 0.9786841, 2.6886415", \
+					  "0.1080669, 0.1191658, 0.1483138, 0.2216278, 0.4139432, 0.9789365, 2.6878459", \
+					  "0.1081435, 0.1189207, 0.1481395, 0.2212079, 0.4142297, 0.9807866, 2.6885925");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2161663, 1.2296036, 1.2650468, 1.3541414, 1.5686041, 2.1342494, 3.7524464", \
+					  "2.2181341, 2.2315256, 2.2671192, 2.3562474, 2.5705111, 3.1365230, 4.7496815", \
+					  "2.9474831, 2.9609533, 2.9965146, 3.0857130, 3.2998138, 3.8643928, 5.4838578", \
+					  "3.1139182, 3.1273268, 3.1629181, 3.2520613, 3.4661483, 4.0311670, 5.6454610", \
+					  "4.0733648, 4.0869037, 4.1223678, 4.2115791, 4.4259463, 4.9914055, 6.6100312", \
+					  "4.8759432, 4.8893036, 4.9247952, 5.0136757, 5.2390261, 5.7928200, 7.4047772", \
+					  "5.4897575, 5.5082729, 5.5438809, 5.6330212, 5.8229475, 6.4121206, 8.0333846", \
+					  "6.3574856, 6.3675660, 6.4042687, 6.4934111, 6.7070354, 7.2726412, 8.8962979", \
+					  "18.3199270, 18.3338550, 18.3694230, 18.4585520, 18.6710590, 19.2371810, 20.8592750", \
+					  "26.1121470, 26.1121474, 26.1121493, 26.1851200, 26.4650450, 26.9656880, 28.6504860", \
+					  "60.4680390, 60.5061740, 60.5220050, 60.5648150, 60.8165610, 61.3626630, 63.0006200", \
+					  "191.8665900, 191.8666001, 191.8765700, 192.0048500, 192.2188300, 192.6649000, 194.3963200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1228067, 0.1349256, 0.1697848, 0.2656423, 0.5316467, 1.3437027, 3.7484997", \
+					  "0.1229473, 0.1351281, 0.1701486, 0.2657920, 0.5317044, 1.3409004, 3.7338461", \
+					  "0.1230740, 0.1351409, 0.1704061, 0.2662491, 0.5315179, 1.3387462, 3.7468877", \
+					  "0.1229319, 0.1351060, 0.1701893, 0.2661743, 0.5311730, 1.3400356, 3.7431014", \
+					  "0.1229637, 0.1353070, 0.1706673, 0.2659919, 0.5314733, 1.3430996, 3.7459644", \
+					  "0.1229129, 0.1350862, 0.1702158, 0.2661808, 0.5312181, 1.3399810, 3.7507681", \
+					  "0.1229389, 0.1352184, 0.1701331, 0.2661790, 0.5314714, 1.3399982, 3.7400496", \
+					  "0.1228996, 0.1352189, 0.1700908, 0.2661005, 0.5316900, 1.3407445, 3.7448880", \
+					  "0.1229331, 0.1351890, 0.1700891, 0.2660687, 0.5311614, 1.3401736, 3.7409720", \
+					  "0.1229701, 0.1351336, 0.1700915, 0.2660556, 0.5315981, 1.3411329, 3.7430685", \
+					  "0.1229552, 0.1350583, 0.1702278, 0.2661175, 0.5319544, 1.3379327, 3.7560559", \
+					  "0.1228915, 0.1351366, 0.1703735, 0.2663951, 0.5318779, 1.3400249, 3.7540824");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.0289914, 1.0428652, 1.0785041, 1.1634631, 1.3547781, 1.8197664, 3.1109531", \
+					  "2.1945243, 2.2083975, 2.2440916, 2.3291349, 2.5205118, 2.9855947, 4.2748116", \
+					  "3.1658596, 3.1658599, 3.1997520, 3.2846807, 3.4762118, 3.9412882, 5.2301634", \
+					  "3.3684544, 3.3812084, 3.4168994, 3.5018868, 3.6939096, 4.1584429, 5.4449720", \
+					  "4.6813891, 4.6948663, 4.7186095, 4.8155777, 4.9919935, 5.4718865, 6.7633636", \
+					  "5.7996683, 5.7996686, 5.8265073, 5.9146408, 6.1029217, 6.5708727, 7.8606570", \
+					  "6.6241248, 6.6370318, 6.6730657, 6.7577117, 6.9442514, 7.4142590, 8.7036653", \
+					  "7.8128757, 7.8195361, 7.8587989, 7.9408000, 8.1348928, 8.5938199, 9.9048352", \
+					  "24.7724080, 24.7797940, 24.8109530, 24.9024930, 25.0969730, 25.5559950, 26.8425760", \
+					  "35.8873390, 35.9084500, 35.9352230, 36.0284350, 36.2202360, 36.6815450, 37.9670200", \
+					  "85.2161220, 85.2247480, 85.2559840, 85.3413550, 85.5304240, 85.9977900, 87.2876600", \
+					  "274.4880300, 274.5295000, 274.5404700, 274.6170100, 274.8438100, 275.3265000, 276.5426400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1075244, 0.1187060, 0.1469039, 0.2205972, 0.4149760, 0.9798038, 2.7033407", \
+					  "0.1081653, 0.1188232, 0.1483104, 0.2209569, 0.4140217, 0.9805839, 2.6878535", \
+					  "0.1081492, 0.1191532, 0.1481023, 0.2209918, 0.4138826, 0.9793557, 2.6959122", \
+					  "0.1079256, 0.1191237, 0.1482822, 0.2210750, 0.4139631, 0.9810167, 2.6916427", \
+					  "0.1081476, 0.1191561, 0.1483739, 0.2212456, 0.4140564, 0.9809187, 2.7033635", \
+					  "0.1080898, 0.1187868, 0.1482802, 0.2213593, 0.4142132, 0.9807265, 2.7053531", \
+					  "0.1080075, 0.1191171, 0.1482762, 0.2210726, 0.4142618, 0.9810164, 2.7030018", \
+					  "0.1078983, 0.1190322, 0.1483756, 0.2215014, 0.4140243, 0.9804671, 2.7029792", \
+					  "0.1080273, 0.1190487, 0.1482992, 0.2212134, 0.4140074, 0.9803655, 2.7033491", \
+					  "0.1081328, 0.1190156, 0.1483321, 0.2212776, 0.4142715, 0.9803580, 2.7039646", \
+					  "0.1081348, 0.1191849, 0.1483726, 0.2214013, 0.4142811, 0.9810178, 2.7053448", \
+					  "0.1081095, 0.1190579, 0.1483922, 0.2213524, 0.4142986, 0.9803048, 2.7039111");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("4.3835611, 4.3970236, 4.4333381, 4.5218345, 4.7355586, 5.3007403, 6.9229196", \
+					  "4.5305368, 4.5439679, 4.5797859, 4.6693440, 4.8825033, 5.4476894, 7.0698780", \
+					  "4.6675024, 4.6809148, 4.7165947, 4.8058348, 5.0193361, 5.5841642, 7.2070172", \
+					  "4.7805342, 4.7939457, 4.8296296, 4.9197380, 5.1323705, 5.6971987, 7.3200486", \
+					  "4.8747206, 4.8881539, 4.9238857, 5.0136215, 5.2267026, 5.7918466, 7.4141524", \
+					  "4.9661790, 4.9795874, 5.0152762, 5.1055551, 5.3180145, 5.8828400, 7.5056938", \
+					  "5.0463460, 5.0597642, 5.0955733, 5.1840137, 5.3980469, 5.9632281, 7.5856192");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("0.1259430, 0.1376980, 0.1707845, 0.2660325, 0.5309844, 1.3417661, 3.7389127", \
+					  "0.1259890, 0.1376917, 0.1721736, 0.2660333, 0.5309840, 1.3417623, 3.7390477", \
+					  "0.1259479, 0.1375836, 0.1715203, 0.2671884, 0.5308879, 1.3403996, 3.7461376", \
+					  "0.1259506, 0.1375892, 0.1715192, 0.2671864, 0.5308841, 1.3404077, 3.7461443", \
+					  "0.1260082, 0.1377297, 0.1721470, 0.2660352, 0.5309932, 1.3416459, 3.7401304", \
+					  "0.1259495, 0.1375870, 0.1715197, 0.2671860, 0.5308857, 1.3404055, 3.7461412", \
+					  "0.1248431, 0.1374147, 0.1715347, 0.2663104, 0.5310342, 1.3425302, 3.7370245");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("3.9507593, 3.9686934, 4.0150736, 4.1207957, 4.3526476, 4.8657731, 6.1700285", \
+					  "4.0560884, 4.0739314, 4.1204262, 4.2263850, 4.4575708, 4.9709659, 6.2759877", \
+					  "4.1189736, 4.1357864, 4.1833679, 4.2880961, 4.5194133, 5.0326188, 6.3385861", \
+					  "4.1613806, 4.1797183, 4.2256549, 4.3320578, 4.5632627, 5.0765046, 6.3811090", \
+					  "4.1947970, 4.2118780, 4.2588000, 4.3643125, 4.5957571, 5.1088933, 6.4133894", \
+					  "4.2205994, 4.2376973, 4.2849502, 4.3901847, 4.6214956, 5.1346376, 6.4397696", \
+					  "4.2437440, 4.2612291, 4.3076800, 4.4137143, 4.6449902, 5.1585558, 6.4632846");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("0.1768339, 0.1903065, 0.2241685, 0.3043789, 0.5035153, 1.0497891, 2.7229423", \
+					  "0.1763433, 0.1903025, 0.2233752, 0.3049968, 0.5043301, 1.0497624, 2.7219766", \
+					  "0.1783205, 0.1908341, 0.2241741, 0.3049128, 0.5040915, 1.0497011, 2.7154854", \
+					  "0.1771481, 0.1900043, 0.2247530, 0.3049550, 0.5043215, 1.0500654, 2.7233953", \
+					  "0.1765122, 0.1903283, 0.2250424, 0.3052312, 0.5035305, 1.0497389, 2.7227585", \
+					  "0.1764207, 0.1905198, 0.2236611, 0.3050992, 0.5036522, 1.0496048, 2.7219835", \
+					  "0.1766175, 0.1905925, 0.2236876, 0.3050731, 0.5037887, 1.0516715, 2.7219773");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.117215;
+			max_capacitance : 551.117000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1446900, 2.1450506, 2.1435830, 2.1410134, 2.1391280, 2.1373926, 2.1375936", \
+					  "5.4656618, 5.4734260, 5.5226297, 5.7301382, 6.0328891, 6.1492300, 6.1733392", \
+					  "7.1336344, 7.1486345, 7.2381732, 7.6140418, 8.2082800, 8.4540113, 8.5038170", \
+					  "7.2570306, 7.2727655, 7.3629928, 7.7414643, 8.3406361, 8.5884578, 8.6377404", \
+					  "7.2604785, 7.2762705, 7.3670746, 7.7444438, 8.3430431, 8.5910886, 8.6424529", \
+					  "7.2532309, 7.2713292, 7.3649766, 7.7484198, 8.3493714, 8.5979434, 8.6455746", \
+					  "7.2653013, 7.2808565, 7.3706779, 7.7487713, 8.3482033, 8.5972532, 8.6477796");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("22.4878615, 22.5026045, 22.5361615, 22.8174175, 23.3786435, 21.5455685, -5.1819519", \
+					  "22.4876792, 22.5000542, 22.5354032, 22.8020922, 23.3628432, 21.9963102, -5.2004260", \
+					  "22.4940289, 22.5028159, 22.5348139, 22.8197369, 23.3045599, 22.0278119, -5.4294930", \
+					  "22.4856455, 22.4992395, 22.5335575, 22.8148855, 23.3751945, 22.0556685, -5.1817451", \
+					  "22.4886719, 22.5031479, 22.5426049, 22.8050609, 23.3475669, 21.3846959, -5.6703305", \
+					  "22.4865825, 22.5026825, 22.5346165, 22.8181625, 23.3751025, 22.0321005, -5.1808008", \
+					  "22.4933811, 22.5029591, 22.5478711, 22.8103291, 23.3550651, 20.8507331, -6.0112773");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.3043115, 25.2391075, 25.2304925, 25.5375995, 24.7977175, 16.1520605, -46.3006165", \
+					  "25.1997024, 25.2189394, 25.2199814, 25.4506844, 25.6827004, 21.0068504, -47.2751806", \
+					  "24.9933054, 25.0285044, 25.2518524, 25.3791734, 25.1446394, 11.2354024, -46.0176346", \
+					  "25.1062129, 25.1578589, 25.2123859, 25.1070439, 25.4708879, 19.5134449, -45.3890691", \
+					  "25.3059661, 25.0498641, 25.3249301, 25.3215491, 25.2097331, 27.5043381, -46.7965589", \
+					  "25.2029837, 25.0675197, 25.2264387, 25.0138937, 24.4377667, 23.1582187, -44.8657843", \
+					  "25.0852182, 24.8933072, 25.1006142, 24.8560352, 25.2492902, 20.4641752, -47.5301468");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.5451642, 16.6067522, 16.8871422, 18.4784222, 23.5627782, 34.9234932, 35.4032552", \
+					  "16.4665514, 16.5285004, 16.8249644, 18.3925944, 23.4459494, 34.8305274, 35.3021014", \
+					  "16.3988515, 16.4524495, 16.7483385, 18.3076155, 23.3350515, 34.7340085, 35.2706345", \
+					  "16.4031124, 16.4527414, 16.7331704, 18.2948824, 23.4342174, 34.7474374, 35.2318244", \
+					  "16.3868952, 16.4422572, 16.7385652, 18.3070622, 23.3601032, 34.7411402, 35.2292392", \
+					  "16.3892671, 16.4443411, 16.7412661, 18.2971161, 23.3536471, 34.7454511, 35.2381861", \
+					  "16.3862680, 16.4471950, 16.7383020, 18.3031910, 23.3393660, 34.7288450, 35.2586260");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6920020, 1.6920124, 1.6917005, 1.6918801, 1.6918867, 1.6919101, 1.6913150", \
+					  "4.2952196, 4.2952491, 4.2951714, 4.2951307, 4.2955882, 4.2957140, 4.2958371", \
+					  "4.8818176, 4.8817647, 4.8809650, 4.8755275, 4.8783273, 4.8776837, 4.8770445", \
+					  "4.9248190, 4.9247999, 4.9232078, 4.9188923, 4.9149271, 4.9140281, 4.9136907", \
+					  "4.9289021, 4.9279927, 4.9250662, 4.9188936, 4.9143903, 4.9144866, 4.9141240", \
+					  "4.9288020, 4.9280960, 4.9263851, 4.9210652, 4.9173455, 4.9134059, 4.9145834", \
+					  "4.9308462, 4.9305677, 4.9283993, 4.9210762, 4.9162671, 4.9156504, 4.9157103");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.6599778, 9.6709881, 9.7079195, 9.9943055, 9.5720829, 9.2073876, 9.7587348", \
+					  "9.7747596, 9.8692690, 9.8197748, 9.2552281, 9.3119041, 1.7241946, 9.6430481", \
+					  "9.7615768, 9.7046024, 9.7068671, 9.6876090, 10.0761969, 9.4859396, 9.6258937", \
+					  "9.7048919, 9.7019923, 9.8165353, 9.7466580, 9.8639860, 9.7696197, 9.6996687", \
+					  "9.7632904, 9.6598428, 9.7417816, 9.5171228, 10.2308509, 10.4368849, 9.4266786", \
+					  "9.7040044, 9.7167780, 9.6974506, 9.7459213, 9.6050115, 9.7824806, 9.6988999", \
+					  "9.5961243, 9.6212093, 9.6685759, 9.4482183, 9.6865164, 9.0493993, 9.8780481");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.0952435, 25.1030635, 25.1469135, 25.4240235, 25.8578435, 23.3765055, -3.3604334", \
+					  "25.0416264, 25.0379194, 25.1158094, 25.3808804, 25.8868384, 24.8404584, -2.7116430", \
+					  "25.0062184, 25.0039274, 25.0447904, 25.3496474, 25.8155864, 24.7701034, -3.1135041", \
+					  "24.9582719, 24.9763319, 25.0353799, 25.2704419, 25.7605899, 23.4495499, -2.8522097", \
+					  "24.9235471, 24.9375741, 24.9945401, 25.2612261, 25.8021891, 24.6742301, -3.1055616", \
+					  "24.8876827, 24.9027657, 24.9726007, 25.2222117, 25.7320577, 23.2129617, -3.4242700", \
+					  "24.8556742, 24.8669842, 24.9211042, 25.2055822, 25.6362492, 24.6242782, -3.0219923");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.6093322, 14.4455052, 14.6978782, 14.9168312, 15.2196432, 14.2515302, 15.3512552", \
+					  "14.4817004, 14.4989574, 14.5515884, 14.8988234, 14.7006604, 12.9394424, 15.9124864", \
+					  "14.4484535, 14.2629305, 14.4619745, 14.7371045, 14.7901215, 15.8180895, 15.0856975", \
+					  "14.5031084, 14.5346714, 14.5558634, 14.7095314, 15.2205364, 16.1634744, 15.7710854", \
+					  "14.4079942, 14.2886552, 14.4343652, 14.7115112, 15.1331742, 23.3953702, 15.1326922", \
+					  "14.3724951, 14.4001481, 14.4632501, 14.8428341, 14.8689781, 12.2213981, 15.6440941", \
+					  "14.3571720, 14.3854120, 14.4600330, 14.7727510, 15.2388940, 15.3056270, 15.6270850");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6919874, 1.6909774, 1.6919656, 1.6915834, 1.6921181, 1.6926102, 1.6904261", \
+					  "4.2878457, 4.2876553, 4.2879398, 4.2875846, 4.2881931, 4.2883423, 4.2885890", \
+					  "4.8759603, 4.8794059, 4.8789648, 4.8743275, 4.8759841, 4.8751372, 4.8746974", \
+					  "4.9242201, 4.9231372, 4.9228800, 4.9181776, 4.9134479, 4.9139076, 4.9131759", \
+					  "4.9263829, 4.9271219, 4.9280643, 4.9188373, 4.9154654, 4.9156866, 4.9149263", \
+					  "4.9291173, 4.9286433, 4.9260859, 4.9211107, 4.9152603, 4.9145955, 4.9143197", \
+					  "4.9300321, 4.9300859, 4.9277588, 4.9222276, 4.9170417, 4.9162289, 4.9154912");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7125235, 9.7104730, 9.7275861, 9.2772796, 9.6510024, 10.2427835, 9.6826680", \
+					  "9.7548631, 9.5935330, 9.5370816, 9.7709197, 10.2446712, 15.6658492, 9.7170835", \
+					  "9.7102342, 9.6459063, 9.5837433, 9.4398185, 9.6958767, 8.8055481, 9.6354364", \
+					  "9.5975481, 9.6132291, 9.7215912, 9.7353277, 9.9691075, 10.0831905, 9.8502297", \
+					  "9.6599382, 9.6694740, 9.5692174, 9.5448792, 9.9318359, 4.1801432, 9.9080819", \
+					  "9.6818172, 9.7075206, 9.7067383, 9.6904449, 9.4853399, 9.5026307, 10.0939795", \
+					  "9.7474850, 9.7537821, 9.7449480, 9.8097521, 9.7608285, 13.4804141, 9.8525052");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.8040532, 18.9623212, 19.8164612, 24.1742922, 28.8598432, 30.1899942, 31.5139562", \
+					  "18.7419594, 18.8837954, 19.7306124, 24.1297484, 28.7405514, 29.9310714, 31.6407664", \
+					  "18.6511315, 18.8070715, 19.6614855, 24.0437695, 28.6950475, 29.7986615, 31.4195315", \
+					  "18.6634644, 18.8103034, 19.6640284, 24.0443094, 28.6881834, 29.7992004, 31.6338024", \
+					  "18.6433092, 18.8086972, 19.6539502, 24.0464312, 28.6825912, 29.8083532, 31.7813522", \
+					  "18.6517731, 18.7813381, 19.6544471, 24.0188861, 28.6612701, 29.8331971, 31.6628861", \
+					  "18.6582330, 18.7885720, 19.6389840, 23.9873850, 28.6499610, 29.8775800, 31.6288940");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2128352, 3.2131412, 3.2143664, 3.2144930, 3.2135584, 3.2131839, 3.2132305", \
+					  "4.5413822, 4.5427553, 4.5426069, 4.5419000, 4.5394268, 4.5390928, 4.5385464", \
+					  "6.5437319, 6.5435801, 6.5423020, 6.5363797, 6.5253204, 6.5137455, 6.5103450", \
+					  "6.8008922, 6.7978885, 6.7872052, 6.7540893, 6.7159337, 6.6970729, 6.6918934", \
+					  "6.8300378, 6.8302768, 6.8191117, 6.7837463, 6.7428988, 6.7227643, 6.7173094", \
+					  "6.8404412, 6.8379488, 6.8280388, 6.7913598, 6.7510101, 6.7313136, 6.7258250", \
+					  "6.8376388, 6.8345788, 6.8227727, 6.7892032, 6.7470850, 6.7260801, 6.7216097");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1428789, 2.1446698, 2.1413777, 2.1380562, 2.1382717, 2.1362278, 2.1373621", \
+					  "5.4677109, 5.4795001, 5.5234541, 5.7347139, 6.0383127, 6.1562079, 6.1788517", \
+					  "7.1508255, 7.1673505, 7.2527370, 7.6323986, 8.2261363, 8.4727507, 8.5219926", \
+					  "7.2697453, 7.2865582, 7.3779114, 7.7586251, 8.3546865, 8.6080211, 8.6529089", \
+					  "7.2738896, 7.2913383, 7.3766841, 7.7592982, 8.3596513, 8.6074947, 8.6578737", \
+					  "7.2673489, 7.2923263, 7.3790044, 7.7617546, 8.3624191, 8.6106771, 8.6606745", \
+					  "7.2809294, 7.2972245, 7.3861430, 7.7665097, 8.3656320, 8.6125604, 8.6654278");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.0917285, 29.1293515, 29.2657935, 29.6025415, 28.6995735, 24.4621975, -1.1170012", \
+					  "29.1190342, 29.1305562, 29.2613892, 29.6106792, 28.6907602, 24.4602852, -1.2829686", \
+					  "29.1156529, 29.1272369, 29.2904679, 29.6083759, 28.7014129, 24.4455079, -1.1045798", \
+					  "29.0876965, 29.1252285, 29.2623965, 29.5991725, 28.6843645, 23.8907125, -1.1225990", \
+					  "29.1143099, 29.1247629, 29.2860919, 29.6003369, 28.6728189, 23.8768549, -1.7246923", \
+					  "29.0909815, 29.1263215, 29.2664385, 29.5965425, 28.6872615, 24.4693085, -1.2270749", \
+					  "29.0973211, 29.1239871, 29.2856951, 29.6013311, 28.6570431, 23.8208421, -0.2955502");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9910722, 15.0332832, 15.2451612, 16.3413972, 21.9734902, 31.4414032, 33.9426072", \
+					  "14.9153044, 14.9588064, 15.1697854, 16.2726334, 21.9016534, 31.2970654, 33.9140694", \
+					  "14.8361155, 14.8746285, 15.0884855, 16.1871495, 21.8235615, 31.2776725, 33.9261715", \
+					  "14.8341614, 14.8736104, 15.0887054, 16.1850354, 21.8257944, 31.2828994, 33.9123894", \
+					  "14.8329352, 14.8713492, 15.0782602, 16.1825722, 21.7929062, 31.2025852, 33.8822612", \
+					  "14.8286021, 14.8660531, 15.0776441, 16.1779641, 21.7898461, 31.2337541, 33.8346241", \
+					  "14.8310740, 14.8600810, 15.0718610, 16.1707770, 21.8233470, 31.2270280, 33.7949670");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3256254, 3.3262974, 3.3252375, 3.3251955, 3.3227161, 3.3222991, 3.3218216", \
+					  "4.7595914, 4.7580115, 4.7577179, 4.7569220, 4.7589877, 4.7580119, 4.7568323", \
+					  "6.7652661, 6.7650262, 6.7632282, 6.7578141, 6.7444910, 6.7340449, 6.7304454", \
+					  "7.0164563, 7.0150850, 7.0044537, 6.9691517, 6.9339095, 6.9144446, 6.9090457", \
+					  "7.0459983, 7.0442194, 7.0326973, 7.0012294, 6.9586073, 6.9387473, 6.9331086", \
+					  "7.0534986, 7.0512669, 7.0407553, 7.0053869, 6.9687634, 6.9451139, 6.9393902", \
+					  "7.0495383, 7.0464991, 7.0373996, 7.0000944, 6.9595227, 6.9423671, 6.9338930");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.5245885, 21.5055015, 21.5965885, 21.3136285, 20.4846345, 16.9521595, -58.2228995", \
+					  "21.5302362, 21.5110522, 21.6149652, 21.3445982, 20.5519492, 16.7552612, -50.1266388", \
+					  "21.5588399, 21.4659519, 21.5682819, 21.4551569, 20.5547229, 15.4985549, -56.7851551", \
+					  "21.5243645, 21.5157485, 21.5637395, 21.3123775, 20.5312905, 16.8883155, -47.9738035", \
+					  "21.6302229, 21.4687049, 21.5537689, 21.3033389, 20.5897829, 16.8392989, -52.6468681", \
+					  "21.5217795, 21.5004705, 21.5657235, 21.3020945, 20.5510355, 16.9264635, -51.3461335", \
+					  "21.6067241, 21.5857121, 21.6532391, 21.1667881, 20.4555691, 15.4157181, -57.9702229");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1413951, 2.1415857, 2.1415935, 2.1416338, 2.1407450, 2.1401309, 2.1404909", \
+					  "4.3422245, 4.3396528, 4.3433152, 4.3426383, 4.3429240, 4.3439263, 4.3439562", \
+					  "4.6029569, 4.6024503, 4.6032856, 4.6067902, 4.6135465, 4.6163147, 4.6161065", \
+					  "4.6072921, 4.6031136, 4.6084540, 4.6153786, 4.6203629, 4.6246684, 4.6242608", \
+					  "4.6034684, 4.6075064, 4.6068611, 4.6151618, 4.6225630, 4.6247799, 4.6253307", \
+					  "4.6078162, 4.6078834, 4.6113815, 4.6191062, 4.6270868, 4.6293463, 4.6300696", \
+					  "4.6081139, 4.6078020, 4.6117815, 4.6204924, 4.6284097, 4.6300841, 4.6309602");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.1057345, 24.1951925, 24.2244935, 24.0181545, 23.3735835, 19.1295795, -50.6116995", \
+					  "24.0625274, 24.0482404, 24.0752064, 24.1895684, 24.2250604, 18.8175444, -49.5235426", \
+					  "24.0846504, 24.0730694, 24.1094154, 23.8928664, 23.3280174, 19.9981154, -50.1632906", \
+					  "24.1498139, 24.0251589, 24.1925579, 23.7760149, 23.7448119, 12.8171729, -49.9658211", \
+					  "24.0468091, 23.9929521, 23.9930391, 23.7245921, 23.2351871, 19.5016671, -46.1389699", \
+					  "23.9916097, 23.9483957, 23.9048907, 23.7833397, 22.1193537, 15.9381937, -42.7452693", \
+					  "23.9224762, 24.0018002, 24.0404812, 23.4840732, 23.1707682, 19.9172162, -50.8202578");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7724602, 11.6454682, 11.6183072, 11.9451652, 12.2593482, 9.6740201, 12.0963372", \
+					  "11.5416114, 11.5206604, 11.5909674, 11.5680914, 12.4852294, 9.8407504, 11.4245254", \
+					  "11.4932305, 11.4457605, 11.4731235, 11.4517235, 12.0789005, 11.4890185, 11.1740285", \
+					  "11.4583834, 11.5083974, 11.5450044, 11.4399734, 11.4147934, 15.2540914, 11.7519254", \
+					  "11.4906932, 11.5113042, 11.5577352, 11.4869252, 12.0872942, 13.5546342, 11.6052942", \
+					  "11.6066261, 11.5749691, 11.5779841, 11.6805461, 11.9495661, 15.5600641, 11.7935851", \
+					  "11.4914520, 11.4747880, 11.4841870, 11.2817490, 11.5840450, 11.6651850, 11.4804800");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6919501, 1.6918408, 1.6920321, 1.6921656, 1.6918557, 1.6920605, 1.6919265", \
+					  "4.2272544, 4.2272995, 4.2261043, 4.2261481, 4.2230243, 4.2212562, 4.2214466", \
+					  "5.1140346, 5.1140849, 5.1123363, 5.1133793, 5.1102694, 5.1073156, 5.1072675", \
+					  "5.4123491, 5.4112389, 5.4048508, 5.3874086, 5.3623128, 5.3479458, 5.3437417", \
+					  "5.5345995, 5.5328713, 5.5204953, 5.4874727, 5.4484843, 5.4295229, 5.4261034", \
+					  "5.5532435, 5.5515552, 5.5427805, 5.5050121, 5.4689529, 5.4491935, 5.4421332", \
+					  "5.5613570, 5.5536813, 5.5440037, 5.5121958, 5.4726072, 5.4522299, 5.4472117");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6067145, 13.6440165, 13.8517745, 14.9582665, 20.5661315, 30.0243055, 32.6067115", \
+					  "13.6036122, 13.6435762, 13.8510042, 14.9581652, 20.5637042, 30.0069242, 32.6676852", \
+					  "13.6063049, 13.6392479, 13.8531769, 14.9586109, 20.5918149, 30.0091079, 32.6028909", \
+					  "13.6022145, 13.6340745, 13.8540795, 14.9445725, 20.5944865, 29.9964865, 32.6019715", \
+					  "13.6033919, 13.6386939, 13.8684879, 14.9561969, 20.6084689, 30.0163659, 32.5876009", \
+					  "13.6106425, 13.6430045, 13.8612205, 14.9566195, 20.6002805, 29.9974785, 32.5786845", \
+					  "13.6045271, 13.6366041, 13.8522941, 14.9589051, 20.5997851, 29.9899541, 32.6477451");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.1650915, 24.1991735, 24.0641375, 24.0821365, 23.4515435, 19.4324375, -49.9023435", \
+					  "24.0698214, 24.0372874, 24.0925454, 23.9948244, 23.7919854, 24.2407494, -49.6291696", \
+					  "24.0643104, 24.0716364, 24.0600714, 23.9580054, 23.2746244, 18.0340664, -50.8612776", \
+					  "24.1416769, 24.0884049, 24.0227409, 23.6745679, 23.7365619, 18.1776349, -49.9476791", \
+					  "24.0471021, 23.9932661, 24.1651521, 23.6725261, 23.2892831, 16.9733231, -52.1244289", \
+					  "23.9872287, 23.9756217, 23.8913527, 23.7336737, 22.1497767, 20.5552367, -59.2525783", \
+					  "23.7826072, 23.9373242, 24.1596562, 24.0675442, 25.0407222, 27.0325632, -50.5979808");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7602992, 11.8030332, 11.6828652, 11.9224732, 12.3138452, 10.5415792, 11.4499862", \
+					  "11.6034514, 11.6155504, 11.4849874, 11.5999494, 11.6307654, 15.2793764, 11.7845944", \
+					  "11.4910985, 11.4463325, 11.4758345, 11.4443655, 12.2069035, 11.8661845, 11.8952225", \
+					  "11.5753814, 11.4313944, 11.4858864, 11.5659774, 11.5268324, 7.7550539, 11.1925204", \
+					  "11.4934782, 11.4738522, 11.4791752, 11.5051252, 12.0404412, 13.3964642, 11.6064602", \
+					  "11.6070171, 11.5764641, 11.5800951, 11.6779521, 12.0617461, 10.1813291, 11.7943171", \
+					  "11.4840240, 11.4516730, 11.4801020, 11.3000280, 11.5812150, 12.3276260, 11.5246780");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.8927675, 24.9029335, 24.9730695, 25.2145695, 25.7686155, 23.1546855, -3.2982802", \
+					  "24.8419874, 24.8518764, 24.8943274, 25.1702614, 25.6411574, 24.8027754, -2.9130275", \
+					  "24.8095254, 24.8046924, 24.8511414, 25.1088124, 25.7063684, 24.0572414, -2.9407753", \
+					  "24.7513699, 24.7657469, 24.8146729, 25.0959729, 25.6322729, 23.4840139, -2.9531142", \
+					  "24.7303921, 24.7349421, 24.7933071, 25.0590351, 25.5105341, 24.3864191, -2.9526368", \
+					  "24.6841837, 24.6968737, 24.7587927, 25.0196427, 25.5145927, 24.7421097, -2.8685806", \
+					  "24.6539212, 24.6590712, 24.7238232, 24.9960792, 25.5516172, 22.9712282, -3.0978171");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4153334, 3.4200566, 3.4246532, 3.4457912, 3.4557693, 3.4517162, 3.4505847", \
+					  "6.0977902, 6.1111350, 6.1886772, 6.5259950, 7.0769636, 7.3078648, 7.3523180", \
+					  "8.0353251, 8.0509896, 8.1408171, 8.5176554, 9.1146874, 9.3606000, 9.4085556", \
+					  "8.6409590, 8.6586575, 8.7498164, 9.1365530, 9.7323674, 9.9796114, 10.0303394", \
+					  "8.6792178, 8.6951686, 8.7850082, 9.1645267, 9.7578332, 10.0058622, 10.0610952", \
+					  "8.6877382, 8.7034062, 8.7937376, 9.1726463, 9.7713011, 10.0184841, 10.0682231", \
+					  "8.6823600, 8.6987332, 8.7953122, 9.1747101, 9.7730760, 10.0205970, 10.0689380");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.8642035, 32.8997445, 33.0700875, 33.5849535, 33.3223165, 29.0324635, 5.2045407", \
+					  "32.8188164, 32.8465614, 33.0395214, 33.5501724, 33.2733764, 28.9812504, 3.5886153", \
+					  "32.7824324, 32.7959184, 33.0066174, 33.4979814, 33.2180664, 28.9523154, 5.2349915", \
+					  "32.7404929, 32.7739449, 32.9677489, 33.4639139, 33.1336509, 29.0182029, 4.8922653", \
+					  "32.7049541, 32.7528151, 32.9102341, 33.4342801, 33.0986531, 29.2890341, 3.4481723", \
+					  "32.6662967, 32.7126107, 32.8954537, 33.3949367, 33.1274727, 29.5531377, 3.5396666", \
+					  "32.6281882, 32.6775962, 32.8607382, 33.3642592, 33.0791392, 28.8255492, 3.3135078");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.4886412, 19.5559782, 19.9065832, 21.8058242, 27.4266702, 38.8998992, 39.4396022", \
+					  "19.4260164, 19.4960084, 19.8362414, 21.7029304, 27.3414794, 38.8356394, 39.3665614", \
+					  "19.3363915, 19.3932245, 19.7506445, 21.6349885, 27.2355815, 38.7431385, 39.2718745", \
+					  "19.3460814, 19.3952194, 19.7825744, 21.6280464, 27.1289284, 38.7431264, 39.2791624", \
+					  "19.3283272, 19.3876392, 19.7481062, 21.6366642, 27.2479692, 38.7377562, 39.2886072", \
+					  "19.3311131, 19.3819681, 19.7614251, 21.6148061, 27.2300341, 38.7349231, 39.2432181", \
+					  "19.3335210, 19.3961660, 19.7569220, 21.6213270, 27.2493020, 38.7341140, 39.2909040");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6921549, 1.6919741, 1.6909251, 1.6919883, 1.6920852, 1.6919998, 1.6920108", \
+					  "4.4835147, 4.4844012, 4.4826252, 4.4826183, 4.4778691, 4.4773196, 4.4768278", \
+					  "5.3943733, 5.3922053, 5.3882098, 5.3771479, 5.3561370, 5.3422969, 5.3359326", \
+					  "5.5290622, 5.5275005, 5.5139180, 5.4809600, 5.4411143, 5.4187095, 5.4118322", \
+					  "5.5322251, 5.5300914, 5.5187766, 5.4866274, 5.4448583, 5.4219526, 5.4156929", \
+					  "5.5345111, 5.5316384, 5.5211064, 5.4868535, 5.4451169, 5.4227173, 5.4159991", \
+					  "5.5341609, 5.5335012, 5.5221557, 5.4890260, 5.4468570, 5.4239253, 5.4169118");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1425515, 10.1528375, 10.2439815, 10.7961585, 13.1926815, 17.5060605, 22.3269175", \
+					  "10.1414532, 10.1620912, 10.2443652, 10.8022942, 13.1728552, 17.4795682, 22.4507292", \
+					  "10.1416629, 10.1530429, 10.2446609, 10.8032269, 13.1798309, 17.5303949, 22.3509909", \
+					  "10.1438355, 10.1528025, 10.2450145, 10.8001645, 13.1837735, 17.4796465, 22.0976265", \
+					  "10.1372869, 10.1596659, 10.2571999, 10.7878499, 13.2049199, 17.5080849, 22.3236839", \
+					  "10.1397305, 10.1750415, 10.2426555, 10.7708805, 13.1748155, 17.5031645, 22.3442625", \
+					  "10.1388101, 10.1580011, 10.2392231, 10.7985771, 13.1792451, 17.4705211, 22.4053811");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6920099, 1.6919861, 1.6926492, 1.6919084, 1.6918922, 1.6916199, 1.6918601", \
+					  "4.2879160, 4.2878574, 4.2882946, 4.2883358, 4.2886667, 4.2884876, 4.2880261", \
+					  "4.8792480, 4.8794722, 4.8753041, 4.8774352, 4.8763268, 4.8730694, 4.8719709", \
+					  "4.9243953, 4.9239616, 4.9228028, 4.9184690, 4.9148482, 4.9138121, 4.9136127", \
+					  "4.9283800, 4.9293540, 4.9241342, 4.9196678, 4.9145178, 4.9141600, 4.9130693", \
+					  "4.9284603, 4.9280990, 4.9284573, 4.9203578, 4.9159846, 4.9138405, 4.9152040", \
+					  "4.9306840, 4.9303587, 4.9282692, 4.9210831, 4.9164137, 4.9155403, 4.9149869");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7089395, 9.7143172, 9.7389711, 9.7160216, 9.8106672, 9.1880671, 9.6531612", \
+					  "9.7063438, 9.7289264, 9.5422823, 9.0842598, 10.0296782, 10.6746412, 9.4785946", \
+					  "9.6371815, 9.6425123, 9.7564333, 9.4155428, 9.7469860, 8.9382935, 9.5986151", \
+					  "9.7063283, 9.7460456, 9.7395638, 9.7370676, 9.6836433, 9.7836067, 9.7341749", \
+					  "9.6351447, 9.6733728, 9.5673551, 9.7298779, 9.9385549, 7.9837061, 9.4523343", \
+					  "9.7205701, 9.7196819, 9.7075068, 9.9520605, 9.7577183, 9.9266155, 9.5554617", \
+					  "9.7362674, 9.6740724, 9.7385824, 9.8677257, 9.8710251, 10.4545891, 9.8061308");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("17.4344295, 17.5548805, 18.4214185, 22.8104855, 27.4387795, 28.5699005, 30.4208245", \
+					  "17.4332892, 17.5521752, 18.4216622, 22.8187562, 27.4446112, 28.5364152, 30.3881892", \
+					  "17.4343019, 17.5614329, 18.4228709, 22.7717059, 27.4377379, 28.6173379, 30.3996739", \
+					  "17.4274055, 17.5569845, 18.4215655, 22.8159645, 27.4353775, 28.6627985, 30.4241415", \
+					  "17.4195359, 17.5597189, 18.4245569, 22.8226059, 27.4270309, 28.7669019, 30.5169579", \
+					  "17.4341865, 17.5620525, 18.4145965, 22.7643395, 27.4326485, 28.6427745, 30.4197065", \
+					  "17.4323531, 17.5527001, 18.4303781, 22.8033101, 27.4379381, 28.6822221, 30.5143681");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6915674, 1.6921039, 1.6915601, 1.6917102, 1.6920708, 1.6915789, 1.6919086", \
+					  "4.0901332, 4.0905439, 4.0905435, 4.0902416, 4.0892056, 4.0895560, 4.0886682", \
+					  "4.8951095, 4.8973195, 4.8964977, 4.8958623, 4.8914258, 4.8901685, 4.8896633", \
+					  "5.1919625, 5.1921373, 5.1869183, 5.1695486, 5.1456579, 5.1310945, 5.1266844", \
+					  "5.3191459, 5.3184798, 5.3077852, 5.2741620, 5.2339424, 5.2157538, 5.2102166", \
+					  "5.3421589, 5.3387484, 5.3282620, 5.2943048, 5.2555667, 5.2346424, 5.2291095", \
+					  "5.3441982, 5.3431851, 5.3345254, 5.3007035, 5.2591482, 5.2408239, 5.2351769");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6918531, 1.6918852, 1.6925184, 1.6925536, 1.6918960, 1.6917416, 1.6917793", \
+					  "4.4886304, 4.4913704, 4.4900451, 4.4887388, 4.4850009, 4.4839219, 4.4828204", \
+					  "5.3958127, 5.3964047, 5.3910266, 5.3785444, 5.3588332, 5.3429036, 5.3365667", \
+					  "5.5270000, 5.5270404, 5.5147459, 5.4812432, 5.4416456, 5.4185850, 5.4118135", \
+					  "5.5302722, 5.5294721, 5.5185238, 5.4871387, 5.4471430, 5.4246171, 5.4166543", \
+					  "5.5370347, 5.5303248, 5.5233694, 5.4862233, 5.4447886, 5.4226769, 5.4158994", \
+					  "5.5337276, 5.5325224, 5.5206391, 5.4876026, 5.4461744, 5.4209433, 5.4165215");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1426295, 10.1609565, 10.2435645, 10.7801975, 13.1827535, 17.4997665, 22.2722115", \
+					  "10.1592462, 10.1687522, 10.2434962, 10.8017842, 13.2668542, 17.6309302, 22.4212012", \
+					  "10.1511439, 10.1637639, 10.2342359, 10.7926309, 13.1678469, 17.5114819, 22.4320349", \
+					  "10.1540045, 10.1520845, 10.2425995, 10.7763305, 13.1960525, 17.4030155, 22.2697455", \
+					  "10.1474309, 10.1662209, 10.2274439, 10.7927549, 13.2454089, 17.4066189, 22.4718679", \
+					  "10.1346435, 10.1554745, 10.2339945, 10.7726505, 13.2693705, 17.4884385, 22.4270915", \
+					  "10.1458701, 10.1600891, 10.2336631, 10.8043941, 13.1931251, 17.5504131, 22.4370311");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6922554, 1.6919341, 1.6919991, 1.6919580, 1.6915176, 1.6931370, 1.6919238", \
+					  "4.2950600, 4.2950023, 4.2951177, 4.2957240, 4.2955487, 4.2959386, 4.2956103", \
+					  "4.8823632, 4.8819108, 4.8794866, 4.8782341, 4.8779132, 4.8744164, 4.8769682", \
+					  "4.9253715, 4.9249434, 4.9229494, 4.9184495, 4.9153396, 4.9138499, 4.9136664", \
+					  "4.9301026, 4.9269818, 4.9245089, 4.9216761, 4.9154754, 4.9129279, 4.9146310", \
+					  "4.9284134, 4.9283883, 4.9289434, 4.9204780, 4.9151524, 4.9153592, 4.9131544", \
+					  "4.9305239, 4.9301440, 4.9272418, 4.9225889, 4.9176063, 4.9159757, 4.9155932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.6703827, 9.7248270, 9.7295654, 9.7571475, 9.5833681, 5.4004715, 9.7609474", \
+					  "9.6969182, 9.7519627, 9.5855272, 9.3362076, 9.6598289, 8.8421913, 9.9880012", \
+					  "9.6822097, 9.6786657, 9.6540820, 9.9125239, 10.0013219, 9.0638554, 9.7011607", \
+					  "9.6364562, 9.7039135, 9.6886567, 9.6769074, 9.6723494, 2.4342827, 9.7480388", \
+					  "9.6910470, 9.6308799, 9.5666777, 9.9184849, 10.8495059, 10.5812639, 9.5069807", \
+					  "9.6398578, 9.7020979, 9.6466969, 9.7304355, 9.6700090, 15.9849605, 9.7412732", \
+					  "9.6347315, 9.6893805, 9.8112361, 9.4330395, 9.8500922, 8.5744138, 9.5519583");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("31.4998955, 31.5276065, 31.6781105, 31.9916275, 31.0841345, 26.2471445, 0.4082950", \
+					  "31.4579604, 31.4785104, 31.6214474, 31.9508264, 30.9899664, 26.3261904, 0.5206751", \
+					  "31.4065054, 31.4403254, 31.5753774, 31.8970014, 30.9477884, 26.0654874, 0.7476198", \
+					  "31.3683719, 31.4038049, 31.5430199, 31.8631009, 30.9442869, 26.2280479, 2.3145193", \
+					  "31.3398931, 31.3582601, 31.5052121, 31.8334881, 30.8967691, 26.6916411, 0.5172573", \
+					  "31.3056007, 31.3245057, 31.4634017, 31.8011837, 30.8384677, 25.9506397, 2.6479701", \
+					  "31.2651602, 31.2995492, 31.4528362, 31.7650342, 30.8256022, 26.1790182, 2.5714032");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4175876, 3.4195652, 3.4257525, 3.4481071, 3.4548426, 3.4508566, 3.4493296", \
+					  "6.1070584, 6.1201790, 6.1951559, 6.5353144, 7.0888013, 7.3210097, 7.3663224", \
+					  "8.0503972, 8.0663385, 8.1554313, 8.5327067, 9.1300822, 9.3750017, 9.4240476", \
+					  "8.6617115, 8.6798593, 8.7685939, 9.1497716, 9.7480804, 9.9922004, 10.0425424", \
+					  "8.6893417, 8.7050669, 8.8013597, 9.1776360, 9.7784382, 10.0265812, 10.0754332", \
+					  "8.7049527, 8.7220701, 8.8124128, 9.1843540, 9.7873191, 10.0339821, 10.0836561", \
+					  "8.7030388, 8.7184405, 8.8058229, 9.1877223, 9.7881010, 10.0354090, 10.0859720");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.0924815, 29.1348815, 29.2663875, 29.6011645, 28.6878675, 24.4620515, -1.1655677", \
+					  "29.0961702, 29.1445452, 29.2666142, 29.5958232, 28.6902432, 24.4560162, -1.1460147", \
+					  "29.0989089, 29.1239759, 29.2690709, 29.6013059, 28.6676759, 24.4725679, -1.2231210", \
+					  "29.0952775, 29.1210795, 29.2640865, 29.5996935, 28.6846025, 24.4509405, -1.2128578", \
+					  "29.0788689, 29.1204889, 29.2913969, 29.6087609, 28.6935279, 23.8778319, -1.7291964", \
+					  "29.0990165, 29.1263875, 29.2664105, 29.6029085, 28.6879915, 24.4626475, -1.2148560", \
+					  "29.1307981, 29.1658391, 29.2911381, 29.5950791, 28.6476041, 23.8158741, -1.4457305");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1450582, 2.1445130, 2.1442905, 2.1409133, 2.1368347, 2.1416061, 2.1390829", \
+					  "5.4715460, 5.4765160, 5.5281530, 5.7314080, 6.0370012, 6.1536974, 6.1774063", \
+					  "7.1505384, 7.1663595, 7.2552532, 7.6298338, 8.2268129, 8.4727941, 8.5219344", \
+					  "7.2756078, 7.2866224, 7.3732294, 7.7581923, 8.3594830, 8.6075979, 8.6520189", \
+					  "7.2723422, 7.2914780, 7.3813210, 7.7571732, 8.3590225, 8.6076508, 8.6581382", \
+					  "7.2699701, 7.2869470, 7.3785655, 7.7620313, 8.3621546, 8.6104360, 8.6607083", \
+					  "7.2810777, 7.2967856, 7.3866460, 7.7645677, 8.3653397, 8.6135578, 8.6646940");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("31.7016685, 31.7127495, 31.8725695, 32.1839185, 31.2319085, 27.0375995, 1.2668481", \
+					  "31.6502374, 31.6745024, 31.8008354, 32.1386104, 31.1593484, 26.8610634, 2.2410732", \
+					  "31.6000064, 31.6371614, 31.7620434, 32.0942084, 31.1767374, 26.3124754, 0.6642415", \
+					  "31.5655649, 31.5973029, 31.7208779, 32.0637459, 31.1385069, 26.9066079, 1.6622453", \
+					  "31.5370931, 31.5523481, 31.7016281, 32.0262611, 31.0460311, 26.8796281, 2.1648232", \
+					  "31.5007227, 31.4978257, 31.6611117, 31.9908057, 31.0498847, 26.1710937, 2.5422741", \
+					  "31.4661212, 31.4959062, 31.6175762, 31.9559202, 31.0306842, 26.3141862, 1.8753966");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5611852, 14.5699162, 14.7047582, 14.8418462, 15.2326812, 15.8187332, 15.6519132", \
+					  "14.4074024, 14.4166774, 14.5794384, 14.9188644, 15.1641784, 16.7711104, 15.8848854", \
+					  "14.3602045, 14.3574485, 14.4112075, 14.6878735, 15.0128405, 12.1786755, 15.3620995", \
+					  "14.4605574, 14.2411164, 14.4918294, 14.5467174, 15.4233324, 14.8321764, 15.3486164", \
+					  "14.3318532, 14.3171412, 14.4497032, 14.7907572, 15.2211762, 15.6689332, 15.7331632", \
+					  "14.4754641, 14.2935611, 14.5208551, 14.9769071, 15.3295551, 13.7007001, 15.8004461", \
+					  "14.3943800, 14.4113300, 14.4758850, 14.7944560, 15.2501610, 16.0896610, 15.5427410");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2219145, 26.1842005, 26.3220135, 26.7816805, 27.9719455, 26.0830065, -0.2753483", \
+					  "26.1474084, 26.1313914, 26.2798164, 26.7490784, 27.9981364, 25.9352774, -0.1200513", \
+					  "26.1008204, 26.1286494, 26.2120734, 26.7240874, 27.8544574, 26.2908614, -0.0259730", \
+					  "26.0629749, 26.0983549, 26.1998079, 26.6705859, 27.9081799, 25.9470399, -0.1562711", \
+					  "26.0515561, 26.0845521, 26.1492471, 26.6263531, 27.8477871, 26.0938691, -0.8216871", \
+					  "26.0038447, 26.0201357, 26.1202047, 26.5765467, 27.8032717, 25.8479887, -0.4632611", \
+					  "25.9722582, 25.9952782, 26.0922732, 26.5600972, 27.8046852, 25.9206402, 0.0349904");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9799872, 15.0000362, 15.1710312, 16.0304462, 18.9416072, 23.5740952, 28.1686152", \
+					  "14.9105774, 14.9331654, 15.0974884, 15.9623474, 18.8361514, 23.3388464, 28.1961494", \
+					  "14.8394205, 14.8559735, 14.9986955, 15.8948835, 18.7424145, 23.1234985, 28.0427565", \
+					  "14.8554774, 14.8534374, 14.9917744, 15.9006204, 18.7744724, 23.2703764, 27.9903164", \
+					  "14.8202072, 14.8384862, 15.0166542, 15.8884552, 18.7876882, 23.1769242, 28.0980622", \
+					  "14.8183101, 14.8390971, 15.0034501, 15.8622091, 18.7642141, 23.2741471, 28.2272541", \
+					  "14.8267880, 14.8517870, 15.0001930, 15.8483510, 18.7449310, 23.3127550, 28.0871740");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.1823505, 25.2768525, 25.3697045, 25.4773665, 25.3841955, 23.6616085, -46.8072665", \
+					  "25.1911074, 25.3276424, 25.2496624, 25.0592304, 25.2077914, 19.2907524, -47.1944436", \
+					  "25.1800384, 25.1798624, 25.1992844, 25.2447914, 25.3229114, 19.8843784, -47.2016946", \
+					  "25.1334009, 25.1629289, 25.1513679, 25.2329169, 25.3034209, 22.2318749, -47.3276781", \
+					  "25.0187761, 25.1331531, 25.1555001, 25.1743581, 25.5751991, 18.8695681, -44.1989249", \
+					  "25.1638037, 25.0743077, 25.2526597, 25.3012037, 25.2182977, 19.9280057, -44.4808283", \
+					  "25.1581702, 25.1204452, 25.2182402, 25.2026932, 24.6820372, 37.7038252, -46.7440538");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.0817832, 12.0979172, 12.1895552, 12.7092372, 15.1723212, 19.4775372, 24.4256782", \
+					  "12.0010374, 12.0279934, 12.1111594, 12.6423994, 15.0852854, 19.4186694, 24.2723124", \
+					  "11.9404515, 11.9533505, 12.0271715, 12.6108715, 14.9079925, 19.2148195, 24.3188235", \
+					  "11.9457134, 11.9589904, 12.0391764, 12.5554054, 15.0045124, 19.1680674, 24.1932564", \
+					  "11.9210192, 11.9324792, 12.0166632, 12.5949582, 14.9963022, 19.2943162, 24.1377002", \
+					  "11.9447751, 11.9426831, 12.0330361, 12.5615611, 14.8989551, 19.2667101, 24.0408161", \
+					  "11.9270350, 11.9345790, 12.0284280, 12.5699470, 14.9725900, 19.2692450, 24.1195840");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.0725973, 14.1092730, 14.2995151, 15.2542600, 17.7568916, 21.4936958, 23.4833607", \
+					  "16.9648699, 17.0004619, 17.1664797, 18.1626115, 21.0473690, 25.0767237, 26.8106942", \
+					  "18.0599166, 18.0870733, 18.3257526, 19.5002438, 22.4801253, 26.5112552, 28.2847084", \
+					  "18.1178039, 18.1665481, 18.4291169, 19.6231152, 22.5296679, 26.1595395, 28.3687237", \
+					  "18.1288197, 18.1613690, 18.3802490, 19.6083519, 22.7161775, 26.2876410, 28.3482125", \
+					  "18.1306037, 18.1813704, 18.4091241, 19.6436106, 22.4787766, 27.4870036, 28.4278624", \
+					  "18.1316362, 18.1733351, 18.4340552, 19.5993383, 22.5417857, 27.1322290, 28.4570921");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.7775497, 25.7848332, 25.8733636, 25.9421016, 25.5708094, 22.3591541, -22.8837105", \
+					  "28.4420401, 28.4437725, 28.5208717, 28.5980562, 28.2027652, 25.0160335, -18.9767528", \
+					  "29.2135692, 29.2010892, 29.2872047, 29.3754863, 28.9935932, 25.5900451, -18.7493482", \
+					  "29.3269311, 29.3431200, 29.4174286, 29.4698459, 29.0343169, 25.7577440, -18.8205743", \
+					  "29.3891834, 29.3580421, 29.4562456, 29.4933508, 29.0713672, 25.3727161, -17.1189745", \
+					  "29.3647138, 29.3730920, 29.4395277, 29.4949635, 29.0516296, 25.8697630, -18.1083017", \
+					  "29.3937650, 29.3824069, 29.4657990, 29.4979604, 29.1591887, 25.1034620, -18.2430121");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("46.2619403, 46.2966771, 46.4151993, 46.8059531, 46.4633464, 39.9737623, -31.1902087", \
+					  "46.6303614, 46.6589396, 46.7815796, 47.1554932, 47.1924626, 41.7333361, -30.6202193", \
+					  "47.2228018, 47.2453922, 47.3963662, 47.7401281, 47.5098347, 39.8080396, -30.0727511", \
+					  "47.2992499, 47.3104686, 47.4420365, 47.6569243, 47.7371689, 40.2308513, -29.3536456", \
+					  "47.2636875, 47.2440372, 47.4240998, 47.6531075, 47.5354787, 42.4208716, -29.3930162", \
+					  "47.2146495, 47.1849630, 47.3359502, 47.6056975, 46.9517859, 41.4556689, -29.2842410", \
+					  "47.1128287, 47.1380567, 47.3412526, 47.5411026, 47.6295671, 45.6035212, -30.0602413");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.0711498, 26.0933055, 26.4616915, 28.3433056, 32.9788067, 39.2824763, 42.3905200", \
+					  "26.7834101, 26.8519048, 27.2268443, 29.1916152, 33.9162782, 41.1852289, 43.7361821", \
+					  "27.3135119, 27.3277497, 27.7322016, 29.6751178, 34.5121974, 41.1632281, 44.0999072", \
+					  "27.5580186, 27.5663998, 27.9827372, 29.8594706, 34.6536751, 41.8312859, 44.3962968", \
+					  "27.5042119, 27.5453494, 27.9599243, 29.9154375, 34.8143196, 43.8348201, 44.4385601", \
+					  "27.5696890, 27.5876832, 28.0032672, 30.0112970, 34.7444054, 41.4673567, 44.5718844", \
+					  "27.5125576, 27.5697928, 27.9560053, 29.8353991, 34.6658315, 42.0989453, 44.4183405");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2796685, 2.2800877, 2.2810474, 2.2819358, 2.2819359, 2.2819361, 2.4067086", \
+					  "2.3767419, 2.3770654, 2.3770656, 2.3777524, 2.3777525, 2.3777527, 2.4548681", \
+					  "2.4327997, 2.4328000, 2.4328002, 2.4328005, 2.4328007, 2.4328009, 2.5279547", \
+					  "2.4716511, 2.4719988, 2.4719989, 2.4719991, 2.4719993, 2.4719996, 2.5866769", \
+					  "2.4948386, 2.4948389, 2.4948391, 2.4958888, 2.4958889, 2.4958891, 2.6081155", \
+					  "2.5233098, 2.5234097, 2.5234098, 2.5234100, 2.5234103, 2.5234105, 2.6024076", \
+					  "2.5335796, 2.5362767, 2.5362770, 2.5362772, 2.5362775, 2.5362777, 2.6210176");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3217283, 9.5178274, 10.7219630, 18.1531680, 63.6393200, 342.7242100, 2060.7160000", \
+					  "9.4216333, 9.6202770, 10.8289570, 18.2648010, 63.7880430, 342.6655200, 2060.1728000", \
+					  "9.4790886, 9.6741939, 10.8838040, 18.3190440, 63.8625930, 343.0025700, 2060.4195000", \
+					  "9.5180415, 9.7174597, 10.9265890, 18.3600740, 63.9355020, 342.6853800, 2060.3813000", \
+					  "9.5494297, 9.7428741, 10.9525810, 18.3871580, 63.9520930, 342.9747700, 2060.9464000", \
+					  "9.5695638, 9.7691188, 10.9749170, 18.4063360, 63.9581260, 342.7225300, 2060.4275000", \
+					  "9.5914841, 9.7843884, 10.9962310, 18.4324200, 64.0156090, 342.7695100, 2060.1944000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5016640, 13.8873210, 16.2582940, 30.7765970, 120.9411000, 677.8128100, 4111.6755000", \
+					  "13.4890740, 13.8913090, 16.2364170, 30.8116700, 121.1678600, 678.2326500, 4113.6350000", \
+					  "13.5060330, 13.8651710, 16.2363740, 30.8515170, 121.2412700, 678.4423500, 4112.2544000", \
+					  "13.4903880, 13.8913100, 16.2166030, 30.8188960, 121.3944100, 678.4451900, 4112.4690000", \
+					  "13.4999720, 13.8643290, 16.2505810, 30.8416010, 121.3044500, 678.5180600, 4111.5891000", \
+					  "13.4827860, 13.8763760, 16.2552640, 30.8013630, 121.1592300, 677.8324700, 4112.5086000", \
+					  "13.5069100, 13.8855370, 16.2541430, 30.8104900, 121.4484100, 677.4453700, 4113.0174000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.2702170, 3.2834611, 3.3660115, 3.7332186, 5.2291695, 9.6839221, 21.8233570", \
+					  "3.4182557, 3.4318737, 3.5152747, 3.8825680, 5.3781646, 9.8332631, 21.9725890", \
+					  "3.5387855, 3.5517174, 3.6349452, 4.0021311, 5.4977423, 9.9529327, 22.0923350", \
+					  "3.6381134, 3.6510059, 3.7353973, 4.1028443, 5.5985843, 10.0554440, 22.1925130", \
+					  "3.7230405, 3.7356149, 3.8200607, 4.1873478, 5.6829323, 10.1380260, 22.2773830", \
+					  "3.8039381, 3.8180161, 3.9009715, 4.2682786, 5.7639542, 10.2197050, 22.3586460", \
+					  "3.8802979, 3.8942027, 3.9773678, 4.3447326, 5.8404099, 10.2962940, 22.4349320");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.8962357, 0.9044015, 0.9322416, 1.0647666, 2.5415716, 5.2769527, 17.8759600", \
+					  "0.8985418, 0.9044070, 0.9312260, 1.0646843, 2.5378866, 5.2774634, 17.8762320", \
+					  "0.8985729, 0.9043165, 0.9309957, 1.0647536, 2.5379279, 5.2774549, 17.8765180", \
+					  "0.8981331, 0.9044284, 0.9312583, 1.0653148, 2.5392719, 5.2705991, 17.8807120", \
+					  "0.8985294, 0.9045013, 0.9312120, 1.0646639, 2.5378841, 5.2773732, 17.8760210", \
+					  "0.8984612, 0.9043284, 0.9313060, 1.0651939, 2.5436671, 5.2748574, 17.8687730", \
+					  "0.8983673, 0.9041035, 0.9314064, 1.0650993, 2.5435314, 5.2738689, 17.8761310");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7864210, 3.7864212, 3.7907752, 3.7907755, 3.7907757, 3.7907759, 3.7907762", \
+					  "3.8970258, 3.8970260, 3.8976520, 3.8976521, 3.8976523, 3.8976526, 3.8976528", \
+					  "3.9511425, 3.9511427, 3.9511430, 3.9511432, 3.9511434, 3.9511437, 3.9511439", \
+					  "4.0011385, 4.0018562, 4.0018566, 4.0018571, 4.0018576, 4.0018580, 4.0018585", \
+					  "4.0153800, 4.0153802, 4.0153807, 4.0153811, 4.0153816, 4.0153821, 4.0153826", \
+					  "4.0461106, 4.0461109, 4.0553680, 4.0553682, 4.0553687, 4.0553692, 4.0553697", \
+					  "4.0560142, 4.0560143, 4.0560148, 4.0560153, 4.0560158, 4.0560163, 4.0560167");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4593339, 3.4702674, 3.4702675, 3.4702677, 3.4702679, 3.4702682, 4264.0204000", \
+					  "3.5655592, 3.5655593, 3.5655596, 3.5655598, 3.5655600, 3.5655603, 3.5655605", \
+					  "3.6209586, 3.6269587, 3.6269588, 3.6269590, 3.6269593, 3.6269595, 3.6269597", \
+					  "3.6808243, 3.6829388, 3.6829390, 3.6829392, 3.6829395, 3.6829397, 3.6829399", \
+					  "3.6920853, 3.6988215, 3.6988217, 3.6988220, 3.6988222, 3.6988224, 3.6988227", \
+					  "3.7294434, 3.7294435, 3.7294437, 3.7294440, 3.7294442, 3.7294444, 3.7294447", \
+					  "3.7843164, 3.7905341, 3.7905342, 3.7905344, 3.7905347, 3.7905349, 3.7905351");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0206133, 3.0479350, 3.2158598, 4.2342898, 10.4233670, 40.6463450, 86.5244800", \
+					  "3.1215110, 3.1490504, 3.3177270, 4.3355359, 10.5244130, 40.8082710, 86.6256260", \
+					  "3.1796250, 3.2069636, 3.3751399, 4.3935948, 10.5820900, 40.8282190, 86.6858660", \
+					  "3.2186434, 3.2461867, 3.4143717, 4.4328270, 10.6210920, 40.8942390, 86.7207820", \
+					  "3.2477072, 3.2750997, 3.4429970, 4.4617909, 10.6495120, 40.9204440, 86.7488480", \
+					  "3.2704026, 3.2978307, 3.4662462, 4.4848631, 10.6744560, 40.9114480, 86.7778030", \
+					  "3.2900824, 3.3174841, 3.4846566, 4.5032618, 10.6900180, 40.9769430, 86.8298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8250127, 1.8752349, 2.1877649, 4.1506105, 16.3419070, 38.1397560, 62.4822720", \
+					  "1.8248514, 1.8763036, 2.1869964, 4.1502011, 16.3422310, 38.2901960, 62.4411600", \
+					  "1.8252434, 1.8762878, 2.1869961, 4.1474841, 16.3479430, 38.2064760, 62.4392910", \
+					  "1.8250240, 1.8764925, 2.1878135, 4.1547192, 16.3438060, 38.1168870, 62.4642450", \
+					  "1.8250915, 1.8764239, 2.1877458, 4.1564645, 16.3474900, 38.2564450, 62.4666400", \
+					  "1.8251657, 1.8765152, 2.1874378, 4.1572070, 16.3462150, 38.1034790, 62.4931500", \
+					  "1.8251440, 1.8766357, 2.1873907, 4.1578399, 16.3481800, 38.3065560, 62.5107570");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.7371151, 9.9363078, 11.1577750, 18.6521610, 64.0700860, 342.4671600, 2060.1419000", \
+					  "9.8872235, 10.0871570, 11.2947870, 18.8008630, 64.2204160, 342.6847600, 2059.2388000", \
+					  "10.0057360, 10.2086530, 11.4450290, 18.9202220, 64.3385930, 342.7161100, 2060.4173000", \
+					  "10.1030690, 10.3054810, 11.5353140, 19.0190010, 64.4442220, 343.3318900, 2060.7440000", \
+					  "10.1915590, 10.3914640, 11.5992750, 19.1045670, 64.5237110, 342.9221600, 2060.6069000", \
+					  "10.2545310, 10.4637530, 11.7005140, 19.1863520, 64.6043930, 343.3133600, 2059.7140000", \
+					  "10.3434020, 10.5487840, 11.7880790, 19.2635470, 64.6807480, 343.5709500, 2060.3070000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2216560, 13.6027510, 15.9675210, 30.5526480, 121.0161100, 677.3430500, 4112.8233000", \
+					  "13.2214360, 13.6036190, 15.9641350, 30.5552810, 121.0116000, 676.7766100, 4109.2985000", \
+					  "13.2216390, 13.6042550, 15.9648150, 30.5532030, 121.0147200, 677.2546000, 4108.7101000", \
+					  "13.2059750, 13.6001510, 15.9735340, 30.5539490, 120.8830300, 677.6908200, 4110.3376000", \
+					  "13.2213520, 13.6035560, 15.9640640, 30.5525670, 121.0158600, 677.3437900, 4108.7687000", \
+					  "13.1989730, 13.6029130, 15.9673750, 30.5566030, 121.0156600, 677.6749600, 4113.8592000", \
+					  "13.1936920, 13.6002590, 15.9650980, 30.5516570, 121.0174900, 677.6774100, 4113.4590000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7879109, 3.7879111, 3.7879113, 3.7879116, 3.7879118, 3.7879121, 3.7879123", \
+					  "3.8987529, 3.8994901, 3.8994903, 3.8994905, 3.8994908, 3.8994910, 3.8994912", \
+					  "3.9587351, 3.9605457, 3.9605459, 3.9605462, 3.9605464, 3.9605467, 3.9605469", \
+					  "3.9999559, 3.9999561, 3.9999563, 3.9999565, 3.9999568, 3.9999570, 3.9999573", \
+					  "4.0057793, 4.0057796, 4.0057800, 4.0057805, 4.0057810, 4.0057815, 4.0057819", \
+					  "4.0456580, 4.0551917, 4.0551918, 4.0551923, 4.0551928, 4.0551932, 4.0551937", \
+					  "4.0534287, 4.0547228, 4.0547231, 4.0547236, 4.0547240, 4.0547245, 4.0547250");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2779447, 2.2779450, 2.2779452, 2.2779454, 2.2779457, 2.2779459, 2.3941995", \
+					  "2.3744395, 2.3746223, 2.3746225, 2.3746228, 2.3746230, 2.3746232, 2.4560975", \
+					  "2.4302058, 2.4303042, 2.4303045, 2.4311653, 2.4311654, 2.4311656, 2.5100244", \
+					  "2.4685087, 2.4685089, 2.4685091, 2.4685094, 2.4685096, 2.4685098, 2.5474871", \
+					  "2.4911400, 2.4912956, 2.4912957, 2.4957609, 2.4957611, 2.4957613, 2.5691032", \
+					  "2.5211853, 2.5211856, 2.5211858, 2.5211860, 2.5211863, 2.5211865, 2.6353000", \
+					  "2.5370677, 2.5371369, 2.5371370, 2.5371372, 2.5371374, 2.5371377, 2.6263371");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3533318, 3.3817289, 3.5589269, 4.6412487, 10.8188340, 34.9515550, 102.0864900", \
+					  "3.5029728, 3.5303847, 3.7098213, 4.7902953, 10.9691780, 35.1055010, 102.2597300", \
+					  "3.6230297, 3.6516379, 3.8274042, 4.9097535, 11.0888730, 35.2208110, 102.3527600", \
+					  "3.7232046, 3.7512762, 3.9306527, 5.0109232, 11.1885930, 35.3216980, 102.4686800", \
+					  "3.8073527, 3.8357619, 4.0151287, 5.0946993, 11.2747480, 35.4060350, 102.5740300", \
+					  "3.8887249, 3.9172030, 4.0948076, 5.1752744, 11.3537770, 35.4885330, 102.5932500", \
+					  "3.9654884, 3.9939931, 4.1724348, 5.2532876, 11.4316140, 35.5683270, 102.7349700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8841428, 1.9364073, 2.2655138, 4.3063455, 11.7833210, 41.1444460, 78.8594580", \
+					  "1.8823565, 1.9348800, 2.2620930, 4.3067594, 11.7824590, 41.1588310, 78.8176710", \
+					  "1.8841730, 1.9362607, 2.2654842, 4.3062731, 11.7833720, 41.1520450, 78.8576240", \
+					  "1.8812383, 1.9355884, 2.2621154, 4.3062025, 11.7836520, 41.1526630, 78.8026280", \
+					  "1.8841881, 1.9354685, 2.2615883, 4.3067754, 11.7827440, 41.1520630, 78.8001130", \
+					  "1.8824076, 1.9372381, 2.2649091, 4.3061030, 11.7821060, 41.1537260, 78.8211380", \
+					  "1.8797608, 1.9369606, 2.2636535, 4.3080894, 11.7815180, 41.1507560, 78.8396120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2777107, 2.2780500, 2.2780501, 2.2780504, 2.2780506, 2.2780508, 2.3990994", \
+					  "2.3749973, 2.3752311, 2.3752312, 2.3752314, 2.3752317, 2.3752319, 2.4699232", \
+					  "2.4307818, 2.4308093, 2.4308094, 2.4317022, 2.4317023, 2.4317025, 2.5202760", \
+					  "2.4702376, 2.4702377, 2.4702379, 2.4702381, 2.4702384, 2.4702386, 2.5368319", \
+					  "2.4916116, 2.4917630, 2.4959212, 2.4959213, 2.4959215, 2.4959218, 2.5742577", \
+					  "2.5218525, 2.5218527, 2.5218529, 2.5218531, 2.5218534, 2.5218536, 2.6297644", \
+					  "2.5373934, 2.5376101, 2.5376102, 2.5376105, 2.5376107, 2.5376109, 2.6277309");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.2383847, 3.2530465, 3.3364107, 3.7090322, 5.2158948, 13.2986480, 46.4331080", \
+					  "3.3866268, 3.4013241, 3.4854843, 3.8578980, 5.3650191, 13.4478660, 46.5864640", \
+					  "3.5070071, 3.5229997, 3.6048660, 3.9786991, 5.4864726, 13.5685250, 46.7026880", \
+					  "3.6079786, 3.6220393, 3.7062473, 4.0787951, 5.5862981, 13.6684920, 46.8028530", \
+					  "3.6915015, 3.7073513, 3.7908854, 4.1628212, 5.6713749, 13.7527700, 46.8863490", \
+					  "3.7730419, 3.7882772, 3.8709818, 4.2445660, 5.7510041, 13.8337600, 46.9667290", \
+					  "3.8494292, 3.8646477, 3.9475894, 4.3206532, 5.8276379, 13.9096590, 47.0325080");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9041716, 0.9142986, 0.9413114, 1.0781620, 2.6513145, 12.9220950, 40.3767720", \
+					  "0.9040164, 0.9119375, 0.9398344, 1.0776231, 2.6513631, 12.9160060, 40.3707960", \
+					  "0.9041698, 0.9142834, 0.9410779, 1.0781067, 2.6512605, 12.9220890, 40.3731450", \
+					  "0.9041008, 0.9142956, 0.9413453, 1.0780389, 2.6512295, 12.9220980, 40.3731550", \
+					  "0.9051713, 0.9142944, 0.9382653, 1.0777387, 2.6500597, 12.9157430, 40.3541020", \
+					  "0.9023267, 0.9069886, 0.9395037, 1.0796674, 2.6506224, 12.9214810, 40.3671230", \
+					  "0.9072910, 0.9149420, 0.9400411, 1.0785534, 2.6517553, 12.9226380, 40.3566500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948262, 9.2879073, 10.4737750, 17.8063910, 62.9902390, 341.6121800, 2059.0815000", \
+					  "9.2430827, 9.4362225, 10.6216840, 17.9575870, 63.1381100, 341.7581000, 2059.2061000", \
+					  "9.3653192, 9.5583772, 10.7426060, 18.0734430, 63.2143440, 341.7677000, 2059.3679000", \
+					  "9.4647712, 9.6578532, 10.8418470, 18.1753390, 63.3634660, 341.9799300, 2059.4662000", \
+					  "9.5479331, 9.7424087, 10.9253380, 18.2589300, 63.4633320, 342.0690000, 2059.4525000", \
+					  "9.6284847, 9.8241593, 11.0039140, 18.3424170, 63.5481780, 342.1418200, 2059.5876000", \
+					  "9.7026414, 9.8962349, 11.0823600, 18.4097680, 63.6593780, 342.1604600, 2059.7031000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4997170, 13.8879950, 16.2568040, 30.8206790, 121.2799400, 678.3507700, 4112.8319000", \
+					  "13.4996290, 13.8878950, 16.2559410, 30.8126500, 121.2845900, 678.3396300, 4112.8010000", \
+					  "13.5068580, 13.8892600, 16.2558710, 30.8428090, 121.4784900, 678.1707500, 4112.7655000", \
+					  "13.4998370, 13.8875300, 16.2560600, 30.8194110, 121.2577300, 678.3501500, 4112.7305000", \
+					  "13.5108270, 13.8894500, 16.2548800, 30.8125290, 121.1884600, 678.3733400, 4112.8205000", \
+					  "13.4999000, 13.8879920, 16.2563170, 30.8196880, 121.1266000, 678.3442400, 4112.7946000", \
+					  "13.4913170, 13.8899830, 16.2582270, 30.8201960, 121.4321200, 678.3141700, 4112.8010000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5941852, 3.6085452, 3.6918673, 4.0602912, 5.5564251, 10.0140480, 22.1525680", \
+					  "3.7420471, 3.7573398, 3.8413392, 4.2086366, 5.7047673, 10.1637300, 22.3007940", \
+					  "3.8639874, 3.8795065, 3.9628878, 4.3307455, 5.8271663, 10.2843350, 22.4230550", \
+					  "3.9651975, 3.9784465, 4.0612620, 4.4302548, 5.9240581, 10.3821980, 22.5228350", \
+					  "4.0475490, 4.0632973, 4.1454622, 4.5133075, 6.0071486, 10.4667900, 22.6050010", \
+					  "4.1303805, 4.1460924, 4.2275721, 4.5943227, 6.0894028, 10.5492890, 22.6887270", \
+					  "4.2071946, 4.2202100, 4.2999586, 4.6680486, 6.1642984, 10.6224980, 22.7639310");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9054315, 0.9098464, 0.9383339, 1.0687115, 2.5445017, 5.2720037, 17.8818830", \
+					  "0.9039742, 0.9096805, 0.9382312, 1.0689227, 2.5443037, 5.2720805, 17.8797920", \
+					  "0.9040085, 0.9098237, 0.9367942, 1.0684179, 2.5443174, 5.2745679, 17.8801700", \
+					  "0.9041262, 0.9103305, 0.9367931, 1.0686654, 2.5386448, 5.2780500, 17.8803120", \
+					  "0.9040995, 0.9108152, 0.9367846, 1.0687637, 2.5443008, 5.2768208, 17.8573940", \
+					  "0.9041660, 0.9113021, 0.9382817, 1.0688126, 2.5386488, 5.2741393, 17.8802150", \
+					  "0.9039572, 0.9098418, 0.9368153, 1.0688689, 2.5438547, 5.2733760, 17.8756960");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0333039, 9.2261088, 10.4146010, 17.7481270, 62.9959520, 341.5365800, 2059.1649000", \
+					  "9.1347863, 9.3256061, 10.5134910, 17.8476490, 62.9776270, 341.6213000, 2059.7463000", \
+					  "9.1924592, 9.3829406, 10.5696020, 17.9049970, 63.0499600, 341.8162200, 2059.2395000", \
+					  "9.2301180, 9.4231094, 10.6077020, 17.9396870, 63.1924240, 341.8653300, 2060.0619000", \
+					  "9.2606189, 9.4537986, 10.6418770, 17.9702990, 63.2215350, 341.6201900, 2059.1665000", \
+					  "9.2837507, 9.4771675, 10.6654230, 17.9965290, 63.1990100, 341.7670500, 2059.1715000", \
+					  "9.3002068, 9.4930428, 10.6786010, 18.0164880, 63.2635920, 341.7038100, 2059.2915000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5005130, 13.8906680, 16.2540330, 30.8075590, 121.4231800, 678.6159500, 4112.2962000", \
+					  "13.5073990, 13.8617780, 16.2421540, 30.8348370, 120.9096600, 678.6851800, 4117.6722000", \
+					  "13.5071290, 13.8858200, 16.2563580, 30.8152120, 120.9458800, 678.5743900, 4112.8146000", \
+					  "13.5046630, 13.8674150, 16.2587420, 30.8491260, 121.3752100, 678.1493800, 4112.1157000", \
+					  "13.5081020, 13.8911820, 16.2542000, 30.8332380, 121.3774800, 678.1520500, 4112.4972000", \
+					  "13.5040550, 13.8871260, 16.2486100, 30.8140360, 121.3422300, 678.4488800, 4112.3867000", \
+					  "13.4867880, 13.8589920, 16.2583690, 30.8473920, 121.4357200, 677.5186400, 4112.0184000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3297317, 9.5280308, 10.7184680, 18.0644650, 63.2070110, 341.5864600, 2059.2170000", \
+					  "9.4601296, 9.6641921, 10.8663720, 18.1929990, 63.3463420, 341.9235100, 2059.9687000", \
+					  "9.5933519, 9.7881090, 10.9872850, 18.3065260, 63.5039830, 342.0854000, 2058.5394000", \
+					  "9.6968904, 9.8946007, 11.0853930, 18.4287820, 63.5780850, 342.2561600, 2058.6372000", \
+					  "9.7652739, 9.9691517, 11.1578830, 18.4980830, 63.6517470, 342.4928000, 2060.3795000", \
+					  "9.8593533, 10.0541160, 11.2533460, 18.5725640, 63.7697040, 342.3885200, 2059.4468000", \
+					  "9.9268931, 10.1287570, 11.3115360, 18.6575220, 63.8153140, 342.7441600, 2060.0398000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2053630, 13.5950890, 15.9520270, 30.5449000, 121.0153600, 677.2502800, 4110.5500000", \
+					  "13.2087140, 13.6063620, 15.9496220, 30.5249050, 120.5883100, 677.4448600, 4107.3208000", \
+					  "13.2212860, 13.6012460, 15.9519310, 30.5250580, 121.0313600, 677.6359100, 4109.1953000", \
+					  "13.2107290, 13.5937900, 15.9498410, 30.5602050, 120.9846200, 677.9250700, 4109.1462000", \
+					  "13.2094750, 13.6063210, 15.9636270, 30.5251540, 120.5926200, 677.6668300, 4109.4813000", \
+					  "13.2208450, 13.6021070, 15.9519400, 30.5308490, 121.0277200, 677.7710300, 4111.0447000", \
+					  "13.2172730, 13.6047460, 15.9597100, 30.5300960, 120.6386900, 677.4564000, 4109.8447000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3194537, 2.3200915, 2.3200917, 2.3200919, 2.3200921, 2.3200924, 2.3869120", \
+					  "2.4079740, 2.4079742, 2.4081921, 2.4081923, 2.4081926, 2.4081928, 2.4852345", \
+					  "2.4767461, 2.4767463, 2.4767465, 2.4767467, 2.4767470, 2.4767472, 2.5375042", \
+					  "2.5029470, 2.5031395, 2.5031397, 2.5031399, 2.5031401, 2.5031404, 2.5713476", \
+					  "2.5354059, 2.5407894, 2.5407895, 2.5407898, 2.5407900, 2.5407903, 2.6053248", \
+					  "2.5494495, 2.5494496, 2.5494499, 2.5494501, 2.5494503, 2.5494506, 2.6504658", \
+					  "2.5782820, 2.5782823, 2.5782825, 2.5782828, 2.5782830, 2.5782832, 2.6698397");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4666106, 3.4684431, 3.4684433, 3.4684436, 3.4684438, 3.4684440, 7147.7630000", \
+					  "3.5658594, 3.5658595, 3.5658597, 3.5658600, 3.5658602, 3.5658604, 3.5658607", \
+					  "3.6249883, 3.6268113, 3.6268114, 3.6268117, 3.6268119, 3.6268122, 3.6268124", \
+					  "3.6805514, 3.6826757, 3.6826758, 3.6826760, 3.6826762, 3.6826765, 3.6826767", \
+					  "3.6933463, 3.7001611, 3.7001614, 3.7001616, 3.7001619, 3.7001621, 3.7001623", \
+					  "3.7287347, 3.7287349, 3.7287351, 3.7287354, 3.7287356, 3.7287359, 3.7287361", \
+					  "3.7799928, 3.7849270, 3.7849271, 3.7849273, 3.7849275, 3.7849278, 3.7849280");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1134026, 3.1405072, 3.3085814, 4.3274193, 10.5149480, 40.8216000, 86.6820290", \
+					  "3.2615761, 3.2887366, 3.4566969, 4.4753290, 10.6641900, 40.9800980, 86.8309800", \
+					  "3.3841807, 3.4112868, 3.5790462, 4.5974637, 10.7866970, 41.0887110, 86.9482410", \
+					  "3.4833565, 3.5106962, 3.6760053, 4.6970619, 10.8835450, 41.1870220, 87.0480790", \
+					  "3.5678975, 3.5952727, 3.7607926, 4.7814166, 10.9685660, 41.2936600, 87.1382540", \
+					  "3.6454992, 3.6748724, 3.8402308, 4.8619735, 11.0489010, 41.3522340, 87.2162500", \
+					  "3.7244368, 3.7518855, 3.9199870, 4.9387991, 11.1255930, 41.4040390, 87.2943460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8291890, 1.8741322, 2.1916291, 4.1452108, 16.3457270, 38.3303100, 62.6392520", \
+					  "1.8291850, 1.8741702, 2.1916546, 4.1443928, 16.3099680, 38.3119920, 62.6253820", \
+					  "1.8291806, 1.8741675, 2.1916826, 4.1452135, 16.3310800, 38.3293010, 62.4613680", \
+					  "1.8291886, 1.8741129, 2.1916773, 4.1451953, 16.3461190, 38.3300180, 62.6434510", \
+					  "1.8286614, 1.8740454, 2.1956195, 4.1444808, 16.3273630, 38.2343860, 62.6283740", \
+					  "1.8291877, 1.8741855, 2.1916358, 4.1452055, 16.3460910, 38.3294570, 62.6414900", \
+					  "1.8219385, 1.8742254, 2.1900615, 4.1445087, 16.3401150, 38.1645150, 62.4856540");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.7718108, 2.7718109, 2.7718112, 2.7718114, 2.7718117, 2.7718119, 2.8221911", \
+					  "2.8653002, 2.8741842, 2.8741843, 2.8741845, 2.8741848, 2.8741850, 2.9265297", \
+					  "2.9332163, 2.9332165, 2.9332167, 2.9332170, 2.9332172, 2.9332175, 2.9608991", \
+					  "2.9712002, 2.9712004, 2.9721683, 2.9721684, 2.9721686, 2.9721689, 3.0055631", \
+					  "2.9925002, 2.9925005, 2.9925007, 2.9925010, 2.9925012, 2.9925014, 3.0438536", \
+					  "3.0129410, 3.0129411, 3.0138201, 3.0138203, 3.0138206, 3.0138208, 3.0638090", \
+					  "3.0415530, 3.0421883, 3.0436662, 3.0436663, 3.0436665, 3.0436668, 3.0739136");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0338208, 9.2261416, 10.4117250, 17.7402980, 62.9965980, 341.8497300, 2059.3445000", \
+					  "9.1344612, 9.3249435, 10.5132250, 17.8431760, 62.9991700, 341.8576900, 2059.7986000", \
+					  "9.1920880, 9.3852357, 10.5735340, 17.9057890, 63.1596540, 341.7123900, 2059.2802000", \
+					  "9.2298169, 9.4222683, 10.6074890, 17.9393460, 63.1940860, 341.8683100, 2060.3354000", \
+					  "9.2602601, 9.4534398, 10.6360560, 17.9708920, 63.2099240, 341.6657800, 2059.1558000", \
+					  "9.2833581, 9.4767820, 10.6646130, 17.9970330, 63.1981080, 341.7891600, 2059.2136000", \
+					  "9.3002557, 9.4925472, 10.6797730, 18.0161570, 63.1697220, 341.6952800, 2059.4878000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5030630, 13.8910310, 16.2439110, 30.8495520, 121.4168700, 678.8134200, 4113.5063000", \
+					  "13.5072810, 13.8582550, 16.2414310, 30.8466390, 120.9753900, 678.6689300, 4110.5959000", \
+					  "13.5066910, 13.8910800, 16.2336900, 30.8253010, 121.4372700, 677.5673600, 4112.1052000", \
+					  "13.5050450, 13.8597680, 16.2585150, 30.8491290, 121.3859900, 678.1395400, 4112.4954000", \
+					  "13.5078810, 13.8909260, 16.2582610, 30.8400840, 121.4788200, 678.2024700, 4112.4922000", \
+					  "13.5048800, 13.8868400, 16.2500960, 30.8190450, 121.3430900, 678.4422900, 4112.3458000", \
+					  "13.4929930, 13.8600140, 16.2570060, 30.8101780, 120.9868700, 677.5096600, 4112.8328000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3298604, 9.5275023, 10.7171510, 18.0644070, 63.2069190, 341.5933600, 2060.0364000", \
+					  "9.4728190, 9.6641166, 10.8555180, 18.1869540, 63.3867000, 342.1984600, 2058.5817000", \
+					  "9.5931911, 9.7879855, 10.9872100, 18.3061970, 63.5028310, 342.0892100, 2058.4898000", \
+					  "9.6918340, 9.8945785, 11.0710440, 18.4060340, 63.6058810, 342.2766200, 2058.6276000", \
+					  "9.7641077, 9.9691135, 11.1598410, 18.4966890, 63.6509160, 342.4780200, 2059.4621000", \
+					  "9.8593160, 10.0539940, 11.2532440, 18.5724020, 63.7690580, 342.3984400, 2059.5164000", \
+					  "9.9262314, 10.1284320, 11.3119470, 18.6574050, 63.8153570, 342.7435300, 2060.3882000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2052430, 13.5962540, 15.9492930, 30.5443030, 121.0151900, 677.2443700, 4110.5245000", \
+					  "13.2214710, 13.6063170, 15.9641540, 30.5295380, 121.0265500, 677.6622900, 4109.1657000", \
+					  "13.2212930, 13.6010070, 15.9519780, 30.5247380, 121.0322600, 677.6463700, 4108.6132000", \
+					  "13.2214940, 13.5949960, 15.9659240, 30.5296090, 121.0261200, 677.7054900, 4109.1134000", \
+					  "13.2107670, 13.6062640, 15.9638810, 30.5254100, 120.5979300, 677.6759800, 4109.2153000", \
+					  "13.2208920, 13.6019220, 15.9522580, 30.5303590, 121.0284600, 677.7947900, 4110.5814000", \
+					  "13.2170070, 13.6047450, 15.9597490, 30.5325050, 120.6427500, 677.4524800, 4110.8528000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0146434, 3.0422691, 3.2098946, 4.2285113, 10.4200380, 40.7428330, 86.5987740", \
+					  "3.1160001, 3.1437370, 3.3117433, 4.3302895, 10.5194250, 40.8346460, 86.6771190", \
+					  "3.1740144, 3.2011596, 3.3692753, 4.3877164, 10.5756750, 40.9035540, 86.7344450", \
+					  "3.2133991, 3.2407266, 3.4087868, 4.4272452, 10.6141580, 40.9332870, 86.7717280", \
+					  "3.2420435, 3.2693404, 3.4371614, 4.4559192, 10.6453110, 40.9480170, 86.8031340", \
+					  "3.2649838, 3.2929273, 3.4605190, 4.4793111, 10.6670330, 40.9557720, 86.8346250", \
+					  "3.2836698, 3.3117440, 3.4795509, 4.4983102, 10.6844460, 40.9719330, 86.8536180");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8240175, 1.8739899, 2.1868498, 4.1509534, 16.3159280, 38.1298050, 62.4621280", \
+					  "1.8241434, 1.8754720, 2.1867677, 4.1482497, 16.3407600, 38.3568950, 62.4431640", \
+					  "1.8244736, 1.8755550, 2.1871551, 4.1535024, 16.3462770, 38.3166490, 62.4821940", \
+					  "1.8243456, 1.8756070, 2.1867530, 4.1442161, 16.3484000, 38.1361610, 62.4461830", \
+					  "1.8239895, 1.8757182, 2.1870628, 4.1562340, 16.3382850, 38.3053620, 62.4861580", \
+					  "1.8244040, 1.8757937, 2.1868751, 4.1565102, 16.3374010, 38.2386180, 62.5224670", \
+					  "1.8243508, 1.8758755, 2.1868136, 4.1505031, 16.3485010, 38.1507480, 62.5073330");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3584701, 2.3590010, 2.3590011, 2.3598297, 2.3598298, 2.3598301, 2.4307192", \
+					  "2.4563749, 2.4564093, 2.4605653, 2.4605655, 2.4605657, 2.4605660, 2.5194575", \
+					  "2.5159255, 2.5160387, 2.5161380, 2.5172626, 2.5172629, 2.5172631, 2.5776026", \
+					  "2.5551012, 2.5554387, 2.5554389, 2.5564602, 2.5564603, 2.5564605, 2.6207705", \
+					  "2.5822442, 2.5823896, 2.5823898, 2.5834253, 2.5834255, 2.5834257, 2.6483720", \
+					  "2.6095799, 2.6096059, 2.6096060, 2.6097093, 2.6097094, 2.6097097, 2.6686611", \
+					  "2.6244100, 2.6244729, 2.6245264, 2.6275035, 2.6275036, 2.6275038, 2.6939786");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0909335, 3.1201204, 3.2670386, 3.7187524, 4.8931601, 10.3417780, 40.3144040", \
+					  "3.1930827, 3.2216296, 3.3683603, 3.8206229, 4.9946909, 10.4385650, 40.4147790", \
+					  "3.2501168, 3.2793634, 3.4266416, 3.8779358, 5.0523372, 10.5017270, 40.4750220", \
+					  "3.2898515, 3.3189027, 3.4656498, 3.9173673, 5.0915951, 10.5408850, 40.5120310", \
+					  "3.3185419, 3.3475940, 3.4951617, 3.9461274, 5.1205262, 10.5699630, 40.5401190", \
+					  "3.3418299, 3.3706862, 3.5178458, 3.9694323, 5.1433791, 10.5934940, 40.5627740", \
+					  "3.3598413, 3.3891074, 3.5367109, 3.9876702, 5.1629816, 10.6107690, 40.5838070");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2040302, 1.2099465, 1.2463335, 1.3196082, 2.0317663, 9.8511492, 51.0139770", \
+					  "1.2038915, 1.2102841, 1.2461472, 1.3194198, 2.0296265, 9.8416475, 51.0127050", \
+					  "1.2038341, 1.2104376, 1.2458985, 1.3200194, 2.0318971, 9.8527018, 51.0151740", \
+					  "1.2038778, 1.2101981, 1.2460973, 1.3194021, 2.0328244, 9.8480983, 51.0156330", \
+					  "1.2031389, 1.2105785, 1.2463818, 1.3198759, 2.0328559, 9.8544284, 51.0120230", \
+					  "1.2030879, 1.2107089, 1.2457397, 1.3198390, 2.0308115, 9.8515338, 51.0115930", \
+					  "1.2039250, 1.2104727, 1.2458460, 1.3196960, 2.0270796, 9.8565387, 51.0119770");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3069394, 3.3200149, 3.4002923, 3.7651851, 5.2617501, 9.6998543, 21.8325090", \
+					  "3.4553933, 3.4701573, 3.5501297, 3.9143662, 5.4105733, 9.8485726, 21.9822100", \
+					  "3.5753929, 3.5893255, 3.6692858, 4.0337182, 5.5301110, 9.9665858, 22.1010370", \
+					  "3.6763073, 3.6900771, 3.7695251, 4.1343542, 5.6295253, 10.0675820, 22.2014690", \
+					  "3.7609538, 3.7747125, 3.8542072, 4.2197348, 5.7144192, 10.1512030, 22.2862080", \
+					  "3.8401451, 3.8545553, 3.9352015, 4.2999608, 5.7965002, 10.2331600, 22.3676240", \
+					  "3.9169517, 3.9314143, 4.0118744, 4.3766271, 5.8730882, 10.3099760, 22.4440220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.8413479, 0.8496747, 0.8718067, 1.0226805, 2.5249645, 5.2637903, 17.8606620", \
+					  "0.8459969, 0.8507479, 0.8730613, 1.0233073, 2.5262548, 5.2597775, 17.8720510", \
+					  "0.8416343, 0.8450908, 0.8718306, 1.0231692, 2.5293769, 5.2660329, 17.8737100", \
+					  "0.8464587, 0.8483172, 0.8738565, 1.0233661, 2.5246696, 5.2527169, 17.8788130", \
+					  "0.8415231, 0.8454436, 0.8714774, 1.0222599, 2.5279467, 5.2639231, 17.8788580", \
+					  "0.8449879, 0.8493500, 0.8740963, 1.0232759, 2.5293487, 5.2651892, 17.8554380", \
+					  "0.8441322, 0.8495960, 0.8742503, 1.0232849, 2.5286286, 5.2634919, 17.8743880");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3929103, 2.3929104, 2.3929106, 2.3929109, 2.3929111, 2.3929114, 2.4661506", \
+					  "2.4940852, 2.4940855, 2.4940857, 2.4940860, 2.4940862, 2.4940864, 2.5643683", \
+					  "2.5520424, 2.5521261, 2.5521263, 2.5521266, 2.5521268, 2.5521271, 2.6200631", \
+					  "2.5916453, 2.5916454, 2.5917013, 2.5917014, 2.5917017, 2.5917019, 2.6612557", \
+					  "2.6195617, 2.6208227, 2.6208228, 2.6208231, 2.6208233, 2.6208236, 2.6896487", \
+					  "2.6404070, 2.6430316, 2.6430318, 2.6435081, 2.6435084, 2.6435086, 2.7153184", \
+					  "2.6632132, 2.6632134, 2.6632585, 2.6632587, 2.6632590, 2.6632592, 2.7267864");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3219870, 2.3220765, 2.3220767, 2.3220770, 2.3220772, 2.3220774, 2.4094544", \
+					  "2.4164006, 2.4164008, 2.4164011, 2.4164013, 2.4164016, 2.4164018, 2.4834834", \
+					  "2.4798961, 2.4798962, 2.4798965, 2.4798967, 2.4798970, 2.4798972, 2.5355047", \
+					  "2.5082718, 2.5082719, 2.5082721, 2.5082723, 2.5082726, 2.5082728, 2.5578764", \
+					  "2.5365008, 2.5377602, 2.5491633, 2.5491635, 2.5491637, 2.5491640, 2.6222073", \
+					  "2.5631133, 2.5631134, 2.5631136, 2.5631139, 2.5631141, 2.5631143, 2.6303202", \
+					  "2.5746549, 2.5746550, 2.5746552, 2.5746555, 2.5746557, 2.5746559, 2.6691123");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4773184, 3.4773185, 3.4773187, 3.4773189, 3.4773192, 3.4773194, 3.4773196", \
+					  "3.5768717, 3.5768718, 3.5768720, 3.5768723, 3.5768725, 3.5768728, 3.5768730", \
+					  "3.6386234, 3.6386236, 3.6386239, 3.6386241, 3.6386244, 3.6386246, 3.6386248", \
+					  "3.6805303, 3.6826691, 3.6826693, 3.6826696, 3.6826698, 3.6826700, 3.6826703", \
+					  "3.6933552, 3.7001124, 3.7001125, 3.7001128, 3.7001130, 3.7001132, 3.7001135", \
+					  "3.7287666, 3.7287668, 3.7287671, 3.7287673, 3.7287676, 3.7287678, 3.7287680", \
+					  "3.7800381, 3.7849226, 3.7849228, 3.7849230, 3.7849232, 3.7849235, 3.7849237");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1399769, 3.1686767, 3.3264858, 3.7946499, 4.9709086, 10.4076840, 40.3822860", \
+					  "3.2877334, 3.3174796, 3.4751129, 3.9435823, 5.1190647, 10.5614560, 40.5270950", \
+					  "3.4096423, 3.4393506, 3.5969723, 4.0655062, 5.2412266, 10.6770000, 40.6515750", \
+					  "3.5067877, 3.5391052, 3.6966852, 4.1623456, 5.3388729, 10.7742490, 40.7511930", \
+					  "3.5923680, 3.6216674, 3.7812606, 4.2484554, 5.4202740, 10.8657070, 40.8322340", \
+					  "3.6680829, 3.7041852, 3.8598986, 4.3271906, 5.5039355, 10.9390980, 40.9169410", \
+					  "3.7508943, 3.7803924, 3.9387857, 4.4062083, 5.5783262, 11.0259650, 40.9923150");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2353881, 1.2417413, 1.2814051, 1.3720682, 2.0431632, 9.8376454, 51.0166200", \
+					  "1.2353968, 1.2418910, 1.2814042, 1.3717739, 2.0432154, 9.8489732, 50.9334510", \
+					  "1.2354064, 1.2423250, 1.2812601, 1.3720671, 2.0432349, 9.8398161, 51.0158640", \
+					  "1.2353840, 1.2420963, 1.2815398, 1.3720688, 2.0431501, 9.8396189, 51.0164320", \
+					  "1.2356886, 1.2427799, 1.2814122, 1.3719842, 2.0433555, 9.8508535, 51.0041640", \
+					  "1.2354004, 1.2417766, 1.2814073, 1.3720679, 2.0431814, 9.8349702, 51.0163400", \
+					  "1.2353235, 1.2421345, 1.2813902, 1.3720793, 2.0433224, 9.8539328, 51.0164890");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6074242, 9.8019859, 10.9999720, 18.3216800, 63.5207800, 342.3413700, 2060.0683000", \
+					  "9.7566382, 9.9501323, 11.1483600, 18.4706970, 63.6705980, 342.4892200, 2060.1842000", \
+					  "9.8777075, 10.0723920, 11.2711420, 18.5921340, 63.7914110, 342.6505400, 2058.6742000", \
+					  "9.9771329, 10.1696060, 11.3708540, 18.6900750, 63.8641710, 342.7197700, 2059.3982000", \
+					  "10.0574060, 10.2550070, 11.4293500, 18.7867640, 63.9476380, 342.6738700, 2059.6465000", \
+					  "10.1282600, 10.3308710, 11.5346660, 18.8554860, 64.0535160, 342.8931400, 2059.4016000", \
+					  "10.2188230, 10.4136870, 11.6116150, 18.9317910, 64.1253590, 342.9510400, 2060.1528000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2137800, 13.5975640, 15.9533930, 30.5622050, 120.9838400, 677.7633000, 4112.6150000", \
+					  "13.2140500, 13.5882140, 15.9546310, 30.5610540, 120.9843700, 677.7816600, 4107.6987000", \
+					  "13.2048310, 13.5882160, 15.9539140, 30.5621770, 120.9456300, 677.3096300, 4109.3285000", \
+					  "13.2048100, 13.5882120, 15.9552690, 30.5598890, 120.7656800, 677.6678600, 4110.4540000", \
+					  "13.2235520, 13.5990470, 15.9507620, 30.5627310, 120.7684300, 677.7375500, 4108.7424000", \
+					  "13.2158000, 13.6003400, 15.9533220, 30.5589970, 120.9765100, 677.6358500, 4108.6009000", \
+					  "13.2134130, 13.5979440, 15.9544340, 30.5595940, 120.9851100, 677.7678400, 4110.5350000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7877528, 3.7877530, 3.7877533, 3.7877535, 3.7877538, 3.7877540, 3.7877542", \
+					  "3.8987240, 3.8990420, 3.8990421, 3.8990423, 3.8990425, 3.8990428, 3.8990430", \
+					  "3.9567305, 3.9567308, 3.9567310, 3.9567313, 3.9567315, 3.9567317, 3.9567320", \
+					  "3.9999080, 4.0003858, 4.0003860, 4.0003865, 4.0003870, 4.0003875, 4.0003880", \
+					  "4.0075263, 4.0075267, 4.0075272, 4.0075276, 4.0075281, 4.0075286, 4.0075291", \
+					  "4.0459693, 4.0486703, 4.0517381, 4.0517386, 4.0517390, 4.0517395, 4.0517400", \
+					  "4.0536223, 4.0540544, 4.0540545, 4.0540550, 4.0540555, 4.0540560, 4.0540565");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2790922, 2.2793092, 2.2794167, 2.2832405, 2.2832407, 2.2832410, 2.4076525", \
+					  "2.3761271, 2.3764635, 2.3777076, 2.3777077, 2.3777079, 2.3777081, 2.4676083", \
+					  "2.4284839, 2.4321420, 2.4321422, 2.4321424, 2.4338920, 2.4338922, 2.5283846", \
+					  "2.4710145, 2.4713649, 2.4713651, 2.4720821, 2.4720823, 2.4720825, 2.5862881", \
+					  "2.4942564, 2.4942567, 2.4983295, 2.4983296, 2.4983298, 2.4983300, 2.6076872", \
+					  "2.5227962, 2.5229310, 2.5229313, 2.5229315, 2.5229317, 2.5229320, 2.6015734", \
+					  "2.5329652, 2.5358178, 2.5358180, 2.5358183, 2.5358185, 2.5358187, 2.6204576");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.6831962, 3.7117616, 3.8896988, 4.9710387, 11.1484900, 35.2805290, 102.3838900", \
+					  "3.8319112, 3.8610732, 4.0383288, 5.1193672, 11.2974790, 35.4299350, 102.5307100", \
+					  "3.9535930, 3.9823984, 4.1605617, 5.2417684, 11.4209480, 35.5568520, 102.6541800", \
+					  "4.0509418, 4.0817065, 4.2595039, 5.3398495, 11.5169200, 35.6530950, 102.7542900", \
+					  "4.1354036, 4.1669139, 4.3434918, 5.4219611, 11.6021130, 35.7340470, 102.8715200", \
+					  "4.2164046, 4.2448396, 4.4243076, 5.5049227, 11.6818480, 35.8167720, 102.9171900", \
+					  "4.2947814, 4.3233794, 4.4970472, 5.5825629, 11.7596850, 35.8948500, 103.0248500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8818429, 1.9372097, 2.2644400, 4.3060853, 11.7825160, 41.1449400, 78.8352350", \
+					  "1.8821500, 1.9372282, 2.2645821, 4.3061759, 11.7825930, 41.1444070, 78.8355980", \
+					  "1.8820141, 1.9372153, 2.2645199, 4.3052228, 11.7825610, 41.1635600, 78.8355620", \
+					  "1.8828568, 1.9370887, 2.2635758, 4.3062087, 11.7824610, 41.1650090, 78.8203070", \
+					  "1.8835344, 1.9372455, 2.2644679, 4.3068762, 11.7864450, 41.1428650, 78.8598840", \
+					  "1.8797925, 1.9371889, 2.2638336, 4.3049906, 11.7821420, 41.1615770, 78.8352350", \
+					  "1.8817985, 1.9372288, 2.2633606, 4.3060120, 11.7824430, 41.1538710, 78.8480530");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3120172, 2.3120174, 2.3126965, 2.3126966, 2.3126969, 2.3126971, 2.3815017", \
+					  "2.4045137, 2.4045138, 2.4045140, 2.4045142, 2.4045145, 2.4045147, 2.4809785", \
+					  "2.4662186, 2.4662189, 2.4662191, 2.4662194, 2.4662196, 2.4662198, 2.5343379", \
+					  "2.4873437, 2.5081595, 2.5081596, 2.5081598, 2.5081600, 2.5081603, 2.5543827", \
+					  "2.5400502, 2.5400504, 2.5400507, 2.5400509, 2.5400512, 2.5400514, 2.6004054", \
+					  "2.5530424, 2.5530729, 2.5531248, 2.5531251, 2.5531253, 2.5531256, 2.6267470", \
+					  "2.5688622, 2.5689411, 2.5689413, 2.5693505, 2.5693507, 2.5693509, 2.6600697");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2802005, 2.2808584, 2.2810405, 2.2838949, 2.2838952, 2.2838954, 2.4058202", \
+					  "2.3774851, 2.3777906, 2.3777909, 2.3783280, 2.3783283, 2.3783285, 2.4467894", \
+					  "2.4306868, 2.4318212, 2.4318213, 2.4318215, 2.4318218, 2.4318220, 2.5129447", \
+					  "2.4724034, 2.4727929, 2.4727930, 2.4739228, 2.4739229, 2.4739231, 2.5323668", \
+					  "2.4930829, 2.4933058, 2.4933059, 2.4934976, 2.4934978, 2.4934980, 2.6103688", \
+					  "2.5235789, 2.5236451, 2.5236453, 2.5236456, 2.5236458, 2.5236460, 2.6025642", \
+					  "2.5370919, 2.5371956, 2.5371959, 2.5371961, 2.5371963, 2.5371966, 2.6214888");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7863686, 3.7863688, 3.7907504, 3.7907507, 3.7907509, 3.7907511, 3.7907514", \
+					  "3.8970338, 3.8970339, 3.9072665, 3.9072668, 3.9072670, 3.9072673, 3.9072675", \
+					  "3.9511470, 3.9511472, 3.9511475, 3.9511477, 3.9511480, 3.9511482, 3.9511484", \
+					  "4.0018291, 4.0021590, 4.0021594, 4.0021599, 4.0021604, 4.0021608, 4.0021613", \
+					  "4.0153241, 4.0153244, 4.0153249, 4.0153254, 4.0153258, 4.0153263, 4.0153268", \
+					  "4.0462345, 4.0462349, 4.0554724, 4.0554727, 4.0554731, 4.0554736, 4.0554741", \
+					  "4.0561452, 4.0561455, 4.0561460, 4.0561464, 4.0561469, 4.0561474, 4.0561479");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4694179, 3.4704968, 3.4704971, 3.4704973, 3.4704975, 3.4704978, 3.4704980", \
+					  "3.5657235, 3.5657236, 3.5657238, 3.5657241, 3.5657243, 3.5657245, 3.5657248", \
+					  "3.6364776, 3.6364779, 3.6364781, 3.6364784, 3.6364786, 3.6364788, 3.6370988", \
+					  "3.6808459, 3.6808461, 3.6808464, 3.6808466, 3.6808469, 3.6808471, 3.6808473", \
+					  "3.6920986, 3.6965977, 3.6965980, 3.6965982, 3.6965985, 3.6965987, 3.6965989", \
+					  "3.7294074, 3.7294075, 3.7294077, 3.7294080, 3.7294082, 3.7294084, 3.7294087", \
+					  "3.7841490, 3.7854444, 3.7854447, 3.7854449, 3.7854451, 3.7854454, 3.7854456");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0409000, 3.0702294, 3.2284606, 3.6963315, 4.8725179, 10.3141530, 40.2873930", \
+					  "3.1422078, 3.1722061, 3.3301759, 3.7976777, 4.9743023, 10.4170060, 40.3896370", \
+					  "3.2000276, 3.2295704, 3.3871490, 3.8557344, 5.0316444, 10.4732070, 40.4489600", \
+					  "3.2396055, 3.2689311, 3.4268437, 3.8949075, 5.0712541, 10.5074620, 40.4921000", \
+					  "3.2682992, 3.2976146, 3.4554553, 3.9239700, 5.1001194, 10.5410330, 40.5138540", \
+					  "3.2911183, 3.3207419, 3.4783807, 3.9467296, 5.1239404, 10.5648490, 40.5408470", \
+					  "3.3099312, 3.3390708, 3.4973802, 3.9654071, 5.1423470, 10.5824660, 40.5471210");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2357385, 1.2429510, 1.2812514, 1.3723793, 2.0432558, 9.8482893, 51.0156040", \
+					  "1.2350315, 1.2428970, 1.2811893, 1.3720375, 2.0430351, 9.8437294, 51.0121330", \
+					  "1.2353772, 1.2425366, 1.2816718, 1.3722063, 2.0446080, 9.8529558, 51.0144000", \
+					  "1.2354964, 1.2428114, 1.2812651, 1.3722725, 2.0406683, 9.8338901, 50.9828340", \
+					  "1.2345169, 1.2430398, 1.2812459, 1.3723844, 2.0393371, 9.8498285, 51.0109250", \
+					  "1.2357200, 1.2422644, 1.2816667, 1.3723719, 2.0429876, 9.8498978, 51.0225430", \
+					  "1.2360043, 1.2425558, 1.2812897, 1.3723216, 2.0386959, 9.8504849, 51.0003030");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.7943274, 2.7943277, 2.8020022, 2.8020024, 2.8020026, 2.8020028, 2.8472646", \
+					  "2.8963394, 2.9055502, 2.9055504, 2.9055506, 2.9055509, 2.9055511, 2.9494180", \
+					  "2.9645775, 2.9645776, 2.9645778, 2.9645781, 2.9645783, 2.9645786, 3.0147884", \
+					  "3.0030725, 3.0040046, 3.0040049, 3.0040051, 3.0040054, 3.0040056, 3.0576801", \
+					  "3.0246528, 3.0246529, 3.0246531, 3.0246534, 3.0246536, 3.0246538, 3.0842675", \
+					  "3.0460428, 3.0460431, 3.0462179, 3.0462181, 3.0462183, 3.0462186, 3.0985485", \
+					  "3.0646252, 3.0647560, 3.0647561, 3.0647563, 3.0647566, 3.0647568, 3.1207796");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1392813, 3.1694116, 3.3264580, 3.7948493, 4.9708428, 10.4078210, 40.3820300", \
+					  "3.2872118, 3.3169618, 3.4760679, 3.9430761, 5.1189604, 10.5614180, 40.5299010", \
+					  "3.4098189, 3.4389871, 3.5968921, 4.0646135, 5.2417914, 10.6779070, 40.6525980", \
+					  "3.5084222, 3.5382379, 3.6957712, 4.1624704, 5.3388765, 10.7778080, 40.7518610", \
+					  "3.5936851, 3.6228864, 3.7815107, 4.2493831, 5.4246860, 10.8641110, 40.8320060", \
+					  "3.6730343, 3.7016918, 3.8582315, 4.3294697, 5.5063780, 10.9380540, 40.9171080", \
+					  "3.7505488, 3.7802383, 3.9383576, 4.4061905, 5.5823355, 11.0224080, 40.9854440");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2354833, 1.2418579, 1.2814801, 1.3721083, 2.0430739, 9.8404298, 51.0164890", \
+					  "1.2354763, 1.2419972, 1.2814650, 1.3720065, 2.0431292, 9.8484678, 51.0164570", \
+					  "1.2354725, 1.2428875, 1.2816678, 1.3718642, 2.0400146, 9.8373736, 51.0161800", \
+					  "1.2354734, 1.2420072, 1.2814801, 1.3721101, 2.0430750, 9.8403019, 51.0165460", \
+					  "1.2354743, 1.2422181, 1.2814673, 1.3720344, 2.0430582, 9.8511279, 51.0036390", \
+					  "1.2354869, 1.2418444, 1.2814807, 1.3721084, 2.0430497, 9.8355697, 51.0166200", \
+					  "1.2345831, 1.2422783, 1.2811854, 1.3721250, 2.0444022, 9.8507531, 51.0027540");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5940158, 3.6083618, 3.6915251, 4.0603623, 5.5565170, 10.0131760, 22.1519050", \
+					  "3.7425552, 3.7570542, 3.8392707, 4.2078590, 5.7062786, 10.1634560, 22.2997320", \
+					  "3.8647539, 3.8791823, 3.9619312, 4.3312895, 5.8267484, 10.2841260, 22.4224510", \
+					  "3.9626302, 3.9779584, 4.0622745, 4.4295718, 5.9266130, 10.3811920, 22.5221650", \
+					  "4.0479455, 4.0630468, 4.1446278, 4.5138258, 6.0113834, 10.4675420, 22.6061250", \
+					  "4.1266941, 4.1442965, 4.2261856, 4.5923771, 6.0895995, 10.5476790, 22.6880630", \
+					  "4.2031725, 4.2178718, 4.3030011, 4.6716635, 6.1678649, 10.6249170, 22.7638160");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9041566, 0.9086957, 0.9368441, 1.0686731, 2.5424487, 5.2752727, 17.8819060", \
+					  "0.9040912, 0.9096117, 0.9368166, 1.0686859, 2.5423630, 5.2721472, 17.8817870", \
+					  "0.9041311, 0.9100161, 0.9368060, 1.0686515, 2.5443363, 5.2761226, 17.8724640", \
+					  "0.9042729, 0.9103200, 0.9368095, 1.0686042, 2.5386302, 5.2775393, 17.8761820", \
+					  "0.9041467, 0.9102005, 0.9364639, 1.0686546, 2.5386222, 5.2735420, 17.8817970", \
+					  "0.9043177, 0.9098138, 0.9364106, 1.0685666, 2.5441491, 5.2775002, 17.8821620", \
+					  "0.9041668, 0.9100323, 0.9383987, 1.0687368, 2.5433442, 5.2744649, 17.8807280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1141403, 3.1404497, 3.3084422, 4.3272146, 10.5149430, 40.8185520, 86.6833430", \
+					  "3.2617593, 3.2896796, 3.4560399, 4.4744994, 10.6644100, 40.9668000, 86.8310150", \
+					  "3.3838385, 3.4113647, 3.5786563, 4.5974460, 10.7857140, 41.0887640, 86.9525960", \
+					  "3.4840424, 3.5095713, 3.6783239, 4.6971969, 10.8828930, 41.1873060, 87.0509850", \
+					  "3.5659906, 3.5951866, 3.7615084, 4.7781983, 10.9682390, 41.2934040, 87.1362220", \
+					  "3.6477663, 3.6754699, 3.8440899, 4.8618070, 11.0486710, 41.3538860, 87.2126980", \
+					  "3.7245138, 3.7519867, 3.9167687, 4.9347451, 11.1246190, 41.4550880, 87.2916890");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8293568, 1.8743116, 2.1918092, 4.1452708, 16.3457530, 38.3296100, 62.6447300", \
+					  "1.8287430, 1.8742978, 2.1917952, 4.1444825, 16.3094870, 38.3294500, 62.6397140", \
+					  "1.8293539, 1.8743682, 2.1918221, 4.1452894, 16.3461330, 38.3292440, 62.6444390", \
+					  "1.8293607, 1.8743711, 2.1919835, 4.1452735, 16.3432810, 38.3299370, 62.6399910", \
+					  "1.8287603, 1.8742354, 2.1901954, 4.1424899, 16.3462360, 38.2345110, 62.6184970", \
+					  "1.8293106, 1.8743820, 2.1918007, 4.1452739, 16.3459630, 38.3293470, 62.6388470", \
+					  "1.8221907, 1.8742101, 2.1906545, 4.1449351, 16.3390970, 38.3241920, 62.5247690");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7033328, 3.7332524, 3.9093857, 4.9879110, 11.5511020, 44.3964900, 79.6575110", \
+					  "3.8489776, 3.8806798, 4.0582133, 5.1325784, 11.7007490, 44.5530440, 79.7995480", \
+					  "3.9729819, 4.0035677, 4.1807970, 5.2577174, 11.8381400, 44.6617960, 79.9270270", \
+					  "4.0704751, 4.1020614, 4.2784976, 5.3574181, 11.9353660, 44.7564550, 80.0171090", \
+					  "4.1576547, 4.1877102, 4.3630770, 5.4391300, 12.0166970, 44.8448890, 80.1117110", \
+					  "4.2366812, 4.2671955, 4.4428630, 5.5203664, 12.0915460, 44.9340970, 80.1896150", \
+					  "4.3136734, 4.3444959, 4.5196762, 5.5968137, 12.1832380, 45.0106410, 80.2682370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8738742, 1.9247945, 2.2542423, 4.3014059, 17.0869540, 38.7836390, 42.2344190", \
+					  "1.8740476, 1.9265092, 2.2550846, 4.2967798, 17.0876520, 38.7801120, 42.2368020", \
+					  "1.8740411, 1.9264770, 2.2541338, 4.3014290, 17.0778570, 38.7830390, 42.2571950", \
+					  "1.8740465, 1.9265494, 2.2540096, 4.3013815, 17.0768770, 38.7823430, 42.3432420", \
+					  "1.8740072, 1.9264819, 2.2540960, 4.3025565, 17.0863630, 38.8071260, 42.2314770", \
+					  "1.8739192, 1.9266977, 2.2554625, 4.3048809, 17.0495550, 38.7852990, 42.2387140", \
+					  "1.8740482, 1.9263178, 2.2551141, 4.2996535, 17.0835970, 38.7848190, 42.2368770");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3006252, 2.3006253, 2.3006255, 2.3006257, 2.3006260, 2.3006262, 2.3663611", \
+					  "2.4018283, 2.4018285, 2.4018287, 2.4018289, 2.4018292, 2.4018294, 2.4785640", \
+					  "2.4583349, 2.4583351, 2.4583353, 2.4583356, 2.4583358, 2.4583360, 2.5338178", \
+					  "2.4991527, 2.4991558, 2.4991559, 2.4991562, 2.4991564, 2.4991566, 2.5711966", \
+					  "2.5368792, 2.5368795, 2.5368797, 2.5368799, 2.5368802, 2.5368804, 2.5871412", \
+					  "2.5514448, 2.5514449, 2.5514452, 2.5514454, 2.5514457, 2.5514459, 2.6427960", \
+					  "2.5713751, 2.5731501, 2.5731503, 2.5731506, 2.5731508, 2.5731510, 2.6587503");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2784232, 2.2784235, 2.2784237, 2.2784240, 2.2784242, 2.2784244, 2.3881339", \
+					  "2.3747404, 2.3749180, 2.3749182, 2.3749184, 2.3749186, 2.3749189, 2.4702707", \
+					  "2.4312936, 2.4312937, 2.4312939, 2.4312941, 2.4312944, 2.4312946, 2.5092446", \
+					  "2.4699069, 2.4699070, 2.4699072, 2.4699075, 2.4699077, 2.4699079, 2.5474687", \
+					  "2.4907438, 2.4908824, 2.4908826, 2.4992199, 2.4992201, 2.4992203, 2.5713345", \
+					  "2.5211198, 2.5211200, 2.5211202, 2.5211205, 2.5211207, 2.5211210, 2.6362952", \
+					  "2.5372653, 2.5375888, 2.5375890, 2.5375892, 2.5375895, 2.5375897, 2.6273304");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.1119630, 2.1119632, 2.1119634, 2.1119637, 2.1119639, 2.1119642, 2.2448658", \
+					  "2.2055324, 2.2064299, 2.2064301, 2.2064304, 2.2064306, 2.2064308, 2.3265612", \
+					  "2.3160571, 2.3168742, 2.3168744, 2.3168747, 2.3168749, 2.3168752, 2.4535061", \
+					  "2.4108979, 2.4108981, 2.4108984, 2.4127362, 2.4127363, 2.4127366, 2.5565654", \
+					  "2.4940721, 2.4947135, 2.4947137, 2.4947140, 2.4947142, 2.4947144, 2.6418931", \
+					  "2.5736344, 2.5765459, 2.5765461, 2.5765464, 2.5765466, 2.5765468, 2.7111400", \
+					  "2.6508955, 2.6511044, 2.6511046, 2.6511049, 2.6511051, 2.6511053, 2.7840439");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5672827, 3.5824246, 3.6655425, 4.0399479, 5.5457394, 13.6279910, 46.7675290", \
+					  "3.7161672, 3.7323447, 3.8133705, 4.1879376, 5.6951150, 13.7768790, 46.9060770", \
+					  "3.8386778, 3.8529739, 3.9351353, 4.3086974, 5.8170575, 13.8989040, 47.0238390", \
+					  "3.9361652, 3.9519983, 4.0355776, 4.4079278, 5.9151470, 13.9973820, 47.1335220", \
+					  "4.0231773, 4.0345709, 4.1182178, 4.4937916, 6.0009944, 14.0831430, 47.2168760", \
+					  "4.0998516, 4.1169717, 4.1982937, 4.5711488, 6.0804775, 14.1613260, 47.2919770", \
+					  "4.1797996, 4.1938084, 4.2767176, 4.6465365, 6.1577863, 14.2396320, 47.3684200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9023521, 0.9073739, 0.9404272, 1.0787787, 2.6507374, 12.9217650, 40.3775610", \
+					  "0.9032983, 0.9070431, 0.9408295, 1.0797280, 2.6507641, 12.9223290, 40.3406340", \
+					  "0.9031903, 0.9146602, 0.9409332, 1.0788279, 2.6516376, 12.9223400, 40.3234890", \
+					  "0.9032956, 0.9144674, 0.9411071, 1.0785700, 2.6516160, 12.9221280, 40.3736070", \
+					  "0.9034321, 0.9131306, 0.9414685, 1.0787049, 2.6503026, 12.9224620, 40.3733620", \
+					  "0.9079500, 0.9070738, 0.9412621, 1.0788499, 2.6512281, 12.9212510, 40.3253930", \
+					  "0.9041418, 0.9151666, 0.9404963, 1.0797265, 2.6512132, 12.9212850, 40.3692370");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.1066948, 2.1066949, 2.1066951, 2.1066953, 2.1066956, 2.1066958, 2.2345772", \
+					  "2.2243016, 2.2258764, 2.2258765, 2.2258767, 2.2258770, 2.2258772, 2.3478577", \
+					  "2.3306863, 2.3328661, 2.3328664, 2.3328666, 2.3328668, 2.3328671, 2.4481883", \
+					  "2.4185833, 2.4185836, 2.4185838, 2.4185840, 2.4185843, 2.4185845, 2.5471709", \
+					  "2.5021003, 2.5029856, 2.5029859, 2.5029861, 2.5029864, 2.5029866, 2.6310363", \
+					  "2.5829727, 2.5855507, 2.5902607, 2.5902609, 2.5902612, 2.5902614, 2.7059905", \
+					  "2.6732669, 2.6732671, 2.6732673, 2.6732675, 2.6732678, 2.6732680, 2.7862581");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948129, 9.2878922, 10.4728540, 17.8064190, 62.9908640, 341.6108400, 2059.0805000", \
+					  "9.2434700, 9.4354551, 10.6203430, 17.9553510, 63.1008280, 341.7608000, 2059.2295000", \
+					  "9.3619086, 9.5583435, 10.7423330, 18.0791610, 63.2342250, 342.1290000, 2059.3682000", \
+					  "9.4648183, 9.6560218, 10.8405250, 18.1738060, 63.3821740, 341.9830000, 2059.4530000", \
+					  "9.5480504, 9.7406234, 10.9286430, 18.2614790, 63.4170900, 342.0738300, 2059.5455000", \
+					  "9.6289385, 9.8226351, 11.0073570, 18.3401420, 63.5209500, 342.1468500, 2059.6121000", \
+					  "9.7029593, 9.8979429, 11.0827080, 18.4188770, 63.5923950, 342.1539500, 2060.0664000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4996720, 13.8876550, 16.2574660, 30.8192760, 121.2766700, 678.3420200, 4112.8319000", \
+					  "13.4996050, 13.8876020, 16.2550490, 30.8191160, 120.9564000, 678.3484400, 4112.8319000", \
+					  "13.5032680, 13.8889990, 16.2541890, 30.8157450, 120.9579100, 678.6973500, 4112.7701000", \
+					  "13.4995740, 13.8877270, 16.2577330, 30.8175880, 121.1436600, 678.3522500, 4112.8314000", \
+					  "13.5106750, 13.8888800, 16.2562340, 30.8155420, 121.4961000, 678.3884000, 4112.7910000", \
+					  "13.4993480, 13.8869220, 16.2556690, 30.8215750, 121.2880000, 678.3413400, 4112.8283000", \
+					  "13.4884150, 13.8895400, 16.2572070, 30.8455660, 121.0844300, 678.3007500, 4114.4272000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.5997885, 9.7938804, 10.9918550, 18.3230800, 63.4782040, 342.3106400, 2058.7361000", \
+					  "9.7478630, 9.9502655, 11.1415920, 18.4706440, 63.6203840, 342.3625700, 2058.6781000", \
+					  "9.8707273, 10.0641760, 11.2629940, 18.5929480, 63.7432650, 342.4857200, 2061.8104000", \
+					  "9.9669242, 10.1648350, 11.3678040, 18.6938710, 63.8458180, 342.7240900, 2059.1128000", \
+					  "10.0662960, 10.2640890, 11.4411900, 18.8063700, 63.9427990, 342.3688500, 2059.9646000", \
+					  "10.1295000, 10.3290570, 11.5271960, 18.8603760, 64.0284840, 342.8910100, 2059.4703000", \
+					  "10.2061960, 10.4031480, 11.6044440, 18.9319830, 64.0835580, 343.0007200, 2060.0503000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2013560, 13.6044660, 15.9660730, 30.5234200, 120.6072300, 677.7347600, 4109.1625000", \
+					  "13.1991940, 13.6054460, 15.9645880, 30.5232500, 120.6336300, 677.7164000, 4107.1708000", \
+					  "13.1991300, 13.6062110, 15.9603100, 30.5233350, 120.6329300, 677.7181100, 4110.0875000", \
+					  "13.1914010, 13.6060350, 15.9547260, 30.5247810, 120.6054200, 677.7127100, 4111.7783000", \
+					  "13.2064950, 13.5983230, 15.9728210, 30.5359860, 121.0450600, 677.6647900, 4109.9802000", \
+					  "13.1931830, 13.6040200, 15.9666570, 30.5252180, 120.7022100, 677.7084400, 4112.6982000", \
+					  "13.1991240, 13.6041680, 15.9657090, 30.5223330, 120.6348500, 677.6636500, 4110.7041000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0468676, 3.0762208, 3.2322180, 3.6968748, 4.8730282, 10.3143340, 40.2966370", \
+					  "3.1488689, 3.1776581, 3.3335177, 3.7988954, 4.9742703, 10.4208600, 40.4090840", \
+					  "3.2061493, 3.2354268, 3.3916638, 3.8560026, 5.0320059, 10.4779830, 40.4654300", \
+					  "3.2454801, 3.2746597, 3.4307246, 3.8955026, 5.0715512, 10.5176160, 40.4837370", \
+					  "3.2743637, 3.3037970, 3.4594929, 3.9245198, 5.1003965, 10.5461600, 40.5343350", \
+					  "3.2974796, 3.3264724, 3.4819918, 3.9475920, 5.1234816, 10.5688570, 40.5442510", \
+					  "3.3159311, 3.3459475, 3.5016805, 3.9660031, 5.1421032, 10.5891110, 40.5598290");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2298378, 1.2374917, 1.2761904, 1.3667867, 2.0430211, 9.8464001, 51.0112730", \
+					  "1.2307337, 1.2381293, 1.2764021, 1.3668694, 2.0439153, 9.8634283, 51.0302310", \
+					  "1.2305276, 1.2369741, 1.2764199, 1.3666306, 2.0405544, 9.8559045, 51.0200700", \
+					  "1.2305708, 1.2373892, 1.2764145, 1.3667730, 2.0426243, 9.8564810, 51.0208620", \
+					  "1.2295133, 1.2380064, 1.2759804, 1.3666435, 2.0434039, 9.8571391, 51.0306140", \
+					  "1.2305744, 1.2372667, 1.2765010, 1.3667399, 2.0391548, 9.8614494, 50.9909950", \
+					  "1.2305417, 1.2377860, 1.2766400, 1.3668765, 2.0443651, 9.8515622, 50.9837080");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.7205231, 9.9319601, 11.1630420, 18.6596390, 64.0893560, 342.5188000, 2059.8332000", \
+					  "9.8788648, 10.0860010, 11.2968950, 18.7746580, 64.2380300, 343.1123600, 2059.0990000", \
+					  "10.0008770, 10.2078980, 11.4225340, 18.9010210, 64.3354240, 342.9736900, 2060.3325000", \
+					  "10.1068660, 10.3066040, 11.5163680, 19.0206530, 64.4370030, 343.3085600, 2060.8338000", \
+					  "10.1889570, 10.3956760, 11.6022670, 19.0966480, 64.5452050, 343.4665500, 2061.0444000", \
+					  "10.2727200, 10.4694190, 11.6915180, 19.1862540, 64.6089260, 343.4126900, 2059.5069000", \
+					  "10.3347660, 10.5442770, 11.7718320, 19.2341840, 64.7030450, 343.6348900, 2061.2022000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2010830, 13.5924330, 15.9663910, 30.5309520, 120.9873500, 677.3798900, 4110.4299000", \
+					  "13.2188120, 13.6061400, 15.9555660, 30.4899430, 120.9880200, 677.7428300, 4113.2230000", \
+					  "13.2216660, 13.6044190, 15.9608610, 30.4945940, 121.0140500, 677.6327800, 4109.4554000", \
+					  "13.2211880, 13.6035800, 15.9677890, 30.5571640, 121.0123900, 677.7667600, 4111.2912000", \
+					  "13.2228910, 13.6022360, 15.9577100, 30.5078270, 120.9628000, 677.7123600, 4109.9893000", \
+					  "13.2208930, 13.6024190, 15.9651030, 30.5552630, 120.8542400, 677.6339200, 4108.6814000", \
+					  "13.2069050, 13.6055920, 15.9650050, 30.4940040, 120.9683900, 677.5464400, 4113.5168000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1133847, 3.1404297, 3.3085590, 4.3272452, 10.5150790, 40.8199520, 86.6819720", \
+					  "3.2601524, 3.2883005, 3.4569070, 4.4756141, 10.6632240, 40.9667360, 86.8313780", \
+					  "3.3835861, 3.4113357, 3.5791423, 4.5973705, 10.7859850, 41.0892720, 86.9527380", \
+					  "3.4795986, 3.5080785, 3.6774630, 4.6959032, 10.8851660, 41.1870150, 87.0515180", \
+					  "3.5678944, 3.5935643, 3.7633021, 4.7820858, 10.9644320, 41.2699140, 87.1321010", \
+					  "3.6480787, 3.6750185, 3.8448094, 4.8619846, 11.0517830, 41.3586710, 87.2160090", \
+					  "3.7245074, 3.7519676, 3.9159511, 4.9386069, 11.1210070, 41.4007180, 87.2899050");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8292020, 1.8741326, 2.1916078, 4.1451869, 16.3460110, 38.3299830, 62.6385200", \
+					  "1.8291904, 1.8741431, 2.1898072, 4.1452006, 16.3460960, 38.3295850, 62.6215030", \
+					  "1.8291881, 1.8741950, 2.1916535, 4.1444483, 16.3461030, 38.3293250, 62.6410780", \
+					  "1.8291975, 1.8741417, 2.1916198, 4.1452082, 16.3457960, 38.3293330, 62.6397560", \
+					  "1.8291686, 1.8798789, 2.1922548, 4.1449209, 16.3429540, 38.3297230, 62.5219630", \
+					  "1.8291926, 1.8742163, 2.1916093, 4.1451886, 16.3460320, 38.3302810, 62.6397640", \
+					  "1.8219776, 1.8740380, 2.1918434, 4.1444985, 16.3441530, 38.1652260, 62.4554910");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6074286, 9.8020347, 10.9999490, 18.3209680, 63.5185770, 342.3824600, 2058.8507000", \
+					  "9.7550226, 9.9512221, 11.1477880, 18.4684550, 63.6696670, 342.4866600, 2059.7797000", \
+					  "9.8778301, 10.0727680, 11.2689510, 18.5915390, 63.7901680, 342.6548300, 2060.8504000", \
+					  "9.9769775, 10.1704600, 11.3700210, 18.6894250, 63.8868940, 342.7219600, 2060.3234000", \
+					  "10.0627500, 10.2556600, 11.4294720, 18.7864750, 63.9484410, 342.8342000, 2059.5257000", \
+					  "10.1419280, 10.3368500, 11.5352010, 18.8674320, 64.0287040, 342.8658900, 2060.6572000", \
+					  "10.2134100, 10.4129900, 11.6093950, 18.9325570, 64.1308380, 342.9529400, 2059.9018000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2194220, 13.5979160, 15.9547260, 30.5535650, 120.9849400, 677.3425400, 4111.1512000", \
+					  "13.2173050, 13.5977580, 15.9543680, 30.5618710, 120.9825800, 677.7746600, 4110.3017000", \
+					  "13.2048740, 13.5881950, 15.9556880, 30.5622230, 120.9365900, 677.3067300, 4109.4936000", \
+					  "13.2112380, 13.5919730, 15.9556460, 30.5604250, 120.9816000, 677.6766700, 4109.3399000", \
+					  "13.2048840, 13.5991340, 15.9507940, 30.5610260, 120.7777400, 677.2941700, 4111.1439000", \
+					  "13.2157300, 13.5990690, 15.9535830, 30.5606350, 120.7564500, 677.7756900, 4108.7901000", \
+					  "13.2130710, 13.5978770, 15.9545620, 30.5605820, 120.9837000, 677.7617000, 4113.1298000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948813, 9.2879064, 10.4730650, 17.8063840, 63.0013940, 341.6124300, 2059.0780000", \
+					  "9.2424770, 9.4364481, 10.6238680, 17.9548450, 63.1458900, 341.7585200, 2059.2267000", \
+					  "9.3651256, 9.5581507, 10.7444840, 18.0769780, 63.2159570, 341.7683600, 2060.0473000", \
+					  "9.4627053, 9.6583692, 10.8427330, 18.1759190, 63.3873610, 341.9355600, 2059.4496000", \
+					  "9.5463966, 9.7419397, 10.9264510, 18.2589640, 63.4032630, 341.9757800, 2059.5407000", \
+					  "9.6301020, 9.8218615, 11.0078640, 18.3400350, 63.5318860, 342.1456000, 2059.6162000", \
+					  "9.7015951, 9.8995301, 11.0821010, 18.4175910, 63.6621140, 342.5232400, 2059.5155000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4998390, 13.8879670, 16.2558070, 30.8191230, 121.2223400, 678.3506600, 4112.8301000", \
+					  "13.4996320, 13.8817080, 16.2485260, 30.8158580, 121.2447700, 678.3413900, 4112.8301000", \
+					  "13.5013720, 13.8825960, 16.2377630, 30.8174000, 121.4780000, 678.1725100, 4115.4258000", \
+					  "13.4998590, 13.8893260, 16.2582230, 30.8185650, 121.0950000, 678.2190700, 4112.8319000", \
+					  "13.5015650, 13.8825560, 16.2574200, 30.8126540, 121.4491600, 678.2375400, 4112.8096000", \
+					  "13.4996970, 13.8870710, 16.2558060, 30.8190380, 121.2558200, 678.3440700, 4112.8319000", \
+					  "13.4918090, 13.8805360, 16.2353170, 30.8106460, 121.4089500, 678.7261700, 4112.7105000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7024315, 3.7332861, 3.9092289, 4.9852096, 11.5659340, 44.4043240, 79.6558340", \
+					  "3.8534842, 3.8820018, 4.0579784, 5.1357594, 11.7008730, 44.5453490, 79.8055030", \
+					  "3.9739736, 4.0037635, 4.1803281, 5.2583604, 11.8373860, 44.6584280, 79.9301180", \
+					  "4.0728136, 4.1019508, 4.2795461, 5.3577236, 11.9315930, 44.7647680, 80.0288830", \
+					  "4.1561066, 4.1885331, 4.3622750, 5.4364460, 12.0200720, 44.8467330, 80.1110290", \
+					  "4.2383292, 4.2652277, 4.4448369, 5.5172515, 12.0962090, 44.9253970, 80.1884430", \
+					  "4.3154396, 4.3447459, 4.5209405, 5.5989058, 12.1776380, 45.0151630, 80.2654090");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8736925, 1.9263111, 2.2547624, 4.2988080, 17.0764310, 38.7801290, 42.2702260", \
+					  "1.8717488, 1.9252338, 2.2538817, 4.3015964, 17.0864820, 38.7772520, 42.2640160", \
+					  "1.8737694, 1.9262936, 2.2537217, 4.3014858, 17.0772210, 38.7835150, 42.2746250", \
+					  "1.8708508, 1.9266717, 2.2528823, 4.3015675, 17.0723810, 38.7826340, 42.3558860", \
+					  "1.8738238, 1.9262076, 2.2553273, 4.3028177, 17.0766650, 38.8097270, 42.2461460", \
+					  "1.8737736, 1.9246365, 2.2519884, 4.3005701, 17.0680860, 38.7815010, 42.2184700", \
+					  "1.8738087, 1.9259081, 2.2544160, 4.3014365, 17.0774330, 38.7798910, 42.2157490");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1392970, 3.1687521, 3.3266243, 3.7947068, 4.9716706, 10.4074370, 40.3820510", \
+					  "3.2883609, 3.3162895, 3.4764036, 3.9438803, 5.1200466, 10.5619190, 40.5232720", \
+					  "3.4101615, 3.4391809, 3.5976544, 4.0659542, 5.2418074, 10.6765390, 40.6520330", \
+					  "3.5072698, 3.5378984, 3.6957633, 4.1623354, 5.3388205, 10.7765360, 40.7497290", \
+					  "3.5927838, 3.6221772, 3.7810599, 4.2480974, 5.4244906, 10.8635700, 40.8243890", \
+					  "3.6750201, 3.7042236, 3.8601096, 4.3271422, 5.5040057, 10.9401320, 40.9141800", \
+					  "3.7486356, 3.7788501, 3.9389421, 4.4064379, 5.5832841, 11.0164190, 41.0006500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2354038, 1.2418923, 1.2814122, 1.3720749, 2.0431645, 9.8355448, 51.0164710", \
+					  "1.2347620, 1.2417556, 1.2814394, 1.3717781, 2.0433006, 9.8484518, 50.9717000", \
+					  "1.2347384, 1.2417921, 1.2812787, 1.3721626, 2.0431825, 9.8397246, 51.0158390", \
+					  "1.2354098, 1.2419121, 1.2814083, 1.3720715, 2.0430966, 9.8371427, 51.0164780", \
+					  "1.2345456, 1.2421087, 1.2814075, 1.3719487, 2.0433137, 9.8510844, 50.9977270", \
+					  "1.2353988, 1.2417845, 1.2814102, 1.3720750, 2.0431314, 9.8373407, 51.0161340", \
+					  "1.2356454, 1.2420651, 1.2814017, 1.3722341, 2.0396633, 9.8506661, 50.9589600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0947951, 9.2878434, 10.4729160, 17.8062680, 63.0289420, 341.6127200, 2059.0801000", \
+					  "9.2450891, 9.4360031, 10.6210580, 17.9540400, 63.1371080, 341.7587500, 2059.2254000", \
+					  "9.3656434, 9.5583594, 10.7423630, 18.0798260, 63.2561030, 341.7677600, 2060.3502000", \
+					  "9.4640695, 9.6550865, 10.8425740, 18.1757470, 63.3627270, 341.9816000, 2059.4482000", \
+					  "9.5479171, 9.7429975, 10.9284600, 18.2589890, 63.4774440, 342.2784700, 2060.0464000", \
+					  "9.6282591, 9.8187964, 11.0041650, 18.3402410, 63.5242120, 342.1436400, 2059.6101000", \
+					  "9.7059711, 9.8998374, 11.0867490, 18.4170710, 63.5472620, 342.5291800, 2059.7843000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4996860, 13.8876530, 16.2576490, 30.8179010, 120.9705400, 678.3501500, 4112.8319000", \
+					  "13.5095930, 13.8876500, 16.2572020, 30.8222110, 121.2845900, 678.3398600, 4112.8287000", \
+					  "13.5067270, 13.8802300, 16.2551890, 30.8076840, 121.0842800, 678.1689300, 4113.4840000", \
+					  "13.5012610, 13.8877740, 16.2568610, 30.8188710, 121.2685800, 678.3473100, 4112.8314000", \
+					  "13.5106360, 13.8892160, 16.2562480, 30.8136410, 121.0358200, 678.2636300, 4111.7928000", \
+					  "13.4996620, 13.8877500, 16.2554270, 30.8223170, 121.2682900, 678.3461700, 4112.8296000", \
+					  "13.5044490, 13.8901100, 16.2388080, 30.8238840, 120.9670900, 678.8612800, 4112.6941000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6213597, 9.7947250, 10.9992460, 18.3235330, 63.4760510, 342.2212800, 2058.2588000", \
+					  "9.7475015, 9.9415676, 11.1399430, 18.4726670, 63.6256770, 342.5506300, 2061.0198000", \
+					  "9.8689661, 10.0644790, 11.2622770, 18.5939960, 63.7397410, 342.4906400, 2059.2422000", \
+					  "9.9686295, 10.1650930, 11.3620670, 18.6924010, 63.8452060, 342.6077900, 2059.7654000", \
+					  "10.0722430, 10.2490470, 11.4503760, 18.8044900, 63.9409590, 342.3297100, 2060.5012000", \
+					  "10.1359800, 10.3286140, 11.5206450, 18.8583620, 64.0107200, 342.6993400, 2058.9246000", \
+					  "10.2105150, 10.4054410, 11.6036300, 18.9287910, 64.0812560, 343.0045900, 2060.1653000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2144160, 13.6045960, 15.9538320, 30.5242160, 120.6166900, 677.7180500, 4112.6350000", \
+					  "13.1977390, 13.6045900, 15.9577860, 30.5240630, 120.6154800, 677.6482500, 4112.1943000", \
+					  "13.1964630, 13.6047080, 15.9571590, 30.5242020, 120.6455400, 677.7159500, 4106.8606000", \
+					  "13.1982190, 13.6062690, 15.9607920, 30.5255910, 120.6171200, 677.7162300, 4111.6346000", \
+					  "13.2146390, 13.6047260, 15.9577120, 30.5368850, 121.0372900, 677.6108400, 4107.3677000", \
+					  "13.2231000, 13.6044930, 15.9735190, 30.5236230, 120.6083900, 677.7253800, 4109.5986000", \
+					  "13.1983830, 13.6044820, 15.9652420, 30.5237650, 120.6445100, 677.6608600, 4112.0015000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0619747, 3.0896503, 3.2604537, 4.2927133, 10.5271430, 40.7787760, 86.6139090", \
+					  "3.1637699, 3.1910508, 3.3619723, 4.3946087, 10.6274340, 40.9149660, 86.7145150", \
+					  "3.2211125, 3.2487726, 3.4192587, 4.4518429, 10.6855380, 40.9718480, 86.7714720", \
+					  "3.2604406, 3.2882881, 3.4588643, 4.4912731, 10.7287830, 40.9738980, 86.8090670", \
+					  "3.2891496, 3.3169791, 3.4875443, 4.5201101, 10.7575940, 41.0051090, 86.8392010", \
+					  "3.3125147, 3.3401311, 3.5110626, 4.5436215, 10.7807820, 41.0338470, 86.8642900", \
+					  "3.3310315, 3.3596559, 3.5292376, 4.5617918, 10.7948190, 41.0758570, 86.8927190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8232746, 1.8713022, 2.1859667, 4.1566550, 16.3078210, 38.0592800, 62.4739730", \
+					  "1.8232723, 1.8713655, 2.1862294, 4.1547192, 16.3440460, 38.0908570, 62.4347720", \
+					  "1.8232329, 1.8713906, 2.1865503, 4.1576320, 16.3458580, 38.0720310, 62.4759340", \
+					  "1.8206561, 1.8743118, 2.1856579, 4.1574570, 16.3409430, 38.0738780, 62.4167380", \
+					  "1.8207568, 1.8713664, 2.1862716, 4.1479580, 16.3368150, 38.0466110, 62.4417000", \
+					  "1.8240747, 1.8712301, 2.1860009, 4.1578336, 16.3213980, 38.0334630, 62.4662630", \
+					  "1.8235534, 1.8712913, 2.1865163, 4.1578843, 16.3430990, 38.0718210, 62.4392480");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4528524, 3.4813903, 3.6653351, 4.7681836, 11.3725860, 44.1356390, 79.3408650", \
+					  "3.6030168, 3.6320127, 3.8133456, 4.9142139, 11.5102200, 44.2760640, 79.4901710", \
+					  "3.7213721, 3.7522363, 3.9337387, 5.0350009, 11.6238810, 44.4083060, 79.6111620", \
+					  "3.8240877, 3.8514258, 4.0362451, 5.1321041, 11.7240530, 44.4998780, 79.7126060", \
+					  "3.9055781, 3.9337422, 4.1201598, 5.2215334, 11.8282100, 44.5902660, 79.7962940", \
+					  "3.9889199, 4.0191832, 4.1989274, 5.2970015, 11.9087650, 44.6612310, 79.8754840", \
+					  "4.0645936, 4.0958752, 4.2781476, 5.3741260, 11.9894620, 44.7469900, 79.9518460");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8683852, 1.9218656, 2.2527049, 4.3044266, 17.0860730, 38.6645880, 42.2232200", \
+					  "1.8704083, 1.9236903, 2.2510662, 4.3022079, 17.0698890, 38.6616850, 42.2063810", \
+					  "1.8704609, 1.9232227, 2.2484981, 4.3032768, 17.0836180, 38.6594690, 42.2477910", \
+					  "1.8701969, 1.9232176, 2.2508466, 4.3032267, 17.0838990, 38.6856240, 42.2159160", \
+					  "1.8690269, 1.9219908, 2.2489242, 4.3043276, 17.0853930, 38.6624240, 42.2423840", \
+					  "1.8691177, 1.9227249, 2.2523603, 4.3031512, 17.0684910, 38.6651530, 42.3248810", \
+					  "1.8705961, 1.9233266, 2.2514861, 4.3029535, 17.0859860, 38.6962390, 42.2550170");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3204440, 9.5183212, 10.7221530, 18.1529010, 63.7299780, 342.7524900, 2060.8677000", \
+					  "9.4230996, 9.6196713, 10.8297930, 18.2646950, 63.8460310, 342.9693700, 2061.4364000", \
+					  "9.4804902, 9.6768478, 10.8864590, 18.3209930, 63.8989590, 342.9167100, 2060.4575000", \
+					  "9.5209485, 9.7173567, 10.9259060, 18.3622170, 63.9052690, 342.7962300, 2060.5180000", \
+					  "9.5465991, 9.7455892, 10.9521800, 18.3888620, 63.9294130, 343.1818500, 2060.7072000", \
+					  "9.5692183, 9.7687751, 10.9744430, 18.4071820, 63.9888640, 342.9366300, 2060.4341000", \
+					  "9.5918748, 9.7853006, 10.9943170, 18.4263040, 64.0137050, 342.7687400, 2060.0412000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4978240, 13.8912540, 16.2585450, 30.7804130, 121.4767600, 678.1763800, 4113.5513000", \
+					  "13.4956210, 13.8913780, 16.2518760, 30.8087640, 121.4346500, 678.7332200, 4117.9978000", \
+					  "13.5062600, 13.8897330, 16.2361720, 30.8469730, 121.4306500, 678.1922400, 4111.4986000", \
+					  "13.5083290, 13.8793110, 16.2538160, 30.8109480, 121.2619800, 678.1137900, 4112.0302000", \
+					  "13.4889960, 13.8910130, 16.2420030, 30.8163700, 121.2546200, 678.8620800, 4113.6186000", \
+					  "13.4826090, 13.8776780, 16.2576480, 30.8187890, 121.4682500, 678.4530300, 4112.4554000", \
+					  "13.5025480, 13.8636860, 16.2431930, 30.8477510, 121.4368100, 677.5025600, 4110.1016000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3833321, 3.4121139, 3.5869760, 4.6646895, 11.2478360, 44.0622580, 79.3205860", \
+					  "3.5299379, 3.5606729, 3.7383319, 4.8168798, 11.3842600, 44.2065090, 79.4708650", \
+					  "3.6521799, 3.6809074, 3.8586698, 4.9384603, 11.5192630, 44.3234390, 79.5920980", \
+					  "3.7510555, 3.7797236, 3.9564236, 5.0326823, 11.6031180, 44.4355810, 79.6959090", \
+					  "3.8332884, 3.8626342, 4.0432013, 5.1218385, 11.6879320, 44.5114840, 79.7755960", \
+					  "3.9181929, 3.9472603, 4.1240802, 5.1993512, 11.7613260, 44.5880670, 79.8555040", \
+					  "3.9929899, 4.0227661, 4.1991921, 5.2764180, 11.8571880, 44.6821820, 79.9365050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8720119, 1.9244026, 2.2550555, 4.3035406, 17.0844760, 38.8027100, 42.2850840", \
+					  "1.8724138, 1.9261999, 2.2506781, 4.3035664, 17.0515760, 38.7719400, 42.2927040", \
+					  "1.8735966, 1.9267532, 2.2526478, 4.3051007, 17.0822910, 38.7822500, 42.2292420", \
+					  "1.8737694, 1.9260913, 2.2551896, 4.2979171, 17.0550740, 38.7826340, 42.2284290", \
+					  "1.8721897, 1.9268642, 2.2501132, 4.3037964, 17.0474190, 38.7723450, 42.2864870", \
+					  "1.8729529, 1.9269804, 2.2538731, 4.3050661, 17.0681480, 38.7828510, 42.3239680", \
+					  "1.8737849, 1.9257065, 2.2542386, 4.3014334, 17.0784450, 38.7765550, 42.2167720");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8540525, -3.9169035, -3.9797545, -3.9806407, -3.9814914, -3.9823776, -3.9832638");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9666343, 4.0300597, 4.0934851, 4.0914259, 4.0894490, 4.0873898, 4.0853306");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.149251;
+			capacitance : 0.148539;
+			fall_capacitance : 0.147827;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1582647, -0.1580962, -0.1579278, -0.1569414, -0.1559944, -0.1550080, -0.1540216");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1566545, 0.1570026, 0.1573506, 0.1563425, 0.1553746, 0.1543664, 0.1533583");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.142339;
+			capacitance : 0.141664;
+			fall_capacitance : 0.140989;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1592391, -0.1586047, -0.1579704, -0.1576334, -0.1573098, -0.1569728, -0.1566358");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1574531, 0.1575279, 0.1576028, 0.1564022, 0.1552495, 0.1540489, 0.1528482");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "tt_tt_1p80v_x_3p30v_025C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..784c69a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..ff6d8cd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VCCD1", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..54464cd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..180991c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VCCD1", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..1639926
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..e234d1c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..d4be11a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.95);
+	voltage_map("VCCD1", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..e25045e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..7bcb079
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..9972db5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..a9760d5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.95);
+	voltage_map("VCCD1", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..a8083e4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..f60a9e6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..bd22cd8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..8952a5d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 3.00);
+	voltage_map("VCCD1", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..c289dd0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..a38c2ee
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VCCD1", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..a062a20
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..6216bc0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VCCD1", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..9a24033
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VCCD1", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..645b952
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..b6adf60
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VCCD1", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..4830206
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..2ddaf8c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..7815fe9
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..1cd5ae2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VCCD1", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..fcfeea1
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VSSD1, 0.00);
+	voltage_map("VDDA", 3.30);
+	voltage_map("VCCD1", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2.65;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		} /* pin  */
+
+		pg_pin (VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..b30190a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..1b9b36c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..0ec1695
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..5e82023
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..7556228
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..0cb0e60
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..a508b13
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..2984363
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..23cc4e5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..84921c0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..76b5f65
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..af2c7b0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..3736fd1
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..e25e234
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..493a13c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..1e0c33c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..dadc33c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..51436f1
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..843b3b8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..a0b14fb
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..53580d8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..44c2503
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..5247ab0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..c918528
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..7c9118d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..2cf8ea0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..886b05f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_ef_io__vccd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2.65;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VCCD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..18ac46c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..7daf12c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 80.21;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..491e0f1
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..986de13
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..c4feb63
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..a04878c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..859b604
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..040073a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..b459ea3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..5c56fa9
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..fc89d4a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..f9220dd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..7b172ce
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..d5b84dd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..b5daeb4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..0b59f16
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..973d774
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..d974488
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..f051945
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..17385b6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..217fdd3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..439b887
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..bc67251
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..902932c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..21eb9f8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..1d927f0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..214fec6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_ef_io__vdda_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 6;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..3be013c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..5070644
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 80.21;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..35dee08
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..317840d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..0f6d9f8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..1801096
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..a424e88
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..7265706
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..d0c03bd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..1decc5e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..aaec9a4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..456b6cb
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..db64a99
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..830a404
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..b96ca86
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..e33741c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..0102e85
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..63a7e44
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..13121f9
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..9aa1212
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..50f986c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..6fc0aca
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..58f48f0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..d19f41d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..7f47d31
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..7b5c4bd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..7b4fd2e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_ef_io__vddio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 6;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VDDIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..cb4f695
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..dd03cd5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 80.21;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..456ca44
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..9426328
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..1770829
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..033ddea
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..57b4d22
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..6c68912
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..4ed5594
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..a1c6e98
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..0873927
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..ba39cbd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..605dc10
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..b82d160
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..24afbca
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..c434382
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..8265a4d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..1c57e0c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..53b31ad
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..48c6a88
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..6afab36
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..c42f0c1
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..82baff4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..dec8c3d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..c94a550
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..2b3a9fb
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..6480239
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_ef_io__vssa_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 6;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSA_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50.lib
new file mode 100644
index 0000000..2c9cd46
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100 ;
+  nom_voltage                   : 1.95 ;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.95);
+  voltage_map(VCCD,1.95);
+  voltage_map(VCCHIB,1.95);
+
+  voltage_map(VDDIO,5.50);
+  voltage_map(VDDIO_Q,5.50);
+  voltage_map(VDDA,5.50);
+  voltage_map(VSWITCH,5.50);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions("min_ind") {
+    process	: 1 ;
+    temperature : 100 ;
+    voltage	: 1.95  ;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "min_ind";
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :  628.41 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..81f37c0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95v_5.50v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95v_5.50v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib
new file mode 100644
index 0000000..8272007
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.95	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.95);
+  voltage_map(VCCD, 1.95);
+  voltage_map(VCCHIB, 1.95);
+
+  voltage_map(VDDIO, 5.50);
+  voltage_map(VDDIO_Q, 5.50);
+  voltage_map(VDDA, 5.50);
+  voltage_map(VSWITCH, 5.50);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (Min) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.95	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Min"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :  0.38 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..f3d029b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95v_5.50v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95v_5.50v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;		
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..9a455f5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.65;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65v_1.65v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65v_1.65v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..cf64f38
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..61e53c3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.95v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.95v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..eac77d8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.65;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65v_1.65v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65v_1.65v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..15bd784
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..6e93d83
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.95v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.95v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v40_1v65.lib
new file mode 100644
index 0000000..3138d36
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v40_1v65.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v40_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.40	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.40);
+  voltage_map(VCCD, 1.40);
+  voltage_map(VCCHIB, 1.40);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (deep_sleep_max_100) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.40	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_max_100"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :   45;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v45_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v45_1v65.lib
new file mode 100644
index 0000000..eeaf331
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v45_1v65.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v45_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.45	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.45);
+  voltage_map(VCCD, 1.45);
+  voltage_map(VCCHIB, 1.45);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (deep_sleep_lfclk_max_-40) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.45	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_lfclk_max_-40"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :   47.98 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_1v65.lib
new file mode 100644
index 0000000..4218ae2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_1v65.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.60);
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (max_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "max_ind"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :  57.67;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib
new file mode 100644
index 0000000..0e87601
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_3v00) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.60);
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 3.00);
+  voltage_map(VDDIO_Q, 3.00);
+  voltage_map(VDDA, 3.00);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (max_io_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "max_io_ind"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :   57.67 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..0fec1cc
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.60);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60v_5.50v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60v_5.50v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v95_1v95.lib
new file mode 100644
index 0000000..bd6c277
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v95_1v95.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSSD1", 0.00);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.95_1.95_100";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..ee790a7
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.40;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.40);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40v_5.50v_5.50v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40v_5.50v_5.50v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}	
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..5a17ab8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.60);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60v_5.50v_5.50v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60v_5.50v_5.50v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..c5313cb
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.35;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.35);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35v_5.50v_5.50v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35v_5.50v_5.50v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..1fbf80d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.60);
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60v_5.50v_5.50v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60v_5.50v_5.50v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..fddd562
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.35;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.35);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35v_1.65v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35v_1.65v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v40_1v65.lib
new file mode 100644
index 0000000..580d339
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v40_1v65.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v40_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.40	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.40);
+  voltage_map(VCCD, 1.40);
+  voltage_map(VCCHIB, 1.40);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (deep_sleep_max_-40) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.40	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_max_-40"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :  0.01 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v45_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v45_1v65.lib
new file mode 100644
index 0000000..cb2c880
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v45_1v65.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v45_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.45	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.45);
+  voltage_map(VCCD, 1.45);
+  voltage_map(VCCHIB, 1.45);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (deep_sleep_lfclk_max_-40) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.45	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_lfclk_max_-40"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :   0.01;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_1v65.lib
new file mode 100644
index 0000000..2980852
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_1v65.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.60);
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (Max) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Max"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :  0.03 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..072da12
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,202 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.60);
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSD1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60v_5.50v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60v_5.50v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v95_1v95.lib
new file mode 100644
index 0000000..ae49cd7
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v95_1v95.lib
@@ -0,0 +1,199 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped3_pad_ss_n40C_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+  voltage_map(VCCD1, 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSSD1", 0.00);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.95_1.95_-40";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped3_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+		pg_pin (VSSD1) {
+			voltage_name : VSSD1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib
new file mode 100644
index 0000000..3ca8599
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 025	;
+  nom_voltage                   : 1.80	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.80);
+  voltage_map(VCCD, 1.80);
+  voltage_map(VCCHIB, 1.80);
+
+  voltage_map(VDDIO, 3.30);
+  voltage_map(VDDIO_Q, 3.30);
+  voltage_map(VDDA, 3.30);
+  voltage_map(VSWITCH, 3.30);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (Typ) {
+    process	: 1 ;
+    temperature : 025	;
+    voltage	: 1.80	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Typ"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power :   2.65;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_100C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_100C_1v80_3v30.lib
new file mode 100644
index 0000000..79eaa94
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_100C_1v80_3v30.lib
@@ -0,0 +1,193 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped3_pad_tt_100C_1v80_3v30) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.80	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD1, 1.80);
+  voltage_map(VCCD, 1.80);
+  voltage_map(VCCHIB, 1.80);
+
+  voltage_map(VDDIO, 3.30);
+  voltage_map(VDDIO_Q, 3.30);
+  voltage_map(VDDA, 3.30);
+  voltage_map(VSWITCH, 3.30);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+  voltage_map(VSSD1, 0.00);
+
+  operating_conditions (typ_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.80	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "typ_ind"	
+
+cell (sky130_ef_io__vssd_lvc_clamped3_pad) {
+    cell_leakage_power : 145.39  ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+		pg_pin(VCCD1) {
+			voltage_name : VCCD1 ;
+			pg_type : primary_power ;
+		} /* pin  */
+
+    pg_pin(VSSD1) {
+    	  voltage_name : VSSD1 ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50.lib
new file mode 100644
index 0000000..9d7f6ed
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100 ;
+  nom_voltage                   : 1.95 ;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD,1.95);
+  voltage_map(VCCHIB,1.95);
+
+  voltage_map(VDDIO,5.50);
+  voltage_map(VDDIO_Q,5.50);
+  voltage_map(VDDA,5.50);
+  voltage_map(VSWITCH,5.50);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions("min_ind") {
+    process	: 1 ;
+    temperature : 100 ;
+    voltage	: 1.95  ;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "min_ind";
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :  628.41 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..72151e8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95v_5.50v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95v_5.50v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib
new file mode 100644
index 0000000..24e36d3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.95	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.95);
+  voltage_map(VCCHIB, 1.95);
+
+  voltage_map(VDDIO, 5.50);
+  voltage_map(VDDIO_Q, 5.50);
+  voltage_map(VDDA, 5.50);
+  voltage_map(VSWITCH, 5.50);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (Min) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.95	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Min"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :  0.38 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..4989da2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95v_5.50v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95v_5.50v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;		
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..149e0d4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.65;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65v_1.65v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65v_1.65v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..f75ac06
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..208c58d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.95v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.95v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..5c52850
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.65;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65v_1.65v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65v_1.65v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..d55b7ab
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..c385dbe
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.95v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.95v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v40_1v65.lib
new file mode 100644
index 0000000..0dbdf2f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v40_1v65.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v40_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.40	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.40);
+  voltage_map(VCCHIB, 1.40);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (deep_sleep_max_100) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.40	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_max_100"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :   45;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v45_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v45_1v65.lib
new file mode 100644
index 0000000..07ee6f7
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v45_1v65.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v45_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.45	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.45);
+  voltage_map(VCCHIB, 1.45);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (deep_sleep_lfclk_max_-40) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.45	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_lfclk_max_-40"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :   47.98 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_1v65.lib
new file mode 100644
index 0000000..675677a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_1v65.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (max_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "max_ind"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :  57.67;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib
new file mode 100644
index 0000000..07d77bf
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 3.00);
+  voltage_map(VDDIO_Q, 3.00);
+  voltage_map(VDDA, 3.00);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (max_io_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "max_io_ind"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :   57.67 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..ba9ea6d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60v_5.50v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60v_5.50v_1.65v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v95_1v95.lib
new file mode 100644
index 0000000..182a23a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v95_1v95.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.95_1.95_100";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..8d1154d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.40;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40v_5.50v_5.50v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40v_5.50v_5.50v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}	
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..2f8930b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60v_5.50v_5.50v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60v_5.50v_5.50v_100C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..935290a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.35;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35v_5.50v_5.50v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35v_5.50v_5.50v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..4c5415a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60v_5.50v_5.50v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60v_5.50v_5.50v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..37a7999
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.35;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35v_1.65v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35v_1.65v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v40_1v65.lib
new file mode 100644
index 0000000..6a90196
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v40_1v65.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v40_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.40	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.40);
+  voltage_map(VCCHIB, 1.40);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (deep_sleep_max_-40) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.40	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_max_-40"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :  0.01 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v45_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v45_1v65.lib
new file mode 100644
index 0000000..80f91e2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v45_1v65.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v45_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.45	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.45);
+  voltage_map(VCCHIB, 1.45);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (deep_sleep_lfclk_max_-40) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.45	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_lfclk_max_-40"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :   0.01;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_1v65.lib
new file mode 100644
index 0000000..1d6b7c0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_1v65.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (Max) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Max"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :  0.03 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..770bbee
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,191 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60v_5.50v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60v_5.50v_1.65v_-40C";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v95_1v95.lib
new file mode 100644
index 0000000..26821a2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v95_1v95.lib
@@ -0,0 +1,188 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssd_lvc_clamped_pad_ss_n40C_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.95_1.95_-40";
+
+	cell ("sky130_ef_io__vssd_lvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("VSSD_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib
new file mode 100644
index 0000000..134ce06
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 025	;
+  nom_voltage                   : 1.80	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.80);
+  voltage_map(VCCHIB, 1.80);
+
+  voltage_map(VDDIO, 3.30);
+  voltage_map(VDDIO_Q, 3.30);
+  voltage_map(VDDA, 3.30);
+  voltage_map(VSWITCH, 3.30);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (Typ) {
+    process	: 1 ;
+    temperature : 025	;
+    voltage	: 1.80	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Typ"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power :   2.65;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_100C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_100C_1v80_3v30.lib
new file mode 100644
index 0000000..fbc4556
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_100C_1v80_3v30.lib
@@ -0,0 +1,182 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_ef_io__vssd_lvc_clamped_pad_tt_100C_1v80_3v30) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.80	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.80);
+  voltage_map(VCCHIB, 1.80);
+
+  voltage_map(VDDIO, 3.30);
+  voltage_map(VDDIO_Q, 3.30);
+  voltage_map(VDDA, 3.30);
+  voltage_map(VSWITCH, 3.30);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  
+
+  operating_conditions (typ_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.80	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "typ_ind"	
+
+cell (sky130_ef_io__vssd_lvc_clamped_pad) {
+    cell_leakage_power : 145.39  ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+
+
+
+
+
+
+
+    pin(VSSD_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..070f806
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..efe5b97
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 80.21;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..44b64a4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..eb1c268
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..e58cf8a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..d0e0db6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..291e569
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..ecc473f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..4624a04
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..9bb45d2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..b0ce7e8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..cf3d974
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..fc6a8ea
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..3df27d5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..89f60d3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..f970977
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..1cf6474
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..061eda4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..3feb24b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..2987a18
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..e24fd9b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..27e91ad
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..b0b93e0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..7496758
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..73cdc10
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..2886292
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..5b2d39f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,185 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_ef_io__vssio_hvc_clamped_pad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 6;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("VSSIO_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_100C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_100C_1v95_5v50.lib
new file mode 100644
index 0000000..385496e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_100C_1v95_5v50.lib
@@ -0,0 +1,4752 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ff_100C_1v95_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.986820e+03;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1984.4800000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "685.6870000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1825.5600000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1978.3400000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1986.8200000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1817.0800000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1821.2600000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1823.2200000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1982.5100000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1805.2400000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1958.1600000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1816.3200000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1816.4500000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1812.5900000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1804.6000000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1973.8500000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1977.7100000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1977.5800000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006905;
+			capacitance : 0.006776;
+			fall_capacitance : 0.006648;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3544162, 0.2854593, 0.2586238, 0.2442987, 0.2384207, 0.2363045, 0.2387641", \
+					  "0.4035896, 0.3397306, 0.3095143, 0.2991401, 0.2959092, 0.2979569, 0.2993903", \
+					  "0.3999496, 0.3216500, 0.3158738, 0.3007289, 0.2917365, 0.2787635, 0.2931124", \
+					  "0.3791312, 0.2963632, 0.2670267, 0.2632066, 0.2676919, 0.2644888, 0.2527765", \
+					  "0.3374250, 0.2737530, 0.2211375, 0.2281022, 0.2130690, 0.2081434, 0.2103606", \
+					  "0.3134259, 0.2340454, 0.1703557, 0.1940029, 0.1928678, 0.1872775, 0.1737277", \
+					  "0.2649045, 0.1940241, 0.1356870, 0.1505467, 0.1408741, 0.1421937, 0.1522827");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1972970, 0.0867916, 0.0114313, -0.0498097, -0.1020991, -0.1479605, -0.1928617", \
+					  "0.2597356, 0.1536752, 0.0710379, 0.0160878, -0.0388826, -0.0919247, -0.1381937", \
+					  "0.2427616, 0.1366490, 0.0662726, -0.0004490, -0.0367949, -0.1039938, -0.1536372", \
+					  "0.2265892, 0.1017658, 0.0529096, -0.0200360, -0.0822198, -0.1204716, -0.1790473", \
+					  "0.1678833, 0.0716522, 0.0305642, -0.0558443, -0.1269821, -0.1361326, -0.2339033", \
+					  "0.1320753, 0.0071639, -0.0140877, -0.0962605, -0.1274450, -0.1718272, -0.2549664", \
+					  "0.0738976, -0.0077881, -0.0610831, -0.1226543, -0.2019070, -0.2139485, -0.2768490");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1001743, -0.0309116, -0.0059053, 0.0038422, 0.0066684, 0.0011551, 0.0093768", \
+					  "-0.1564603, -0.0877434, -0.0562869, -0.0505348, -0.0353185, -0.0389874, -0.0374379", \
+					  "-0.1351075, -0.0872391, -0.0700307, -0.0392763, -0.0459951, -0.0374711, -0.0520315", \
+					  "-0.1233623, -0.0509248, -0.0406687, -0.0243639, -0.0421940, -0.0157001, -0.0032607", \
+					  "-0.0696945, -0.0207160, -0.0009180, 0.0131096, -0.0031126, -0.0081009, 0.0285418", \
+					  "-0.0469757, 0.0376687, 0.0422258, 0.0515181, 0.0157400, 0.0125104, 0.0234323", \
+					  "-0.0030320, 0.0983971, 0.0876954, 0.1049853, 0.0619964, 0.0401773, 0.0485485");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1765133, -0.0660068, 0.0032499, 0.0662468, 0.1217556, 0.1650531, 0.2027351", \
+					  "-0.2373769, -0.1360762, -0.0543083, -0.0056749, 0.0553318, 0.1059400, 0.1620185", \
+					  "-0.2314929, -0.1280459, -0.0531102, 0.0139499, 0.0696762, 0.1166854, 0.1670533", \
+					  "-0.1858218, -0.0947834, -0.0439549, 0.0422611, 0.0967974, 0.1488857, 0.1984301", \
+					  "-0.1468222, -0.0569451, -0.0143248, 0.0779923, 0.1368991, 0.1877991, 0.2410947", \
+					  "-0.1010479, -0.0122933, 0.0303270, 0.1125767, 0.1717090, 0.1830667, 0.2666433", \
+					  "-0.0520182, 0.0408057, 0.0834260, 0.1361753, 0.2177412, 0.2616112, 0.2999088");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0444465, 0.0448841, 0.0453217, 0.0477679, 0.0501163, 0.0525625, 0.0550087");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559635, 0.0570456, 0.0581278, 0.0609150, 0.0635907, 0.0663779, 0.0691651");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005135;
+			capacitance : 0.005008;
+			fall_capacitance : 0.004881;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0757812, -0.0034458, 0.0223568, 0.0381159, 0.0314891, 0.0433119, 0.0515447", \
+					  "-0.1354154, -0.0655857, -0.0387939, -0.0103247, -0.0069030, 0.0003964, 0.0019098", \
+					  "-0.1232022, -0.0660907, -0.0275653, -0.0111582, 0.0020101, 0.0534840, 0.0139815", \
+					  "-0.0925100, -0.0278501, -0.0240454, 0.0192560, 0.0268020, 0.0334470, 0.0249154", \
+					  "-0.0552722, 0.0034842, 0.0326182, 0.0669421, 0.0312896, 0.0109256, 0.0649935", \
+					  "-0.0117053, 0.0481360, 0.0773794, 0.1064364, 0.0757348, 0.0948019, 0.0296667", \
+					  "0.0366198, 0.0966573, 0.1173118, 0.1442538, 0.1209227, 0.0906322, 0.0863483");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1627456, -0.0537998, 0.0314863, 0.0879067, 0.1485967, 0.2012928, 0.2503641", \
+					  "-0.2175056, -0.1150958, -0.0367552, 0.0395637, 0.0906747, 0.1503170, 0.2025592", \
+					  "-0.2005132, -0.1043935, -0.0238858, 0.0321517, 0.0996145, 0.1518895, 0.2020274", \
+					  "-0.1889853, -0.0680792, -0.0038976, 0.0662647, 0.1269331, 0.1861092, 0.2368408", \
+					  "-0.1288707, -0.0409221, 0.0002956, 0.0997378, 0.1504147, 0.2197147, 0.2636588", \
+					  "-0.1110728, 0.0189885, 0.0437320, 0.1394638, 0.2054875, 0.2024193, 0.3156806", \
+					  "-0.0656033, 0.0385181, 0.0898013, 0.1857730, 0.2432494, 0.2882394, 0.3352865");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3574914, 0.2854577, 0.2510236, 0.2259883, 0.2170586, 0.2134165, 0.2143502", \
+					  "0.4036923, 0.3385496, 0.3067757, 0.2810371, 0.2803813, 0.2784559, 0.2739402", \
+					  "0.4014281, 0.3217049, 0.3081466, 0.2885219, 0.2700780, 0.2627443, 0.2504042", \
+					  "0.3822062, 0.2962306, 0.2704661, 0.2493267, 0.2493813, 0.2351110, 0.2222589", \
+					  "0.3456324, 0.2751646, 0.2180520, 0.2281022, 0.2176466, 0.2109827, 0.2073088", \
+					  "0.3165008, 0.2325140, 0.1673795, 0.1895539, 0.1547195, 0.1427004, 0.1372289", \
+					  "0.2679795, 0.1857786, 0.1372508, 0.1374968, 0.1306714, 0.1185847, 0.1281806");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820048, 0.0684814, -0.0068236, -0.0729986, -0.1292417, -0.1879788, -0.2357782", \
+					  "0.2459768, 0.1311929, 0.0556016, -0.0077740, -0.0740692, -0.1261055, -0.1778990", \
+					  "0.2214112, 0.1236852, 0.0411906, -0.0229476, -0.0767624, -0.1307408, -0.1880003", \
+					  "0.2082456, 0.0843191, 0.0234159, -0.0457564, -0.1076103, -0.1760248, -0.2102349", \
+					  "0.1499105, 0.0602138, 0.0189844, -0.0822158, -0.1387377, -0.1988686, -0.2524909", \
+					  "0.1318591, -0.0027486, -0.0265597, -0.1248727, -0.1871222, -0.1907889, -0.2883551", \
+					  "0.0879154, -0.0207524, -0.0706288, -0.1710717, -0.2241854, -0.2624789, -0.3193653");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0531662, 0.0535960, 0.0540257, 0.0563422, 0.0585659, 0.0608824, 0.0631988");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0651380, 0.0660632, 0.0669884, 0.0697852, 0.0724700, 0.0752667, 0.0780635");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006797;
+			capacitance : 0.006671;
+			fall_capacitance : 0.006545;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3727263, 0.3038207, 0.2723567, 0.2580316, 0.2491019, 0.2500375, 0.2524970", \
+					  "0.4309903, 0.3576525, 0.3231489, 0.3100500, 0.3146640, 0.3014984, 0.3197059", \
+					  "0.4198314, 0.3394933, 0.3252627, 0.3145859, 0.3047701, 0.2949396, 0.3049701", \
+					  "0.3974415, 0.3122727, 0.2822081, 0.2768427, 0.2768471, 0.2751820, 0.2708651", \
+					  "0.3558972, 0.2925805, 0.2338395, 0.2418351, 0.2374830, 0.2292634, 0.2210417", \
+					  "0.3317361, 0.2593371, 0.1843405, 0.2140287, 0.2065641, 0.2074997, 0.1826610", \
+					  "0.2832148, 0.2123416, 0.1510933, 0.1594852, 0.1533065, 0.1482972, 0.1677173");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2018690, 0.0929131, 0.0160098, -0.0470571, -0.1059486, -0.1545740, -0.1936587", \
+					  "0.2554736, 0.1507429, 0.0712426, 0.0161833, -0.0368673, -0.0933470, -0.1425571", \
+					  "0.2332740, 0.1337362, 0.0680255, 0.0026435, -0.0597549, -0.0935281, -0.1551580", \
+					  "0.2310963, 0.1031618, 0.0639191, -0.0286006, -0.0851946, -0.1199563, -0.1805731", \
+					  "0.1689133, 0.0733169, 0.0352521, -0.0554288, -0.1241878, -0.1674992, -0.2121595", \
+					  "0.1517271, 0.0088286, -0.0093461, -0.0959647, -0.1728730, -0.1675410, -0.2504239", \
+					  "0.1093093, -0.0015457, -0.0563416, -0.1207112, -0.2031350, -0.2100363, -0.2676220");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1032260, -0.0324375, -0.0089571, 0.0007904, 0.0020907, -0.0018966, 0.0108642", \
+					  "-0.1595135, -0.0975251, -0.0662402, -0.0526361, -0.0410081, -0.0433202, -0.0435957", \
+					  "-0.1381468, -0.0902917, -0.0745680, -0.0444357, -0.0459956, -0.0393523, 0.0231368", \
+					  "-0.1248881, -0.0509256, -0.0440616, -0.0300332, -0.0393077, -0.0203999, -0.0096920", \
+					  "-0.0712149, -0.0222426, -0.0039673, 0.0100731, -0.0030951, -0.0081037, 0.0252066", \
+					  "-0.0500274, 0.0376680, 0.0391738, 0.0483809, 0.0142527, 0.0110367, 0.0234285", \
+					  "-0.0060837, 0.0968705, 0.0846434, 0.1049867, 0.0604797, 0.0416418, 0.0485514");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1719356, -0.0644810, 0.0032499, 0.0692993, 0.1262281, 0.1664999, 0.2027149", \
+					  "-0.2343250, -0.1330246, -0.0525531, 0.0052820, 0.0586030, 0.1090885, 0.1635599", \
+					  "-0.2172968, -0.1158386, -0.0472859, 0.0175084, 0.0734538, 0.1235478, 0.1686211", \
+					  "-0.1997012, -0.0867632, -0.0423185, 0.0437634, 0.0965513, 0.1504116, 0.1984175", \
+					  "-0.1425747, -0.0523676, -0.0158507, 0.0806511, 0.1369117, 0.1910606, 0.2395541", \
+					  "-0.1233146, 0.0121210, 0.0288011, 0.1155821, 0.1611365, 0.1860369, 0.2752321", \
+					  "-0.0793709, 0.0316506, 0.0757966, 0.1409244, 0.2206550, 0.2627973, 0.2642110");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0444765, 0.0449360, 0.0453955, 0.0478237, 0.0501547, 0.0525828, 0.0550109");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0558366, 0.0569161, 0.0579955, 0.0607866, 0.0634661, 0.0662572, 0.0690483");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028535;
+			capacitance : 0.028478;
+			fall_capacitance : 0.028421;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0526147, 0.0428694, 0.1383535, 0.2696870, 0.3957671, 0.5271006, 0.6584340");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3253130, 0.4274158, 0.5295187, 0.6585681, 0.7824556, 0.9115050, 1.0405545");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.033399;
+			capacitance : 0.033147;
+			fall_capacitance : 0.032895;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1620832, 0.1715677, 0.1810523, 0.1936545, 0.2057527, 0.2183549, 0.2309571");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1506218, 0.1601252, 0.1696286, 0.1839903, 0.1977776, 0.2121393, 0.2265011");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.053139;
+			capacitance : 0.052701;
+			fall_capacitance : 0.052264;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0213208, -0.0215074, -0.0216941, -0.0217055, -0.0217165, -0.0217280, -0.0217395");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0222071, 0.0220684, 0.0219297, 0.0219776, 0.0220235, 0.0220714, 0.0221192");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016868;
+			capacitance : 0.016830;
+			fall_capacitance : 0.016791;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263417, 0.0329462, 0.0395508, 0.0489434, 0.0579602, 0.0673528, 0.0767454");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312378, 0.0374381, 0.0436384, 0.0526211, 0.0612445, 0.0702272, 0.0792099");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037954;
+			capacitance : 0.037550;
+			fall_capacitance : 0.037146;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5243962, -0.4666785, -0.4346896, -0.4142486, -0.4098966, -0.4093063, -0.4117658", \
+					  "-0.5847088, -0.5191066, -0.4949306, -0.4832874, -0.4788713, -0.4810518, -0.4869081", \
+					  "-0.5651538, -0.5019982, -0.4914451, -0.4783526, -0.4864002, -0.4809374, -0.4866053", \
+					  "-0.5460583, -0.4777363, -0.4620859, -0.4437117, -0.4530388, -0.4523106, -0.4456149", \
+					  "-0.5035871, -0.4602156, -0.4133601, -0.4059429, -0.4028556, -0.4255036, -0.4184578", \
+					  "-0.4834047, -0.4079347, -0.3673796, -0.3522989, -0.3681785, -0.3670576, -0.3753318", \
+					  "-0.4364092, -0.3624649, -0.3438593, -0.2880946, -0.3227532, -0.3140281, -0.3329143");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5564437, -0.4598554, -0.3921280, -0.3333771, -0.2863004, -0.2414596, -0.1950910", \
+					  "-0.6152313, -0.5191875, -0.4409327, -0.3960875, -0.3429247, -0.2986068, -0.2500658", \
+					  "-0.5956797, -0.5028992, -0.4222637, -0.3846600, -0.3429333, -0.2848125, -0.2498913", \
+					  "-0.5781059, -0.4771021, -0.4154875, -0.3616620, -0.3064165, -0.2726841, -0.2060519", \
+					  "-0.5371548, -0.4610862, -0.3736038, -0.3224641, -0.2873435, -0.2237375, -0.1788948", \
+					  "-0.5093487, -0.4072798, -0.3304196, -0.2763954, -0.2377571, -0.2032152, -0.1379123", \
+					  "-0.4715086, -0.3602837, -0.2474881, -0.2153439, -0.1927780, -0.1427714, -0.1106493");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7420174, 0.6715324, 0.6419784, 0.6333762, 0.6351495, 0.6437145, 0.6461740", \
+					  "0.7993203, 0.7300105, 0.7079564, 0.6963329, 0.6889290, 0.6913905, 0.6899722", \
+					  "0.7814861, 0.7093669, 0.6982391, 0.6742691, 0.6839500, 0.6833598, 0.6839794", \
+					  "0.7636808, 0.6775333, 0.6726617, 0.6619355, 0.6583448, 0.6623043, 0.6678155", \
+					  "0.7265251, 0.6530582, 0.6347624, 0.6234347, 0.6082716, 0.6275177, 0.6345549", \
+					  "0.7132342, 0.6303102, 0.6086939, 0.5878974, 0.5804044, 0.5844071, 0.5853255", \
+					  "0.6662387, 0.5875346, 0.5599911, 0.5443362, 0.5257796, 0.5480775, 0.5474853");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5650203, 0.4685204, 0.4039569, 0.3465602, 0.2948784, 0.2485118, 0.2036691", \
+					  "0.6253660, 0.5268292, 0.4491227, 0.4033641, 0.3516603, 0.3027202, 0.2601890", \
+					  "0.6059236, 0.5116131, 0.4318789, 0.3971031, 0.3497826, 0.2918829, 0.2585732", \
+					  "0.5866834, 0.4858236, 0.4240669, 0.3702384, 0.3149941, 0.2777828, 0.2146295", \
+					  "0.5424516, 0.4672364, 0.3897293, 0.3310100, 0.2944075, 0.2384186, 0.1859465", \
+					  "0.5286074, 0.4174147, 0.3406610, 0.2819043, 0.2447346, 0.2059739, 0.1435063", \
+					  "0.4816120, 0.3704186, 0.2573720, 0.2237185, 0.1977156, 0.1498231, 0.1150597");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2178832, 0.2826795, 0.3474757, 0.3517733, 0.3558990, 0.3601967, 0.3644943");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5204402, 0.5962112, 0.6719823, 0.6882009, 0.7037708, 0.7199894, 0.7362081");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.013197;
+			capacitance : 0.012983;
+			fall_capacitance : 0.012769;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("5.2982028, 13.3656850, 21.4331660, 23.7516660, 25.9774270, 28.2959270, 30.6144280");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0000620, 13.4792750, 22.9584880, 23.5941980, 24.2044810, 24.8401920, 25.4759030");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007195;
+			capacitance : 0.007070;
+			fall_capacitance : 0.006944;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4429170, 0.3724567, 0.3534216, 0.3404293, 0.3345513, 0.3324352, 0.3333688", \
+					  "0.5032733, 0.4337272, 0.4062782, 0.4016568, 0.3841280, 0.3922570, 0.3879187", \
+					  "0.4869199, 0.4190601, 0.3857503, 0.3886396, 0.3635155, 0.3705546, 0.3747820", \
+					  "0.4676322, 0.3889413, 0.3477312, 0.3369137, 0.3333047, 0.3464473, 0.3503245", \
+					  "0.4274455, 0.3695951, 0.3355083, 0.3007806, 0.3004377, 0.2933503, 0.2899341", \
+					  "0.4034527, 0.3279950, 0.2954900, 0.2789870, 0.2589013, 0.2746384, 0.2427437", \
+					  "0.3564572, 0.2791527, 0.2269320, 0.1972197, 0.2115206, 0.2062807, 0.2209472");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1957708, 0.0928971, 0.0099055, -0.0483876, -0.1058634, -0.1567988, -0.1930944", \
+					  "0.2582158, 0.1521834, 0.0754189, 0.0157909, -0.0388944, -0.0938080, -0.1426540", \
+					  "0.2427777, 0.1366517, 0.0664927, -0.0005409, -0.0570347, -0.1055196, -0.1552050", \
+					  "0.2250633, 0.1048960, 0.0576763, -0.0229736, -0.0796783, -0.1203612, -0.1836249", \
+					  "0.1651405, 0.0701289, 0.0290417, -0.0572280, -0.1269859, -0.1742555, -0.2338919", \
+					  "0.1456249, 0.0117438, -0.0156101, -0.0993191, -0.1274436, -0.1732660, -0.2549639", \
+					  "0.0977541, -0.0047337, -0.0656573, -0.1242395, -0.1974700, -0.2138717, -0.2768459");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0925449, -0.0263340, 0.0032499, 0.0084198, 0.0110895, 0.0087845, 0.0197714", \
+					  "-0.1473050, -0.0852008, -0.0522137, -0.0471817, -0.0312791, -0.0327884, -0.0315229", \
+					  "-0.1244811, -0.0811350, -0.0624835, -0.0327007, -0.0290386, -0.0217060, -0.0211137", \
+					  "-0.1157329, -0.0432948, -0.0340268, -0.0187189, -0.0362898, 0.0008118, -0.0032607", \
+					  "-0.0621193, -0.0146119, 0.0112853, 0.0207040, -0.0010196, -0.0081014, 0.0372315", \
+					  "-0.0408722, 0.0437728, 0.0529070, 0.0592771, 0.0231544, 0.0125101, 0.0322137", \
+					  "0.0030715, 0.1045012, 0.0983766, 0.1186521, 0.0680472, 0.0416440, 0.1283140");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1688838, -0.0583774, 0.0093535, 0.0754078, 0.1322059, 0.1767606, 0.2099069", \
+					  "-0.2312732, -0.1268333, -0.0519123, 0.0068769, 0.0618251, 0.1169441, 0.1712659", \
+					  "-0.2142512, -0.1112604, -0.0412300, 0.0241033, 0.0734535, 0.1235479, 0.1717562", \
+					  "-0.1951236, -0.0703684, -0.0211039, 0.0528136, 0.0965495, 0.1549892, 0.1984220", \
+					  "-0.1364970, -0.0447372, -0.0082208, 0.0865865, 0.1384173, 0.1927329, 0.2410958", \
+					  "-0.1172111, 0.0166993, 0.0349051, 0.1231705, 0.1611375, 0.1890120, 0.2826179", \
+					  "-0.0732674, 0.0377548, 0.0834264, 0.1424945, 0.2250674, 0.2627971, 0.3062390");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0445592, 0.0450262, 0.0454933, 0.0479235, 0.0502564, 0.0526865, 0.0551166");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559187, 0.0569985, 0.0580783, 0.0608648, 0.0635398, 0.0663262, 0.0691127");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017788;
+			capacitance : 0.017746;
+			fall_capacitance : 0.017705;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1375098, 0.2010625, 0.2646153, 0.2628468, 0.2611490, 0.2593806, 0.2576121");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4068724, 0.4790928, 0.5513131, 0.5608438, 0.5699933, 0.5795240, 0.5890547");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005788;
+			capacitance : 0.005666;
+			fall_capacitance : 0.005544;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0986804, -0.0263340, 0.0032499, 0.0125946, 0.0180249, 0.0072586, 0.0245085", \
+					  "-0.1564923, -0.0862175, -0.0564322, -0.0438811, -0.0277649, -0.0259124, -0.0196163", \
+					  "-0.1321026, -0.0792705, -0.0624850, -0.0276963, -0.0246653, -0.0123682, -0.0026110", \
+					  "-0.1233943, -0.0399044, -0.0373183, -0.0074741, -0.0143274, 0.0023598, 0.0731840", \
+					  "-0.0697265, -0.0112215, -0.0036960, 0.0372534, 0.0267385, 0.0049787, 0.0450881", \
+					  "-0.0470078, 0.0410597, 0.0394300, 0.0775940, 0.0620686, 0.0391099, 0.0265434", \
+					  "-0.0030641, 0.1017881, 0.0848996, 0.1234877, 0.1057243, 0.0814755, 0.1106378");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1261127, -0.0171790, 0.0562068, 0.1206616, 0.1801031, 0.2218264, 0.2530623", \
+					  "-0.1839215, -0.0809198, -0.0020263, 0.0622330, 0.1241030, 0.1772678, 0.2326540", \
+					  "-0.1654980, -0.0751982, 0.0017818, 0.0543133, 0.1302917, 0.1812360, 0.2324958", \
+					  "-0.1508268, -0.0358322, 0.0281779, 0.0924756, 0.1559248, 0.2203547, 0.2444021", \
+					  "-0.0939474, -0.0056233, 0.0295219, 0.1160134, 0.1571059, 0.2456665, 0.2893008", \
+					  "-0.0744402, 0.0512356, 0.0680816, 0.1664888, 0.2366931, 0.2299624, 0.3326760", \
+					  "-0.0274448, 0.1119639, 0.1135512, 0.2118618, 0.2547583, 0.2987533, 0.3509577");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4124323, 0.3376762, 0.3135557, 0.2870235, 0.2750421, 0.2668224, 0.2631784", \
+					  "0.4697413, 0.4020953, 0.3734387, 0.3559485, 0.3392640, 0.3450160, 0.3268790", \
+					  "0.4549297, 0.3795894, 0.3582281, 0.3266688, 0.3438736, 0.3097127, 0.3196084", \
+					  "0.4371476, 0.3506473, 0.3219843, 0.3215880, 0.2844765, 0.2782181, 0.2832940", \
+					  "0.3970303, 0.3326126, 0.2700532, 0.2562641, 0.2618971, 0.2431075, 0.2607146", \
+					  "0.3699163, 0.2925713, 0.2557912, 0.2325043, 0.2432033, 0.2026843, 0.1856187", \
+					  "0.3259726, 0.2440499, 0.1958056, 0.1563224, 0.1774218, 0.1544008, 0.1492309");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1499463, 0.0379639, -0.0295910, -0.0997057, -0.1609751, -0.2044754, -0.2376428", \
+					  "0.2154849, 0.1079569, 0.0232503, -0.0323640, -0.0920684, -0.1539464, -0.2053901", \
+					  "0.1879570, 0.1005959, 0.0260151, -0.0454335, -0.0970650, -0.1536149, -0.2042909", \
+					  "0.1792395, 0.0551263, 0.0033755, -0.0678702, -0.1337020, -0.1982829, -0.2398485", \
+					  "0.1181516, 0.0264433, -0.0086086, -0.1001149, -0.1452817, -0.2259438, -0.2691152", \
+					  "0.0998012, -0.0319414, -0.0517448, -0.1459961, -0.2100082, -0.2172427, -0.3192538", \
+					  "0.0558575, -0.0484192, -0.0956885, -0.1864886, -0.2433539, -0.2670734, -0.3334781");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0525792, 0.0531812, 0.0537832, 0.0560446, 0.0582154, 0.0604768, 0.0627381");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0630425, 0.0639262, 0.0648100, 0.0676631, 0.0704021, 0.0732552, 0.0761083");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005734;
+			capacitance : 0.005563;
+			fall_capacitance : 0.005392;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("1");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1039792, -0.1034393, -0.1028993, -0.1025761, -0.1022659, -0.1019426, -0.1016195");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1095761, 0.1094684, 0.1093606, 0.1090696, 0.1087902, 0.1084992, 0.1082082");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3544162, 0.4035896, 0.3999496, 0.3791312, 0.3374250, 0.3134259, 0.2649045", \
+					  "0.2854593, 0.3397306, 0.3216500, 0.2963632, 0.2737530, 0.2340454, 0.1940241", \
+					  "0.2586238, 0.3095143, 0.3158738, 0.2670267, 0.2211375, 0.1703557, 0.1356870", \
+					  "0.2442987, 0.2991401, 0.3007289, 0.2632066, 0.2281022, 0.1940029, 0.1505467", \
+					  "0.2384207, 0.2959092, 0.2917365, 0.2676919, 0.2130690, 0.1928678, 0.1408741", \
+					  "0.2363045, 0.2979569, 0.2787635, 0.2644888, 0.2081434, 0.1872775, 0.1421937", \
+					  "0.2387641, 0.2993903, 0.2931124, 0.2527765, 0.2103606, 0.1737277, 0.1522827");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1001743, -0.1564603, -0.1351075, -0.1233623, -0.0696945, -0.0469757, -0.0030320", \
+					  "-0.0309116, -0.0877434, -0.0872391, -0.0509248, -0.0207160, 0.0376687, 0.0983971", \
+					  "-0.0059053, -0.0562869, -0.0700307, -0.0406687, -0.0009180, 0.0422258, 0.0876954", \
+					  "0.0038422, -0.0505348, -0.0392763, -0.0243639, 0.0131096, 0.0515181, 0.1049853", \
+					  "0.0066684, -0.0353185, -0.0459951, -0.0421940, -0.0031126, 0.0157400, 0.0619964", \
+					  "0.0011551, -0.0389874, -0.0374711, -0.0157001, -0.0081009, 0.0125104, 0.0401773", \
+					  "0.0093768, -0.0374379, -0.0520315, -0.0032607, 0.0285418, 0.0234323, 0.0485485");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5243962, -0.5847088, -0.5651538, -0.5460583, -0.5035871, -0.4834047, -0.4364092", \
+					  "-0.4666785, -0.5191066, -0.5019982, -0.4777363, -0.4602156, -0.4079347, -0.3624649", \
+					  "-0.4346896, -0.4949306, -0.4914451, -0.4620859, -0.4133601, -0.3673796, -0.3438593", \
+					  "-0.4142486, -0.4832874, -0.4783526, -0.4437117, -0.4059429, -0.3522989, -0.2880946", \
+					  "-0.4098966, -0.4788713, -0.4864002, -0.4530388, -0.4028556, -0.3681785, -0.3227532", \
+					  "-0.4093063, -0.4810518, -0.4809374, -0.4523106, -0.4255036, -0.3670576, -0.3140281", \
+					  "-0.4117658, -0.4869081, -0.4866053, -0.4456149, -0.4184578, -0.3753318, -0.3329143");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7420174, 0.7993203, 0.7814861, 0.7636808, 0.7265251, 0.7132342, 0.6662387", \
+					  "0.6715324, 0.7300105, 0.7093669, 0.6775333, 0.6530582, 0.6303102, 0.5875346", \
+					  "0.6419784, 0.7079564, 0.6982391, 0.6726617, 0.6347624, 0.6086939, 0.5599911", \
+					  "0.6333762, 0.6963329, 0.6742691, 0.6619355, 0.6234347, 0.5878974, 0.5443362", \
+					  "0.6351495, 0.6889290, 0.6839500, 0.6583448, 0.6082716, 0.5804044, 0.5257796", \
+					  "0.6437145, 0.6913905, 0.6833598, 0.6623043, 0.6275177, 0.5844071, 0.5480775", \
+					  "0.6461740, 0.6899722, 0.6839794, 0.6678155, 0.6345549, 0.5853255, 0.5474853");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4429170, 0.5032733, 0.4869199, 0.4676322, 0.4274455, 0.4034527, 0.3564572", \
+					  "0.3724567, 0.4337272, 0.4190601, 0.3889413, 0.3695951, 0.3279950, 0.2791527", \
+					  "0.3534216, 0.4062782, 0.3857503, 0.3477312, 0.3355083, 0.2954900, 0.2269320", \
+					  "0.3404293, 0.4016568, 0.3886396, 0.3369137, 0.3007806, 0.2789870, 0.1972197", \
+					  "0.3345513, 0.3841280, 0.3635155, 0.3333047, 0.3004377, 0.2589013, 0.2115206", \
+					  "0.3324352, 0.3922570, 0.3705546, 0.3464473, 0.2933503, 0.2746384, 0.2062807", \
+					  "0.3333688, 0.3879187, 0.3747820, 0.3503245, 0.2899341, 0.2427437, 0.2209472");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0925449, -0.1473050, -0.1244811, -0.1157329, -0.0621193, -0.0408722, 0.0030715", \
+					  "-0.0263340, -0.0852008, -0.0811350, -0.0432948, -0.0146119, 0.0437728, 0.1045012", \
+					  "0.0032499, -0.0522137, -0.0624835, -0.0340268, 0.0112853, 0.0529070, 0.0983766", \
+					  "0.0084198, -0.0471817, -0.0327007, -0.0187189, 0.0207040, 0.0592771, 0.1186521", \
+					  "0.0110895, -0.0312791, -0.0290386, -0.0362898, -0.0010196, 0.0231544, 0.0680472", \
+					  "0.0087845, -0.0327884, -0.0217060, 0.0008118, -0.0081014, 0.0125101, 0.0416440", \
+					  "0.0197714, -0.0315229, -0.0211137, -0.0032607, 0.0372315, 0.0322137, 0.1283140");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0986804, -0.1564923, -0.1321026, -0.1233943, -0.0697265, -0.0470078, -0.0030641", \
+					  "-0.0263340, -0.0862175, -0.0792705, -0.0399044, -0.0112215, 0.0410597, 0.1017881", \
+					  "0.0032499, -0.0564322, -0.0624850, -0.0373183, -0.0036960, 0.0394300, 0.0848996", \
+					  "0.0125946, -0.0438811, -0.0276963, -0.0074741, 0.0372534, 0.0775940, 0.1234877", \
+					  "0.0180249, -0.0277649, -0.0246653, -0.0143274, 0.0267385, 0.0620686, 0.1057243", \
+					  "0.0072586, -0.0259124, -0.0123682, 0.0023598, 0.0049787, 0.0391099, 0.0814755", \
+					  "0.0245085, -0.0196163, -0.0026110, 0.0731840, 0.0450881, 0.0265434, 0.1106378");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4124323, 0.4697413, 0.4549297, 0.4371476, 0.3970303, 0.3699163, 0.3259726", \
+					  "0.3376762, 0.4020953, 0.3795894, 0.3506473, 0.3326126, 0.2925713, 0.2440499", \
+					  "0.3135557, 0.3734387, 0.3582281, 0.3219843, 0.2700532, 0.2557912, 0.1958056", \
+					  "0.2870235, 0.3559485, 0.3266688, 0.3215880, 0.2562641, 0.2325043, 0.1563224", \
+					  "0.2750421, 0.3392640, 0.3438736, 0.2844765, 0.2618971, 0.2432033, 0.1774218", \
+					  "0.2668224, 0.3450160, 0.3097127, 0.2782181, 0.2431075, 0.2026843, 0.1544008", \
+					  "0.2631784, 0.3268790, 0.3196084, 0.2832940, 0.2607146, 0.1856187, 0.1492309");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0757812, -0.1354154, -0.1232022, -0.0925100, -0.0552722, -0.0117053, 0.0366198", \
+					  "-0.0034458, -0.0655857, -0.0660907, -0.0278501, 0.0034842, 0.0481360, 0.0966573", \
+					  "0.0223568, -0.0387939, -0.0275653, -0.0240454, 0.0326182, 0.0773794, 0.1173118", \
+					  "0.0381159, -0.0103247, -0.0111582, 0.0192560, 0.0669421, 0.1064364, 0.1442538", \
+					  "0.0314891, -0.0069030, 0.0020101, 0.0268020, 0.0312896, 0.0757348, 0.1209227", \
+					  "0.0433119, 0.0003964, 0.0534840, 0.0334470, 0.0109256, 0.0948019, 0.0906322", \
+					  "0.0515447, 0.0019098, 0.0139815, 0.0249154, 0.0649935, 0.0296667, 0.0863483");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3574914, 0.4036923, 0.4014281, 0.3822062, 0.3456324, 0.3165008, 0.2679795", \
+					  "0.2854577, 0.3385496, 0.3217049, 0.2962306, 0.2751646, 0.2325140, 0.1857786", \
+					  "0.2510236, 0.3067757, 0.3081466, 0.2704661, 0.2180520, 0.1673795, 0.1372508", \
+					  "0.2259883, 0.2810371, 0.2885219, 0.2493267, 0.2281022, 0.1895539, 0.1374968", \
+					  "0.2170586, 0.2803813, 0.2700780, 0.2493813, 0.2176466, 0.1547195, 0.1306714", \
+					  "0.2134165, 0.2784559, 0.2627443, 0.2351110, 0.2109827, 0.1427004, 0.1185847", \
+					  "0.2143502, 0.2739402, 0.2504042, 0.2222589, 0.2073088, 0.1372289, 0.1281806");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5213572, -0.5831957, -0.5621149, -0.5430194, -0.5005481, -0.4803657, -0.4333703", \
+					  "-0.4596705, -0.5239941, -0.5004657, -0.4746862, -0.4602906, -0.4064836, -0.3610139", \
+					  "-0.4336410, -0.4964693, -0.4719192, -0.4528235, -0.4146595, -0.3705618, -0.3390898", \
+					  "-0.4218780, -0.4787098, -0.4798344, -0.4578843, -0.4077562, -0.3553258, -0.2930060", \
+					  "-0.4175260, -0.4773313, -0.4712014, -0.4315386, -0.3906485, -0.3527470, -0.3212805", \
+					  "-0.4169357, -0.4812695, -0.4840248, -0.4492588, -0.4209482, -0.3779364, -0.3125123", \
+					  "-0.4193952, -0.4837914, -0.4680707, -0.4394781, -0.4154060, -0.3722801, -0.3283364");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7588162, 0.8176392, 0.8072370, 0.7804794, 0.7453796, 0.7300328, 0.6815115", \
+					  "0.6864407, 0.7473113, 0.7278868, 0.6913355, 0.6654513, 0.6480927, 0.5980001", \
+					  "0.6600661, 0.7144776, 0.7180698, 0.6876752, 0.6483111, 0.6173922, 0.5749744", \
+					  "0.6608422, 0.7147028, 0.7050868, 0.6704048, 0.6324589, 0.6091706, 0.5514940", \
+					  "0.6549858, 0.7071013, 0.7007347, 0.6827309, 0.6387892, 0.5971891, 0.5410384", \
+					  "0.6513438, 0.7097010, 0.7062479, 0.6806148, 0.6458283, 0.6042283, 0.5541810", \
+					  "0.6553292, 0.7133412, 0.7026040, 0.6937555, 0.6513396, 0.6051619, 0.5627441");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1041794, 0.1620681, 0.1391908, 0.1258429, 0.0724373, 0.0525098, 0.0085661", \
+					  "0.0349121, 0.1029375, 0.0928893, 0.0550491, 0.0263661, -0.0320186, -0.0927469", \
+					  "0.0114317, 0.0545984, 0.0770599, 0.0480716, 0.0064734, -0.0352499, -0.0807195", \
+					  "0.0047359, 0.0551187, 0.0449036, 0.0341685, -0.0075742, -0.0458922, -0.1021459", \
+					  "0.0003838, 0.0465340, 0.0357716, 0.0450459, 0.0015155, -0.0116591, -0.0533368", \
+					  "0.0104747, 0.0502723, 0.0416083, 0.0242542, 0.0140154, -0.0069607, -0.0160261", \
+					  "-0.0007989, 0.0446244, 0.0559640, 0.0137046, -0.0212633, -0.0175671, -0.0429882");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0772927, -0.1291699, -0.1123544, -0.1004807, -0.0484978, -0.0256200, 0.0183220", \
+					  "-0.0064976, -0.0686120, -0.0674950, -0.0311807, -0.0009719, 0.0558870, 0.1150895", \
+					  "0.0121860, -0.0408098, -0.0367969, -0.0164285, 0.0249938, 0.0651623, 0.1106319", \
+					  "0.0153123, -0.0261309, -0.0210059, 0.0007764, 0.0328737, 0.0731111, 0.1170954", \
+					  "0.0194466, -0.0152912, -0.0117621, -0.0231002, -0.0010257, 0.0365938, 0.0756313", \
+					  "0.0164139, -0.0204031, -0.0140564, 0.0104653, -0.0080242, 0.0125492, 0.0387696", \
+					  "0.0288321, -0.0211088, -0.0191215, 0.0149234, 0.0417268, 0.0234929, 0.0485067");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3727263, 0.4309903, 0.4198314, 0.3974415, 0.3558972, 0.3317361, 0.2832148", \
+					  "0.3038207, 0.3576525, 0.3394933, 0.3122727, 0.2925805, 0.2593371, 0.2123416", \
+					  "0.2723567, 0.3231489, 0.3252627, 0.2822081, 0.2338395, 0.1843405, 0.1510933", \
+					  "0.2580316, 0.3100500, 0.3145859, 0.2768427, 0.2418351, 0.2140287, 0.1594852", \
+					  "0.2491019, 0.3146640, 0.3047701, 0.2768471, 0.2374830, 0.2065641, 0.1533065", \
+					  "0.2500375, 0.3014984, 0.2949396, 0.2751820, 0.2292634, 0.2074997, 0.1482972", \
+					  "0.2524970, 0.3197059, 0.3049701, 0.2708651, 0.2210417, 0.1826610, 0.1677173");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1032260, -0.1595135, -0.1381468, -0.1248881, -0.0712149, -0.0500274, -0.0060837", \
+					  "-0.0324375, -0.0975251, -0.0902917, -0.0509256, -0.0222426, 0.0376680, 0.0968705", \
+					  "-0.0089571, -0.0662402, -0.0745680, -0.0440616, -0.0039673, 0.0391738, 0.0846434", \
+					  "0.0007904, -0.0526361, -0.0444357, -0.0300332, 0.0100731, 0.0483809, 0.1049867", \
+					  "0.0020907, -0.0410081, -0.0459956, -0.0393077, -0.0030951, 0.0142527, 0.0604797", \
+					  "-0.0018966, -0.0433202, -0.0393523, -0.0203999, -0.0081037, 0.0110367, 0.0416418", \
+					  "0.0108642, -0.0435957, 0.0231368, -0.0096920, 0.0252066, 0.0234285, 0.0485514");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0919723, 0.1513817, 0.1194068, 0.1105841, 0.0541870, 0.0311458, -0.0127979", \
+					  "0.0257566, 0.0898981, 0.0806794, 0.0428392, 0.0126304, -0.0472803, -0.1080086", \
+					  "0.0007503, 0.0533036, 0.0575145, 0.0331648, -0.0072470, -0.0489891, -0.0944586", \
+					  "-0.0059033, 0.0511614, 0.0350435, 0.0081368, -0.0197323, -0.0598400, -0.1166917", \
+					  "-0.0112617, 0.0373787, 0.0343948, 0.0094746, -0.0302477, -0.0685975, -0.1122718", \
+					  "-0.0063101, 0.0294803, 0.0416166, 0.0009734, -0.0347860, -0.0726317, -0.1190995", \
+					  "-0.0158506, 0.0327024, 0.0449502, -0.0020453, -0.0363290, -0.0718022, -0.1101685");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2949748, 0.2396942, 0.2507767, 0.2809892, 0.3218792, 0.3650051, 0.4181041", \
+					  "0.3614134, 0.3088057, 0.3162010, 0.3411345, 0.3820246, 0.4251505, 0.4736719", \
+					  "0.3867045, 0.3385556, 0.3492850, 0.3763573, 0.4141952, 0.4603734, 0.5073688", \
+					  "0.3875011, 0.3606582, 0.3735077, 0.3957258, 0.4213446, 0.4764293, 0.5129919", \
+					  "0.3734373, 0.3559028, 0.3575359, 0.3751758, 0.4304490, 0.4780022, 0.5250120", \
+					  "0.3917801, 0.3502408, 0.3687717, 0.3839147, 0.6839268, 0.4545955, 0.5242611", \
+					  "0.3923724, 0.3433279, 0.3888210, 0.4226102, 0.3947193, 0.4866819, 0.5063194");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0971219, -0.1564597, -0.1320699, -0.1233616, -0.0696939, -0.0469751, -0.0030314", \
+					  "-0.0309116, -0.0892839, -0.0888264, -0.0494604, -0.0253550, 0.0315038, 0.0556111", \
+					  "-0.0074312, -0.0644935, -0.0730508, -0.0372855, 0.0036329, 0.0468292, 0.0907729", \
+					  "0.0023163, -0.0505348, -0.0409263, -0.0285752, 0.0131173, 0.0531359, 0.1096491", \
+					  "0.0051425, -0.0353185, -0.0303725, -0.0392677, -0.0010238, 0.0157655, 0.0620244", \
+					  "-0.0018966, -0.0432554, -0.0374747, -0.0171595, 0.0064440, 0.0480334, 0.0918908", \
+					  "0.0108703, -0.0420440, -0.0348466, -0.0078897, 0.0269035, 0.0234726, 0.1283352");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4719083, 0.5322607, 0.5143673, 0.4966234, 0.4563806, 0.4324439, 0.3854484", \
+					  "0.4061342, 0.4663161, 0.4455328, 0.4301061, 0.4062748, 0.3662007, 0.3146276", \
+					  "0.3760955, 0.4289270, 0.4069406, 0.3704592, 0.3586305, 0.3343823, 0.2408687", \
+					  "0.3572139, 0.4216746, 0.4029967, 0.3731229, 0.3309232, 0.2834632, 0.2264967", \
+					  "0.3513360, 0.4172933, 0.4169212, 0.3747962, 0.3276566, 0.2791002, 0.2451908", \
+					  "0.3507457, 0.4128050, 0.4224345, 0.3617061, 0.3299714, 0.2929490, 0.2584813", \
+					  "0.3532052, 0.4207177, 0.3964789, 0.3548579, 0.3475304, 0.3078823, 0.2499389");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024896;
+			capacitance : 0.025494;
+			rise_capacitance : 0.025702;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5213572, -0.4596705, -0.4336410, -0.4218780, -0.4175260, -0.4169357, -0.4193952", \
+					  "-0.5831957, -0.5239941, -0.4964693, -0.4787098, -0.4773313, -0.4812695, -0.4837914", \
+					  "-0.5621149, -0.5004657, -0.4719192, -0.4798344, -0.4712014, -0.4840248, -0.4680707", \
+					  "-0.5430194, -0.4746862, -0.4528235, -0.4578843, -0.4315386, -0.4492588, -0.4394781", \
+					  "-0.5005481, -0.4602906, -0.4146595, -0.4077562, -0.3906485, -0.4209482, -0.4154060", \
+					  "-0.4803657, -0.4064836, -0.3705618, -0.3553258, -0.3527470, -0.3779364, -0.3722801", \
+					  "-0.4333703, -0.3610139, -0.3390898, -0.2930060, -0.3212805, -0.3125123, -0.3283364");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5594640, -0.4643899, -0.3921268, -0.3333771, -0.2847745, -0.2368820, -0.1935651", \
+					  "-0.6182515, -0.5197741, -0.4450827, -0.3976686, -0.3488243, -0.3001051, -0.2546482", \
+					  "-0.5986999, -0.5065676, -0.4237529, -0.3870477, -0.3490636, -0.2924988, -0.2438921", \
+					  "-0.5796002, -0.4792801, -0.4124957, -0.3600243, -0.3125200, -0.2651232, -0.2045260", \
+					  "-0.5417620, -0.4631709, -0.3794510, -0.3238683, -0.2797308, -0.2237375, -0.1759382", \
+					  "-0.5047395, -0.4107433, -0.3394126, -0.2734574, -0.2438539, -0.2047227, -0.1332511", \
+					  "-0.4745288, -0.3652166, -0.2551254, -0.2167073, -0.1795133, -0.1381937, -0.1311137");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7588162, 0.6864407, 0.6600661, 0.6608422, 0.6549858, 0.6513438, 0.6553292", \
+					  "0.8176392, 0.7473113, 0.7144776, 0.7147028, 0.7071013, 0.7097010, 0.7133412", \
+					  "0.8072370, 0.7278868, 0.7180698, 0.7050868, 0.7007347, 0.7062479, 0.7026040", \
+					  "0.7804794, 0.6913355, 0.6876752, 0.6704048, 0.6827309, 0.6806148, 0.6937555", \
+					  "0.7453796, 0.6654513, 0.6483111, 0.6324589, 0.6387892, 0.6458283, 0.6513396", \
+					  "0.7300328, 0.6480927, 0.6173922, 0.6091706, 0.5971891, 0.6042283, 0.6051619", \
+					  "0.6815115, 0.5980001, 0.5749744, 0.5514940, 0.5410384, 0.5541810, 0.5627441");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5680396, 0.4722963, 0.4039589, 0.3450227, 0.2964043, 0.2469859, 0.2036691", \
+					  "0.6283897, 0.5249485, 0.4518613, 0.4094676, 0.3552811, 0.3010780, 0.2647738", \
+					  "0.6074375, 0.5151314, 0.4319036, 0.3999442, 0.3558861, 0.2995816, 0.2486538", \
+					  "0.5897028, 0.4895244, 0.4226835, 0.3791266, 0.3210976, 0.2721378, 0.2146295", \
+					  "0.5454391, 0.4676240, 0.3896497, 0.3414106, 0.2882988, 0.2323151, 0.1813689", \
+					  "0.5316268, 0.4206170, 0.3423657, 0.2804909, 0.2525043, 0.2090256, 0.1419257", \
+					  "0.4861573, 0.3750859, 0.2615484, 0.2250586, 0.1893730, 0.1467714, 0.1382309");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006842;
+			capacitance : 0.006975;
+			rise_capacitance : 0.007108;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1041794, 0.0349121, 0.0114317, 0.0047359, 0.0003838, 0.0104747, -0.0007989", \
+					  "0.1620681, 0.1029375, 0.0545984, 0.0551187, 0.0465340, 0.0502723, 0.0446244", \
+					  "0.1391908, 0.0928893, 0.0770599, 0.0449036, 0.0357716, 0.0416083, 0.0559640", \
+					  "0.1258429, 0.0550491, 0.0480716, 0.0341685, 0.0450459, 0.0242542, 0.0137046", \
+					  "0.0724373, 0.0263661, 0.0064734, -0.0075742, 0.0015155, 0.0140154, -0.0212633", \
+					  "0.0525098, -0.0320186, -0.0352499, -0.0458922, -0.0116591, -0.0069607, -0.0175671", \
+					  "0.0085661, -0.0927469, -0.0807195, -0.1021459, -0.0533368, -0.0160261, -0.0429882");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4963717, 0.3957109, 0.3166075, 0.2488766, 0.1895929, 0.1371227, 0.0861765", \
+					  "0.5597963, 0.4520940, 0.3749646, 0.3133372, 0.2467078, 0.2046655, 0.1465239", \
+					  "0.5419758, 0.4371609, 0.3655454, 0.2976771, 0.2474561, 0.1935526, 0.1366604", \
+					  "0.5210873, 0.4062344, 0.3338440, 0.2769008, 0.2127602, 0.1607175, 0.1005356", \
+					  "0.4796243, 0.3860861, 0.2790484, 0.2311540, 0.1772634, 0.1148225, 0.0715056", \
+					  "0.4584337, 0.3444860, 0.2710177, 0.1984701, 0.1378996, 0.0849503, 0.0451644", \
+					  "0.4099123, 0.2959644, 0.1957810, 0.1447620, 0.0832747, 0.0583658, -0.0170899");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0772927, -0.0064976, 0.0121860, 0.0153123, 0.0194466, 0.0164139, 0.0288321", \
+					  "-0.1291699, -0.0686120, -0.0408098, -0.0261309, -0.0152912, -0.0204031, -0.0211088", \
+					  "-0.1123544, -0.0674950, -0.0367969, -0.0210059, -0.0117621, -0.0140564, -0.0191215", \
+					  "-0.1004807, -0.0311807, -0.0164285, 0.0007764, -0.0231002, 0.0104653, 0.0149234", \
+					  "-0.0484978, -0.0009719, 0.0249938, 0.0328737, -0.0010257, -0.0080242, 0.0417268", \
+					  "-0.0256200, 0.0558870, 0.0651623, 0.0731111, 0.0365938, 0.0125492, 0.0234929", \
+					  "0.0183220, 0.1150895, 0.1106319, 0.1170954, 0.0756313, 0.0387696, 0.0485067");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1810941, -0.0721104, 0.0047758, 0.0647548, 0.1203370, 0.1654823, 0.5410106", \
+					  "-0.2389059, -0.1346276, -0.0541648, 0.0052818, 0.0569672, 0.1091353, 0.1650671", \
+					  "-0.2203459, -0.1189674, -0.0503243, 0.0139266, 0.0718074, 0.1165946, 0.1670533", \
+					  "-0.2088597, -0.0868129, -0.0422598, 0.0422617, 0.0967969, 0.1488857, 0.1984073", \
+					  "-0.1513440, -0.0554960, -0.0159102, 0.0778775, 0.1368479, 0.1894160, 0.2299029", \
+					  "-0.1055401, -0.0093184, 0.0302675, 0.1139985, 0.1719423, 0.1844925, 0.2665996", \
+					  "-0.0564782, 0.0330995, 0.0803147, 0.1393643, 0.2191853, 0.2616402, 0.3000283");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0436415, 0.0439836, 0.0443257, 0.0468937, 0.0493591, 0.0519272, 0.0544952");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0568904, 0.0579716, 0.0590528, 0.0618550, 0.0645450, 0.0673472, 0.0701494");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.020091;
+			capacitance : 0.019231;
+			fall_capacitance : 0.018371;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5533684, 0.8272487, 1.1011290, 1.3368005, 1.5630451, 1.7987166, 2.0343881");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.6293364, 27.2034940, 46.7776510, 47.1429650, 47.4936660, 47.8589790, 48.2242930");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033213;
+			capacitance : 0.033190;
+			fall_capacitance : 0.033167;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0564922, 0.0871894, 0.2308711, 0.4598392, 0.6796485, 0.9086165, 1.1375846");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1551235, 1.3113689, 1.4676142, 1.6967678, 1.9167552, 2.1459088, 2.3750624");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007342;
+			capacitance : 0.007221;
+			fall_capacitance : 0.007099;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0919723, 0.0257566, 0.0007503, -0.0059033, -0.0112617, -0.0063101, -0.0158506", \
+					  "0.1513817, 0.0898981, 0.0533036, 0.0511614, 0.0373787, 0.0294803, 0.0327024", \
+					  "0.1194068, 0.0806794, 0.0575145, 0.0350435, 0.0343948, 0.0416166, 0.0449502", \
+					  "0.1105841, 0.0428392, 0.0331648, 0.0081368, 0.0094746, 0.0009734, -0.0020453", \
+					  "0.0541870, 0.0126304, -0.0072470, -0.0197323, -0.0302477, -0.0347860, -0.0363290", \
+					  "0.0311458, -0.0472803, -0.0489891, -0.0598400, -0.0685975, -0.0726317, -0.0718022", \
+					  "-0.0127979, -0.1080086, -0.0944586, -0.1166917, -0.1122718, -0.1190995, -0.1101685");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1979096, -0.3059160, -0.3745885, -0.4399295, -0.5026841, -0.5507795, -0.4974182", \
+					  "-0.1425755, -0.2505547, -0.3208362, -0.4008112, -0.4524321, -0.5002129, -0.5455476", \
+					  "-0.1551839, -0.2645760, -0.3215080, -0.3968538, -0.4483954, -0.5044991, -0.5614445", \
+					  "-0.1853947, -0.2932609, -0.3435230, -0.4315920, -0.4845664, -0.5177254, -0.5581392", \
+					  "-0.2354400, -0.3310991, -0.3798353, -0.4349763, -0.5166882, -0.5696178, -0.6288728", \
+					  "-0.2724624, -0.3757513, -0.4229611, -0.5132210, -0.5357190, -0.6047801, -0.6637492", \
+					  "-0.3331907, -0.4227468, -0.4714825, -0.5281493, -0.6328675, -0.6637882, -0.6845933");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2949748, 0.3614134, 0.3867045, 0.3875011, 0.3734373, 0.3917801, 0.3923724", \
+					  "0.2396942, 0.3088057, 0.3385556, 0.3606582, 0.3559028, 0.3502408, 0.3433279", \
+					  "0.2507767, 0.3162010, 0.3492850, 0.3735077, 0.3575359, 0.3687717, 0.3888210", \
+					  "0.2809892, 0.3411345, 0.3763573, 0.3957258, 0.3751758, 0.3839147, 0.4226102", \
+					  "0.3218792, 0.3820246, 0.4141952, 0.4213446, 0.4304490, 0.6839268, 0.3947193", \
+					  "0.3650051, 0.4251505, 0.4603734, 0.4764293, 0.4780022, 0.4545955, 0.4866819", \
+					  "0.4181041, 0.4736719, 0.5073688, 0.5129919, 0.5250120, 0.5242611, 0.5063194");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2186962, 0.3236752, 0.3909211, 0.4558905, 0.5142777, 0.5669713, 0.5136021", \
+					  "0.1664120, 0.2686760, 0.3385595, 0.4169811, 0.4721907, 0.5194105, 0.5604585", \
+					  "0.1774945, 0.2839397, 0.3428632, 0.4117088, 0.4690408, 0.5429982, 0.5735775", \
+					  "0.2077053, 0.3156023, 0.3582396, 0.4485545, 0.4910782, 0.5450782, 0.5663077", \
+					  "0.2546988, 0.3534401, 0.3945519, 0.4497671, 0.5338853, 0.5894372, 0.6309282", \
+					  "0.2947730, 0.3980923, 0.4376778, 0.5296980, 0.5213102, 0.5644411, 0.6703836", \
+					  "0.3539755, 0.4450878, 0.4861991, 0.5532549, 0.5667798, 0.6632625, 0.6879294");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0441341, 0.0445634, 0.0449927, 0.0475440, 0.0499933, 0.0525446, 0.0550959");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0557310, 0.0567881, 0.0578453, 0.0606501, 0.0633428, 0.0661477, 0.0689525");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014520;
+			capacitance : 0.014451;
+			fall_capacitance : 0.014382;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0971219, -0.0309116, -0.0074312, 0.0023163, 0.0051425, -0.0018966, 0.0108703", \
+					  "-0.1564597, -0.0892839, -0.0644935, -0.0505348, -0.0353185, -0.0432554, -0.0420440", \
+					  "-0.1320699, -0.0888264, -0.0730508, -0.0409263, -0.0303725, -0.0374747, -0.0348466", \
+					  "-0.1233616, -0.0494604, -0.0372855, -0.0285752, -0.0392677, -0.0171595, -0.0078897", \
+					  "-0.0696939, -0.0253550, 0.0036329, 0.0131173, -0.0010238, 0.0064440, 0.0269035", \
+					  "-0.0469751, 0.0315038, 0.0468292, 0.0531359, 0.0157655, 0.0480334, 0.0234726", \
+					  "-0.0030314, 0.0556111, 0.0907729, 0.1096491, 0.0620244, 0.0918908, 0.1283352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1749900, -0.0675327, 0.0047758, 0.0707810, 0.1247893, 0.1726405, 0.2056708", \
+					  "-0.2358535, -0.1346013, -0.0542378, 0.0052818, 0.0553324, 0.1075467, 0.1619962", \
+					  "-0.2218684, -0.1219930, -0.0518405, 0.0145352, 0.0671790, 0.1166246, 0.1654854", \
+					  "-0.2042815, -0.0867953, -0.0274848, 0.0437629, 0.0967969, 0.1488857, 0.1983630", \
+					  "-0.1456187, -0.0524181, -0.0143660, 0.0805912, 0.1368778, 0.1861813, 0.2363227", \
+					  "-0.1278949, 0.0105447, 0.0302858, 0.1139959, 0.1374764, 0.1860045, 0.2737630", \
+					  "-0.0839512, 0.0315998, 0.0772813, 0.1378183, 0.2176908, 0.2628123, 0.2730669");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4719083, 0.4061342, 0.3760955, 0.3572139, 0.3513360, 0.3507457, 0.3532052", \
+					  "0.5322607, 0.4663161, 0.4289270, 0.4216746, 0.4172933, 0.4128050, 0.4207177", \
+					  "0.5143673, 0.4455328, 0.4069406, 0.4029967, 0.4169212, 0.4224345, 0.3964789", \
+					  "0.4966234, 0.4301061, 0.3704592, 0.3731229, 0.3747962, 0.3617061, 0.3548579", \
+					  "0.4563806, 0.4062748, 0.3586305, 0.3309232, 0.3276566, 0.3299714, 0.3475304", \
+					  "0.4324439, 0.3662007, 0.3343823, 0.2834632, 0.2791002, 0.2929490, 0.3078823", \
+					  "0.3854484, 0.3146276, 0.2408687, 0.2264967, 0.2451908, 0.2584813, 0.2499389");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1942476, 0.0822143, 0.0099057, -0.0498488, -0.1103647, -0.1585327, -0.1946059", \
+					  "0.2566933, 0.1491921, 0.0683446, 0.0051097, -0.0406633, -0.0968868, -0.1457065", \
+					  "0.2382112, 0.1336533, 0.0649751, -0.0002853, -0.0583642, -0.1070455, -0.1595620", \
+					  "0.2220143, 0.0986592, 0.0562049, -0.0332367, -0.0847054, -0.1374045, -0.1836249", \
+					  "0.1621172, 0.0656042, 0.0290840, -0.0586432, -0.1269565, -0.1312437, -0.2153226", \
+					  "0.1425760, 0.0102712, -0.0170937, -0.1007795, -0.1394231, -0.1746905, -0.2500784", \
+					  "0.1001582, -0.0046808, -0.0625633, -0.1258575, -0.2018332, -0.2564454, -0.2506033");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0445693, 0.0448718, 0.0451743, 0.0476771, 0.0500798, 0.0525826, 0.0550854");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0558986, 0.0570101, 0.0581216, 0.0609192, 0.0636050, 0.0664026, 0.0692003");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.948250;
+			max_transition : 1.503775;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("2.2933166, 2.4039251, 2.8331324, 6.6127078, 9.1686806, 9.1756069, 9.1874434", \
+					  "6.8814086, 6.8830328, 6.8913308, 6.8944503, 6.8967245, 6.7352625, 6.7068447", \
+					  "7.0718752, 7.0700182, 7.0713804, 7.0745471, 7.0769159, 7.0834645, 6.9909937", \
+					  "7.2283468, 7.2239098, 7.2689107, 7.2175213, 7.2210658, 7.2133042, 7.2214804", \
+					  "7.4517285, 7.4698693, 7.4682300, 7.4696438, 7.4703473, 7.3480918, 7.3580820", \
+					  "7.4314045, 7.5400771, 7.5040460, 7.5677268, 7.4137137, 7.6780093, 7.4764899", \
+					  "7.7296572, 7.5972631, 7.6276407, 7.7582385, 7.7663691, 7.6260239, 7.7848318");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("4.5350472, 5.1013668, 6.1026578, 6.8287584, 7.0431156, 7.0531137, 7.0561902", \
+					  "9.7599116, 9.7610548, 9.7622691, 9.7636075, 9.7657438, 9.7682539, 9.9435963", \
+					  "9.8042815, 9.8044472, 9.8421341, 9.8461586, 9.8496437, 9.8162303, 9.8152450", \
+					  "9.8929554, 9.8953198, 9.8128363, 9.8145771, 9.8321863, 9.8521356, 9.8440058", \
+					  "9.9516888, 9.9535406, 9.9546638, 9.9571454, 9.9476608, 9.9747658, 9.9147088", \
+					  "10.0302340, 10.0340010, 10.0367220, 10.0395160, 9.9680177, 9.9724248, 9.9631805", \
+					  "9.9999661, 10.0019920, 10.0068280, 10.0074230, 10.0111520, 9.9758960, 10.1251300");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("11.2924622, 11.6655310, 12.1618937, 12.3866963, 12.3936708, 12.3931508, 12.4364935", \
+					  "41.0146710, 41.0203920, 41.0129330, 41.0094840, 41.0177340, 41.0199170, 40.2360470", \
+					  "73.6901170, 73.6931280, 73.7062560, 73.7030640, 73.7069090, 73.7886140, 73.6860780", \
+					  "105.3681100, 105.3319400, 105.3883200, 105.3832300, 105.3436600, 104.9492400, 105.2677200", \
+					  "136.3871000, 136.3831100, 136.4442300, 136.3681900, 136.3674000, 136.3708700, 136.4148700", \
+					  "166.8820900, 166.8703000, 166.9363300, 166.9247900, 166.8946200, 166.9223800, 166.7348200", \
+					  "197.2673400, 197.3196300, 197.2149700, 197.2135400, 197.2389600, 197.6110900, 197.2750400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("11.0820399, 11.1119219, 11.1669109, 11.2295119, 11.2700539, 11.2907179, 11.3027969", \
+					  "35.1845430, 35.1882720, 35.1902990, 35.1916240, 35.1826620, 35.1803030, 35.7485880", \
+					  "52.0918170, 52.0935660, 52.0932880, 52.0989540, 52.1110100, 52.0657370, 52.0299980", \
+					  "69.2065290, 69.2035600, 69.2361170, 69.2258400, 69.2318630, 69.1951980, 69.1297850", \
+					  "86.5242950, 86.5328090, 86.5284090, 86.5103960, 86.4577580, 86.4957410, 86.4578480", \
+					  "103.7799400, 103.8454800, 103.8892200, 103.7779700, 103.8284000, 103.8572800, 103.6970400", \
+					  "121.2126500, 121.2316600, 121.2108500, 121.2134000, 121.1852300, 121.1319400, 121.0922600");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("9.7281239, 10.0810835, 10.5905410, 10.8096725, 10.8240140, 10.7956616, 10.8493268", \
+					  "12.5011030, 12.4992920, 12.5113100, 12.5046740, 12.5049810, 12.5041720, 11.7718760", \
+					  "22.8994670, 22.8902570, 22.9143820, 22.8954490, 22.8971690, 22.8404590, 22.9058530", \
+					  "32.7718370, 32.7629660, 32.8057230, 32.7990970, 32.7847920, 32.7192440, 32.7360710", \
+					  "42.3558270, 42.3689870, 42.3398890, 42.3478410, 42.4092290, 42.3615830, 42.3399540", \
+					  "51.8175050, 51.8098170, 51.8494760, 51.8494970, 51.8343220, 51.7716110, 51.8373330", \
+					  "61.1550460, 61.1118730, 61.2510600, 61.2517810, 61.1941470, 61.2299930, 61.1653780");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("11.2042459, 11.2538499, 11.3329159, 11.4367209, 11.5164479, 11.5588009, 11.5829049", \
+					  "29.2080040, 29.2096240, 29.2080840, 29.2128820, 29.2178260, 29.7260240, 29.8458790", \
+					  "39.1404580, 39.1415820, 39.1438100, 39.1468800, 39.1442330, 39.1383280, 39.3294810", \
+					  "49.1680830, 49.1867280, 49.1621290, 49.1646720, 49.1735370, 49.1493410, 49.1424020", \
+					  "59.2756750, 59.3755050, 59.2775970, 59.2649120, 59.2701100, 59.2691870, 59.2170760", \
+					  "69.3743400, 69.5694540, 69.4002560, 69.4100820, 69.3920130, 69.4043990, 69.3540090", \
+					  "79.4976520, 79.6460470, 79.4967980, 79.5532950, 79.5068660, 79.5014960, 79.5031330");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("25.2305446, 25.6731946, 26.2641176, 26.6505826, 26.8392146, 26.9641446, 27.0215306", \
+					  "25.1873624, 25.6717434, 26.2393794, 26.6572084, 26.8627214, 26.9440364, 27.0041064", \
+					  "25.2045182, 25.6448042, 26.2757752, 26.7071842, 26.9012022, 26.9002132, 26.9976112", \
+					  "25.2027080, 25.6349700, 26.2469440, 26.6635260, 26.8779100, 26.9799510, 27.0227750", \
+					  "25.3088530, 25.7080180, 26.2893120, 26.7086690, 26.9283000, 26.9086810, 27.0048240", \
+					  "25.2251638, 25.6850688, 26.2302648, 26.7406128, 26.9334188, 26.9133188, 26.9339568", \
+					  "25.2475066, 25.6953986, 26.3089646, 26.6883606, 26.8811006, 27.0275916, 27.0609036");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02407728, 0.05797154, 0.1395797, 0.33607, 0.8091653, 1.94825");
+					values("17.9534535, 18.3123485, 19.0744925, 19.8582145, 20.4445515, 20.7276925, 20.7575265", \
+					  "17.9529344, 18.3121684, 19.0368474, 19.8585894, 20.4347394, 20.7261744, 20.7492134", \
+					  "17.9541483, 18.3135313, 19.0645013, 19.8594683, 20.4306933, 20.7205883, 20.7465593", \
+					  "17.9522723, 18.3063923, 19.0347163, 19.8502703, 20.4254173, 20.7159183, 20.7350243", \
+					  "17.9414809, 18.2865049, 19.0644179, 19.8577359, 20.4233009, 20.7112059, 20.7311309", \
+					  "17.9506788, 18.3177848, 19.0132908, 19.8450878, 20.4201468, 20.7084768, 20.7396928", \
+					  "17.9560038, 18.3172708, 19.0204708, 19.8477268, 20.4280218, 20.7169558, 20.7358808");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("1.1066030, 1.1162445, 1.1354254, 1.1778742, 1.2767344, 1.5099827, 2.0700957", \
+					  "2.4697020, 2.4783173, 2.4977918, 2.5407503, 2.6395088, 2.8726965, 3.4327823", \
+					  "3.4138106, 3.4225076, 3.4419383, 3.4847787, 3.5834902, 3.8167056, 4.3767465", \
+					  "3.6266965, 3.6355261, 3.6547172, 3.6976038, 3.7961279, 4.0295038, 4.5896238", \
+					  "4.7415982, 4.7503104, 4.7697002, 4.8125401, 4.9112563, 5.1444689, 5.7044964", \
+					  "5.6359419, 5.6447322, 5.6642002, 5.7069647, 5.8056653, 6.0400049, 6.5989725", \
+					  "6.2785581, 6.2872072, 6.3122672, 6.3551049, 6.4538139, 6.6486683, 7.2470638", \
+					  "7.2727819, 7.2814754, 7.3011188, 7.3437474, 7.4424578, 7.6756663, 8.2357099", \
+					  "20.0800280, 20.0887800, 20.1161330, 20.1583500, 20.2275030, 20.4899740, 21.0429540", \
+					  "28.1924260, 28.2007840, 28.2209950, 28.2657950, 28.3631310, 28.5910140, 29.1552790", \
+					  "63.7367560, 63.7443380, 63.7677860, 63.7803770, 63.8902260, 64.1283790, 64.6709640", \
+					  "197.8049200, 197.8135900, 197.8135911, 197.9498900, 198.0524500, 198.3279800, 198.7670300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0598353, 0.0693109, 0.0930049, 0.1508335, 0.2951070, 0.6466007, 1.5028891", \
+					  "0.0599556, 0.0700929, 0.0936530, 0.1512986, 0.2952634, 0.6466868, 1.5029554", \
+					  "0.0602005, 0.0700716, 0.0933858, 0.1512716, 0.2951661, 0.6467234, 1.5057668", \
+					  "0.0602966, 0.0698044, 0.0932655, 0.1515792, 0.2953446, 0.6464448, 1.4967113", \
+					  "0.0602041, 0.0700391, 0.0933993, 0.1512387, 0.2951702, 0.6467232, 1.4995237", \
+					  "0.0601938, 0.0700126, 0.0934133, 0.1512589, 0.2951111, 0.6467632, 1.4995000", \
+					  "0.0600943, 0.0700835, 0.0933838, 0.1512193, 0.2952393, 0.6467238, 1.4995082", \
+					  "0.0602019, 0.0700473, 0.0933938, 0.1512457, 0.2951772, 0.6467217, 1.4995245", \
+					  "0.0600234, 0.0697329, 0.0928489, 0.1511478, 0.2948954, 0.6467228, 1.5004364", \
+					  "0.0598891, 0.0700820, 0.0932845, 0.1513063, 0.2951866, 0.6463618, 1.4995657", \
+					  "0.0601433, 0.0700879, 0.0932801, 0.1511050, 0.2951728, 0.6467175, 1.4994115", \
+					  "0.0600997, 0.0700851, 0.0932352, 0.1507380, 0.2954334, 0.6467217, 1.4995717");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.9088198, 0.9222449, 0.9504771, 1.0065466, 1.1141682, 1.3347305, 1.8342103", \
+					  "1.7225918, 1.7359761, 1.7643339, 1.8201984, 1.9278985, 2.1483073, 2.6477789", \
+					  "2.1134925, 2.1268016, 2.1550175, 2.2110052, 2.3186879, 2.5391311, 3.0383103", \
+					  "2.1915421, 2.2048952, 2.2331879, 2.2891464, 2.3968667, 2.6172711, 3.1163656", \
+					  "2.6076346, 2.6209865, 2.6492744, 2.7052631, 2.8129554, 3.0333265, 3.5323624", \
+					  "2.9213065, 2.9346621, 2.9629386, 3.0189156, 3.1266345, 3.3470151, 3.8461794", \
+					  "3.1420946, 3.1554794, 3.1838256, 3.2396876, 3.3473933, 3.5677845, 4.0668380", \
+					  "3.4464525, 3.4598142, 3.4880678, 3.5440699, 3.6517904, 3.8721590, 4.3712030", \
+					  "6.8188832, 6.8357728, 6.8663382, 6.9226473, 7.0293300, 7.2523205, 7.7493620", \
+					  "8.6870138, 8.6936822, 8.7283762, 8.7891880, 8.8902086, 9.1151646, 9.6154311", \
+					  "15.9966970, 16.0133200, 16.0451740, 16.1027800, 16.1969960, 16.4263480, 16.9292370", \
+					  "40.5080200, 40.5300500, 40.6747560, 40.7243550, 40.8213230, 41.0457410, 41.5322350");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0841781, 0.0942175, 0.1169678, 0.1650790, 0.2735281, 0.5388179, 1.2073705", \
+					  "0.0837934, 0.0943884, 0.1173007, 0.1656583, 0.2745601, 0.5388962, 1.2086997", \
+					  "0.0843226, 0.0948523, 0.1171660, 0.1650504, 0.2745701, 0.5390366, 1.2103161", \
+					  "0.0837862, 0.0945264, 0.1175814, 0.1656857, 0.2746571, 0.5386249, 1.2098537", \
+					  "0.0838101, 0.0945498, 0.1176071, 0.1656125, 0.2746957, 0.5388872, 1.2080728", \
+					  "0.0837536, 0.0944928, 0.1175688, 0.1657038, 0.2746240, 0.5388457, 1.2100353", \
+					  "0.0837450, 0.0944227, 0.1173674, 0.1657226, 0.2745352, 0.5403038, 1.2055775", \
+					  "0.0836871, 0.0944279, 0.1175378, 0.1657404, 0.2745667, 0.5403055, 1.2078325", \
+					  "0.0843050, 0.0949437, 0.1170822, 0.1660219, 0.2738301, 0.5404895, 1.2071708", \
+					  "0.0843177, 0.0949032, 0.1171342, 0.1652236, 0.2745621, 0.5395269, 1.2095568", \
+					  "0.0838948, 0.0947474, 0.1171006, 0.1654155, 0.2744750, 0.5400792, 1.2085823", \
+					  "0.0836919, 0.0946267, 0.1173210, 0.1652365, 0.2740823, 0.5397072, 1.2088455");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.8008330, 0.8095675, 0.8287095, 0.8714676, 0.9694893, 1.2033936, 1.7654014", \
+					  "1.3489863, 1.3577932, 1.3770800, 1.4198031, 1.5178695, 1.7517463, 2.3135842", \
+					  "1.6153805, 1.6239443, 1.6434479, 1.6862061, 1.7843282, 2.0181550, 2.5803315", \
+					  "1.6662162, 1.6745627, 1.6942823, 1.7369907, 1.8351239, 2.0689883, 2.6309868", \
+					  "1.9567168, 1.9773061, 1.9847721, 2.0274951, 2.1258222, 2.3594904, 2.9218490", \
+					  "2.1818678, 2.1912929, 2.2098887, 2.2525459, 2.3506368, 2.5845952, 3.1466711", \
+					  "2.3310969, 2.3466304, 2.3553091, 2.4017115, 2.4965234, 2.7337579, 3.2959357", \
+					  "2.5521882, 2.5605542, 2.5908977, 2.6223861, 2.7309881, 2.9544422, 3.5172989", \
+					  "5.1224656, 5.1309623, 5.1502731, 5.1790230, 5.2910685, 5.5253344, 6.0752714", \
+					  "6.6569901, 6.6660313, 6.6854406, 6.7164940, 6.8145156, 7.0573773, 7.6198940", \
+					  "13.0354900, 13.0354906, 13.0608760, 13.1102200, 13.2076060, 13.4308730, 13.9951510", \
+					  "36.1968380, 36.2051080, 36.2523060, 36.2652630, 36.3345580, 36.6412360, 37.1308460");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0587826, 0.0682589, 0.0923548, 0.1499070, 0.2945882, 0.6469614, 1.5047911", \
+					  "0.0587459, 0.0681893, 0.0920038, 0.1502416, 0.2956428, 0.6507243, 1.5062877", \
+					  "0.0587513, 0.0682163, 0.0918437, 0.1502655, 0.2956232, 0.6507346, 1.5042673", \
+					  "0.0587524, 0.0683845, 0.0918245, 0.1502686, 0.2956278, 0.6507360, 1.4992401", \
+					  "0.0587483, 0.0682660, 0.0918389, 0.1502274, 0.2956610, 0.6507091, 1.5018301", \
+					  "0.0587521, 0.0682729, 0.0918065, 0.1502663, 0.2956130, 0.6507232, 1.5027060", \
+					  "0.0587464, 0.0682622, 0.0918934, 0.1502346, 0.2956571, 0.6507067, 1.5051187", \
+					  "0.0586837, 0.0682688, 0.0918720, 0.1502549, 0.2956288, 0.6504750, 1.5020990", \
+					  "0.0586570, 0.0682025, 0.0917970, 0.1502281, 0.2956057, 0.6507329, 1.5018384", \
+					  "0.0587528, 0.0681254, 0.0918448, 0.1502636, 0.2956188, 0.6506439, 1.5020184", \
+					  "0.0587460, 0.0682974, 0.0918356, 0.1502488, 0.2957060, 0.6506081, 1.5022684", \
+					  "0.0585700, 0.0683801, 0.0918639, 0.1502233, 0.2956588, 0.6506710, 1.5002747");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.9317739, 0.9444909, 0.9710739, 1.0247700, 1.1291432, 1.3472938, 1.8458952", \
+					  "2.2827387, 2.2951792, 2.3221016, 2.3754534, 2.4799396, 2.6983376, 3.1982497", \
+					  "3.4865815, 3.4990237, 3.5259460, 3.5792962, 3.6948524, 3.9021937, 4.4023079", \
+					  "3.7680161, 3.7804657, 3.8073873, 3.8607260, 3.9633741, 4.1836303, 4.6836903", \
+					  "5.4812248, 5.4936544, 5.5205800, 5.5739453, 5.6823275, 5.8968355, 6.3968502", \
+					  "6.9463524, 6.9588681, 6.9856028, 7.0392283, 7.1419444, 7.3619382, 7.8609261", \
+					  "8.0692786, 8.0817282, 8.1086489, 8.1619902, 8.2684251, 8.4848901, 8.9854577", \
+					  "9.6850101, 9.6974571, 9.7243777, 9.7777253, 9.8783124, 10.1006230, 10.6101170", \
+					  "33.1251720, 33.1268590, 33.1527050, 33.2075030, 33.2466210, 33.5282340, 34.0442660", \
+					  "48.7434660, 48.7571230, 48.7842160, 48.8370480, 48.9416760, 49.1607640, 49.6652280", \
+					  "118.5569500, 118.5987600, 118.6360700, 118.6826700, 118.7796800, 118.9802200, 119.5159300", \
+					  "388.7128100, 388.7206200, 388.7206269, 388.7741400, 388.8991100, 389.1249800, 389.6250000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0780554, 0.0884784, 0.1113161, 0.1583315, 0.2672391, 0.5347632, 1.2098427", \
+					  "0.0783490, 0.0899057, 0.1125357, 0.1601879, 0.2696612, 0.5390674, 1.2179587", \
+					  "0.0783500, 0.0899086, 0.1125398, 0.1611246, 0.2715030, 0.5378369, 1.2227626", \
+					  "0.0783556, 0.0899205, 0.1125703, 0.1611089, 0.2713557, 0.5377674, 1.2207106", \
+					  "0.0783507, 0.0898926, 0.1125137, 0.1611412, 0.2714578, 0.5380524, 1.2170756", \
+					  "0.0786497, 0.0886238, 0.1120807, 0.1612155, 0.2713822, 0.5388693, 1.2156214", \
+					  "0.0783542, 0.0899176, 0.1125658, 0.1611087, 0.2712546, 0.5377059, 1.2171592", \
+					  "0.0783508, 0.0899101, 0.1125513, 0.1611166, 0.2713879, 0.5378146, 1.2169217", \
+					  "0.0783542, 0.0890346, 0.1122600, 0.1611019, 0.2713908, 0.5381431, 1.2171814", \
+					  "0.0783567, 0.0899485, 0.1125096, 0.1611458, 0.2713583, 0.5379591, 1.2159490", \
+					  "0.0785089, 0.0890344, 0.1122552, 0.1613545, 0.2712548, 0.5394020, 1.2164851", \
+					  "0.0786057, 0.0889832, 0.1125055, 0.1611066, 0.2709873, 0.5388082, 1.2165653");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.7879991, 0.7968522, 0.8157136, 0.8584763, 0.9569051, 1.1905917, 1.7520754", \
+					  "0.2920361, 0.3008349, 0.3201336, 0.3628438, 0.4609156, 0.6948001, 1.2566530", \
+					  "-0.5261921, -0.5174998, -0.4983168, -0.4556018, -0.3576578, -0.1240214, 0.4378757", \
+					  "-0.7348716, -0.7261605, -0.7069591, -0.6642333, -0.5660967, -0.3321735, 0.2302630", \
+					  "-2.1004416, -2.0938682, -2.0729007, -2.0298987, -1.9318513, -1.6981671, -1.1402415", \
+					  "-3.3414049, -3.3318550, -3.3136667, -3.2708081, -3.1729741, -2.9392766, -2.3771642", \
+					  "-4.3256341, -4.3161776, -4.2986037, -4.2556971, -4.1572497, -3.9235162, -3.3651231", \
+					  "-5.7762612, -5.7624256, -5.7481517, -5.7053824, -5.6019731, -5.3734790, -4.8071183", \
+					  "-28.2621140, -28.2573320, -28.2341970, -28.1916480, -28.0934690, -27.8596810, -27.2980070", \
+					  "-43.7777890, -43.7756750, -43.7503510, -43.7074950, -43.6150560, -43.3800870, -42.8167580", \
+					  "-114.4389300, -114.4297800, -114.4082100, -114.3654700, -114.2749800, -114.0331800, -113.4745100", \
+					  "-392.2908400, -392.2908172, -392.2907867, -392.2907562, -392.1566400, -391.8885600, -391.3680500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0586350, 0.0683823, 0.0920521, 0.1501006, 0.2944152, 0.6472687, 1.5078429", \
+					  "0.0587471, 0.0681634, 0.0919700, 0.1502581, 0.2956325, 0.6507244, 1.5013022", \
+					  "0.0588478, 0.0683298, 0.0923015, 0.1506947, 0.2941937, 0.6493589, 1.4979323", \
+					  "0.0586554, 0.0683342, 0.0920343, 0.1502629, 0.2957976, 0.6502987, 1.5014744", \
+					  "0.0587762, 0.0683081, 0.0923342, 0.1502017, 0.2960012, 0.6487804, 1.5022490", \
+					  "0.0587428, 0.0681120, 0.0924878, 0.1509165, 0.2941408, 0.6494679, 1.5023673", \
+					  "0.0588153, 0.0685668, 0.0923073, 0.1502350, 0.2944018, 0.6495458, 1.5009181", \
+					  "0.0587469, 0.0682609, 0.0919755, 0.1502505, 0.2942056, 0.6507097, 1.4988297", \
+					  "0.0588180, 0.0684881, 0.0922219, 0.1504861, 0.2942089, 0.6499127, 1.4976593", \
+					  "0.0587468, 0.0681838, 0.0921861, 0.1500798, 0.2955821, 0.6506891, 1.5014513", \
+					  "0.0587461, 0.0681657, 0.0919886, 0.1502441, 0.2959160, 0.6491393, 1.5012236", \
+					  "0.0588562, 0.0681968, 0.0918829, 0.1506523, 0.2941423, 0.6493719, 1.5020357");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("1.0758834, 1.0886143, 1.1152727, 1.1688162, 1.2734029, 1.4915451, 1.9900255", \
+					  "2.9870264, 2.9994527, 3.0263831, 3.0797540, 3.1844265, 3.4026435, 3.9021555", \
+					  "4.7997921, 4.8122315, 4.8374189, 4.8924940, 4.9973252, 5.2154272, 5.7146989", \
+					  "5.2185221, 5.2309668, 5.2591775, 5.3112421, 5.4160174, 5.6341816, 6.1335332", \
+					  "7.8065678, 7.8191889, 7.8455784, 7.8994740, 8.0066185, 8.2222016, 8.7210106", \
+					  "10.0139300, 10.0264050, 10.0532240, 10.1068070, 10.2122050, 10.4295340, 10.9283830", \
+					  "11.7218940, 11.7344130, 11.7620060, 11.8145320, 11.9190610, 12.1373530, 12.6369990", \
+					  "14.1667420, 14.1792950, 14.2094660, 14.2594060, 14.3674590, 14.5821250, 15.0811170", \
+					  "49.8488290, 49.8605100, 49.8880370, 49.9406380, 50.0461720, 50.2639640, 50.7627610", \
+					  "73.6696390, 73.6834880, 73.7086480, 73.7625500, 73.8685910, 74.0841270, 74.5851030", \
+					  "180.2393300, 180.2540200, 180.2804100, 180.3329200, 180.4383100, 180.6563600, 181.1560700", \
+					  "592.4264900, 592.4612200, 592.4635500, 592.5266500, 592.6360100, 592.8540600, 593.3489400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0782271, 0.0888854, 0.1118501, 0.1583570, 0.2677389, 0.5350536, 1.2089378", \
+					  "0.0783583, 0.0899501, 0.1125115, 0.1611481, 0.2713827, 0.5381567, 1.2101354", \
+					  "0.0783488, 0.0883516, 0.1110449, 0.1605463, 0.2715622, 0.5391786, 1.2119409", \
+					  "0.0783479, 0.0898986, 0.1125190, 0.1611297, 0.2710014, 0.5377852, 1.2124475", \
+					  "0.0785707, 0.0890239, 0.1125988, 0.1610664, 0.2714423, 0.5387801, 1.2160003", \
+					  "0.0785848, 0.0886993, 0.1120638, 0.1613046, 0.2716145, 0.5392799, 1.2098159", \
+					  "0.0786956, 0.0894132, 0.1127221, 0.1611430, 0.2711507, 0.5385703, 1.2162747", \
+					  "0.0785093, 0.0886476, 0.1117922, 0.1610682, 0.2712374, 0.5388579, 1.2143105", \
+					  "0.0783823, 0.0886709, 0.1122177, 0.1610630, 0.2706443, 0.5381047, 1.2149551", \
+					  "0.0783756, 0.0895156, 0.1123323, 0.1613278, 0.2702731, 0.5378385, 1.2153324", \
+					  "0.0782977, 0.0894622, 0.1120414, 0.1611388, 0.2713472, 0.5364541, 1.2084137", \
+					  "0.0791295, 0.0895249, 0.1122534, 0.1612309, 0.2710655, 0.5369679, 1.2125547");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("3.3576297, 3.3662237, 3.3853746, 3.4281866, 3.5258729, 3.7590482, 4.3190607", \
+					  "3.4638392, 3.4724486, 3.4915522, 3.5343997, 3.6320815, 3.8652930, 4.4252921", \
+					  "3.5399550, 3.5488321, 3.5686325, 3.6104173, 3.7084082, 3.9415555, 4.5024193", \
+					  "3.6056200, 3.6144896, 3.6335093, 3.6764689, 3.7741938, 4.0073456, 4.5672435", \
+					  "3.6596139, 3.6684049, 3.6870806, 3.7301087, 3.8279993, 4.0611430, 4.6208757", \
+					  "3.7137444, 3.7226213, 3.7414853, 3.7842063, 3.8821972, 4.1153445, 4.6752060", \
+					  "3.7634078, 3.7719809, 3.7890109, 3.8339611, 3.9316412, 4.1648245, 4.7228013");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0587747, 0.0684048, 0.0924714, 0.1508330, 0.2935447, 0.6483735, 1.4943419", \
+					  "0.0587924, 0.0683768, 0.0922252, 0.1508077, 0.2935600, 0.6484884, 1.4955768", \
+					  "0.0588996, 0.0686000, 0.0924593, 0.1504259, 0.2940585, 0.6483826, 1.4978855", \
+					  "0.0588631, 0.0684676, 0.0922168, 0.1507403, 0.2935965, 0.6484386, 1.4955773", \
+					  "0.0589039, 0.0685157, 0.0924727, 0.1504919, 0.2939965, 0.6483586, 1.4966176", \
+					  "0.0588996, 0.0685998, 0.0921605, 0.1504260, 0.2940581, 0.6483822, 1.4953890", \
+					  "0.0587494, 0.0684548, 0.0924708, 0.1507495, 0.2935609, 0.6484147, 1.4971312");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("2.4550644, 2.4675664, 2.4940605, 2.5471283, 2.6508167, 2.8673259, 3.3594594", \
+					  "2.5253544, 2.5377640, 2.5644689, 2.6174141, 2.7211036, 2.9376430, 3.4297731", \
+					  "2.5509019, 2.5634150, 2.5903757, 2.6429687, 2.7471494, 2.9630194, 3.4551377", \
+					  "2.5655946, 2.5780038, 2.6047100, 2.6576523, 2.7613412, 2.9778804, 3.4700129", \
+					  "2.5688414, 2.5811600, 2.6078193, 2.6608362, 2.7645664, 2.9810125, 3.4731358", \
+					  "2.5726825, 2.5850944, 2.6117764, 2.6647431, 2.7684335, 2.9850131, 3.4771579", \
+					  "2.5703530, 2.5827700, 2.6094591, 2.6624178, 2.7661053, 2.9826634, 3.4747971");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240773, 0.0579715, 0.13958, 0.33607, 0.809165, 1.94825");
+					values("0.0786288, 0.0887530, 0.1110270, 0.1582967, 0.2693212, 0.5337629, 1.2036268", \
+					  "0.0785144, 0.0889812, 0.1102591, 0.1583055, 0.2669252, 0.5336410, 1.2035853", \
+					  "0.0785858, 0.0887220, 0.1110899, 0.1582795, 0.2693110, 0.5348707, 1.2012561", \
+					  "0.0785142, 0.0889828, 0.1102787, 0.1583005, 0.2668727, 0.5336345, 1.2035039", \
+					  "0.0780823, 0.0887992, 0.1108983, 0.1582140, 0.2691470, 0.5345052, 1.2027385", \
+					  "0.0785164, 0.0889368, 0.1103456, 0.1583222, 0.2670753, 0.5326144, 1.2033826", \
+					  "0.0785175, 0.0889066, 0.1103034, 0.1583545, 0.2670342, 0.5334141, 1.2034881");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 8.214010;
+			max_transition : 3.761060;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03059946, 0.09363272, 0.2865111, 0.8767085, 2.682681, 8.20886");
+					values("8.9671131, 8.8510440, 8.5406816, 8.0081679, 7.3368534, 6.5208668, 5.2313659", \
+					  "6.8163101, 6.6927059, 6.3472261, 5.7637350, 4.8996085, 4.2361795, 2.6011031", \
+					  "6.9980697, 6.8741154, 6.5404058, 5.9533095, 5.3357973, 4.3452321, 3.6571792", \
+					  "7.2181163, 7.0399507, 6.6780470, 6.1371481, 5.4883047, 4.9679202, 2.9043007", \
+					  "7.3673385, 7.2085593, 6.9079217, 6.2208047, 5.6405288, 5.0889874, 3.6330346", \
+					  "7.3862912, 7.3508127, 6.9258752, 6.4767375, 5.7721701, 5.2110490, 4.5977202", \
+					  "7.4437843, 7.4447228, 7.2500291, 6.4967133, 5.9231175, 5.3600453, 4.9667527");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03059946, 0.09363272, 0.2865111, 0.8767085, 2.682681, 8.20886");
+					values("6.6627072, 6.5673521, 6.3992687, 6.1743748, 5.8797164, 5.6999626, 5.6058564", \
+					  "9.7028991, 9.5939307, 9.3353901, 8.9360134, 8.6108108, 8.5785224, 8.4993956", \
+					  "9.7356524, 9.6430615, 9.4402940, 8.9993525, 8.6674704, 8.4751737, 8.5448879", \
+					  "9.7710798, 9.6809904, 9.3682857, 9.0905729, 8.7181165, 8.5273663, 8.4597216", \
+					  "9.8532892, 9.6800909, 9.4939083, 9.1030638, 8.7314149, 8.5866210, 8.5068697", \
+					  "9.9629393, 9.8539614, 9.5535160, 9.1297204, 8.8561112, 8.6024599, 8.5489367", \
+					  "9.9757000, 9.9118396, 9.6133691, 9.1226115, 8.9201285, 8.7244248, 8.5779318");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("10.6656162, 10.5396766, 10.2033735, 9.5728840, 8.8188141, 7.9878826, 6.4025515", \
+					  "12.4201260, 12.2963990, 11.9460410, 11.3031430, 10.6455300, 9.2714673, 7.8339939", \
+					  "22.7947520, 22.6728340, 22.3232660, 21.6805270, 20.9987630, 20.4056200, 18.2633800", \
+					  "32.6737770, 32.5365530, 32.2220860, 31.5503770, 30.8636800, 30.2429820, 29.0760820", \
+					  "42.3112900, 42.0472930, 41.8097150, 41.1660740, 40.4285080, 39.8459490, 39.1956610", \
+					  "51.7429400, 51.6548470, 51.2820830, 50.6176590, 49.9210150, 49.3216790, 48.6960260", \
+					  "61.1765920, 60.9239530, 60.6860260, 59.9313580, 59.3052200, 58.6793950, 58.0315730");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("10.6442249, 10.5663169, 10.4609879, 10.4565869, 10.2926179, 10.1250189, 10.0467499", \
+					  "29.1519170, 29.0424040, 28.7773020, 28.3511890, 27.9799620, 28.3761230, 28.2986520", \
+					  "39.0849570, 38.9719920, 38.7082970, 38.2832720, 37.9116810, 37.6798900, 38.1701270", \
+					  "49.1007170, 49.0008590, 48.7244790, 48.3108800, 47.9499740, 47.6718350, 48.1318320", \
+					  "59.2112330, 59.0994060, 58.8323070, 58.4128440, 58.0450440, 57.7611360, 57.9977700", \
+					  "69.3571310, 69.2343340, 68.9497630, 68.5158800, 68.2137120, 67.8948770, 67.7370450", \
+					  "79.4357980, 79.3072070, 79.0606890, 78.6309350, 78.2777800, 78.0373610, 77.8386040");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("12.2315841, 12.1085560, 11.7764360, 11.1472576, 10.3994913, 9.5430707, 7.9738980", \
+					  "40.9539730, 40.8244510, 40.4632160, 39.8228220, 39.1789730, 37.4419560, 36.3214560", \
+					  "73.6117210, 73.4674060, 73.1327640, 72.5002140, 71.8576800, 71.2170810, 69.1650070", \
+					  "105.2333600, 105.1129200, 104.8083400, 104.1617700, 103.4470000, 102.6820300, 100.6083500", \
+					  "136.3476600, 136.1238900, 135.8532000, 135.2838100, 134.5355900, 133.9803300, 133.0099600", \
+					  "166.7674100, 166.7044300, 166.3370300, 165.6754500, 164.9791700, 164.4232400, 163.6490100", \
+					  "197.2215700, 197.0590400, 196.7080500, 196.4159500, 195.4321400, 194.6312400, 194.0816400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("10.6488409, 10.5646449, 10.4264509, 10.2850749, 10.0367559, 9.8449889, 9.7541559", \
+					  "35.1254060, 35.0197890, 34.7503620, 34.3269790, 33.9475770, 34.2839190, 34.2098430", \
+					  "52.0320310, 51.9030270, 51.6666400, 51.2295660, 50.8720670, 50.5615830, 51.0166180", \
+					  "69.1299100, 69.0168270, 68.7766020, 68.3522410, 67.9838200, 67.6850240, 68.0136090", \
+					  "86.4137930, 86.3688080, 86.0716220, 85.6555040, 85.2446170, 85.1220410, 84.7286340", \
+					  "103.7068600, 103.6316900, 103.3302900, 102.8299700, 102.4918700, 102.4247600, 102.0088700", \
+					  "121.1443400, 120.8965200, 120.7286200, 120.3391300, 119.9492000, 119.7225000, 119.4044200");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("26.4066976, 26.3064776, 26.0078766, 25.5278606, 24.9395956, 24.1603346, 22.7027386", \
+					  "26.4087944, 26.3014514, 26.0134774, 25.5142204, 24.9503974, 24.1580104, 22.6600244", \
+					  "26.4482712, 26.2983132, 26.0424572, 25.5457292, 24.9553802, 24.1602512, 22.7743252", \
+					  "26.4106770, 26.3106880, 26.0199430, 25.5397200, 24.9613320, 24.1855410, 22.7200760", \
+					  "26.4611360, 26.2975430, 26.0483010, 25.5650390, 24.9730210, 24.1738820, 22.8080750", \
+					  "26.4810098, 26.3180518, 26.0749838, 25.5729098, 24.9804498, 24.1832938, 22.7956558", \
+					  "26.4445856, 26.3481196, 26.0490996, 25.5422346, 24.9891106, 24.2095326, 22.7517336");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060266, 0.09365229, 0.2866009, 0.8770752, 2.684083, 8.21401");
+					values("19.8273925, 19.6453415, 19.2221805, 18.4273145, 17.5394465, 16.5748845, 16.0315045", \
+					  "19.8269464, 19.6490384, 19.2202284, 18.4250724, 17.5456614, 16.5641254, 15.9610504", \
+					  "19.8090013, 19.6459963, 19.2074563, 18.4232303, 17.5309363, 16.5637363, 16.0152633", \
+					  "19.8202823, 19.6441223, 19.2167373, 18.4214863, 17.5310423, 16.5573673, 15.9899173", \
+					  "19.8101469, 19.6557479, 19.2100959, 18.4207619, 17.5226469, 16.5643179, 15.9971579", \
+					  "19.8184248, 19.6475548, 19.2208198, 18.4204458, 17.5345958, 16.5640318, 15.9718278", \
+					  "19.8125538, 19.6426288, 19.2208278, 18.4269368, 17.5240338, 16.5573698, 15.9769378");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("1.5587988, 1.5695608, 1.5988529, 1.6696534, 1.8485642, 2.3636857, 3.9487031", \
+					  "2.9208536, 2.9315730, 2.9608453, 3.0316754, 3.2101066, 3.7262988, 5.3065512", \
+					  "3.8645354, 3.8749950, 3.9041632, 3.9748089, 4.1531703, 4.6707531, 6.2541257", \
+					  "4.0775161, 4.0882719, 4.1170818, 4.1877355, 4.3663024, 4.8843170, 6.4682917", \
+					  "5.1922928, 5.2027547, 5.2319167, 5.3025588, 5.4809481, 5.9984626, 7.5864168", \
+					  "6.0866721, 6.0972147, 6.1263901, 6.1970047, 6.3753811, 6.8929049, 8.4813445", \
+					  "6.7292638, 6.7396906, 6.7744983, 6.8451524, 7.0177109, 7.5411428, 9.1280308", \
+					  "7.7235214, 7.7339832, 7.7631537, 7.8337967, 8.0121545, 8.5297387, 10.1172690", \
+					  "20.5308360, 20.5308371, 20.5703280, 20.6486120, 20.8445690, 21.3374010, 22.9216910", \
+					  "28.6436260, 28.6537820, 28.6829530, 28.7555010, 28.9321060, 29.4494030, 31.0330960", \
+					  "64.1653980, 64.1833750, 64.1931660, 64.2841870, 64.3797900, 64.9662510, 66.5775130", \
+					  "197.5995500, 198.3856200, 198.3856278, 198.4043500, 198.5459800, 198.8309500, 200.7018500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("0.1115243, 0.1212792, 0.1475373, 0.2197050, 0.4601461, 1.2563474, 3.7466643", \
+					  "0.1112161, 0.1211938, 0.1478719, 0.2195609, 0.4604868, 1.2558806, 3.7397152", \
+					  "0.1117528, 0.1207631, 0.1475030, 0.2197172, 0.4604537, 1.2562309, 3.7446570", \
+					  "0.1114387, 0.1212874, 0.1472692, 0.2193698, 0.4597429, 1.2595919, 3.7544914", \
+					  "0.1117733, 0.1206494, 0.1475081, 0.2197124, 0.4604688, 1.2518090, 3.7617647", \
+					  "0.1117824, 0.1206009, 0.1475109, 0.2197129, 0.4604742, 1.2515307, 3.7555181", \
+					  "0.1117323, 0.1213976, 0.1474952, 0.2197129, 0.4603063, 1.2526307, 3.7573105", \
+					  "0.1117500, 0.1207790, 0.1475022, 0.2197176, 0.4604508, 1.2521820, 3.7571435", \
+					  "0.1116128, 0.1211229, 0.1474561, 0.2197152, 0.4604112, 1.2559110, 3.7475831", \
+					  "0.1114884, 0.1212537, 0.1474340, 0.2196808, 0.4603685, 1.2551929, 3.7478620", \
+					  "0.1115387, 0.1213830, 0.1472337, 0.2197091, 0.4599397, 1.2577769, 3.7478332", \
+					  "0.1117433, 0.1206077, 0.1474019, 0.2197146, 0.4602893, 1.2517841, 3.7460497");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("1.0775525, 1.0878671, 1.1162334, 1.1876262, 1.3718937, 1.8962627, 3.5000129", \
+					  "1.8913073, 1.9016253, 1.9298831, 2.0016524, 2.1858013, 2.7114826, 4.3102295", \
+					  "2.2824238, 2.2927720, 2.3210371, 2.3926641, 2.5768223, 3.1010194, 4.7006234", \
+					  "2.3606330, 2.3710092, 2.3992097, 2.4710027, 2.6550873, 3.1793046, 4.7815840", \
+					  "2.7767939, 2.7871778, 2.8153844, 2.8871805, 3.0712599, 3.5954817, 5.1985607", \
+					  "3.0905769, 3.1009499, 3.1291485, 3.2009411, 3.3850278, 3.9092436, 5.5118701", \
+					  "3.3115024, 3.3218146, 3.3500309, 3.4218006, 3.6059340, 4.1301198, 5.7294955", \
+					  "3.6160419, 3.6263565, 3.6545762, 3.7263641, 3.9104622, 4.4346686, 6.0414735", \
+					  "6.9921988, 7.0038957, 7.0403487, 7.1113408, 7.2891781, 7.8105700, 9.4063779", \
+					  "8.8554524, 8.8735224, 8.8950634, 8.9663521, 9.1465804, 9.6775477, 11.2766130", \
+					  "16.1773390, 16.1895330, 16.2103040, 16.2835770, 16.4649840, 16.9920290, 18.5894430", \
+					  "40.6939940, 40.8267060, 40.8440390, 40.8492580, 41.0968330, 41.6056770, 43.2149920");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305945, 0.0936022, 0.286371, 0.876138, 2.6805, 8.20085");
+					values("0.0932405, 0.1007861, 0.1231549, 0.1898428, 0.4000480, 1.0861804, 3.2520524", \
+					  "0.0928370, 0.1006152, 0.1235817, 0.1898995, 0.4001364, 1.0882434, 3.2310898", \
+					  "0.0925929, 0.1007004, 0.1237633, 0.1895835, 0.4005639, 1.0871396, 3.2402963", \
+					  "0.0922128, 0.1017827, 0.1234381, 0.1899570, 0.4001476, 1.0872275, 3.2379532", \
+					  "0.0921976, 0.1017783, 0.1234422, 0.1899607, 0.4001605, 1.0872321, 3.2513940", \
+					  "0.0922179, 0.1017844, 0.1234356, 0.1899556, 0.4001378, 1.0872265, 3.2509742", \
+					  "0.0926751, 0.1004367, 0.1233256, 0.1899166, 0.3999875, 1.0872061, 3.2537768", \
+					  "0.0922357, 0.1005348, 0.1234233, 0.1899481, 0.4001015, 1.0872210, 3.2511800", \
+					  "0.0927190, 0.1007413, 0.1243827, 0.1890222, 0.4006765, 1.0866957, 3.2452021", \
+					  "0.0927140, 0.1006813, 0.1243464, 0.1890150, 0.4006722, 1.0866914, 3.2385949", \
+					  "0.0926845, 0.1008866, 0.1238926, 0.1898517, 0.4006712, 1.0872373, 3.2360998", \
+					  "0.0926797, 0.1007812, 0.1242685, 0.1898845, 0.4000293, 1.0867685, 3.2316509");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.8599844, 0.8709203, 0.9003863, 0.9723907, 1.1529829, 1.6687882, 3.2559180", \
+					  "0.3638686, 0.3749883, 0.4045318, 0.4769094, 0.6569110, 1.1747094, 2.7573155", \
+					  "-0.4545571, -0.4436031, -0.4141400, -0.3415788, -0.1621114, 0.3567431, 1.9418021", \
+					  "-0.6630699, -0.6521189, -0.6224585, -0.5500816, -0.3698690, 0.1472139, 1.7341264", \
+					  "-2.0287638, -2.0178439, -1.9883097, -1.9159747, -1.7353705, -1.2194189, 0.3668487", \
+					  "-3.2697185, -3.2587568, -3.2293119, -3.1567322, -2.9769593, -2.4585944, -0.8720643", \
+					  "-4.2540180, -4.2430752, -4.2135953, -4.1411967, -3.9608050, -3.4432701, -1.8588271", \
+					  "-5.7043286, -5.6931833, -5.6636589, -5.5912590, -5.4108567, -4.8934816, -3.3103948", \
+					  "-28.1901740, -28.1844660, -28.1550480, -28.0771480, -27.9006520, -27.3806650, -25.7969880", \
+					  "-43.7066990, -43.7008830, -43.6855170, -43.6001210, -43.4217280, -42.8936810, -41.3178720", \
+					  "-114.3644500, -114.3528200, -114.3250800, -114.2536200, -114.0715300, -113.5556400, -111.9735100", \
+					  "-392.2099800, -392.2031600, -392.2031402, -392.0968100, -392.0372600, -391.4403500, -389.8540500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.1151543, 0.1249846, 0.1515620, 0.2235028, 0.4635108, 1.2594820, 3.7591934", \
+					  "0.1151969, 0.1245692, 0.1517702, 0.2242587, 0.4634127, 1.2597300, 3.7534091", \
+					  "0.1150867, 0.1251460, 0.1517757, 0.2244677, 0.4624340, 1.2596296, 3.7531929", \
+					  "0.1151641, 0.1249905, 0.1514628, 0.2240641, 0.4638548, 1.2587765, 3.7497041", \
+					  "0.1149438, 0.1252361, 0.1518628, 0.2240004, 0.4635444, 1.2592117, 3.7510564", \
+					  "0.1149843, 0.1252412, 0.1519032, 0.2243620, 0.4631810, 1.2570127, 3.7535752", \
+					  "0.1147877, 0.1252264, 0.1520053, 0.2238619, 0.4635189, 1.2539613, 3.7497849", \
+					  "0.1151987, 0.1245820, 0.1517817, 0.2242629, 0.4639970, 1.2597267, 3.7554218", \
+					  "0.1152869, 0.1245997, 0.1517921, 0.2240856, 0.4640056, 1.2567540, 3.7593879", \
+					  "0.1151293, 0.1245976, 0.1517987, 0.2240748, 0.4640195, 1.2564493, 3.7582759", \
+					  "0.1148026, 0.1251488, 0.1517543, 0.2242765, 0.4634145, 1.2595132, 3.7617993", \
+					  "0.1151763, 0.1245324, 0.1519230, 0.2242589, 0.4633628, 1.2592423, 3.7621706");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.8559089, 0.8665669, 0.8956982, 0.9689596, 1.1558083, 1.6832200, 3.2872820", \
+					  "2.7669331, 2.7775053, 2.8066889, 2.8801272, 3.0669254, 3.5943379, 5.1958375", \
+					  "4.5793755, 4.5899760, 4.6191073, 4.6924975, 4.8777173, 5.4064300, 7.0108328", \
+					  "4.9979185, 5.0087814, 5.0378484, 5.1109748, 5.2992828, 5.8251177, 7.4286186", \
+					  "7.5856184, 7.5963751, 7.6253501, 7.6987963, 7.8855846, 8.4131493, 10.0148350", \
+					  "9.7928678, 9.8036788, 9.8327506, 9.9060937, 10.0930070, 10.6201490, 12.2226390", \
+					  "11.5008860, 11.5115040, 11.5406500, 11.6140570, 11.7997570, 12.3282900, 13.9310790", \
+					  "13.9453810, 13.9561480, 13.9852510, 14.0573480, 14.2490320, 14.7729060, 16.3757470", \
+					  "49.6246560, 49.6358510, 49.6649410, 49.7381510, 49.9253370, 50.4526270, 52.0538030", \
+					  "73.4470620, 73.4568740, 73.4845000, 73.5605430, 73.7453550, 74.2741900, 75.8762580", \
+					  "180.0154200, 180.0244000, 180.0540000, 180.1265200, 180.3123800, 180.8390500, 182.4412500", \
+					  "592.1958700, 592.2056500, 592.2506700, 592.3088200, 592.5189200, 593.0382900, 594.6361100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.0967295, 0.1046299, 0.1276561, 0.1929822, 0.4039568, 1.0881004, 3.2504814", \
+					  "0.0966703, 0.1048130, 0.1281043, 0.1933991, 0.4039901, 1.0893779, 3.2388254", \
+					  "0.0964048, 0.1049827, 0.1280872, 0.1935586, 0.4038390, 1.0886740, 3.2433527", \
+					  "0.0964612, 0.1050643, 0.1278714, 0.1936201, 0.4044889, 1.0892247, 3.2536942", \
+					  "0.0967579, 0.1050306, 0.1279785, 0.1936835, 0.4040199, 1.0873794, 3.2451957", \
+					  "0.0967405, 0.1049030, 0.1279158, 0.1932791, 0.4042911, 1.0868082, 3.2439194", \
+					  "0.0968527, 0.1048507, 0.1280021, 0.1934616, 0.4040609, 1.0877538, 3.2427254", \
+					  "0.0967978, 0.1050640, 0.1279016, 0.1934528, 0.4039523, 1.0886381, 3.2459151", \
+					  "0.0966111, 0.1048986, 0.1280268, 0.1937635, 0.4040950, 1.0883860, 3.2388074", \
+					  "0.0966487, 0.1050371, 0.1280954, 0.1934379, 0.4038899, 1.0867012, 3.2410672", \
+					  "0.0969752, 0.1053110, 0.1280429, 0.1936212, 0.4037010, 1.0876494, 3.2408762", \
+					  "0.0970701, 0.1052386, 0.1285384, 0.1937018, 0.4039177, 1.0888132, 3.2384011");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.8727888, 0.8836995, 0.9134262, 0.9853620, 1.1658066, 1.6822775, 3.2684504", \
+					  "1.4207563, 1.4319004, 1.4614358, 1.5337976, 1.7133571, 2.2315805, 3.8158183", \
+					  "1.6872668, 1.6982143, 1.7279794, 1.8003014, 1.9841404, 2.4978888, 4.0872545", \
+					  "1.7380524, 1.7490215, 1.7787221, 1.8510637, 2.0373379, 2.5487925, 4.1376635", \
+					  "2.0287934, 2.0396789, 2.0695683, 2.1416358, 2.3307885, 2.8389195, 4.4324175", \
+					  "2.2535713, 2.2645985, 2.2942366, 2.3666380, 2.5472773, 3.0644340, 4.6497677", \
+					  "2.4027365, 2.4139875, 2.4436102, 2.5157514, 2.7113078, 3.2134355, 4.8118354", \
+					  "2.6235702, 2.6346552, 2.6647122, 2.7365428, 2.9243203, 3.4338676, 5.0202891", \
+					  "5.1969500, 5.2050804, 5.2332489, 5.3017222, 5.4841585, 5.9955800, 7.5856450", \
+					  "6.7266344, 6.7369093, 6.7694783, 6.8412644, 7.0182002, 7.5368201, 9.1236805", \
+					  "13.0889200, 13.0940160, 13.1478090, 13.2202680, 13.4043650, 13.9166570, 15.4820370", \
+					  "36.2149170, 36.2621040, 36.3071140, 36.3708850, 36.5762420, 37.0607470, 38.6888420");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.1151926, 0.1251652, 0.1515550, 0.2233776, 0.4634637, 1.2570996, 3.7482537", \
+					  "0.1152052, 0.1245841, 0.1517791, 0.2242565, 0.4634125, 1.2598474, 3.7583718", \
+					  "0.1151447, 0.1247369, 0.1516409, 0.2242568, 0.4635909, 1.2600765, 3.7652810", \
+					  "0.1151693, 0.1245876, 0.1517109, 0.2242430, 0.4639876, 1.2599781, 3.7730850", \
+					  "0.1151083, 0.1248464, 0.1515727, 0.2241439, 0.4636412, 1.2601589, 3.7469583", \
+					  "0.1151544, 0.1246857, 0.1516584, 0.2242112, 0.4636264, 1.2601422, 3.7474570", \
+					  "0.1151368, 0.1247700, 0.1516217, 0.2241737, 0.4636195, 1.2601471, 3.7605821", \
+					  "0.1151537, 0.1248461, 0.1514784, 0.2240940, 0.4635996, 1.2592700, 3.7477350", \
+					  "0.1151570, 0.1246437, 0.1515357, 0.2242256, 0.4634143, 1.2596707, 3.7554670", \
+					  "0.1151404, 0.1248043, 0.1516880, 0.2242540, 0.4634210, 1.2600826, 3.7575383", \
+					  "0.1151278, 0.1250124, 0.1517713, 0.2242602, 0.4637098, 1.2597914, 3.7580006", \
+					  "0.1149779, 0.1249541, 0.1515322, 0.2244232, 0.4634222, 1.2597419, 3.7457548");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.7119807, 0.7227309, 0.7518853, 0.8252807, 1.0119861, 1.5393465, 3.1427645", \
+					  "2.0629844, 2.0736910, 2.1027329, 2.1761928, 2.3629925, 2.8904492, 4.4916537", \
+					  "3.2667027, 3.2774092, 3.3064447, 3.3799292, 3.5647540, 4.0941037, 5.6991318", \
+					  "3.5478700, 3.5585532, 3.5876582, 3.6609724, 3.8601575, 4.3753330, 5.9787175", \
+					  "5.2612319, 5.2718074, 5.3009876, 5.3744529, 5.5644329, 6.0886554, 7.6958697", \
+					  "6.7259704, 6.7367574, 6.7658523, 6.8391923, 7.0247261, 7.5535214, 9.1598595", \
+					  "7.8490388, 7.8596996, 7.8888576, 7.9621696, 8.1502733, 8.6772296, 10.2822930", \
+					  "9.4646495, 9.4737995, 9.5044692, 9.5778567, 9.7607540, 10.2734070, 11.8924770", \
+					  "32.8911230, 32.9020830, 32.9316980, 33.0143170, 33.1363910, 33.7300780, 35.3349600", \
+					  "48.5047170, 48.5346160, 48.5649670, 48.6374320, 48.8058090, 49.3555700, 50.9488910", \
+					  "118.3563000, 118.3760900, 118.4021900, 118.4525700, 118.6505200, 119.1947100, 120.7854000", \
+					  "388.4954900, 388.5117800, 388.5117951, 388.6104600, 388.7994400, 389.2507700, 390.8504000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306037, 0.0936588, 0.286631, 0.877197, 2.68455, 8.21572");
+					values("0.0965422, 0.1050207, 0.1275719, 0.1930123, 0.4030265, 1.0896209, 3.2497027", \
+					  "0.0967071, 0.1046941, 0.1281593, 0.1934269, 0.4036527, 1.0884317, 3.2438887", \
+					  "0.0967244, 0.1046845, 0.1281554, 0.1934067, 0.4040189, 1.0889813, 3.2514376", \
+					  "0.0966308, 0.1051406, 0.1279458, 0.1936909, 0.4037585, 1.0881553, 3.2506093", \
+					  "0.0967139, 0.1048594, 0.1281596, 0.1934328, 0.4037574, 1.0887836, 3.2540866", \
+					  "0.0967901, 0.1049203, 0.1279985, 0.1935953, 0.4040300, 1.0896293, 3.2531509", \
+					  "0.0964326, 0.1050767, 0.1279929, 0.1936603, 0.4041688, 1.0885914, 3.2518079", \
+					  "0.0964412, 0.1051335, 0.1279952, 0.1934965, 0.4040401, 1.0886412, 3.2549399", \
+					  "0.0968852, 0.1048604, 0.1281588, 0.1934578, 0.4040384, 1.0886976, 3.2562666", \
+					  "0.0968740, 0.1048393, 0.1281678, 0.1934852, 0.4042518, 1.0882512, 3.2562189", \
+					  "0.0968016, 0.1048762, 0.1280381, 0.1933404, 0.4041510, 1.0882988, 3.2546923", \
+					  "0.0968387, 0.1049595, 0.1279276, 0.1933821, 0.4042908, 1.0883610, 3.2562197");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("3.4299439, 3.4409202, 3.4704384, 3.5429939, 3.7228109, 4.2410200, 5.8275655", \
+					  "3.5361876, 3.5471599, 3.5766605, 3.6492489, 3.8290233, 4.3474837, 5.9344063", \
+					  "3.6125678, 3.6235586, 3.6531020, 3.7256473, 3.9055190, 4.4237285, 6.0149317", \
+					  "3.6782852, 3.6893475, 3.7188390, 3.7914090, 3.9712047, 4.4893755, 6.0738010", \
+					  "3.7321439, 3.7431325, 3.7726591, 3.8452255, 4.0249648, 4.5433821, 6.1342957", \
+					  "3.7863570, 3.7973478, 3.8268917, 3.8994368, 4.0793084, 4.5975184, 6.1887220", \
+					  "3.8358530, 3.8468260, 3.8763281, 3.9488763, 4.1287667, 4.6468869, 6.2316698");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("0.1152326, 0.1255608, 0.1522633, 0.2246623, 0.4632792, 1.2565040, 3.7584047", \
+					  "0.1152592, 0.1255599, 0.1522323, 0.2246947, 0.4632344, 1.2569217, 3.7585681", \
+					  "0.1153624, 0.1253610, 0.1522123, 0.2246557, 0.4633009, 1.2602402, 3.7492063", \
+					  "0.1152000, 0.1255616, 0.1522669, 0.2247024, 0.4633612, 1.2558501, 3.7563184", \
+					  "0.1153633, 0.1254063, 0.1521894, 0.2246975, 0.4629534, 1.2603114, 3.7460919", \
+					  "0.1153624, 0.1253610, 0.1522123, 0.2246557, 0.4633019, 1.2602401, 3.7492129", \
+					  "0.1152021, 0.1255670, 0.1522702, 0.2245803, 0.4633523, 1.2560358, 3.7567256");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("2.4667313, 2.4801079, 2.5157221, 2.6028910, 2.8102018, 3.3508674, 4.9477302", \
+					  "2.5369531, 2.5503952, 2.5859019, 2.6731075, 2.8804217, 3.4210765, 5.0179110", \
+					  "2.5625910, 2.5759785, 2.6115210, 2.6990312, 2.9058942, 3.4467065, 5.0439857", \
+					  "2.5771345, 2.5905762, 2.6260718, 2.7132694, 2.9205807, 3.4612393, 5.0580584", \
+					  "2.5806457, 2.5938103, 2.6293783, 2.7167053, 2.9240217, 3.4646401, 5.0605733", \
+					  "2.5843814, 2.5978284, 2.6333231, 2.7205225, 2.9278309, 3.4687733, 5.0653202", \
+					  "2.5819911, 2.5954510, 2.6309268, 2.7181477, 2.9254597, 3.4662844, 5.0629829");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306027, 0.0936523, 0.286601, 0.877075, 2.68408, 8.21401");
+					values("0.1904954, 0.2002009, 0.2263142, 0.2903530, 0.4784280, 1.1244351, 3.2510332", \
+					  "0.1905873, 0.2004147, 0.2263228, 0.2901622, 0.4783145, 1.1247570, 3.2514407", \
+					  "0.1897900, 0.1992489, 0.2261200, 0.2902446, 0.4784155, 1.1244823, 3.2522158", \
+					  "0.1905259, 0.2003516, 0.2262518, 0.2901107, 0.4782995, 1.1247323, 3.2511387", \
+					  "0.1892083, 0.1999397, 0.2256313, 0.2902403, 0.4783288, 1.1227209, 3.2594558", \
+					  "0.1905563, 0.2003808, 0.2262693, 0.2900868, 0.4782803, 1.1252718, 3.2515157", \
+					  "0.1906108, 0.2004675, 0.2263106, 0.2900935, 0.4782465, 1.1233585, 3.2521772");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.040736;
+			max_capacitance : 551.041000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1413217, 16.1578847, 16.2524237, 16.6271437, 17.1159587, 17.2865137, 17.3188607", \
+					  "21.8397685, 21.8801175, 22.1168335, 23.2364275, 25.4028315, 26.4227445, 26.6339265", \
+					  "22.0986572, 22.1389572, 22.3767732, 23.5001632, 25.6652802, 26.6879032, 26.9015202", \
+					  "22.1072661, 22.1421491, 22.3828871, 23.5067711, 25.6735451, 26.6974411, 26.9066711", \
+					  "22.1072450, 22.1485160, 22.3839190, 23.5073120, 25.6734750, 26.6957270, 26.9097090", \
+					  "22.1074169, 22.1477459, 22.3838539, 23.5091419, 25.6791279, 26.7001529, 26.9152689", \
+					  "22.1124848, 22.1542868, 22.3903858, 23.5135398, 25.6797338, 26.7033038, 26.9166798");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.6601692, 63.6907352, 63.9629622, 64.7844572, 65.1922292, 64.3874352, -23.1233398", \
+					  "63.5883577, 63.7177267, 63.9949427, 64.7040627, 65.4307107, 63.8240797, -15.0877593", \
+					  "63.6866262, 63.7336992, 63.9453082, 64.7672822, 65.5699732, 63.2457772, -16.9058458", \
+					  "63.6761534, 63.7083284, 64.0168944, 64.7669744, 65.3298374, 63.0631644, -19.1541906", \
+					  "63.6729790, 63.7369560, 63.9543650, 64.8801800, 65.5935610, 63.4749710, -14.8143420", \
+					  "63.6987132, 63.7443162, 64.0822542, 64.8118782, 65.2889272, 61.7912252, -13.1607208", \
+					  "63.6585905, 63.7100975, 64.0406325, 64.7583285, 65.8655055, 65.0950035, -20.0400405");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.7027408, 69.8950528, 70.3215388, 71.3091788, 71.2791958, 57.1303848, -131.0380732", \
+					  "71.1556475, 69.9635665, 70.4776075, 70.9927225, 72.9055195, 50.3401735, -130.2744995", \
+					  "70.3885313, 70.1493133, 70.7463633, 70.2435223, 71.8515003, 84.5291993, -131.8332557", \
+					  "70.3992427, 70.4328687, 70.4986217, 70.4500427, 73.0102857, 62.3112677, -144.4281233", \
+					  "70.4497820, 70.1067920, 70.6814640, 70.2977990, 72.7259190, 52.6004660, -134.8503690", \
+					  "70.4300723, 70.4057793, 70.5039653, 70.6427573, 70.7616823, 59.3831113, -131.8934267", \
+					  "70.5584187, 70.4609347, 70.4579577, 70.7083477, 70.9050927, 59.6913407, -132.3808243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("55.3610118, 55.7322358, 57.6759898, 67.5098078, 95.8468008, 163.3806698, 211.6503298", \
+					  "55.2699788, 55.6490748, 57.6061378, 67.4110068, 95.7705918, 163.2557088, 211.7022988", \
+					  "55.2252417, 55.5958967, 57.5581047, 67.3588277, 95.6944537, 163.2328077, 211.6931577", \
+					  "55.2389721, 55.6008661, 57.5646811, 67.3679571, 95.7061501, 163.2130791, 211.6561491", \
+					  "55.2524032, 55.6751372, 57.5697262, 67.3917102, 95.7703082, 163.2481492, 211.6583692", \
+					  "55.2987076, 55.5637626, 57.5228656, 67.3564236, 95.8986406, 163.2551906, 211.5872706", \
+					  "55.3640519, 55.6642529, 57.4422049, 67.3871459, 95.3474049, 163.2789019, 211.6867919");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1889857, 12.1891197, 12.1894827, 12.1906177, 12.1914057, 12.1916347, 12.1916087", \
+					  "15.6084025, 15.6082495, 15.6074985, 15.6056835, 15.6043615, 15.6042695, 15.6038725", \
+					  "15.7019032, 15.7009682, 15.6992612, 15.6972952, 15.6922982, 15.6910902, 15.6887862", \
+					  "15.7053491, 15.7047691, 15.7019021, 15.6938021, 15.6877641, 15.6861631, 15.6861761", \
+					  "15.7114410, 15.7118400, 15.7126660, 15.7068660, 15.7004790, 15.6971870, 15.6967230", \
+					  "15.7135929, 15.7137769, 15.7101319, 15.7015549, 15.6942779, 15.6934789, 15.6923459", \
+					  "15.7169988, 15.7167938, 15.7135568, 15.7055838, 15.6985668, 15.6958658, 15.6956878");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2844272, 27.3717802, 27.6055032, 27.9487112, 27.3480352, 24.5779372, 26.6609582", \
+					  "27.2547187, 27.5993057, 27.3710857, 27.3834527, 30.1440137, 25.7465417, 26.6273247", \
+					  "27.3889092, 27.4550212, 27.6147812, 27.2226102, 28.4174582, 28.7811712, 30.1894172", \
+					  "27.2533174, 27.5961754, 27.1155734, 27.8186834, 28.2249644, 20.3503534, 26.3536834", \
+					  "27.3621660, 27.1093970, 27.5007460, 26.5992460, 25.3047660, 28.3304240, 21.4546100", \
+					  "27.4146942, 27.4581942, 27.5166282, 27.4923332, 28.1670702, 28.9896962, 26.9556222", \
+					  "27.4371605, 27.4190215, 27.4227675, 27.7540005, 27.4186935, 31.1010535, 27.2653215");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9750778, 71.0574848, 71.3402858, 71.9731198, 73.0074658, 69.0191708, -9.4749592", \
+					  "70.9646415, 70.9489245, 71.2653035, 71.9515325, 72.9110075, 68.6695115, -15.0767555", \
+					  "70.8394623, 70.8477403, 71.1241303, 71.9430933, 72.5385343, 71.6613603, -12.5526027", \
+					  "70.8533097, 70.8642947, 71.1510167, 71.9535347, 72.5878667, 70.5473817, -13.7965153", \
+					  "70.8996510, 70.9459200, 71.1663610, 71.9598720, 72.9223110, 68.4524070, -14.6691210", \
+					  "70.8925913, 70.9172183, 71.1351403, 72.0395283, 72.8966483, 68.8034713, -11.9951557", \
+					  "70.9206087, 70.9468447, 71.2217637, 72.0498787, 72.9985717, 75.0690467, -13.7651663");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.8571038, 41.2783118, 41.3647908, 42.4531948, 44.9948838, 69.6877528, 46.3859548", \
+					  "40.9623998, 40.9310908, 41.3991748, 42.8014148, 45.6736138, 30.9044488, 44.2902168", \
+					  "40.9173957, 40.9563327, 41.1726847, 42.3073257, 44.1686977, 44.7360287, 48.9550637", \
+					  "40.9237211, 40.9777651, 41.1157931, 42.3577821, 44.5314951, 46.8781211, 45.2833731", \
+					  "40.9450842, 41.0104242, 41.1735642, 42.1907812, 44.4976272, 44.5834932, 44.4541202", \
+					  "40.8931156, 41.2946246, 41.2980386, 42.0366426, 44.1191496, 45.1325096, 45.0859006", \
+					  "40.9818449, 41.0047709, 41.2032199, 42.1630559, 44.0327759, 44.9046789, 45.0832759");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1791427, 12.1792167, 12.1796057, 12.1806427, 12.1814667, 12.1816947, 12.1817217", \
+					  "15.6023915, 15.6022365, 15.6014975, 15.5996695, 15.5982795, 15.5978425, 15.5977565", \
+					  "15.7004822, 15.7000332, 15.6981822, 15.6926602, 15.6879212, 15.6870592, 15.6868702", \
+					  "15.7050661, 15.7045441, 15.7015511, 15.6940711, 15.6880381, 15.6862151, 15.6859511", \
+					  "15.7117770, 15.7123410, 15.7084870, 15.7046320, 15.6984840, 15.6947600, 15.6959130", \
+					  "15.7134749, 15.7131819, 15.7101629, 15.7020079, 15.6940889, 15.6919939, 15.6919609", \
+					  "15.7169068, 15.7166308, 15.7131198, 15.7050128, 15.6979688, 15.6957078, 15.6953198");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2773252, 27.2410952, 27.3974132, 27.0961922, 26.6133682, 23.1059772, 26.7433402", \
+					  "27.3305317, 27.1656457, 27.3716547, 27.5984547, 26.5986497, 26.6673507, 27.6760507", \
+					  "27.5096552, 27.3932842, 27.3655722, 26.8506442, 28.8715552, 28.4957322, 27.9062922", \
+					  "27.1273314, 27.4492564, 27.3392614, 27.6489144, 30.0147004, 28.1584724, 27.7730274", \
+					  "27.3176330, 27.1916800, 27.6580730, 27.3647780, 26.5943320, 15.7318200, 27.8275050", \
+					  "27.4542282, 27.4161772, 27.4203092, 27.2929632, 27.4510282, 25.2913002, 26.8987252", \
+					  "27.4128025, 27.4688555, 27.4154955, 27.7773325, 27.9875355, 29.8253695, 27.1531215");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("90.0072008, 91.1284148, 98.3388138, 132.2068598, 173.4902498, 194.6931298, 199.9560598", \
+					  "89.9229228, 91.1144418, 98.2566178, 131.2067988, 173.1833488, 194.7628488, 199.8625188", \
+					  "89.6322607, 91.0649967, 97.7170577, 131.0725377, 173.2188477, 194.6005977, 199.6334977", \
+					  "89.9116041, 91.0721341, 98.2314631, 131.1104591, 173.1829991, 194.6190291, 199.9565091", \
+					  "89.6747052, 90.9899022, 98.1693472, 132.1306492, 173.1967092, 194.5153192, 199.9541392", \
+					  "89.7079326, 90.7023456, 97.6367986, 131.0978906, 173.1585606, 194.6208606, 199.9228706", \
+					  "89.9565369, 90.7980929, 98.4579239, 132.0698119, 173.2411619, 194.6273119, 200.0414219");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.9733648, 13.9733388, 13.9729768, 13.9716878, 13.9689888, 13.9663728, 13.9656278", \
+					  "21.4344415, 21.4049205, 21.4029705, 21.4218385, 21.3995705, 21.3749595, 21.3640895", \
+					  "21.7851883, 21.7771993, 21.7653303, 21.7232863, 21.6703613, 21.6302703, 21.6187983", \
+					  "21.8390107, 21.8078337, 21.7947197, 21.7810117, 21.7247217, 21.6563407, 21.6729647", \
+					  "21.8009140, 21.8064220, 21.7928210, 21.7491440, 21.6947700, 21.6533290, 21.6391320", \
+					  "21.8194433, 21.8187583, 21.8086723, 21.7606833, 21.7031043, 21.6698353, 21.6511493", \
+					  "21.8448237, 21.8412967, 21.8316417, 21.7875327, 21.7307597, 21.6923217, 21.6782427");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1510297, 16.1673587, 16.2625137, 16.6371287, 17.1241207, 17.2960197, 17.3282667", \
+					  "21.8893605, 21.9296495, 22.1665885, 23.2865905, 25.4526885, 26.4768545, 26.6884725", \
+					  "22.1470282, 22.1865052, 22.4256162, 23.5470072, 25.7181982, 26.7358112, 26.9481132", \
+					  "22.1498971, 22.1927321, 22.4259361, 23.5482851, 25.7175501, 26.7370541, 26.9542671", \
+					  "22.1558740, 22.1929300, 22.4302800, 23.5521930, 25.7157730, 26.7429050, 26.9576030", \
+					  "22.1555129, 22.1952979, 22.4330249, 23.5548599, 25.7222829, 26.7490459, 26.9597409", \
+					  "22.1619508, 22.2023178, 22.4396378, 23.5612788, 25.7280218, 26.7514598, 26.9640068");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.9751792, 87.0469832, 87.2315232, 87.2775972, 90.1869922, 88.9511122, 10.4105042", \
+					  "87.0700817, 87.1155387, 87.2164157, 87.2672087, 90.1852007, 88.6215907, 10.6518997", \
+					  "87.1127412, 87.1202422, 87.1827272, 87.2823552, 90.1681162, 89.4733802, 7.9101384", \
+					  "87.0516624, 87.0775934, 87.2184944, 87.3175754, 90.0162224, 89.3989724, 5.6254103", \
+					  "87.1273910, 87.1305140, 87.2028860, 87.3281270, 89.9730660, 89.0095940, 12.0976360", \
+					  "87.0647102, 87.0607552, 87.2374192, 87.3029642, 90.2393372, 88.7615822, 8.4809680", \
+					  "87.0017445, 87.1315155, 87.2405185, 87.3762845, 90.2060355, 90.5698835, 9.7379083");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("51.4914368, 51.7455468, 53.4460958, 62.5493798, 107.4385298, 182.9918998, 206.8127098", \
+					  "51.4200758, 51.7040398, 53.3906138, 62.4765718, 107.6260188, 183.0295588, 206.6535888", \
+					  "51.3654547, 51.6458037, 53.3480907, 62.4300347, 107.5227877, 182.9236577, 206.6785277", \
+					  "51.3846381, 51.6445761, 53.3417601, 62.4389411, 107.4913591, 182.8856391, 206.6868291", \
+					  "51.3684782, 51.6095392, 53.2778782, 62.4105972, 107.2904592, 182.9352592, 206.6517192", \
+					  "51.2861806, 51.6510276, 53.2568786, 62.3935666, 107.6316306, 182.9671206, 206.6510206", \
+					  "51.3634189, 51.6412759, 53.2882569, 62.4042779, 107.8175719, 182.9743519, 206.6480819");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5921558, 14.5943918, 14.5879578, 14.5909668, 14.5868798, 14.5752088, 14.5779028", \
+					  "22.0877975, 22.1008565, 22.0618375, 22.0820905, 22.0481035, 22.0293635, 22.0448425", \
+					  "22.4325853, 22.4346723, 22.4249473, 22.3782983, 22.3226503, 22.2891473, 22.2766633", \
+					  "22.4788237, 22.4542567, 22.4410017, 22.4217057, 22.3660227, 22.3277327, 22.3139897", \
+					  "22.4548370, 22.4535860, 22.4402200, 22.3962710, 22.3417260, 22.3030560, 22.2894870", \
+					  "22.4597123, 22.4608313, 22.4476123, 22.3985043, 22.3381173, 22.3017243, 22.2915453", \
+					  "22.4881487, 22.4820517, 22.4691727, 22.4305907, 22.3761277, 22.3365637, 22.3230537");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.4222182, 60.5908952, 60.5013352, 60.0399192, 58.0593302, 53.4942772, -154.6935158", \
+					  "60.5446607, 60.4185747, 59.8451717, 59.1423387, 58.0698727, 42.1136647, -164.9697613", \
+					  "60.0627542, 61.0519972, 60.0315572, 58.9311662, 59.0396972, 36.4378502, -171.9642468", \
+					  "60.6237404, 60.4934764, 60.3162714, 59.2303064, 59.5398994, 49.8890354, -110.3300776", \
+					  "60.7127430, 60.1876840, 60.0753290, 60.2389690, 56.3936320, 50.8048530, -159.8539780", \
+					  "60.5849122, 60.4932362, 59.6811352, 59.7182722, 54.2196152, 77.1283112, -147.5181388", \
+					  "60.4712655, 60.4425385, 60.1966025, 59.9226625, 58.0765485, 44.6049605, -146.6645995");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.7562507, 13.7561867, 13.7560597, 13.7537897, 13.7516457, 13.7510687, 13.7511427", \
+					  "15.1489035, 15.1494825, 15.1526725, 15.1603235, 15.1670905, 15.1711425, 15.1693745", \
+					  "15.1560492, 15.1576542, 15.1622352, 15.1749892, 15.1861002, 15.1893342, 15.1897882", \
+					  "15.1648211, 15.1471411, 15.1662101, 15.1699121, 15.1849001, 15.1855211, 15.1884581", \
+					  "15.1620040, 15.1601130, 15.1688520, 15.1844200, 15.1976680, 15.1981640, 15.2026380", \
+					  "15.1634389, 15.1647229, 15.1698419, 15.1846229, 15.1974069, 15.2021009, 15.2025429", \
+					  "15.1655978, 15.1676998, 15.1733448, 15.1892368, 15.2015528, 15.2057028, 15.2067658");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.7874958, 67.8243558, 67.6430628, 67.3124728, 65.7308698, 52.9024828, -141.4149632", \
+					  "68.1089115, 67.7960505, 67.7259605, 67.1474235, 68.2433525, 44.0511385, -129.4078495", \
+					  "67.8178793, 67.6972673, 67.4609083, 67.0245283, 60.2136633, 32.9012233, -142.5636957", \
+					  "67.6788707, 67.6732357, 67.6341487, 67.2607677, 65.6521097, 54.9139597, -159.5253933", \
+					  "67.6173460, 67.6748970, 67.3134710, 68.0146950, 61.9510520, 75.2594340, -139.8111790", \
+					  "67.7325643, 67.6763723, 67.6889253, 67.2047503, 65.7551183, 51.9232793, -141.5845867", \
+					  "67.7608447, 67.7110787, 67.6332867, 67.1780957, 65.4533777, 51.6890337, -141.8119843");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.4554788, 33.4777048, 34.0610158, 34.3425538, 35.5638278, 41.3627898, 34.4858528", \
+					  "33.5059438, 33.5217748, 33.6619928, 33.6302508, 34.6442768, 33.6573718, 33.2902308", \
+					  "33.5458827, 33.4660367, 33.5233867, 33.4984547, 33.4150497, 32.1255327, 33.3263427", \
+					  "33.5005201, 33.5636891, 33.5166251, 33.5841651, 33.1326241, 31.9890521, 33.5332481", \
+					  "33.4308482, 33.5053822, 33.5067002, 33.8509772, 33.7971032, 34.5848972, 33.0267752", \
+					  "33.4915296, 33.5886776, 33.5221506, 33.5317026, 33.3904506, 35.6061646, 33.4645406", \
+					  "33.5334799, 33.5323179, 33.5867949, 33.4587409, 33.5810559, 31.7562449, 33.3604379");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.2336887, 12.2344657, 12.2347227, 12.2330567, 12.2311767, 12.2310987, 12.2305597", \
+					  "16.7225715, 16.7219955, 16.7219315, 16.7189595, 16.7095125, 16.6913935, 16.6908835", \
+					  "17.4354262, 17.4377452, 17.4261672, 17.3932532, 17.3392282, 17.3100542, 17.2955292", \
+					  "17.5402771, 17.5386561, 17.5254221, 17.4869251, 17.4342281, 17.3941991, 17.3815551", \
+					  "17.5508290, 17.5464830, 17.5367380, 17.4942070, 17.4413350, 17.4037070, 17.3876870", \
+					  "17.5525849, 17.5502019, 17.5353229, 17.4956149, 17.4318239, 17.4023749, 17.3875239", \
+					  "17.5549278, 17.5454538, 17.5327648, 17.4941268, 17.4382538, 17.4027628, 17.3875298");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("46.7253302, 46.9631332, 48.6813872, 57.7726842, 102.8714242, 178.3665442, 201.9266842", \
+					  "46.6845917, 46.9569707, 48.6387467, 57.8201907, 103.1203287, 178.3890987, 202.1182487", \
+					  "46.7283512, 46.9517872, 48.6878912, 57.7768122, 102.9174232, 178.3097532, 202.0163332", \
+					  "46.7143594, 47.0173674, 48.7169174, 57.8074414, 102.9451524, 178.2369324, 202.0869424", \
+					  "46.6858940, 46.9600880, 48.7125400, 57.8477150, 103.1884020, 178.3112420, 201.9806620", \
+					  "46.7370002, 47.0119012, 48.7386562, 57.8708062, 103.1454412, 178.3306512, 202.0653212", \
+					  "46.7499245, 46.9879465, 48.7094965, 57.8616895, 103.1993805, 178.3824905, 202.0518705");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.7845888, 67.8268118, 67.6430628, 67.3123278, 65.7352278, 52.7719068, -141.9896132", \
+					  "68.1086275, 67.7940595, 67.7237195, 66.9934705, 67.8048565, 44.8349935, -157.7318995", \
+					  "67.7324893, 67.6977533, 67.4476823, 66.8992113, 61.3258923, 30.0233723, -139.7447657", \
+					  "67.6788007, 67.6736867, 67.5804767, 67.2615997, 66.3102217, 51.9149867, -144.1937933", \
+					  "67.5633340, 67.6811770, 68.0384260, 68.0364340, 68.5844540, 14.5725780, -139.6842090", \
+					  "67.7333273, 67.6683163, 67.6543553, 67.2039243, 65.4701653, 53.1809093, -141.9952067", \
+					  "67.7567647, 67.7103917, 67.6377827, 67.1839097, 65.4695797, 51.7525147, -142.1308043");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.4590108, 33.5134748, 34.0638358, 34.2739528, 35.5717968, 23.3176338, 29.1038868", \
+					  "33.5849918, 33.5249908, 33.5853668, 33.6355208, 33.7694138, 33.6366658, 33.2851488", \
+					  "33.5837137, 33.4697727, 33.5453067, 33.4971227, 33.4026987, 33.4643057, 33.2937477", \
+					  "33.4981651, 33.5661971, 33.5100791, 33.3714081, 33.2330291, 30.2414021, 33.6098461", \
+					  "33.5120332, 33.4516852, 33.7219552, 33.7963752, 33.7500262, 30.6035302, 33.5949182", \
+					  "33.4922476, 33.5882406, 33.5233376, 33.5373296, 34.1204506, 33.0804346, 33.4843266", \
+					  "33.5361789, 33.5321199, 33.5803239, 33.4733439, 33.5817399, 32.7780179, 33.3532139");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.5722888, 70.5352558, 70.7643298, 71.5311958, 72.1314658, 69.8162408, -12.1701902", \
+					  "70.3236055, 70.4780585, 70.6248715, 71.4525565, 72.3472985, 64.6050265, -15.2671895", \
+					  "70.3767563, 70.4481233, 70.7188783, 71.3769983, 72.1175373, 69.9599233, -14.2108927", \
+					  "70.4097417, 70.4198237, 70.6494737, 71.4403617, 72.4872467, 69.7847207, -14.1700003", \
+					  "70.3890940, 70.4003350, 70.7100180, 71.4474350, 72.5384790, 68.1912270, -12.9856140", \
+					  "70.4439853, 70.4721293, 70.6651283, 71.5840043, 72.5652123, 67.9228283, -14.4015707", \
+					  "70.4537247, 70.4802527, 70.7710617, 71.5030387, 72.5768667, 68.3586227, -14.8552353");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.6176278, 18.6509588, 18.8355608, 19.7118778, 21.3133918, 22.0322628, 22.1787318", \
+					  "26.6707518, 26.7116048, 26.9511368, 28.0753958, 30.2380788, 31.2528778, 31.4620648", \
+					  "27.0331767, 27.0737207, 27.3122867, 28.4391067, 30.6028547, 31.6185837, 31.8278257", \
+					  "27.0614231, 27.1018851, 27.3405361, 28.4667901, 30.6316141, 31.6477971, 31.8573211", \
+					  "27.0656412, 27.1060952, 27.3441972, 28.4740802, 30.6391642, 31.6529752, 31.8572702", \
+					  "27.0767846, 27.1170366, 27.3557016, 28.4812496, 30.6455526, 31.6631376, 31.8745796", \
+					  "27.0979329, 27.1382759, 27.3769099, 28.5020219, 30.6662729, 31.6835639, 31.8937049");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("97.1050238, 97.1488638, 97.4465488, 98.0390958, 103.2936368, 103.3691668, 26.2249368", \
+					  "97.0991595, 97.1290315, 97.3597905, 98.0734835, 102.9461105, 105.3971005, 26.1485945", \
+					  "96.9719613, 97.0575253, 97.3234513, 97.9222223, 102.8609243, 105.4592743, 26.2999253", \
+					  "97.0110597, 97.0252147, 97.3558747, 97.9848987, 102.8835267, 105.2992267, 23.5314227", \
+					  "97.0022710, 97.0774400, 97.2902770, 97.9822720, 102.7238010, 103.9991410, 20.7229690", \
+					  "97.0424723, 97.0901913, 97.3514063, 97.9930593, 102.8229833, 104.4770633, 23.7579153", \
+					  "97.0887107, 97.1485037, 97.3620897, 97.9795957, 102.8252957, 105.3611657, 27.5287367");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.1142408, 63.4971008, 65.5781378, 76.4630488, 106.8317598, 174.9417898, 223.4355498", \
+					  "63.0304348, 63.3179478, 65.5135458, 76.3974638, 106.8078788, 174.8365988, 223.4387988", \
+					  "62.9407857, 63.3146257, 65.4227657, 76.3248507, 106.7303377, 174.8810377, 223.5123677", \
+					  "63.0368941, 63.2900311, 65.4753671, 76.3519301, 106.7755291, 174.7646491, 223.4500491", \
+					  "62.9952262, 63.3111272, 65.4879062, 76.3470462, 106.7629692, 174.8727392, 223.4403592", \
+					  "62.9664696, 63.3867026, 65.4616406, 76.3588536, 106.8032606, 174.8902206, 223.3682306", \
+					  "62.9970879, 63.3164159, 65.5242339, 76.3608499, 106.4428819, 174.9163019, 223.4413419");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.4221397, 12.4234427, 12.4221777, 12.4190777, 12.4170607, 12.4168487, 12.4179037", \
+					  "17.1866725, 17.1917255, 17.1797005, 17.1753675, 17.1503715, 17.1153635, 17.1035165", \
+					  "17.4199422, 17.4174902, 17.4047992, 17.3632822, 17.3088882, 17.2640892, 17.2498292", \
+					  "17.4175761, 17.4080861, 17.4047501, 17.3654951, 17.3109811, 17.2554071, 17.2485931", \
+					  "17.4232160, 17.4208840, 17.4079480, 17.3588520, 17.3115320, 17.2640670, 17.2504930", \
+					  "17.4205289, 17.4187439, 17.4061029, 17.3630649, 17.3122839, 17.2597329, 17.2492689", \
+					  "17.4214428, 17.4190278, 17.4060868, 17.3665628, 17.3110778, 17.2641788, 17.2497328");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7725512, 29.8236422, 30.2936272, 31.9880602, 36.1759112, 49.7014462, 82.0295732", \
+					  "29.7618507, 29.8657227, 30.2849707, 31.9468177, 36.0447167, 49.5711787, 83.0751177", \
+					  "29.8029172, 29.8628422, 30.3118332, 31.9578362, 36.1732632, 49.4217872, 82.6827082", \
+					  "29.8219934, 29.8626414, 30.1950394, 31.9473564, 36.0779414, 49.5928944, 83.0081884", \
+					  "29.8663550, 29.8942560, 30.3092820, 31.9624010, 36.1451560, 49.5065510, 82.6178390", \
+					  "29.8342122, 29.9264502, 30.3184082, 31.9707352, 36.1489102, 49.6497602, 82.6538282", \
+					  "29.8424595, 29.9300395, 30.3208375, 31.9466765, 36.1485335, 49.6487695, 82.6066215");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1794847, 12.1795607, 12.1799627, 12.1810377, 12.1818397, 12.1820557, 12.1820857", \
+					  "15.6025105, 15.6023635, 15.6016285, 15.5997855, 15.5984045, 15.5987695, 15.5978865", \
+					  "15.7007792, 15.7002082, 15.6983002, 15.6925772, 15.6879592, 15.6907472, 15.6869612", \
+					  "15.7051061, 15.7045561, 15.7015741, 15.6940821, 15.6880411, 15.6857291, 15.6859631", \
+					  "15.7130990, 15.7153990, 15.7089600, 15.7043940, 15.6949070, 15.6971810, 15.6957400", \
+					  "15.7138229, 15.7129529, 15.7101319, 15.7012919, 15.6952459, 15.6921829, 15.6920839", \
+					  "15.7169898, 15.7167468, 15.7133048, 15.7048848, 15.6984788, 15.6957398, 15.6955918");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2828552, 27.2523062, 27.3887082, 27.0798892, 26.4893352, 27.9925602, 27.6801522", \
+					  "27.2737107, 27.3104257, 27.5169187, 27.2060547, 26.5909097, 14.7461117, 26.2742187", \
+					  "27.4554602, 27.4056112, 27.3679382, 26.7870872, 27.4480082, 30.7869252, 27.5427582", \
+					  "27.5270674, 27.5598084, 27.4711384, 27.6505764, 29.4752504, 24.6330614, 27.7546234", \
+					  "27.2662910, 27.3376990, 27.6215990, 26.7267190, 27.5603850, 26.0366490, 28.0552990", \
+					  "27.4154282, 27.4031652, 27.3801042, 27.4784052, 26.6458782, 27.9393682, 27.1631042", \
+					  "27.4113855, 27.4325825, 27.4194315, 27.1409625, 27.8794345, 27.0652445, 27.2562765");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.1890522, 86.3379182, 93.5572752, 127.4909342, 168.7311442, 189.8976842, 195.0583742", \
+					  "85.0744627, 86.0504247, 93.8209177, 127.3449787, 168.6839087, 189.8930387, 195.3475387", \
+					  "85.1649522, 86.3281672, 93.5449362, 127.4889332, 168.5625932, 190.0462032, 195.4834532", \
+					  "85.2848884, 86.4347464, 93.5940054, 127.2924124, 168.5989524, 189.9791924, 195.3822824", \
+					  "85.2845180, 86.0618550, 93.8740220, 127.3790120, 168.5817720, 190.0500220, 195.2535220", \
+					  "85.1548492, 86.0839942, 93.0862752, 126.8571612, 168.6428912, 190.0001312, 195.3505112", \
+					  "85.1950925, 86.0819595, 93.0059555, 126.7814405, 168.7055905, 190.0006005, 195.3512605");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.0305877, 12.0305057, 12.0303947, 12.0301547, 12.0301767, 12.0301197, 12.0260757", \
+					  "16.0776935, 16.0746975, 16.0652575, 16.0678405, 16.0552285, 16.0435675, 16.0429815", \
+					  "16.8011242, 16.7862492, 16.7755682, 16.7557452, 16.7091822, 16.6703952, 16.6545242", \
+					  "16.9161511, 16.9093991, 16.8986631, 16.8601371, 16.8053651, 16.7646071, 16.7542111", \
+					  "16.9285210, 16.9166730, 16.9040790, 16.8737190, 16.8168690, 16.7687150, 16.7643730", \
+					  "16.9201289, 16.9225909, 16.9101599, 16.8650999, 16.8135299, 16.7794409, 16.7630169", \
+					  "16.9264648, 16.9232488, 16.9098188, 16.8713888, 16.8165808, 16.7785918, 16.7647858");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.4288807, 12.4338377, 12.4272677, 12.4282507, 12.4270097, 12.4241807, 12.4257897", \
+					  "17.1921855, 17.1966145, 17.1872905, 17.1795965, 17.1539215, 17.1193655, 17.1070765", \
+					  "17.4223482, 17.4199542, 17.4051602, 17.3636432, 17.3096102, 17.2646702, 17.2503782", \
+					  "17.4281611, 17.4216891, 17.4005201, 17.3602261, 17.3071091, 17.2564741, 17.2438071", \
+					  "17.4188490, 17.4152670, 17.4099150, 17.3701370, 17.3079850, 17.2641430, 17.2522000", \
+					  "17.4166659, 17.4174659, 17.4066259, 17.3663569, 17.3088129, 17.2579809, 17.2496689", \
+					  "17.4218028, 17.4193868, 17.4071168, 17.3667758, 17.3112808, 17.2645538, 17.2501438");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7721072, 29.8224832, 30.3100902, 31.9031282, 36.1670052, 49.7015332, 82.0613462", \
+					  "29.7907547, 29.8635297, 30.2834677, 31.9442747, 36.0778327, 49.5049017, 82.3978607", \
+					  "29.7973322, 29.8442462, 30.2380592, 31.9106172, 36.1133592, 49.7320732, 82.6508592", \
+					  "29.7781054, 29.9126084, 30.2993374, 31.9435814, 36.1084864, 49.5952984, 82.4821794", \
+					  "29.8168320, 29.8413200, 30.3582460, 31.9395610, 36.0404580, 49.6138020, 83.0248470", \
+					  "29.8438152, 29.9235882, 30.3201112, 31.9865702, 36.1661952, 49.6123012, 82.6382852", \
+					  "29.8423735, 29.9257895, 30.3322525, 31.9506315, 36.2173225, 49.6447515, 82.6958065");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.1886507, 12.1886947, 12.1890847, 12.1901767, 12.1910587, 12.1912387, 12.1912747", \
+					  "15.6083485, 15.6082235, 15.6074705, 15.6056405, 15.6043515, 15.6039525, 15.6038605", \
+					  "15.7014712, 15.7015042, 15.6995692, 15.6940272, 15.6893092, 15.6886322, 15.6908652", \
+					  "15.7052771, 15.7048071, 15.7019071, 15.6942271, 15.6881231, 15.6865371, 15.6857841", \
+					  "15.7123030, 15.7146240, 15.7095480, 15.7052910, 15.6963610, 15.6960490, 15.6976840", \
+					  "15.7136069, 15.7133579, 15.7098959, 15.7014549, 15.6933809, 15.6927309, 15.6920179", \
+					  "15.7170958, 15.7168298, 15.7137078, 15.7055618, 15.6988428, 15.6955558, 15.6956358");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3118602, 27.3867772, 27.6163172, 27.9311872, 27.1800732, 30.3197212, 24.5765282", \
+					  "27.2499187, 27.5890937, 27.3510397, 27.7691027, 30.2988547, 10.7500617, 26.5937497", \
+					  "27.3241592, 27.4191492, 27.3669742, 27.9417532, 28.5855422, 45.4756862, 29.7769522", \
+					  "27.5130804, 27.6077964, 27.1920264, 27.2163544, 24.9741144, 25.7406494, 32.1746814", \
+					  "27.4965560, 27.3729170, 27.5010910, 27.7879740, 26.3541960, 38.2392170, 26.2325430", \
+					  "27.4338732, 27.2627702, 27.3410342, 27.5068042, 27.7298642, 25.4502672, 27.1046832", \
+					  "27.4170735, 27.4252475, 27.3229735, 27.9977915, 26.3923985, 27.7402805, 27.3126265");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("93.8236618, 93.8970898, 94.0039758, 94.1563818, 96.8615378, 96.5228568, 16.2790128", \
+					  "93.7912775, 93.8289765, 93.9649645, 94.0671055, 96.6905415, 97.2487135, 12.2679245", \
+					  "93.7580113, 93.7559643, 93.9135123, 93.9807783, 96.7891983, 97.2521403, 17.5319133", \
+					  "93.7841137, 93.7937097, 93.9013527, 94.0516217, 96.8802057, 95.9062417, 15.0144387", \
+					  "93.7261420, 93.7509000, 93.9152890, 94.0058000, 96.9314740, 96.4146230, 17.9367200", \
+					  "93.7938973, 93.7899423, 93.9605143, 94.0302643, 96.9548063, 95.6834973, 17.5497703", \
+					  "93.7701367, 93.8142607, 93.9677697, 94.0196247, 96.9496767, 95.8104347, 23.0357547");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.6465208, 18.6783078, 18.8629458, 19.7376658, 21.3359048, 22.0552578, 22.2138708", \
+					  "26.7235238, 26.7639338, 27.0025268, 28.1237978, 30.2845208, 31.3028658, 31.5128888", \
+					  "27.0796207, 27.1200047, 27.3596587, 28.4834557, 30.6465037, 31.6663757, 31.8766027", \
+					  "27.1076011, 27.1546611, 27.3872661, 28.5374591, 30.6749061, 31.7174781, 31.9170751", \
+					  "27.1243202, 27.1661872, 27.3964912, 28.5245502, 30.6892322, 31.7055652, 31.9155012", \
+					  "27.1226016, 27.1634526, 27.4010266, 28.5256546, 30.6905896, 31.7095766, 31.9202176", \
+					  "27.1454699, 27.1857539, 27.4243349, 28.5487069, 30.7126109, 31.7308069, 31.9410379");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.9777052, 87.0494742, 87.2386772, 87.2648362, 90.2488312, 88.8921322, 10.6554322", \
+					  "87.0653217, 87.1153997, 87.1683707, 87.2677777, 90.1052647, 89.1667027, 8.5321971", \
+					  "87.0812802, 87.0626012, 87.2184762, 87.2757362, 90.2228572, 89.3878732, 8.1152391", \
+					  "87.0508304, 87.0892304, 87.2514054, 87.2794874, 90.1724654, 90.4352754, 7.7378555", \
+					  "87.1377720, 87.1413390, 87.1985840, 87.3482010, 89.9526240, 89.0450170, 11.8980420", \
+					  "86.9842812, 87.0612062, 87.1811172, 87.3566152, 90.2147532, 90.4545262, 11.6901732", \
+					  "87.0074685, 87.1369835, 87.2195975, 87.3789285, 90.2035235, 90.5340225, 8.5166716");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1506067, 16.1670877, 16.2624057, 16.6369727, 17.1240407, 17.2959277, 17.3282367", \
+					  "21.8888785, 21.9293775, 22.1663195, 23.2863505, 25.4526235, 26.4767985, 26.6884555", \
+					  "22.1524422, 22.1862702, 22.4242912, 23.5469942, 25.7126002, 26.7357282, 26.9509462", \
+					  "22.1519891, 22.1860001, 22.4258011, 23.5524241, 25.7134971, 26.7408961, 26.9497741", \
+					  "22.1524190, 22.1945340, 22.4301120, 23.5584330, 25.7210540, 26.7432380, 26.9559310", \
+					  "22.1551859, 22.1972289, 22.4326969, 23.5553889, 25.7233129, 26.7468549, 26.9601869", \
+					  "22.1612928, 22.2015368, 22.4393918, 23.5610758, 25.7271528, 26.7513788, 26.9647688");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.1853868, 94.2566908, 94.4059738, 94.5312908, 97.2908608, 96.2950118, 14.0768418", \
+					  "94.1738045, 94.1994855, 94.3583095, 94.4236185, 97.4569975, 96.2764075, 17.1266865", \
+					  "94.1118193, 94.1267443, 94.3182093, 94.3596133, 97.2484483, 96.2027923, 16.5313383", \
+					  "94.0931717, 94.1850357, 94.3121837, 94.4175507, 97.2819337, 96.2196377, 14.8331827", \
+					  "94.1180300, 94.1326990, 94.2849790, 94.3710910, 97.2823730, 96.2343090, 16.4332270", \
+					  "94.0950663, 94.1570303, 94.2312143, 94.4421773, 97.0329593, 96.1614063, 16.6982653", \
+					  "94.1914727, 94.1934577, 94.3487427, 94.4131497, 97.3202337, 96.2149377, 23.0458457");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.1078058, 41.1199978, 41.3763128, 42.4037588, 44.1212148, 45.3662358, 45.0191108", \
+					  "40.8124398, 41.1801768, 41.8320688, 43.0015778, 44.6005938, 37.9853818, 45.9892118", \
+					  "40.9379447, 40.9502127, 41.2985487, 42.1757847, 43.7791267, 44.4156007, 44.7721177", \
+					  "40.9727901, 41.0592691, 41.3291431, 43.0396541, 47.6831511, 46.3768801, 44.0499251", \
+					  "40.9380542, 41.0808332, 41.2255992, 42.2494322, 44.4639422, 47.7905382, 45.5727012", \
+					  "40.8767086, 41.1111216, 41.3611166, 42.0124396, 44.1679056, 44.9048166, 45.0747706", \
+					  "41.0099019, 41.0178989, 41.2573359, 42.2365149, 44.4268739, 45.0436369, 45.1103579");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.6752928, 73.7516768, 74.1329058, 75.5293518, 77.6941198, 73.5291388, 11.6102758", \
+					  "73.5979655, 73.6370655, 74.0506725, 75.5861805, 78.6862495, 75.4290355, -1.0491038", \
+					  "73.6272113, 73.5735183, 73.9913443, 75.2847333, 78.1906723, 74.3979283, -3.5091025", \
+					  "73.4898747, 73.5484457, 74.0346547, 75.2514167, 78.1324527, 73.4113677, -1.4096774", \
+					  "73.5385260, 73.6016150, 74.0089490, 75.1684310, 77.8597090, 76.8269650, -1.7619090", \
+					  "73.5284413, 73.6276883, 74.0183553, 75.2787493, 78.4728963, 76.3453823, -6.9830986", \
+					  "73.5769077, 73.6388717, 73.9863297, 75.3053097, 77.9189967, 76.3583627, -2.0136138");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("43.5807448, 43.6379418, 44.2707378, 46.8911168, 53.1559118, 67.5175238, 100.5090598", \
+					  "43.4596938, 43.5896898, 44.2842808, 46.8477408, 52.9565448, 67.5233338, 100.4218888", \
+					  "43.4092907, 43.5215727, 44.1323477, 46.8199617, 52.9351507, 67.3435277, 100.5613977", \
+					  "43.4243771, 43.5261741, 44.0984041, 46.8295801, 52.9148171, 67.2884721, 100.5328791", \
+					  "43.4266612, 43.5368122, 44.1494992, 46.8306152, 52.9102592, 67.3085822, 100.4292792", \
+					  "43.4318416, 43.5423996, 44.1783006, 46.8419856, 53.0099756, 67.2986846, 100.4783606", \
+					  "43.4221289, 43.5194709, 44.1787599, 46.8489189, 53.0076279, 67.3280889, 100.4783319");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.4575888, 70.5008048, 70.7066538, 70.7626298, 70.7686528, 59.6250808, -133.5789732", \
+					  "70.2673375, 70.5058895, 70.2263355, 70.5935485, 71.2909215, 57.6907135, -133.8245695", \
+					  "70.2337803, 70.3519633, 70.3846733, 70.6278953, 70.7335893, 58.3539223, -133.8521557", \
+					  "70.3625427, 70.3490327, 70.3162047, 70.2599997, 71.2330067, 59.3777817, -138.0736933", \
+					  "70.2763440, 70.2836370, 70.5643220, 70.1953050, 70.8737620, 36.4608450, -133.4676590", \
+					  "70.4775073, 70.4424383, 70.4663983, 70.5534043, 70.6567453, 59.4006103, -132.9634267", \
+					  "70.3770157, 70.3228787, 70.3064057, 70.5725537, 70.7313917, 59.5462347, -132.4376343");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.9868018, 36.1627368, 36.4629308, 38.2073998, 42.4578128, 55.9874898, 88.8286368", \
+					  "35.9930408, 36.0680718, 36.4403228, 38.1113818, 42.2551568, 55.8188278, 88.7469748", \
+					  "35.9465977, 36.0182167, 36.4191807, 38.0716127, 42.2144827, 55.8035707, 88.7130447", \
+					  "35.9517021, 36.0276061, 36.4021961, 38.0749241, 42.2499831, 55.7814721, 88.6021521", \
+					  "35.9344212, 36.0131922, 36.3972012, 38.0964462, 42.2195332, 55.6907572, 88.7621152", \
+					  "35.9684896, 36.0344746, 36.3945616, 38.0815876, 42.2731716, 55.7933706, 88.6539566", \
+					  "35.9806259, 36.0440719, 36.4433599, 38.1137289, 42.2629029, 55.7640689, 88.8071989");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("55.0713427, 55.3137014, 56.8248948, 63.6503698, 78.8638040, 104.6552775, 123.6731966", \
+					  "59.0353942, 59.3328392, 60.8911411, 68.1668048, 84.9570696, 107.8824051, 130.1811443", \
+					  "59.2735747, 59.5204165, 61.0370344, 68.2269373, 85.1237292, 113.6223161, 131.1729386", \
+					  "59.2591089, 59.5975723, 60.9752605, 68.3687770, 85.0650504, 110.1539741, 131.0480697", \
+					  "59.2746414, 59.4423759, 61.1523922, 68.2084584, 84.4075715, 111.1103054, 129.9876872", \
+					  "59.2944525, 59.5167817, 61.0147237, 68.2902549, 84.8392522, 111.0614442, 130.4243047", \
+					  "59.3284396, 59.5516584, 60.9937140, 68.3965184, 84.7785360, 111.8921617, 130.5425205");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("81.6239973, 81.7343020, 81.7644810, 81.7014185, 82.2116738, 79.8599294, -50.1519106", \
+					  "85.7828833, 85.8340640, 85.8647346, 85.6347135, 86.3408068, 79.4193073, -48.5701245", \
+					  "86.0594785, 86.1388722, 86.0322022, 86.0545003, 86.8105937, 80.9715257, -51.9244711", \
+					  "86.1543978, 86.2585255, 86.1644604, 86.3051635, 87.6157208, 83.3699666, -43.3607590", \
+					  "86.1997220, 85.9926405, 86.0903724, 86.4129487, 86.6546332, 82.7168938, -43.5809408", \
+					  "86.1583123, 86.3065794, 86.1880922, 86.3144223, 87.5129084, 77.8902946, -45.2593454", \
+					  "86.1172098, 86.1725708, 86.1945514, 86.3316743, 86.7373448, 81.3903704, -46.0029616");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("134.2724444, 134.2103029, 134.4948788, 135.1699499, 137.0581503, 126.5871704, -78.8220291", \
+					  "136.8522028, 136.6311475, 136.8737238, 137.4642618, 140.7884215, 124.6578562, -80.6132882", \
+					  "136.6792793, 136.6529641, 136.9365718, 137.2940301, 137.9771619, 127.4434256, -79.0013054", \
+					  "136.6797604, 136.7045731, 136.9449549, 137.4224186, 140.0916001, 130.6117742, -86.3718663", \
+					  "136.6393785, 136.6525700, 137.0344328, 137.6040915, 139.7383050, 122.1613967, -79.7014208", \
+					  "136.7415134, 136.7544493, 136.9886146, 137.5219678, 139.5717398, 129.5421864, -79.9779711", \
+					  "136.7979296, 136.7918039, 136.9990007, 137.5219379, 139.5426617, 130.6467632, -76.9639382");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.6141641, 88.1037888, 90.7228613, 102.7917694, 130.3536808, 177.2224059, 205.0966256", \
+					  "90.2260329, 90.6794729, 93.3206309, 105.2864869, 132.9683396, 172.9944148, 208.4426384", \
+					  "90.2695609, 90.6995321, 93.1349032, 105.0798459, 132.3884986, 176.1352711, 209.1406156", \
+					  "90.3354014, 90.7641424, 93.2188857, 105.2551750, 133.0346095, 176.2338452, 208.5225594", \
+					  "90.2779794, 90.7427196, 93.2366776, 105.3822101, 132.6645556, 176.5819676, 208.5528779", \
+					  "90.2687682, 90.7906444, 93.1520695, 105.0425544, 132.6515564, 176.8203479, 208.5943409", \
+					  "90.3981098, 90.7324530, 93.2939431, 105.2611863, 132.5201468, 176.1309958, 208.6408661");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7006315, 1.7006316, 1.7006317, 1.7006534, 1.7006535, 1.7006537, 1.9050530", \
+					  "1.7588107, 1.7588109, 1.7588110, 1.7588111, 1.7588112, 1.7588113, 1.9185622", \
+					  "1.7733782, 1.7734919, 1.7748438, 1.7775422, 1.7775423, 1.7775425, 1.9285815", \
+					  "1.7833689, 1.7839253, 1.7848308, 1.7885061, 1.7886973, 1.7886974, 1.9295974", \
+					  "1.7871220, 1.7882101, 1.7882103, 1.7882104, 1.7882105, 1.7882106, 1.9341790", \
+					  "1.7826487, 1.7837363, 1.7860859, 1.7860860, 1.7860861, 1.7860862, 1.9239057", \
+					  "1.7871905, 1.7872661, 1.7872662, 1.7872664, 1.7872665, 1.7872666, 1.9146598");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3890432, 8.5941068, 9.8647011, 17.7049380, 65.7666420, 360.1784600, 2173.2696000", \
+					  "8.4692804, 8.6569285, 9.9293498, 17.7602400, 66.0210660, 360.4264400, 2174.1728000", \
+					  "8.4774383, 8.6828846, 9.9544888, 17.8215630, 65.9303150, 360.4758700, 2173.3640000", \
+					  "8.4873308, 8.7085485, 9.9630313, 17.7948090, 66.0308500, 360.5054000, 2173.4654000", \
+					  "8.5101259, 8.6975334, 9.9770929, 17.8050850, 65.8674540, 360.8372200, 2174.1930000", \
+					  "8.5093710, 8.6974197, 9.9876978, 17.8348320, 65.8496760, 360.8584200, 2173.4454000", \
+					  "8.5010843, 8.7051601, 9.9721440, 17.8040500, 65.8697840, 360.8243400, 2175.0193000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.4098670, 13.8199370, 16.3023690, 31.6591620, 126.9541600, 714.9544700, 4341.1601000", \
+					  "13.3586890, 13.7513100, 16.2318530, 31.6175740, 126.9790700, 714.7343700, 4340.7281000", \
+					  "13.3499040, 13.7560660, 16.3105300, 31.6159860, 127.2124600, 714.8592500, 4341.1619000", \
+					  "13.3412230, 13.7626210, 16.2293010, 31.6165830, 127.2130400, 715.0933900, 4341.0323000", \
+					  "13.3346330, 13.7500540, 16.2442860, 31.6178760, 126.9372700, 715.4665700, 4344.6676000", \
+					  "13.3431120, 13.7499250, 16.2398560, 31.5927200, 127.0203100, 715.5594500, 4342.0418000", \
+					  "13.3556300, 13.7598030, 16.2428840, 31.6160150, 127.0411000, 715.4561100, 4338.8873000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2253590, 2.2382234, 2.3141078, 2.6784372, 3.9971138, 7.6906073, 19.3884270", \
+					  "2.3277433, 2.3406093, 2.4166908, 2.7808988, 4.0995962, 7.7933908, 19.4919830", \
+					  "2.3954043, 2.4082618, 2.4843823, 2.8485687, 4.1672741, 7.8617095, 19.5598560", \
+					  "2.4510856, 2.4640232, 2.5400593, 2.9042502, 4.2229482, 7.9173486, 19.6160100", \
+					  "2.4997393, 2.5136149, 2.5887017, 2.9528966, 4.2715844, 7.9633020, 19.6654200", \
+					  "2.5468017, 2.5598113, 2.6352207, 2.9999843, 4.3178687, 8.0115514, 19.7167690", \
+					  "2.5926057, 2.6052625, 2.6806903, 3.0458116, 4.3635149, 8.0550899, 19.7592150");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6893184, 0.6950752, 0.7352413, 0.9799989, 2.0243807, 4.7508024, 17.2227030", \
+					  "0.6897699, 0.6955563, 0.7341591, 0.9797948, 2.0242941, 4.7689359, 17.2448880", \
+					  "0.6899273, 0.6957337, 0.7339523, 0.9797511, 2.0245434, 4.7689106, 17.2356480", \
+					  "0.6899216, 0.6957365, 0.7339558, 0.9797510, 2.0245312, 4.7688369, 17.2516720", \
+					  "0.6893221, 0.6913365, 0.7347275, 0.9800424, 2.0239956, 4.7568660, 17.2300310", \
+					  "0.6865217, 0.6924611, 0.7374060, 0.9780612, 2.0226452, 4.7557749, 17.2602610", \
+					  "0.6855251, 0.6935603, 0.7347557, 0.9784730, 2.0274655, 4.7610342, 17.2446700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.3937453, 3.3940006, 3.3940007, 3.3940009, 3.3940012, 3.3940014, 3.3940017", \
+					  "3.4797421, 3.4797422, 3.4797425, 3.4797427, 3.4797429, 3.4797432, 3.4797434", \
+					  "3.4764196, 3.4764199, 3.5012802, 3.5012805, 3.5012807, 3.5012810, 3.5012812", \
+					  "3.5122931, 3.5122933, 3.5122935, 3.5122937, 3.5122940, 3.5122942, 3.5122945", \
+					  "3.5159688, 3.5159690, 3.5159692, 3.5159694, 3.5159697, 3.5159699, 3.5159702", \
+					  "3.5213250, 3.5213253, 3.5213255, 3.5213258, 3.5213260, 3.5213262, 3.5213265", \
+					  "3.5152024, 3.5152025, 3.5152027, 3.5152029, 3.5152032, 3.5152034, 3.5152036");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0890950, 3.0894760, 3.0894763, 3.0894765, 3.0894768, 3.0894770, 3.0894772", \
+					  "3.1414067, 3.1485976, 3.1485979, 3.1485981, 3.1485984, 3.1485986, 3.1485988", \
+					  "3.1703904, 3.1703905, 3.1703908, 3.1703910, 3.1703913, 3.1703915, 3.1703917", \
+					  "3.1729477, 3.1729478, 3.1770453, 3.1770455, 3.1770458, 3.1770460, 3.1770462", \
+					  "3.1835206, 3.1835207, 3.1835210, 3.1835212, 3.1835214, 3.1835217, 3.1835219", \
+					  "3.1790379, 3.1790380, 3.1909759, 3.1909761, 3.1909763, 3.1909766, 3.1909768", \
+					  "3.1908516, 3.1910550, 3.1912950, 3.1912951, 3.1912953, 3.1912956, 3.1912958");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2588595, 2.2863884, 2.4543894, 3.4589946, 9.5879162, 23.6631690, 51.7879290", \
+					  "2.3250886, 2.3525895, 2.5206353, 3.5252665, 9.6600905, 23.7278160, 51.8316090", \
+					  "2.3485727, 2.3760454, 2.5441167, 3.5487635, 9.6833768, 23.7462260, 51.8681810", \
+					  "2.3598117, 2.3872853, 2.5553279, 3.5599297, 9.6893293, 23.7303870, 51.8719570", \
+					  "2.3639715, 2.3915718, 2.5594864, 3.5639935, 9.6928998, 23.7645140, 51.8778160", \
+					  "2.3640117, 2.3916114, 2.5595444, 3.5640708, 9.6917523, 23.7644980, 51.8797950", \
+					  "2.3619415, 2.3894782, 2.5574352, 3.5615180, 9.6917399, 23.7629560, 51.8840080");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7050572, 1.7544398, 2.0698774, 4.0269201, 11.9751760, 17.0601740, 40.1852310", \
+					  "1.7059864, 1.7554279, 2.0685875, 4.0281809, 11.9765420, 17.0587860, 40.2218240", \
+					  "1.7064288, 1.7550865, 2.0706257, 4.0261008, 11.9757740, 17.0780830, 40.2209430", \
+					  "1.7064316, 1.7548237, 2.0688444, 4.0258747, 11.9752920, 17.0987220, 40.1991860", \
+					  "1.7066266, 1.7566675, 2.0711710, 4.0290975, 11.9761240, 17.0997210, 40.2318070", \
+					  "1.7066635, 1.7559745, 2.0692865, 4.0265826, 11.9745430, 17.1218290, 40.2274050", \
+					  "1.7064598, 1.7548865, 2.0706648, 4.0298906, 11.9747500, 17.1115390, 40.2229220");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.6441183, 8.8579704, 10.1552960, 18.0975450, 66.3006930, 361.4029800, 2178.7441000", \
+					  "8.7394509, 8.9582572, 10.2548870, 18.2051560, 66.3458910, 361.8660000, 2180.2725000", \
+					  "8.8149914, 9.0282120, 10.3165170, 18.2588750, 66.3813180, 361.8104400, 2179.1052000", \
+					  "8.8625134, 9.0810488, 10.3780870, 18.3286200, 66.5124200, 362.1375400, 2180.5629000", \
+					  "8.9231005, 9.1280281, 10.4264760, 18.3647760, 66.5296010, 361.9460900, 2180.2261000", \
+					  "8.9592067, 9.1763646, 10.4688050, 18.4162340, 66.6088550, 361.8466500, 2180.0151000", \
+					  "9.0132071, 9.2245305, 10.5191320, 18.4606660, 66.6510260, 362.1700600, 2181.8346000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1481640, 13.5579650, 16.0581500, 31.4518400, 127.1674500, 716.7806200, 4352.8571000", \
+					  "13.1390040, 13.5551720, 16.0555500, 31.4788480, 127.2882700, 717.1880700, 4355.9698000", \
+					  "13.1496000, 13.5575840, 16.0425080, 31.4300830, 127.1528400, 716.9853100, 4351.8344000", \
+					  "13.1386910, 13.5544100, 16.0555270, 31.4802050, 127.0011300, 716.5577900, 4352.5047000", \
+					  "13.1515260, 13.5559380, 16.0261490, 31.4878900, 127.2987900, 716.0130600, 4352.0085000", \
+					  "13.1410790, 13.5536870, 16.0460600, 31.4602990, 127.0264200, 716.9497800, 4355.5251000", \
+					  "13.1521860, 13.5559880, 16.0541000, 31.4579050, 127.1193200, 717.1332200, 4350.0249000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.4053291, 3.4053292, 3.4053294, 3.4053296, 3.4053299, 3.4053301, 3.4053304", \
+					  "3.4776435, 3.4804399, 3.4804401, 3.4804403, 3.4804405, 3.4804408, 3.4804410", \
+					  "3.4810310, 3.5042478, 3.5042481, 3.5042483, 3.5042485, 3.5042488, 3.5042490", \
+					  "3.5102210, 3.5102212, 3.5102214, 3.5102217, 3.5102219, 3.5102221, 3.5102224", \
+					  "3.5207997, 3.5207998, 3.5208000, 3.5208003, 3.5208005, 3.5208008, 3.5208010", \
+					  "3.5201384, 3.5201387, 3.5201389, 3.5201391, 3.5201394, 3.5201396, 3.5201399", \
+					  "3.5140297, 3.5140299, 3.5140301, 3.5140304, 3.5140306, 3.5140309, 3.5140311");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7001494, 1.7010533, 1.7010534, 1.7010535, 1.7010536, 1.7010537, 1.9413973", \
+					  "1.7576735, 1.7576736, 1.7576737, 1.7576738, 1.7576740, 1.7576741, 1.9417274", \
+					  "1.7764890, 1.7770729, 1.7770730, 1.7770731, 1.7770733, 1.7770734, 1.9274999", \
+					  "1.7841800, 1.7841802, 1.7841803, 1.7841804, 1.7841805, 1.7841806, 1.9232971", \
+					  "1.7889104, 1.7889105, 1.7889106, 1.7889107, 1.7889109, 1.7889110, 1.9403670", \
+					  "1.7839014, 1.7839528, 1.7839529, 1.7839531, 1.7839532, 1.7839533, 1.9263231", \
+					  "1.7875066, 1.7875067, 1.7875068, 1.7875069, 1.7875070, 1.7875072, 1.9154005");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4416666, 2.4700710, 2.6454550, 3.7158512, 9.4996446, 34.6400060, 97.8161760", \
+					  "2.5445581, 2.5723987, 2.7475526, 3.8197179, 9.6029416, 34.7415110, 97.9140820", \
+					  "2.6128240, 2.6394777, 2.8165406, 3.8870120, 9.6682307, 34.8094710, 97.9876730", \
+					  "2.6678244, 2.6951603, 2.8707523, 3.9425525, 9.7240367, 34.8652240, 98.0474370", \
+					  "2.7173748, 2.7449769, 2.9211322, 3.9879144, 9.7746140, 34.9170080, 98.0234840", \
+					  "2.7633069, 2.7927554, 2.9686802, 4.0376382, 9.8219379, 34.9608380, 98.1107460", \
+					  "2.8100009, 2.8381819, 3.0139324, 4.0805199, 9.8652180, 35.0065720, 98.1799180");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7674920, 1.8227505, 2.1516993, 4.0705799, 11.4069050, 42.5438170, 59.5429730", \
+					  "1.7671740, 1.8213623, 2.1510864, 4.0705803, 11.4068340, 42.5606040, 59.5491270", \
+					  "1.7688082, 1.8219419, 2.1528499, 4.0706056, 11.4076510, 42.5614420, 59.3933150", \
+					  "1.7664014, 1.8219315, 2.1503070, 4.0705959, 11.4076690, 42.5704310, 59.3829590", \
+					  "1.7675661, 1.8230462, 2.1542621, 4.0680415, 11.4078190, 42.5835650, 59.4319940", \
+					  "1.7681371, 1.8231143, 2.1517408, 4.0684687, 11.4051120, 42.5785880, 59.5440960", \
+					  "1.7668628, 1.8221005, 2.1531434, 4.0665484, 11.4024870, 42.5688040, 59.4071570");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7005889, 1.7005891, 1.7005892, 1.7005893, 1.7005894, 1.7005895, 1.9420119", \
+					  "1.7580943, 1.7580944, 1.7583428, 1.7583429, 1.7583430, 1.7583431, 1.9325102", \
+					  "1.7769636, 1.7775275, 1.7775276, 1.7775277, 1.7775278, 1.7775279, 1.9289539", \
+					  "1.7846502, 1.7846503, 1.7846504, 1.7846506, 1.7846507, 1.7846508, 1.9235218", \
+					  "1.7891327, 1.7891328, 1.7894355, 1.7894356, 1.7894357, 1.7894359, 1.9404924", \
+					  "1.7843018, 1.7843480, 1.7843481, 1.7843482, 1.7843484, 1.7843485, 1.9269797", \
+					  "1.7878985, 1.7878987, 1.7878988, 1.7878989, 1.7878990, 1.7878991, 1.9156492");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2050848, 2.2178934, 2.2935800, 2.6639990, 4.0692361, 11.0358180, 49.6532880", \
+					  "2.3072544, 2.3202158, 2.3956397, 2.7665821, 4.1717638, 11.1355680, 49.7730030", \
+					  "2.3749616, 2.3880997, 2.4634617, 2.8343123, 4.2393151, 11.2034430, 49.8353180", \
+					  "2.4307969, 2.4436624, 2.5193103, 2.8899370, 4.2949488, 11.2618110, 49.8942190", \
+					  "2.4794231, 2.4919151, 2.5683742, 2.9379639, 4.3439803, 11.3078100, 49.8692680", \
+					  "2.5260416, 2.5388402, 2.6154434, 2.9843403, 4.3910067, 11.3547800, 49.9882870", \
+					  "2.5711235, 2.5845368, 2.6606206, 3.0297844, 4.4368003, 11.4005180, 49.9406210");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6890050, 0.6974832, 0.7412581, 0.9848139, 2.3375066, 11.2833120, 46.4378370", \
+					  "0.6886426, 0.6959653, 0.7426413, 0.9844352, 2.3379751, 11.2813410, 46.5100560", \
+					  "0.6885193, 0.6963276, 0.7429293, 0.9841770, 2.3379594, 11.2863510, 46.4187200", \
+					  "0.6884733, 0.6970324, 0.7420144, 0.9839507, 2.3379259, 11.2836310, 46.5105070", \
+					  "0.6899072, 0.6966900, 0.7397489, 0.9860438, 2.3370792, 11.2720060, 46.4253350", \
+					  "0.6913433, 0.7020117, 0.7396606, 0.9870249, 2.3387512, 11.2694450, 46.4751470", \
+					  "0.6912757, 0.6967471, 0.7423107, 0.9865553, 2.3340612, 11.2780860, 46.5266940");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2346077, 8.4274348, 9.6935659, 17.4224850, 65.1324900, 359.6547600, 2172.0903000", \
+					  "8.3283513, 8.5331111, 9.7769606, 17.5514390, 65.3114680, 359.3288800, 2172.2772000", \
+					  "8.3897893, 8.6059888, 9.8627995, 17.6205680, 65.3906160, 359.2958500, 2172.2706000", \
+					  "8.4568752, 8.6622221, 9.9185202, 17.6268080, 65.3027850, 359.6439900, 2172.3611000", \
+					  "8.4900229, 8.6900629, 9.9520108, 17.7054370, 65.5758970, 359.6641400, 2172.5048000", \
+					  "8.5547232, 8.7570076, 9.9977715, 17.7225700, 65.4269240, 359.5372400, 2176.1728000", \
+					  "8.5824574, 8.7833687, 10.0431150, 17.7724700, 65.4577970, 359.9903400, 2172.4704000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3438920, 13.7589450, 16.2327450, 31.6054280, 127.1360900, 715.4385500, 4341.7022000", \
+					  "13.3543770, 13.7580500, 16.2305510, 31.5717020, 127.1118200, 715.1086300, 4341.4993000", \
+					  "13.3625080, 13.7649830, 16.2471090, 31.5990790, 126.8560900, 715.2536300, 4341.5598000", \
+					  "13.3507490, 13.7377570, 16.2511620, 31.6158480, 127.0993800, 715.3681200, 4341.5366000", \
+					  "13.3418390, 13.7511510, 16.2365320, 31.6249070, 127.1139900, 715.3371400, 4341.4830000", \
+					  "13.3349080, 13.7573560, 16.2295550, 31.6158970, 126.7184000, 714.6497900, 4341.7995000", \
+					  "13.3421270, 13.7530910, 16.2315190, 31.5888040, 127.1195100, 715.6018600, 4339.7058000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4467837, 2.4597298, 2.5359315, 2.9006535, 4.2200652, 7.9159559, 19.6152690", \
+					  "2.5464266, 2.5613718, 2.6379636, 3.0033342, 4.3218500, 8.0174098, 19.7195560", \
+					  "2.6137574, 2.6280789, 2.7035689, 3.0677672, 4.3872097, 8.0842852, 19.7834420", \
+					  "2.6712739, 2.6846030, 2.7602058, 3.1253238, 4.4421005, 8.1385849, 19.8390920", \
+					  "2.7183309, 2.7313185, 2.8085978, 3.1740743, 4.4933146, 8.1905762, 19.8868710", \
+					  "2.7674989, 2.7805946, 2.8570057, 3.2183620, 4.5406008, 8.2370777, 19.9378970", \
+					  "2.8101741, 2.8260236, 2.9014116, 3.2679754, 4.5844666, 8.2767420, 19.9816840");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6912314, 0.6971049, 0.7363625, 0.9812581, 2.0237760, 4.7576716, 17.2260000", \
+					  "0.6915001, 0.6973854, 0.7363643, 0.9802517, 2.0290933, 4.7499049, 17.2367050", \
+					  "0.6915440, 0.6973269, 0.7362007, 0.9811083, 2.0241877, 4.7575179, 17.2420210", \
+					  "0.6918805, 0.6973707, 0.7423360, 0.9810466, 2.0222011, 4.7591362, 17.2407880", \
+					  "0.6911866, 0.6974262, 0.7353392, 0.9812767, 2.0236841, 4.7637290, 17.2239590", \
+					  "0.6916863, 0.6975311, 0.7358664, 0.9809812, 2.0243263, 4.7590061, 17.2366650", \
+					  "0.6867511, 0.6949375, 0.7389649, 0.9777831, 2.0227806, 4.7425566, 17.2452790");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.1907681, 8.3868485, 9.6445882, 17.3645130, 65.0019200, 359.1115400, 2172.3160000", \
+					  "8.2524121, 8.4632390, 9.7210044, 17.4301840, 65.1429130, 359.3559900, 2172.0807000", \
+					  "8.2749247, 8.4854515, 9.7207664, 17.4493580, 65.1499830, 359.1077600, 2172.7706000", \
+					  "8.2858325, 8.4978691, 9.7496482, 17.4750690, 65.1061640, 359.5645800, 2172.0784000", \
+					  "8.2808169, 8.4824165, 9.7627533, 17.4658760, 65.1408170, 359.6999500, 2173.6671000", \
+					  "8.2913010, 8.4822913, 9.7388080, 17.4574810, 65.1089210, 359.6770500, 2172.2437000", \
+					  "8.2871985, 8.4860279, 9.7568797, 17.4535230, 65.1072440, 359.7267600, 2175.6186000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3558850, 13.7588110, 16.2466980, 31.5776770, 127.0692800, 715.2647200, 4340.9959000", \
+					  "13.3545250, 13.7524310, 16.2216360, 31.5941410, 127.1294800, 715.0430300, 4341.1142000", \
+					  "13.3467230, 13.7632510, 16.2322990, 31.5609940, 127.1141600, 714.5249000, 4341.0619000", \
+					  "13.3601560, 13.7455780, 16.2446590, 31.6116870, 127.1340300, 715.4142200, 4341.3461000", \
+					  "13.3412050, 13.7522670, 16.2442570, 31.5583260, 127.1935200, 715.4942000, 4344.0737000", \
+					  "13.3582940, 13.7489120, 16.2441700, 31.6112650, 127.1876200, 715.3604400, 4338.3975000", \
+					  "13.3568130, 13.7585360, 16.2429110, 31.6089660, 126.9351100, 715.5495000, 4341.0359000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3139744, 8.5225489, 9.7747783, 17.5326010, 65.2895200, 360.5995900, 2177.1707000", \
+					  "8.4205478, 8.6161860, 9.8823572, 17.6229220, 65.4267610, 360.8467700, 2177.6223000", \
+					  "8.4882723, 8.6842080, 9.9508082, 17.6910810, 65.4955130, 360.9441700, 2179.7271000", \
+					  "8.5439495, 8.7399386, 10.0063550, 17.7468970, 65.5504950, 360.8811900, 2181.0881000", \
+					  "8.5927665, 8.7893728, 10.0541390, 17.7993660, 65.5890910, 360.7130700, 2178.0606000", \
+					  "8.6397236, 8.8357472, 10.1020470, 17.8423070, 65.6460840, 360.3275900, 2178.0759000", \
+					  "8.6755669, 8.8872767, 10.1374060, 17.9008860, 65.6711020, 360.1882700, 2180.1097000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1384790, 13.5602560, 16.0377350, 31.4952790, 127.3331100, 717.1913100, 4351.9062000", \
+					  "13.1536500, 13.5466630, 16.0596890, 31.4510050, 126.8962400, 717.1171900, 4351.2391000", \
+					  "13.1540280, 13.5457100, 16.0600550, 31.4492360, 126.8988000, 716.8287100, 4350.6466000", \
+					  "13.1540960, 13.5455190, 16.0600170, 31.4487350, 126.8952200, 716.5040200, 4351.2191000", \
+					  "13.1548730, 13.5434810, 16.0484510, 31.4335760, 127.3173500, 717.0924600, 4353.7539000", \
+					  "13.1541200, 13.5454700, 16.0599210, 31.4497580, 126.8947800, 716.2292400, 4353.4228000", \
+					  "13.1431160, 13.5600390, 16.0480090, 31.4907500, 126.8083000, 716.3658300, 4348.9831000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7754322, 1.7754323, 1.7754325, 1.7756456, 1.7756457, 1.7756458, 1.8849962", \
+					  "1.8402156, 1.8402157, 1.8402158, 1.8402159, 1.8402160, 1.8402162, 1.9303372", \
+					  "1.8569736, 1.8569738, 1.8569739, 1.8583706, 1.8583707, 1.8599157, 1.9516946", \
+					  "1.8699182, 1.8699183, 1.8699185, 1.8699186, 1.8699187, 1.8699188, 1.9629960", \
+					  "1.8655448, 1.8655590, 1.8669399, 1.8671655, 1.8705277, 1.8705279, 1.9709330", \
+					  "1.8673110, 1.8673111, 1.8673112, 1.8673113, 1.8673115, 1.8674415, 1.9634805", \
+					  "1.8661435, 1.8663344, 1.8663345, 1.8663347, 1.8663348, 1.8663424, 1.9656750");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0820688, 3.0868472, 3.0868475, 3.0868477, 3.0868480, 3.0868482, 3.0868484", \
+					  "3.1196179, 3.1310095, 3.1395662, 3.1395664, 3.1395666, 3.1395668, 3.1395671", \
+					  "3.1477123, 3.1759460, 3.1759462, 3.1759464, 3.1759466, 3.1759469, 3.1759471", \
+					  "3.1738894, 3.1898171, 3.1898174, 3.1898176, 3.1898178, 3.1898181, 3.1898183", \
+					  "3.1827552, 3.1885621, 3.1885623, 3.1885626, 3.1885628, 3.1885630, 3.1885633", \
+					  "3.1791336, 3.2057141, 3.2057142, 3.2057144, 3.2057146, 3.2057149, 3.2057151", \
+					  "3.1914507, 3.1997724, 3.1997725, 3.1997728, 3.1997730, 3.1997732, 3.1997735");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3103379, 2.3378381, 2.5058156, 3.5103953, 9.6504555, 23.7737070, 51.8989120", \
+					  "2.4122715, 2.4396736, 2.6082496, 3.6129426, 9.7487929, 23.8591970, 52.0007930", \
+					  "2.4779698, 2.5064835, 2.6732745, 3.6791821, 9.8200186, 23.9395690, 52.0734250", \
+					  "2.5346376, 2.5624578, 2.7292497, 3.7340033, 9.8771702, 23.9686530, 52.1090800", \
+					  "2.5824862, 2.6113316, 2.7783003, 3.7847991, 9.9137853, 24.0445480, 52.1893780", \
+					  "2.6313411, 2.6581615, 2.8266423, 3.8319290, 9.9729238, 24.0975220, 52.2193520", \
+					  "2.6755189, 2.7037868, 2.8707703, 3.8757126, 10.0079740, 24.1473990, 52.2883820");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7053244, 1.7561664, 2.0701874, 4.0253059, 12.0276950, 17.1155680, 40.2201370", \
+					  "1.7050160, 1.7557893, 2.0690420, 4.0275316, 12.0313510, 17.0975020, 40.1862150", \
+					  "1.7042336, 1.7557790, 2.0696069, 4.0297188, 12.0298940, 17.1089670, 40.1938140", \
+					  "1.7053027, 1.7562901, 2.0677835, 4.0177923, 12.0299660, 17.1165540, 40.2263180", \
+					  "1.7029625, 1.7556552, 2.0677418, 4.0268993, 12.0315020, 17.1064870, 40.2268970", \
+					  "1.7050606, 1.7557571, 2.0675734, 4.0179753, 12.0298350, 17.0855080, 40.1994490", \
+					  "1.7027622, 1.7534938, 2.0703641, 4.0259369, 12.0293820, 17.1304800, 40.2383440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.0530406, 2.0530407, 2.0530409, 2.0530412, 2.0530414, 2.0547000, 2.1486102", \
+					  "2.1180653, 2.1180655, 2.1180658, 2.1180660, 2.1180662, 2.1190998, 2.2034388", \
+					  "2.1362467, 2.1373221, 2.1373224, 2.1373226, 2.1373228, 2.1394992, 2.2183928", \
+					  "2.1516346, 2.1516349, 2.1516351, 2.1516353, 2.1516356, 2.1516358, 2.2340196", \
+					  "2.1505484, 2.1505486, 2.1505489, 2.1505491, 2.1508917, 2.1526061, 2.2358921", \
+					  "2.1468596, 2.1508633, 2.1514603, 2.1529805, 2.1529807, 2.1529810, 2.2304678", \
+					  "2.1470934, 2.1477147, 2.1477148, 2.1477150, 2.1477153, 2.1490270, 2.2277469");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.1908347, 8.3869125, 9.6447170, 17.3644510, 65.0022190, 359.0413900, 2172.3226000", \
+					  "8.2523188, 8.4632994, 9.7210258, 17.4351660, 65.2576090, 359.4250600, 2172.1271000", \
+					  "8.2744958, 8.4853440, 9.7205826, 17.4506360, 65.0940630, 359.0824600, 2172.8063000", \
+					  "8.2859168, 8.4979037, 9.7580086, 17.4753460, 65.1392040, 359.3102900, 2172.0625000", \
+					  "8.2807761, 8.4823855, 9.7418438, 17.4656790, 65.2234960, 359.6965100, 2172.6498000", \
+					  "8.2913338, 8.4822496, 9.7379553, 17.4571020, 65.1173980, 359.8016500, 2174.7426000", \
+					  "8.2872393, 8.4861576, 9.7562793, 17.4533210, 65.1064770, 359.7233700, 2171.8827000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3556230, 13.7589010, 16.2466400, 31.5780010, 127.0696300, 715.3681200, 4341.2297000", \
+					  "13.3544570, 13.7519440, 16.2212820, 31.5988160, 127.1890400, 715.1455700, 4341.0209000", \
+					  "13.3461660, 13.7632220, 16.2317110, 31.5666070, 127.0479700, 714.4575400, 4339.5330000", \
+					  "13.3601460, 13.7451720, 16.2243640, 31.6114600, 126.9326500, 715.0782700, 4341.3329000", \
+					  "13.3412610, 13.7522250, 16.2377050, 31.5572710, 127.1421200, 715.5354100, 4342.2060000", \
+					  "13.3583150, 13.7488310, 16.2409770, 31.6114890, 127.0586600, 715.5602500, 4342.3920000", \
+					  "13.3568560, 13.7587340, 16.2428280, 31.6091830, 126.9320800, 715.5528600, 4338.7240000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3142782, 8.5224743, 9.7751816, 17.5301680, 65.2977190, 360.3369400, 2177.3606000", \
+					  "8.4200877, 8.6167793, 9.8828812, 17.6228470, 65.4266330, 360.6614000, 2177.5759000", \
+					  "8.4884615, 8.6847809, 9.9506510, 17.6910890, 65.4956550, 360.9476700, 2179.2532000", \
+					  "8.5440188, 8.7405061, 10.0062850, 17.7467660, 65.5506650, 360.9773400, 2181.0645000", \
+					  "8.5921625, 8.7893248, 10.0547130, 17.7993140, 65.5892980, 360.7123300, 2178.3781000", \
+					  "8.6397520, 8.8362890, 10.1020430, 17.8421970, 65.6463680, 360.5585700, 2181.8153000", \
+					  "8.6757739, 8.8870040, 10.1370890, 17.9007640, 65.6726730, 360.1624000, 2177.9210000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1395770, 13.5606100, 16.0392090, 31.4977060, 127.3242600, 716.9718400, 4352.6620000", \
+					  "13.1539880, 13.5453330, 16.0594880, 31.4512580, 126.8950700, 717.1165600, 4348.0268000", \
+					  "13.1541250, 13.5443370, 16.0599360, 31.4492470, 126.8992700, 717.0682500, 4350.0340000", \
+					  "13.1540690, 13.5441410, 16.0599840, 31.4491790, 126.8958300, 716.8961800, 4351.1168000", \
+					  "13.1475870, 13.5436850, 16.0598380, 31.4338490, 127.3181300, 717.0916100, 4354.2054000", \
+					  "13.1541220, 13.5441580, 16.0598930, 31.4501380, 126.8960800, 715.8353100, 4350.5133000", \
+					  "13.1430200, 13.5600440, 16.0484280, 31.4904970, 126.8153700, 716.3406500, 4352.3605000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2558393, 2.2834246, 2.4513156, 3.4560665, 9.5847410, 23.7166180, 51.8515360", \
+					  "2.3219948, 2.3495088, 2.5174838, 3.5218377, 9.6612389, 23.7566480, 51.8987410", \
+					  "2.3457893, 2.3733460, 2.5410911, 3.5456198, 9.6853201, 23.7788150, 51.9223740", \
+					  "2.3568834, 2.3844475, 2.5523823, 3.5570344, 9.6955795, 23.8011260, 51.9533640", \
+					  "2.3610043, 2.3885023, 2.5563405, 3.5610113, 9.6927844, 23.8257040, 51.9512890", \
+					  "2.3613826, 2.3889919, 2.5567619, 3.5611825, 9.6907762, 23.8225300, 51.9450510", \
+					  "2.3592956, 2.3867943, 2.5550018, 3.5588639, 9.6930117, 23.8222830, 51.9448840");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7040269, 1.7563501, 2.0679229, 4.0263513, 12.0307110, 17.0935710, 40.2228900", \
+					  "1.7050783, 1.7560822, 2.0691953, 4.0295203, 12.0312250, 17.1527930, 40.2120110", \
+					  "1.7051632, 1.7541412, 2.0674684, 4.0290340, 12.0302560, 17.1131780, 40.2335520", \
+					  "1.7048762, 1.7541409, 2.0677067, 4.0249968, 12.0273940, 17.1085630, 40.2386990", \
+					  "1.7045477, 1.7560149, 2.0675857, 4.0268828, 12.0310890, 17.1646240, 40.2359710", \
+					  "1.7055343, 1.7550427, 2.0684749, 4.0233474, 12.0303360, 17.1468690, 40.2378220", \
+					  "1.7052887, 1.7540814, 2.0702988, 4.0296038, 12.0298100, 17.1288140, 40.2347240");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7705440, 1.7705442, 1.7705443, 1.7705444, 1.7708296, 1.7771707, 1.8828161", \
+					  "1.8317562, 1.8379051, 1.8417423, 1.8417424, 1.8417425, 1.8417426, 1.9288475", \
+					  "1.8624641, 1.8624642, 1.8624643, 1.8624644, 1.8624645, 1.8624647, 1.9442097", \
+					  "1.8725792, 1.8726487, 1.8729078, 1.8729079, 1.8729080, 1.8729081, 1.9530775", \
+					  "1.8747688, 1.8747690, 1.8747691, 1.8747692, 1.8747693, 1.8756392, 1.9557396", \
+					  "1.8688926, 1.8689910, 1.8692292, 1.8692293, 1.8692294, 1.8704351, 1.9536248", \
+					  "1.8701352, 1.8705013, 1.8705415, 1.8705416, 1.8705417, 1.8705418, 1.9499715");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2916700, 2.3135984, 2.4288052, 2.8364857, 4.1673731, 10.8017730, 35.7567340", \
+					  "2.3579536, 2.3796771, 2.4949971, 2.9028746, 4.2344959, 10.8727550, 35.8604200", \
+					  "2.3812865, 2.4030480, 2.5182334, 2.9262002, 4.2575361, 10.8931580, 35.8816980", \
+					  "2.3923235, 2.4142386, 2.5294713, 2.9373017, 4.2687271, 10.9031950, 35.9058700", \
+					  "2.3962652, 2.4186100, 2.5337954, 2.9415059, 4.2731774, 10.9120680, 35.8877760", \
+					  "2.3970665, 2.4187206, 2.5340146, 2.9416183, 4.2736445, 10.9109490, 35.9061190", \
+					  "2.3940139, 2.4168278, 2.5321687, 2.9399232, 4.2717163, 10.9055510, 35.9106980");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0557810, 1.0623743, 1.0943216, 1.1671870, 2.5800646, 10.5882870, 38.1770170", \
+					  "1.0560537, 1.0627703, 1.0944842, 1.1680724, 2.5843661, 10.5713860, 38.2387380", \
+					  "1.0548333, 1.0615487, 1.0933917, 1.1669397, 2.5837550, 10.5783440, 38.2399530", \
+					  "1.0562409, 1.0629835, 1.0943870, 1.1682461, 2.5837266, 10.5675790, 38.2051010", \
+					  "1.0562242, 1.0629659, 1.0940928, 1.1679960, 2.5868152, 10.5786300, 38.2489670", \
+					  "1.0559907, 1.0627925, 1.0941787, 1.1680863, 2.5891818, 10.5773300, 38.2218740", \
+					  "1.0551043, 1.0619693, 1.0937148, 1.1678031, 2.5866502, 10.5601470, 38.2236930");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2493625, 2.2629638, 2.3383011, 2.7055613, 4.0229300, 7.7061975, 19.4041920", \
+					  "2.3513069, 2.3649427, 2.4409454, 2.8080149, 4.1251447, 7.8082198, 19.5037230", \
+					  "2.4198319, 2.4327760, 2.5084324, 2.8756262, 4.1929011, 7.8760793, 19.5733920", \
+					  "2.4760323, 2.4884528, 2.5640556, 2.9313072, 4.2485171, 7.9333118, 19.6294390", \
+					  "2.5237916, 2.5368483, 2.6129214, 2.9799134, 4.2971555, 7.9796871, 19.6775310", \
+					  "2.5710298, 2.5842999, 2.6596838, 3.0272975, 4.3436890, 8.0261602, 19.7297680", \
+					  "2.6164182, 2.6291529, 2.7060139, 3.0724798, 4.3898836, 8.0693772, 19.7727220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6598104, 0.6642031, 0.7122621, 0.9545501, 2.0067663, 4.7596109, 17.2448860", \
+					  "0.6594307, 0.6648432, 0.7096869, 0.9535132, 2.0051352, 4.7463851, 17.2201010", \
+					  "0.6572314, 0.6643615, 0.7111653, 0.9540282, 2.0060582, 4.7529412, 17.2513560", \
+					  "0.6565529, 0.6643597, 0.7116512, 0.9540846, 2.0054343, 4.7503339, 17.2407760", \
+					  "0.6597323, 0.6654320, 0.7088999, 0.9536335, 2.0055426, 4.7414352, 17.2405250", \
+					  "0.6571473, 0.6639762, 0.7121734, 0.9545007, 2.0052520, 4.7458264, 17.2580530", \
+					  "0.6595387, 0.6684698, 0.7065635, 0.9539886, 2.0095396, 4.7528368, 17.2543240");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.8023854, 1.8023856, 1.8023857, 1.8023858, 1.8023859, 1.8023860, 1.9235906", \
+					  "1.8639792, 1.8687702, 1.8687704, 1.8687705, 1.8687706, 1.8687707, 1.9700632", \
+					  "1.8898387, 1.8898388, 1.8898389, 1.8898390, 1.8898392, 1.8898393, 1.9852786", \
+					  "1.8920550, 1.8931114, 1.8931115, 1.8931116, 1.8931117, 1.8985999, 1.9924098", \
+					  "1.8998048, 1.8998049, 1.8998051, 1.8998052, 1.8998053, 1.8998054, 1.9924744", \
+					  "1.9063675, 1.9063917, 1.9065194, 1.9065195, 1.9065196, 1.9065198, 1.9884705", \
+					  "1.8972177, 1.8972179, 1.8985589, 1.8985590, 1.8985591, 1.8985592, 1.9840012");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7745797, 1.7745798, 1.7745799, 1.7745800, 1.7745801, 1.7745802, 1.8864854", \
+					  "1.8350614, 1.8350615, 1.8350617, 1.8380276, 1.8380277, 1.8380278, 1.9431334", \
+					  "1.8613302, 1.8613303, 1.8613304, 1.8613305, 1.8613306, 1.8613307, 1.9614976", \
+					  "1.8624846, 1.8680821, 1.8697675, 1.8697677, 1.8697678, 1.8698192, 1.9691031", \
+					  "1.8648831, 1.8649418, 1.8694759, 1.8694760, 1.8694761, 1.8694762, 1.9700506", \
+					  "1.8671613, 1.8674047, 1.8674048, 1.8674049, 1.8674050, 1.8674052, 1.9678994", \
+					  "1.8648674, 1.8648676, 1.8648677, 1.8650492, 1.8650494, 1.8651771, 1.9637960");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0822533, 3.0831264, 3.0831265, 3.0831267, 3.0831270, 3.0831272, 3.0831274", \
+					  "3.1195737, 3.1455436, 3.1455437, 3.1455440, 3.1455442, 3.1455445, 3.1455447", \
+					  "3.1664071, 3.1664073, 3.1664075, 3.1664078, 3.1664080, 3.1664082, 3.1664085", \
+					  "3.1738587, 3.1764902, 3.1764905, 3.1764907, 3.1764910, 3.1764912, 3.1764914", \
+					  "3.1827543, 3.1842513, 3.1842515, 3.1842517, 3.1842520, 3.1842522, 3.1842524", \
+					  "3.1791370, 3.1805956, 3.1805958, 3.1805960, 3.1805963, 3.1805965, 3.1805968", \
+					  "3.1914487, 3.1943281, 3.1943282, 3.1943285, 3.1943287, 3.1943290, 3.1943292");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3206561, 2.3434257, 2.4622853, 2.8754576, 4.1983226, 10.8303220, 35.8405150", \
+					  "2.4210709, 2.4454947, 2.5641078, 2.9780030, 4.2997881, 10.9343560, 35.9188630", \
+					  "2.4882132, 2.5108489, 2.6308782, 3.0439731, 4.3655346, 10.9965130, 35.9770100", \
+					  "2.5453555, 2.5669145, 2.6869309, 3.0993588, 4.4223580, 11.0546430, 36.0529560", \
+					  "2.5952280, 2.6158544, 2.7356959, 3.1493885, 4.4700039, 11.1026730, 36.1187100", \
+					  "2.6389082, 2.6648701, 2.7833316, 3.1947007, 4.5186295, 11.1533640, 36.1486800", \
+					  "2.6867766, 2.7094722, 2.8266405, 3.2407248, 4.5617132, 11.1971760, 36.1832980");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0757495, 1.0834269, 1.1178838, 1.2027245, 2.5883089, 10.5864670, 38.2454990", \
+					  "1.0755227, 1.0821158, 1.1179893, 1.2024166, 2.5902973, 10.5864230, 38.2561040", \
+					  "1.0760109, 1.0829802, 1.1179926, 1.2030540, 2.5906308, 10.5772890, 38.2501180", \
+					  "1.0753018, 1.0825260, 1.1183383, 1.2018051, 2.5869631, 10.5881910, 38.2453680", \
+					  "1.0756552, 1.0828711, 1.1178272, 1.2032232, 2.5884392, 10.5839530, 38.2327090", \
+					  "1.0753982, 1.0825917, 1.1181257, 1.2026633, 2.5854257, 10.5898600, 38.2533470", \
+					  "1.0750277, 1.0821580, 1.1179075, 1.2016852, 2.5859488, 10.5806430, 38.2563990");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5188736, 8.7205105, 9.9814459, 17.7324680, 65.5323120, 360.3393800, 2178.7382000", \
+					  "8.6166514, 8.8241485, 10.0781160, 17.8166020, 65.6343600, 360.8703600, 2179.5379000", \
+					  "8.6852854, 8.8851930, 10.1456100, 17.8844000, 65.7061680, 361.1911000, 2179.2250000", \
+					  "8.7433483, 8.9473362, 10.2020180, 17.9569800, 65.7690220, 361.2532900, 2181.7018000", \
+					  "8.7912353, 8.9919459, 10.2524680, 17.9979920, 65.8034480, 360.6837100, 2178.9531000", \
+					  "8.8376524, 9.0367944, 10.2986740, 18.0578570, 65.8613360, 361.0998400, 2182.8816000", \
+					  "8.8845811, 9.0801713, 10.3467860, 18.0822130, 65.9011550, 360.7492000, 2179.7193000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1536100, 13.5378900, 16.0573630, 31.4735790, 127.3473900, 716.2909700, 4355.0349000", \
+					  "13.1278310, 13.5445850, 16.0270750, 31.4901310, 127.3512000, 716.0142000, 4352.4719000", \
+					  "13.1352020, 13.5436360, 16.0352960, 31.4825360, 127.3759100, 717.1349200, 4350.6966000", \
+					  "13.1537020, 13.5616000, 16.0355530, 31.4738140, 127.2159400, 717.1102500, 4353.8271000", \
+					  "13.1551600, 13.5383900, 16.0567450, 31.4995780, 127.3467200, 716.1654000, 4356.2845000", \
+					  "13.1510920, 13.5347700, 16.0419430, 31.4778000, 127.3656100, 717.1135500, 4349.8048000", \
+					  "13.1556600, 13.5546950, 16.0582210, 31.4623700, 126.8939700, 716.7545800, 4350.3219000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.4052825, 3.4052827, 3.4052829, 3.4052831, 3.4052834, 3.4052836, 3.4052839", \
+					  "3.4775229, 3.4803722, 3.4803724, 3.4803726, 3.4803728, 3.4803731, 3.4803733", \
+					  "3.4821006, 3.5042775, 3.5042776, 3.5042779, 3.5042781, 3.5042784, 3.5042786", \
+					  "3.5102277, 3.5102278, 3.5102281, 3.5102283, 3.5102286, 3.5102288, 3.5102290", \
+					  "3.5201240, 3.5201241, 3.5201244, 3.5201246, 3.5201248, 3.5201251, 3.5201253", \
+					  "3.5201175, 3.5201177, 3.5201179, 3.5201182, 3.5201184, 3.5201186, 3.5201189", \
+					  "3.5140233, 3.5140235, 3.5140237, 3.5140240, 3.5140242, 3.5140244, 3.5140247");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7004106, 1.7004107, 1.7004108, 1.7004110, 1.7004111, 1.7004112, 1.9041766", \
+					  "1.7582227, 1.7582780, 1.7582781, 1.7582782, 1.7582784, 1.7582785, 1.9145794", \
+					  "1.7730700, 1.7731848, 1.7743802, 1.7771081, 1.7771082, 1.7771083, 1.9280391", \
+					  "1.7825812, 1.7834506, 1.7841010, 1.7877770, 1.7882128, 1.7882129, 1.9291391", \
+					  "1.7868251, 1.7879263, 1.7879264, 1.7879265, 1.7879267, 1.7879268, 1.9277668", \
+					  "1.7822281, 1.7833405, 1.7855968, 1.7855970, 1.7855971, 1.7855972, 1.9233901", \
+					  "1.7867957, 1.7869142, 1.7869143, 1.7869145, 1.7869146, 1.7869147, 1.9141491");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.6647222, 2.6941636, 2.8697937, 3.9374628, 9.7222612, 34.8665810, 98.0209050", \
+					  "2.7680087, 2.7959675, 2.9720579, 4.0441721, 9.8256283, 34.9628590, 98.0598930", \
+					  "2.8317246, 2.8629052, 3.0371683, 4.1040171, 9.8909698, 35.0315260, 98.1944690", \
+					  "2.8881013, 2.9174934, 3.0935479, 4.1659303, 9.9457615, 35.0863590, 98.2578360", \
+					  "2.9383151, 2.9674430, 3.1421690, 4.2086232, 9.9943067, 35.1376740, 98.3028410", \
+					  "2.9858884, 3.0141014, 3.1906107, 4.2557073, 10.0450790, 35.1819910, 98.3480670", \
+					  "3.0314167, 3.0610521, 3.2310947, 4.3026076, 10.0884680, 35.2280270, 98.3937620");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7690606, 1.8230801, 2.1539694, 4.0675738, 11.4053040, 42.5368750, 59.4762320", \
+					  "1.7685823, 1.8208770, 2.1534539, 4.0681485, 11.4061760, 42.5774370, 59.4842010", \
+					  "1.7693318, 1.8230615, 2.1541491, 4.0685464, 11.4048190, 42.5591860, 59.4605110", \
+					  "1.7677687, 1.8220214, 2.1535160, 4.0674983, 11.4078110, 42.5415190, 59.5263960", \
+					  "1.7679124, 1.8220042, 2.1534317, 4.0670525, 11.4078350, 42.5820730, 59.5302900", \
+					  "1.7694153, 1.8220406, 2.1521545, 4.0694608, 11.4036600, 42.5574100, 59.3985270", \
+					  "1.7695354, 1.8212113, 2.1526163, 4.0697765, 11.4083090, 42.5434730, 59.3811150");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7726999, 1.7727001, 1.7727002, 1.7727003, 1.7727004, 1.7727005, 1.8867916", \
+					  "1.8327656, 1.8327657, 1.8327658, 1.8361082, 1.8361083, 1.8361084, 1.9416786", \
+					  "1.8585007, 1.8585008, 1.8585009, 1.8585011, 1.8585012, 1.8585013, 1.9537831", \
+					  "1.8613311, 1.8649959, 1.8671047, 1.8671048, 1.8671049, 1.8677515, 1.9660538", \
+					  "1.8644761, 1.8645419, 1.8690489, 1.8694104, 1.8694105, 1.8694106, 1.9626907", \
+					  "1.8656461, 1.8656462, 1.8656464, 1.8656465, 1.8656466, 1.8656467, 1.9668445", \
+					  "1.8657942, 1.8657971, 1.8657972, 1.8657973, 1.8657974, 1.8669857, 1.9625683");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7005650, 1.7005651, 1.7008919, 1.7017320, 1.7017321, 1.7017323, 1.9063042", \
+					  "1.7562953, 1.7586760, 1.7586762, 1.7586763, 1.7586764, 1.7586765, 1.9144668", \
+					  "1.7734125, 1.7735237, 1.7749405, 1.7776427, 1.7776428, 1.7776430, 1.9289610", \
+					  "1.7834735, 1.7840508, 1.7848817, 1.7886683, 1.7886684, 1.7886685, 1.9297761", \
+					  "1.7873671, 1.7884586, 1.7884587, 1.7884588, 1.7884589, 1.7884591, 1.9340001", \
+					  "1.7830811, 1.7840001, 1.7861694, 1.7861695, 1.7861696, 1.7861698, 1.9242026", \
+					  "1.7876901, 1.7879375, 1.7879376, 1.7879378, 1.7879379, 1.7879380, 1.9148154");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.3937413, 3.3940064, 3.3940067, 3.3940069, 3.3940071, 3.3940074, 3.3940076", \
+					  "3.4797416, 3.4797417, 3.4797420, 3.4797422, 3.4797425, 3.4797427, 3.4797429", \
+					  "3.4866305, 3.5043115, 3.5043117, 3.5043120, 3.5043122, 3.5043124, 3.5043127", \
+					  "3.5122976, 3.5122978, 3.5122980, 3.5122983, 3.5122985, 3.5122988, 3.5122990", \
+					  "3.5159591, 3.5159592, 3.5159594, 3.5159597, 3.5159599, 3.5159601, 3.5159604", \
+					  "3.5211321, 3.5211322, 3.5211324, 3.5211326, 3.5211329, 3.5211331, 3.5211334", \
+					  "3.5152043, 3.5152044, 3.5152046, 3.5152048, 3.5152051, 3.5152053, 3.5152056");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("3.0888798, 3.0902498, 3.0902499, 3.0902502, 3.0902504, 3.0902507, 3.0902509", \
+					  "3.1413914, 3.1457137, 3.1457140, 3.1457142, 3.1457145, 3.1457147, 3.1457149", \
+					  "3.1643053, 3.1643054, 3.1643056, 3.1643059, 3.1643061, 3.1643063, 3.1643066", \
+					  "3.1732852, 3.1754381, 3.1754383, 3.1754386, 3.1754388, 3.1754391, 3.1754393", \
+					  "3.1835128, 3.1849312, 3.1849314, 3.1849317, 3.1849319, 3.1849322, 3.1849324", \
+					  "3.1790361, 3.1805012, 3.1805014, 3.1805016, 3.1805019, 3.1805021, 3.1805023", \
+					  "3.1908587, 3.1945990, 3.1945991, 3.1945993, 3.1945996, 3.1945998, 3.1946000");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2661863, 2.2890314, 2.4080031, 2.8211744, 4.1434070, 10.7769450, 35.7669090", \
+					  "2.3329143, 2.3553224, 2.4741809, 2.8872049, 4.2098849, 10.8451080, 35.8335160", \
+					  "2.3563715, 2.3788214, 2.4978069, 2.9111020, 4.2339252, 10.8679070, 35.8478330", \
+					  "2.3668212, 2.3897524, 2.5089746, 2.9221949, 4.2440504, 10.8786410, 35.8852860", \
+					  "2.3715017, 2.3941635, 2.5130789, 2.9262104, 4.2482622, 10.8771380, 35.8828840", \
+					  "2.3719118, 2.3943250, 2.5135469, 2.9267726, 4.2484305, 10.8777560, 35.8633480", \
+					  "2.3692053, 2.3925675, 2.5112332, 2.9246909, 4.2472474, 10.8769660, 35.8585450");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0754297, 1.0824284, 1.1176674, 1.2021766, 2.5885365, 10.5865270, 38.2477980", \
+					  "1.0756613, 1.0827039, 1.1176876, 1.2033246, 2.5875664, 10.5747920, 38.2583670", \
+					  "1.0757674, 1.0826703, 1.1177435, 1.2026218, 2.5909490, 10.5811780, 38.2427570", \
+					  "1.0756979, 1.0827391, 1.1178560, 1.2015077, 2.5860978, 10.5805120, 38.2255050", \
+					  "1.0758583, 1.0826589, 1.1178660, 1.2031393, 2.5856126, 10.5822800, 38.2048240", \
+					  "1.0756571, 1.0819575, 1.1168252, 1.2030384, 2.5854578, 10.5790580, 38.2425500", \
+					  "1.0751419, 1.0824099, 1.1175829, 1.2024532, 2.5868210, 10.5838440, 38.2491190");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.0766733, 2.0766734, 2.0766737, 2.0766739, 2.0766742, 2.0766744, 2.1756907", \
+					  "2.1330557, 2.1330559, 2.1333533, 2.1333534, 2.1368001, 2.1445821, 2.2371369", \
+					  "2.1568489, 2.1679345, 2.1679346, 2.1679348, 2.1687037, 2.1687040, 2.2644504", \
+					  "2.1667639, 2.1773074, 2.1773075, 2.1773078, 2.1773080, 2.1787276, 2.2652951", \
+					  "2.1821758, 2.1825899, 2.1825902, 2.1825904, 2.1825907, 2.1825909, 2.2795978", \
+					  "2.1748430, 2.1748432, 2.1748435, 2.1748437, 2.1748440, 2.1748442, 2.2688464", \
+					  "2.1753044, 2.1767224, 2.1767225, 2.1769020, 2.1769022, 2.1769025, 2.2690219");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3206199, 2.3434088, 2.4622659, 2.8753304, 4.1982950, 10.8301000, 35.8400420", \
+					  "2.4234519, 2.4457332, 2.5648910, 2.9771989, 4.2998467, 10.9337840, 35.9144390", \
+					  "2.4882010, 2.5122229, 2.6299352, 3.0445131, 4.3656736, 10.9995830, 35.9900840", \
+					  "2.5437141, 2.5662497, 2.6860076, 3.1006164, 4.4221862, 11.0556770, 36.0356650", \
+					  "2.5938041, 2.6175422, 2.7355793, 3.1488958, 4.4701061, 11.1007620, 36.1226850", \
+					  "2.6415345, 2.6650122, 2.7825959, 3.1957652, 4.5180228, 11.1516700, 36.1398700", \
+					  "2.6864202, 2.7087566, 2.8272718, 3.2406404, 4.5632751, 11.1972080, 36.1963290");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0758271, 1.0834604, 1.1179425, 1.2025244, 2.5882838, 10.5863740, 38.2458400", \
+					  "1.0754209, 1.0821767, 1.1182382, 1.2024325, 2.5901297, 10.5859580, 38.2487360", \
+					  "1.0760423, 1.0827560, 1.1188791, 1.2024255, 2.5861280, 10.5872960, 38.2493040", \
+					  "1.0754453, 1.0826599, 1.1185159, 1.2024760, 2.5864522, 10.5765500, 38.2487360", \
+					  "1.0756962, 1.0829400, 1.1178971, 1.2034186, 2.5885030, 10.5837410, 38.2389340", \
+					  "1.0752941, 1.0827184, 1.1187967, 1.2018515, 2.5859779, 10.5742560, 38.2409200", \
+					  "1.0750978, 1.0821758, 1.1179645, 1.2018962, 2.5864269, 10.5773080, 38.2361730");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4465669, 2.4594975, 2.5357396, 2.9004552, 4.2198498, 7.9152702, 19.6152140", \
+					  "2.5478948, 2.5608333, 2.6371980, 3.0017979, 4.3211803, 8.0184028, 19.7172550", \
+					  "2.6135856, 2.6266669, 2.7043003, 3.0691336, 4.3870441, 8.0831279, 19.7838650", \
+					  "2.6714488, 2.6843794, 2.7598277, 3.1232161, 4.4440380, 8.1408267, 19.8432310", \
+					  "2.7192739, 2.7310609, 2.8092144, 3.1736755, 4.4927972, 8.1898035, 19.8867800", \
+					  "2.7666436, 2.7802645, 2.8558802, 3.2200111, 4.5377742, 8.2368485, 19.9378540", \
+					  "2.8112630, 2.8237752, 2.9017035, 3.2683849, 4.5841557, 8.2794092, 19.9834440");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6913426, 0.6971149, 0.7361660, 0.9811943, 2.0234818, 4.7561817, 17.2204010", \
+					  "0.6916943, 0.6975809, 0.7360158, 0.9810234, 2.0245623, 4.7599187, 17.2406840", \
+					  "0.6913504, 0.6972839, 0.7361363, 0.9811916, 2.0241635, 4.7578412, 17.2204220", \
+					  "0.6920449, 0.6981271, 0.7362084, 0.9811602, 2.0252848, 4.7599102, 17.2231170", \
+					  "0.6917051, 0.6970968, 0.7361597, 0.9812123, 2.0244952, 4.7603748, 17.2206500", \
+					  "0.6918454, 0.6977130, 0.7359367, 0.9809595, 2.0246462, 4.7645980, 17.2617320", \
+					  "0.6867993, 0.6949452, 0.7391411, 0.9777980, 2.0286746, 4.7425481, 17.2296120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3102791, 2.3377866, 2.5057780, 3.5103878, 9.6504049, 23.7727010, 51.8993200", \
+					  "2.4126763, 2.4395750, 2.6079172, 3.6119636, 9.7507122, 23.8569340, 52.0009780", \
+					  "2.4779105, 2.5068461, 2.6731652, 3.6777610, 9.8154382, 23.9450420, 52.0554980", \
+					  "2.5346880, 2.5630422, 2.7288756, 3.7340167, 9.8636175, 23.9970830, 52.1367520", \
+					  "2.5834577, 2.6109024, 2.7796410, 3.7826613, 9.9243067, 24.0433180, 52.1879850", \
+					  "2.6303768, 2.6581928, 2.8259122, 3.8294417, 9.9704591, 24.0943280, 52.2213380", \
+					  "2.6758220, 2.7039242, 2.8705291, 3.8770120, 10.0073760, 24.1438460, 52.2574690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7057766, 1.7563113, 2.0703259, 4.0259662, 12.0276130, 17.1124360, 40.2370010", \
+					  "1.7048015, 1.7559324, 2.0703308, 4.0275250, 12.0306290, 17.1115100, 40.1859030", \
+					  "1.7042971, 1.7559304, 2.0696356, 4.0251225, 12.0312440, 17.1276450, 40.1921550", \
+					  "1.7052891, 1.7563231, 2.0691506, 4.0187338, 12.0314540, 17.0939240, 40.2482070", \
+					  "1.7047038, 1.7558128, 2.0676731, 4.0270294, 12.0301560, 17.1083360, 40.2274840", \
+					  "1.7053108, 1.7554421, 2.0676425, 4.0274490, 12.0302350, 17.1170860, 40.2037830", \
+					  "1.7037505, 1.7536713, 2.0704873, 4.0258974, 12.0293110, 17.1322510, 40.2372290");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.6795410, 2.7093150, 2.8850262, 3.9518273, 10.0162100, 21.9336390, 43.3686940", \
+					  "2.7817226, 2.8116074, 2.9866516, 4.0514592, 10.1132900, 22.0366040, 43.4638670", \
+					  "2.8466796, 2.8766789, 3.0523684, 4.1204995, 10.1851530, 22.1008420, 43.5362960", \
+					  "2.9045710, 2.9341098, 3.1093115, 4.1726134, 10.2364800, 22.1538090, 43.5868340", \
+					  "2.9525913, 2.9808376, 3.1563279, 4.2258090, 10.2857270, 22.2008010, 43.6396340", \
+					  "3.0026479, 3.0297187, 3.2050742, 4.2687072, 10.3315220, 22.2481610, 43.6815100", \
+					  "3.0475980, 3.0720126, 3.2478513, 4.3138924, 10.3768270, 22.2953100, 43.7304590");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7621545, 1.8162984, 2.1414226, 4.1971058, 11.6080700, 13.9567390, 26.9050100", \
+					  "1.7627665, 1.8161829, 2.1435043, 4.1936548, 11.6069230, 13.9582360, 26.8976180", \
+					  "1.7622663, 1.8163688, 2.1417308, 4.1971888, 11.6082090, 13.9618330, 26.9010020", \
+					  "1.7598490, 1.8141878, 2.1435322, 4.1984110, 11.6071250, 13.9634610, 26.9044340", \
+					  "1.7620810, 1.8162861, 2.1446522, 4.1972563, 11.6068540, 13.9643190, 26.9041860", \
+					  "1.7609292, 1.8140812, 2.1459090, 4.1974033, 11.6057470, 13.9550770, 26.8841700", \
+					  "1.7625296, 1.8132784, 2.1458058, 4.1947952, 11.6043690, 13.9644390, 26.8930260");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7686430, 1.7700135, 1.7700136, 1.7700137, 1.7700138, 1.7700139, 1.8825341", \
+					  "1.8342622, 1.8369656, 1.8369657, 1.8369658, 1.8369659, 1.8369660, 1.9249045", \
+					  "1.8559938, 1.8559939, 1.8559940, 1.8606015, 1.8606017, 1.8606018, 1.9517781", \
+					  "1.8683937, 1.8683938, 1.8683939, 1.8683940, 1.8683941, 1.8683942, 1.9568966", \
+					  "1.8634716, 1.8634916, 1.8663031, 1.8682809, 1.8682810, 1.8682811, 1.9604889", \
+					  "1.8638699, 1.8643607, 1.8643608, 1.8643609, 1.8647497, 1.8659805, 1.9645687", \
+					  "1.8666617, 1.8666618, 1.8666619, 1.8666620, 1.8666621, 1.8666623, 1.9634858");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7010687, 1.7018881, 1.7018882, 1.7018883, 1.7018884, 1.7018886, 1.9428326", \
+					  "1.7583011, 1.7583012, 1.7583014, 1.7583015, 1.7583016, 1.7583017, 1.9370967", \
+					  "1.7775134, 1.7780381, 1.7780383, 1.7780384, 1.7780385, 1.7780386, 1.9286071", \
+					  "1.7853879, 1.7853880, 1.7853881, 1.7853882, 1.7853883, 1.7853885, 1.9262099", \
+					  "1.7894024, 1.7894025, 1.7894026, 1.7894027, 1.7894028, 1.7894030, 1.9410371", \
+					  "1.7846336, 1.7846766, 1.7846767, 1.7846768, 1.7846769, 1.7846770, 1.9272888", \
+					  "1.7884126, 1.7884127, 1.7884128, 1.7884129, 1.7884130, 1.7884132, 1.9151747");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.5627410, 1.5628520, 1.5629181, 1.5629182, 1.5629183, 1.5629184, 1.8357963", \
+					  "1.6515050, 1.6515051, 1.6515052, 1.6515053, 1.6515055, 1.6515056, 1.8392855", \
+					  "1.7126498, 1.7126499, 1.7126501, 1.7126502, 1.7126503, 1.7126504, 1.8595240", \
+					  "1.7650283, 1.7651314, 1.7651315, 1.7651316, 1.7651317, 1.7651318, 1.8928221", \
+					  "1.8111099, 1.8115285, 1.8115286, 1.8115287, 1.8115288, 1.8115289, 1.9369781", \
+					  "1.8572792, 1.8572793, 1.8572794, 1.8572795, 1.8572796, 1.8572798, 1.9781519", \
+					  "1.9009305, 1.9009306, 1.9009308, 1.9009309, 1.9009310, 1.9009311, 2.0196971");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4284146, 2.4412463, 2.5172742, 2.8871134, 4.2929900, 11.2593940, 49.8974910", \
+					  "2.5289542, 2.5420410, 2.6186875, 2.9876064, 4.3945731, 11.3584260, 49.9005280", \
+					  "2.5972235, 2.6097349, 2.6858222, 3.0542786, 4.4602766, 11.4266140, 49.9743540", \
+					  "2.6526714, 2.6658746, 2.7411955, 3.1116780, 4.5175641, 11.4831210, 50.0889610", \
+					  "2.7013001, 2.7138285, 2.7907663, 3.1576484, 4.5660604, 11.5326450, 50.1241680", \
+					  "2.7473408, 2.7616265, 2.8377027, 3.2067624, 4.6126090, 11.5773880, 50.1204620", \
+					  "2.7921845, 2.8065179, 2.8823866, 3.2512375, 4.6591522, 11.6216330, 50.2556890");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("0.6898208, 0.6980665, 0.7403143, 0.9856734, 2.3363191, 11.2823380, 46.4873010", \
+					  "0.6905936, 0.6967946, 0.7434653, 0.9908868, 2.3340385, 11.2857090, 46.5271700", \
+					  "0.6898899, 0.6982369, 0.7402771, 0.9859116, 2.3367581, 11.2820160, 46.4887120", \
+					  "0.6890652, 0.6969711, 0.7423233, 0.9840474, 2.3380033, 11.2831440, 46.4522000", \
+					  "0.6891846, 0.6966695, 0.7404803, 0.9909186, 2.3371074, 11.2836350, 46.4625600", \
+					  "0.6923691, 0.6968148, 0.7422731, 0.9916378, 2.3377211, 11.2830160, 46.5305800", \
+					  "0.6919206, 0.6970327, 0.7443844, 0.9893999, 2.3339428, 11.2797150, 46.5445460");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.5637237, 1.5637238, 1.5637239, 1.5637240, 1.5637242, 1.5637243, 1.8394148", \
+					  "1.6521238, 1.6521239, 1.6521240, 1.6521242, 1.6521243, 1.6521244, 1.8332709", \
+					  "1.7128595, 1.7130957, 1.7138133, 1.7138134, 1.7138135, 1.7138137, 1.8626636", \
+					  "1.7657102, 1.7657922, 1.7657924, 1.7657925, 1.7657926, 1.7657927, 1.8972999", \
+					  "1.8119383, 1.8119384, 1.8119385, 1.8119386, 1.8119388, 1.8119389, 1.9336190", \
+					  "1.8580678, 1.8580679, 1.8580680, 1.8580681, 1.8580682, 1.8580683, 1.9784439", \
+					  "1.9013768, 1.9013811, 1.9013812, 1.9013814, 1.9013815, 1.9013816, 2.0188475");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2345863, 8.4378575, 9.6764712, 17.4030000, 65.0735640, 359.5754700, 2172.5691000", \
+					  "8.3296836, 8.5332950, 9.7939026, 17.5515620, 65.3125620, 359.3359000, 2172.2722000", \
+					  "8.3881817, 8.5965954, 9.8440029, 17.6201740, 65.2283210, 359.5835900, 2172.3486000", \
+					  "8.4597840, 8.6537364, 9.9207265, 17.6280930, 65.3174870, 359.4243800, 2172.2567000", \
+					  "8.4887484, 8.6901979, 9.9516324, 17.7059820, 65.5705530, 359.7036800, 2172.4575000", \
+					  "8.5563876, 8.7598426, 9.9945874, 17.7231260, 65.3949290, 359.9104400, 2173.0089000", \
+					  "8.5986418, 8.7916572, 10.0587470, 17.7739800, 65.4907740, 360.0328300, 2174.1175000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3593510, 13.7508720, 16.2413120, 31.6228180, 127.0313400, 715.3699900, 4340.0250000", \
+					  "13.3538660, 13.7567200, 16.2510790, 31.5704690, 127.1156400, 715.0787800, 4341.5494000", \
+					  "13.3645670, 13.7656730, 16.2283800, 31.5782420, 127.0596400, 715.2687000, 4341.5521000", \
+					  "13.3288650, 13.7623910, 16.2195430, 31.5673530, 126.9832000, 714.3499900, 4341.1515000", \
+					  "13.3433990, 13.7493190, 16.2369890, 31.6244490, 127.0463900, 715.4068300, 4341.4616000", \
+					  "13.3301060, 13.7319300, 16.2329620, 31.5727920, 127.0316000, 715.1645600, 4340.3152000", \
+					  "13.3459370, 13.7583890, 16.2346230, 31.5852160, 127.1323400, 715.5214200, 4343.3693000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5179206, 8.7237648, 9.9806092, 17.7370280, 65.5515540, 360.9578400, 2178.3055000", \
+					  "8.6204910, 8.8172412, 10.0826720, 17.8241300, 65.6372380, 360.9507100, 2177.8135000", \
+					  "8.6865040, 8.8911207, 10.1491250, 17.8996120, 65.7227230, 361.2673500, 2179.0504000", \
+					  "8.7426155, 8.9438554, 10.2060710, 17.9467300, 65.7756300, 361.0805900, 2179.5577000", \
+					  "8.7914236, 8.9967402, 10.2536630, 18.0103110, 65.8232580, 361.2945800, 2179.2937000", \
+					  "8.8406020, 9.0386321, 10.3001380, 18.0424550, 65.8462440, 361.0037700, 2180.9965000", \
+					  "8.8760981, 9.0849470, 10.3459340, 18.1075370, 65.8408080, 360.6600100, 2181.7307000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1507300, 13.5622000, 16.0566420, 31.4758250, 127.2166100, 717.2705000, 4354.6120000", \
+					  "13.1551990, 13.5463160, 16.0600400, 31.4578050, 126.8982400, 716.2821000, 4352.7830000", \
+					  "13.1564940, 13.5622290, 16.0564340, 31.4429940, 127.0885500, 717.1386200, 4351.9326000", \
+					  "13.1539930, 13.5480520, 16.0637320, 31.4581110, 127.0155800, 716.3087000, 4350.5270000", \
+					  "13.1505380, 13.5623680, 16.0559670, 31.4848700, 127.2439200, 717.1855100, 4349.3742000", \
+					  "13.1566750, 13.5510740, 16.0560450, 31.4684170, 126.8636700, 715.8370700, 4348.3910000", \
+					  "13.1517860, 13.5601600, 16.0630760, 31.5017270, 127.1693900, 716.0404000, 4347.9513000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2672584, 2.2898683, 2.4068014, 2.8179954, 4.1435682, 10.7918260, 35.8140680", \
+					  "2.3334870, 2.3560034, 2.4729814, 2.8841314, 4.2107553, 10.8544120, 35.8565690", \
+					  "2.3572315, 2.3794386, 2.4964801, 2.9076046, 4.2331374, 10.8776740, 35.8786030", \
+					  "2.3683506, 2.3906350, 2.5075440, 2.9188520, 4.2444559, 10.8936530, 35.9221880", \
+					  "2.3726701, 2.3948998, 2.5119697, 2.9230651, 4.2485140, 10.8958060, 35.8937310", \
+					  "2.3724578, 2.3947369, 2.5117954, 2.9229767, 4.2487001, 10.8935390, 35.8904760", \
+					  "2.3703686, 2.3928344, 2.5096498, 2.9211489, 4.2470618, 10.8907660, 35.8901670");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0692150, 1.0766538, 1.1116087, 1.1965963, 2.5962932, 10.6052540, 38.2619450", \
+					  "1.0694322, 1.0764739, 1.1118451, 1.1968961, 2.5976810, 10.6027040, 38.2634550", \
+					  "1.0698282, 1.0763803, 1.1117038, 1.1974239, 2.5936808, 10.6027760, 38.2634440", \
+					  "1.0696551, 1.0766723, 1.1119405, 1.1960279, 2.5942177, 10.6067000, 38.2284280", \
+					  "1.0699125, 1.0763715, 1.1119147, 1.1974848, 2.5939615, 10.6089760, 38.2636710", \
+					  "1.0691554, 1.0761103, 1.1122085, 1.1975739, 2.5978255, 10.6031650, 38.2647120", \
+					  "1.0691145, 1.0766181, 1.1119363, 1.1965695, 2.5949392, 10.6030430, 38.2641790");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.6364569, 8.8556247, 10.1509450, 18.1021170, 66.2858850, 361.9033200, 2181.4506000", \
+					  "8.7488061, 8.9578061, 10.2543910, 18.2005420, 66.3284470, 361.6345100, 2178.7905000", \
+					  "8.8094101, 9.0270786, 10.3209930, 18.2719510, 66.4321650, 361.8157800, 2179.8958000", \
+					  "8.8623260, 9.0823944, 10.3765910, 18.3259680, 66.4903230, 361.9779800, 2179.3567000", \
+					  "8.9129939, 9.1280592, 10.4283100, 18.3795200, 66.5345890, 361.3496300, 2179.9872000", \
+					  "8.9674312, 9.1786676, 10.4770150, 18.4164790, 66.6090680, 361.7771800, 2183.3325000", \
+					  "9.0148822, 9.2183736, 10.5238540, 18.4672850, 66.6545430, 362.2474200, 2183.1966000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1367450, 13.5553050, 16.0534150, 31.4778180, 126.9949200, 716.5252800, 4349.3942000", \
+					  "13.1318580, 13.5592780, 16.0306030, 31.4801550, 127.2113500, 715.7738100, 4353.1841000", \
+					  "13.1392560, 13.5573420, 16.0535420, 31.4766350, 127.2692600, 717.1563000, 4353.6993000", \
+					  "13.1386030, 13.5570280, 16.0534520, 31.4991300, 127.3065400, 717.0756400, 4352.5506000", \
+					  "13.1423110, 13.5512560, 16.0579180, 31.4844900, 126.8208600, 716.1297600, 4350.9121000", \
+					  "13.1517950, 13.5537470, 16.0562050, 31.4613370, 127.0496000, 716.8874300, 4347.5379000", \
+					  "13.1527860, 13.5526170, 16.0597420, 31.4745240, 127.0591500, 716.6613600, 4350.6152000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3103328, 2.3378341, 2.5058042, 3.5104033, 9.6504831, 23.7771600, 51.9041770", \
+					  "2.4120852, 2.4395261, 2.6076952, 3.6121399, 9.7468300, 23.8597910, 52.0007110", \
+					  "2.4793283, 2.5068922, 2.6731750, 3.6778998, 9.8119779, 23.9319940, 52.0547130", \
+					  "2.5339750, 2.5630857, 2.7284215, 3.7348609, 9.8658131, 24.0015150, 52.1345560", \
+					  "2.5833076, 2.6123166, 2.7794953, 3.7838843, 9.9248458, 24.0441090, 52.1882950", \
+					  "2.6308120, 2.6593523, 2.8270051, 3.8316670, 9.9730340, 24.0952270, 52.2213100", \
+					  "2.6760139, 2.7035376, 2.8714946, 3.8735575, 10.0081240, 24.1485890, 52.2876460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7053594, 1.7561755, 2.0701922, 4.0257904, 12.0277360, 17.0753190, 40.2066010", \
+					  "1.7050267, 1.7558219, 2.0702062, 4.0274366, 12.0292050, 17.0981910, 40.1852450", \
+					  "1.7024477, 1.7557841, 2.0680415, 4.0297046, 12.0294890, 17.0945210, 40.2102000", \
+					  "1.7044197, 1.7557740, 2.0673891, 4.0259365, 12.0314030, 17.0779500, 40.2385790", \
+					  "1.7045340, 1.7556484, 2.0679889, 4.0242552, 12.0300930, 17.1068940, 40.2270960", \
+					  "1.7053142, 1.7557764, 2.0678057, 4.0180734, 12.0298780, 17.1170510, 40.2028420", \
+					  "1.7037326, 1.7534634, 2.0703661, 4.0263193, 12.0293170, 17.1268050, 40.2376410");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5188727, 8.7206029, 9.9814317, 17.7325940, 65.5322410, 360.4152100, 2178.0984000", \
+					  "8.6166478, 8.8223215, 10.0826450, 17.8348770, 65.6329180, 360.8828600, 2181.3839000", \
+					  "8.6839886, 8.8850367, 10.1455070, 17.8837580, 65.7072830, 361.1877200, 2179.5252000", \
+					  "8.7409235, 8.9466612, 10.2043070, 17.9549250, 65.7579800, 360.6634500, 2178.8496000", \
+					  "8.7920942, 8.9955856, 10.2554970, 18.0083720, 65.7935710, 361.1314700, 2178.9351000", \
+					  "8.8356487, 9.0335321, 10.2978840, 18.0384190, 65.8579960, 360.5821900, 2178.5934000", \
+					  "8.8847125, 9.0813641, 10.3456030, 18.0872150, 65.8888410, 360.8163900, 2180.9715000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1536070, 13.5384430, 16.0561910, 31.4738850, 127.3460400, 716.1853000, 4349.2810000", \
+					  "13.1263180, 13.5523610, 16.0630640, 31.4747410, 127.3835200, 716.0519400, 4348.5543000", \
+					  "13.1357900, 13.5430900, 16.0346540, 31.4812710, 127.3776000, 717.1398100, 4352.8235000", \
+					  "13.1298550, 13.5623690, 16.0563740, 31.4431180, 127.3826100, 716.1506200, 4353.2532000", \
+					  "13.1538840, 13.5530840, 16.0638150, 31.4839570, 127.3809100, 716.3031300, 4349.7603000", \
+					  "13.1420070, 13.5346790, 16.0277850, 31.4925720, 127.3799300, 716.2807400, 4348.9340000", \
+					  "13.1555950, 13.5465680, 16.0610510, 31.4543240, 126.8353000, 716.7984100, 4348.7339000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2346121, 8.4273646, 9.6937089, 17.3997950, 65.1340170, 359.6553300, 2174.0543000", \
+					  "8.3297467, 8.5331440, 9.7970156, 17.5513770, 65.3124200, 359.3282300, 2172.3160000", \
+					  "8.3932949, 8.6056895, 9.8613961, 17.6065670, 65.2434410, 359.5696100, 2172.3804000", \
+					  "8.4588612, 8.6613321, 9.9088568, 17.6346170, 65.3015630, 359.8696900, 2172.3647000", \
+					  "8.4905079, 8.6899998, 9.9520872, 17.7049450, 65.4078320, 359.6969100, 2172.4513000", \
+					  "8.5561691, 8.7605319, 9.9961390, 17.7228370, 65.4130690, 360.0064500, 2171.9941000", \
+					  "8.5817859, 8.8001686, 10.0437260, 17.8139440, 65.4880170, 359.9903700, 2174.2451000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3439300, 13.7588910, 16.2318500, 31.6163980, 127.1373000, 715.4428100, 4341.2683000", \
+					  "13.3547530, 13.7578420, 16.2495530, 31.5755320, 127.1148400, 715.1092500, 4341.4743000", \
+					  "13.3591200, 13.7498130, 16.2144080, 31.6276180, 127.0986400, 714.2346000, 4341.4771000", \
+					  "13.3462960, 13.7652830, 16.2481550, 31.6200540, 127.0987400, 715.5188100, 4341.4525000", \
+					  "13.3429660, 13.7510390, 16.2361180, 31.6250990, 127.0465500, 715.4135300, 4341.4989000", \
+					  "13.3493310, 13.7627040, 16.2451550, 31.6161110, 127.2029800, 715.5313100, 4340.3643000", \
+					  "13.3429860, 13.7641130, 16.2315050, 31.5996650, 127.1405900, 715.5928200, 4341.6353000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.6798406, 2.7096103, 2.8853042, 3.9521217, 10.0168650, 21.9328820, 43.3676740", \
+					  "2.7818892, 2.8120339, 2.9866696, 4.0552184, 10.1156580, 22.0336320, 43.4614440", \
+					  "2.8488667, 2.8769713, 3.0525600, 4.1204760, 10.1843770, 22.1008370, 43.5337310", \
+					  "2.9055787, 2.9339000, 3.1096901, 4.1715471, 10.2365080, 22.1535480, 43.5907490", \
+					  "2.9533576, 2.9825000, 3.1572727, 4.2212700, 10.2897970, 22.2031050, 43.6341740", \
+					  "3.0013727, 3.0313005, 3.2065204, 4.2686565, 10.3333480, 22.2483100, 43.6810980", \
+					  "3.0470266, 3.0765150, 3.2512641, 4.3138026, 10.3769850, 22.2942480, 43.7288110");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7619703, 1.8161221, 2.1414022, 4.1979131, 11.6078430, 13.9569100, 26.9063170", \
+					  "1.7625629, 1.8160964, 2.1426039, 4.1992920, 11.6070510, 13.9609230, 26.8868870", \
+					  "1.7621512, 1.8161822, 2.1414994, 4.1992911, 11.6077120, 13.9640430, 26.8927800", \
+					  "1.7609344, 1.8162176, 2.1450470, 4.1993817, 11.6070810, 13.9593070, 26.8920180", \
+					  "1.7626480, 1.8161260, 2.1433988, 4.1975743, 11.6077460, 13.9549930, 26.8692250", \
+					  "1.7620967, 1.8145441, 2.1460780, 4.1984514, 11.6026540, 13.9530540, 26.9198090", \
+					  "1.7622335, 1.8137449, 2.1456690, 4.1951087, 11.6044990, 13.9642200, 26.8825320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.3206539, 2.3434283, 2.4622924, 2.8754634, 4.1982799, 10.8301450, 35.8417120", \
+					  "2.4208955, 2.4454985, 2.5651226, 2.9774005, 4.3001220, 10.9336230, 35.9388220", \
+					  "2.4882334, 2.5109075, 2.6299165, 3.0429612, 4.3651096, 10.9987770, 35.9778340", \
+					  "2.5434577, 2.5669662, 2.6865188, 3.0998677, 4.4226605, 11.0510530, 36.0388550", \
+					  "2.5940308, 2.6179676, 2.7348255, 3.1477025, 4.4700044, 11.1008770, 36.1191430", \
+					  "2.6402811, 2.6642617, 2.7834075, 3.1965521, 4.5190061, 11.1508420, 36.1545070", \
+					  "2.6856006, 2.7086942, 2.8267915, 3.2402527, 4.5627018, 11.1941660, 36.1927700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.0757657, 1.0834270, 1.1178860, 1.2027271, 2.5875690, 10.5859790, 38.2163990", \
+					  "1.0756084, 1.0821171, 1.1182453, 1.2024095, 2.5861748, 10.5850690, 38.2410940", \
+					  "1.0759478, 1.0829845, 1.1180008, 1.2030646, 2.5862803, 10.5874810, 38.2498190", \
+					  "1.0754874, 1.0829656, 1.1178897, 1.2032757, 2.5889222, 10.5840930, 38.2544770", \
+					  "1.0756205, 1.0829104, 1.1178419, 1.2032855, 2.5883680, 10.5837070, 38.2320630", \
+					  "1.0754826, 1.0824892, 1.1180975, 1.2026874, 2.5860756, 10.5838050, 38.2394170", \
+					  "1.0750361, 1.0821457, 1.1178790, 1.2018654, 2.5863596, 10.5798400, 38.2470060");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.2346263, 8.4378966, 9.6770094, 17.4029110, 65.0727690, 359.6673300, 2173.5489000", \
+					  "8.3298284, 8.5333260, 9.7991952, 17.5515620, 65.3115390, 359.3289900, 2172.2769000", \
+					  "8.3903826, 8.5971079, 9.8443502, 17.6207480, 65.2943730, 359.6543400, 2172.4504000", \
+					  "8.4589669, 8.6557490, 9.9028581, 17.6276100, 65.3215580, 359.4317400, 2172.5514000", \
+					  "8.4932328, 8.6920249, 9.9680051, 17.7081430, 65.4951510, 359.7003800, 2172.4861000", \
+					  "8.5521910, 8.7397476, 9.9952358, 17.7544180, 65.3946090, 359.9941700, 2173.3017000", \
+					  "8.6000744, 8.7853866, 10.0387840, 17.8193320, 65.4853380, 359.9856800, 2173.2044000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.3593850, 13.7510800, 16.2307000, 31.6226940, 127.0334600, 715.5929300, 4338.8318000", \
+					  "13.3549280, 13.7565350, 16.2125030, 31.5696090, 127.1108200, 715.1083400, 4341.5043000", \
+					  "13.3574670, 13.7610890, 16.2287160, 31.5703660, 127.1178700, 715.4181400, 4341.6412000", \
+					  "13.3293650, 13.7624280, 16.2375800, 31.5661520, 126.9727900, 714.3579000, 4341.3979000", \
+					  "13.3634190, 13.7496200, 16.2260130, 31.6239660, 126.7860300, 715.4143900, 4341.4057000", \
+					  "13.3555660, 13.7572820, 16.2458370, 31.5773110, 127.0325100, 715.6537500, 4344.2415000", \
+					  "13.3457820, 13.7509750, 16.2293950, 31.5867510, 127.1280500, 715.4808900, 4339.6790000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.5179597, 8.7237426, 9.9806856, 17.7369730, 65.5542610, 360.8505800, 2178.6047000", \
+					  "8.6203498, 8.8165049, 10.0828820, 17.8399550, 65.6344740, 360.9592300, 2181.2489000", \
+					  "8.6866718, 8.8875183, 10.1497650, 17.9053390, 65.7232210, 361.2619800, 2178.8926000", \
+					  "8.7440331, 8.9432781, 10.2065250, 17.9581420, 65.7709190, 361.2769600, 2179.0295000", \
+					  "8.7906935, 8.9920018, 10.2521970, 18.0083020, 65.7632380, 361.0797100, 2182.6718000", \
+					  "8.8367731, 9.0339389, 10.3013620, 18.0429270, 65.8720580, 361.2516100, 2180.7775000", \
+					  "8.8799466, 9.0884491, 10.3396020, 18.1074530, 65.8239330, 360.7363200, 2180.9064000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.1508660, 13.5621370, 16.0568800, 31.4748410, 127.1950900, 717.2922100, 4354.9157000", \
+					  "13.1552170, 13.5478070, 16.0602980, 31.4887460, 126.8819100, 716.3122300, 4349.1664000", \
+					  "13.1508420, 13.5517050, 16.0638450, 31.4756010, 127.0698700, 717.1440800, 4353.8384000", \
+					  "13.1569220, 13.5460990, 16.0632110, 31.4900320, 127.2147500, 716.8586600, 4354.8798000", \
+					  "13.1514640, 13.5458420, 16.0563300, 31.4764390, 127.2207200, 717.2769800, 4349.1141000", \
+					  "13.1428860, 13.5561220, 16.0577840, 31.4693840, 127.0227000, 717.1628900, 4356.1286000", \
+					  "13.1556820, 13.5543530, 16.0611900, 31.5017100, 127.0310000, 715.9181300, 4347.4092000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.2856674, 2.3136557, 2.4839091, 3.5006975, 9.6815009, 23.7617210, 51.8373220", \
+					  "2.3519620, 2.3798885, 2.5500797, 3.5670669, 9.7482271, 23.8282370, 51.9105150", \
+					  "2.3752478, 2.4033036, 2.5734908, 3.5905994, 9.7744302, 23.8452170, 51.9397540", \
+					  "2.3861770, 2.4141387, 2.5846383, 3.6018648, 9.7794741, 23.8411650, 51.9699520", \
+					  "2.3903270, 2.4181439, 2.5888791, 3.6064640, 9.7937409, 23.8692980, 51.9801230", \
+					  "2.3910371, 2.4190783, 2.5892024, 3.6063925, 9.7845616, 23.8672550, 51.9700690", \
+					  "2.3880804, 2.4159263, 2.5871989, 3.6046786, 9.7931636, 23.8649560, 51.9881100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7063108, 1.7576958, 2.0724129, 4.0386001, 11.9973670, 16.9317540, 40.1119810", \
+					  "1.7094495, 1.7568097, 2.0714224, 4.0327399, 11.9942860, 16.9708990, 40.1512460", \
+					  "1.7104059, 1.7560270, 2.0749993, 4.0313988, 11.9909260, 16.9532370, 40.1862400", \
+					  "1.7037147, 1.7542656, 2.0685369, 4.0292689, 11.9906670, 17.0661640, 40.1845060", \
+					  "1.7045720, 1.7557226, 2.0695607, 4.0247063, 11.9905760, 17.0399210, 40.2194830", \
+					  "1.7027266, 1.7527346, 2.0692907, 4.0291011, 11.9896240, 17.0927110, 40.2068740", \
+					  "1.7019290, 1.7553775, 2.0675526, 4.0297068, 11.9892070, 17.1127020, 40.2057270");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.5101496, 2.5405451, 2.7220337, 3.8137231, 9.9178630, 21.7428170, 43.1560070", \
+					  "2.6129308, 2.6412983, 2.8222791, 3.9129948, 10.0135930, 21.8399790, 43.2567260", \
+					  "2.6807094, 2.7091593, 2.8902247, 3.9812535, 10.0803520, 21.9074680, 43.3234890", \
+					  "2.7365610, 2.7650719, 2.9461318, 4.0371368, 10.1361940, 21.9654980, 43.3804030", \
+					  "2.7856761, 2.8145424, 2.9959617, 4.0864401, 10.1835170, 22.0157870, 43.4301590", \
+					  "2.8325751, 2.8614007, 3.0429583, 4.1335739, 10.2323980, 22.0648480, 43.4736260", \
+					  "2.8779661, 2.9077087, 3.0888532, 4.1786974, 10.2787000, 22.1078300, 43.5205220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7581929, 1.8118741, 2.1439259, 4.1986477, 11.5562250, 13.9142570, 26.8809720", \
+					  "1.7590660, 1.8139337, 2.1446405, 4.1970023, 11.5549190, 13.9598730, 26.8821850", \
+					  "1.7584503, 1.8137687, 2.1451374, 4.1935668, 11.5599020, 13.9572830, 26.9141760", \
+					  "1.7593094, 1.8134502, 2.1453217, 4.1931507, 11.5582670, 13.9099500, 26.8963250", \
+					  "1.7613664, 1.8124970, 2.1427786, 4.1977946, 11.5576680, 13.9118480, 26.8899870", \
+					  "1.7611879, 1.8122721, 2.1429498, 4.1984949, 11.5512620, 13.9179800, 26.8973430", \
+					  "1.7600436, 1.8140437, 2.1395583, 4.1974699, 11.5555240, 13.9190090, 26.8746060");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("8.3868956, 8.5938936, 9.8634700, 17.7009780, 65.7843910, 360.1757300, 2173.2726000", \
+					  "8.4563370, 8.6637915, 9.9340447, 17.7750580, 65.8843580, 360.2139300, 2173.3433000", \
+					  "8.4824139, 8.6915861, 9.9604094, 17.8084110, 65.9167090, 360.2576200, 2173.3738000", \
+					  "8.5037248, 8.7086658, 9.9874846, 17.8316610, 66.0273970, 360.6966700, 2173.4211000", \
+					  "8.5009297, 8.7054550, 9.9903605, 17.8055530, 65.8601560, 360.8616900, 2174.2003000", \
+					  "8.5086640, 8.7158254, 9.9847464, 17.8352940, 65.8511820, 360.8561200, 2175.1923000", \
+					  "8.4987359, 8.7029566, 9.9698729, 17.8093010, 65.8436220, 360.8358800, 2174.7444000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("13.4065860, 13.8089310, 16.2997810, 31.7523820, 127.0126500, 714.9387200, 4341.1646000", \
+					  "13.3743360, 13.7830120, 16.2612750, 31.6612760, 126.8797700, 714.9752700, 4341.1546000", \
+					  "13.3681030, 13.7618640, 16.2527040, 31.6187680, 127.1282500, 714.9810100, 4341.1492000", \
+					  "13.3257880, 13.7564570, 16.2236430, 31.6174860, 127.1823700, 714.5080200, 4341.1196000", \
+					  "13.3574900, 13.7601700, 16.2450320, 31.6170060, 127.0874000, 715.5857100, 4344.3465000", \
+					  "13.3469820, 13.7491350, 16.2448920, 31.5933060, 127.0138100, 715.5623500, 4338.5758000", \
+					  "13.3575710, 13.7596600, 16.2276790, 31.6108210, 127.0821700, 715.4768600, 4340.8713000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("2.4605524, 2.4893549, 2.6648155, 3.7356958, 9.7938013, 21.7067450, 43.1350990", \
+					  "2.5645137, 2.5928186, 2.7680616, 3.8315497, 9.8923563, 21.8027800, 43.2368130", \
+					  "2.6321343, 2.6604148, 2.8363782, 3.8991752, 9.9602619, 21.8711270, 43.3042400", \
+					  "2.6878189, 2.7160896, 2.8919820, 3.9548467, 10.0155470, 21.9267040, 43.3597580", \
+					  "2.7362022, 2.7652467, 2.9407954, 4.0044670, 10.0644580, 21.9770480, 43.4052440", \
+					  "2.7835132, 2.8118048, 2.9876350, 4.0501331, 10.1122650, 22.0242150, 43.4544280", \
+					  "2.8286833, 2.8564451, 3.0313301, 4.1010053, 10.1571670, 22.0700540, 43.5024920");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05074, 1.1024, 1.42103, 3.38594, 15.5032, 90.2279, 551.041");
+					values("1.7594518, 1.8153711, 2.1459061, 4.1951971, 11.6043160, 13.9653210, 26.8607640", \
+					  "1.7616860, 1.8146551, 2.1472266, 4.1995252, 11.6049320, 13.9912160, 26.9054200", \
+					  "1.7614533, 1.8148461, 2.1472257, 4.1995087, 11.6043250, 13.9894270, 26.9036950", \
+					  "1.7614300, 1.8148627, 2.1472266, 4.1995349, 11.6040910, 13.9925430, 26.9027840", \
+					  "1.7631930, 1.8166247, 2.1474098, 4.1961035, 11.6029980, 13.9618240, 26.9190180", \
+					  "1.7615185, 1.8148101, 2.1472182, 4.1994368, 11.5987580, 14.0041720, 26.9251060", \
+					  "1.7617179, 1.8153111, 2.1452105, 4.2003596, 11.5986470, 13.9708920, 26.9255460");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.1737330, -9.2863894, -9.3990458, -9.3922269, -9.3856808, -9.3788619, -9.3720431");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.4726241, 9.5650059, 9.6573877, 9.6508731, 9.6446191, 9.6381044, 9.6315898");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141255;
+			capacitance : 0.140795;
+			fall_capacitance : 0.140336;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3671943, -0.3645276, -0.3618609, -0.3622296, -0.3625836, -0.3629523, -0.3633210");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3683677, 0.3652009, 0.3620342, 0.3617445, 0.3614663, 0.3611766, 0.3608869");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133914;
+			capacitance : 0.133693;
+			fall_capacitance : 0.133473;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3683791, -0.3638686, -0.3593581, -0.3591852, -0.3590192, -0.3588463, -0.3586734");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3676195, 0.3638811, 0.3601427, 0.3607328, 0.3612993, 0.3618895, 0.3624796");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50.lib
new file mode 100644
index 0000000..1e66cd0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50.lib
@@ -0,0 +1,8828 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.098300e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.1238000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.9740000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5238000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.4570000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.7512000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.1728000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7790000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2300000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.8790000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.8300000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.9600000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.3380000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7390000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2290000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.5810000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.6321000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5232000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.8750000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006731;
+			capacitance : 0.006574;
+			fall_capacitance : 0.006416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2797057, 0.2073874, 0.1686132, 0.1558140, 0.1484101, 0.1493457, 0.1594347", \
+					  "0.3380255, 0.2547905, 0.2330458, 0.2248363, 0.2098030, 0.2076869, 0.2101464", \
+					  "0.3453534, 0.2513539, 0.2341908, 0.2183314, 0.2139793, 0.1969892, 0.2004392", \
+					  "0.3272498, 0.2579696, 0.2222822, 0.1967786, 0.1929238, 0.1831488, 0.1918796", \
+					  "0.3077221, 0.2385118, 0.1966808, 0.1899552, 0.1802983, 0.1590729, 0.1661101", \
+					  "0.2951138, 0.1755906, 0.1736407, 0.1468293, 0.1423223, 0.1342576, 0.1412947", \
+					  "0.2603253, 0.1803924, 0.1500568, 0.1403721, 0.1229476, 0.1366462, 0.1376303");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820966, 0.0456109, -0.0503554, -0.1385299, -0.2114478, -0.2649321, -0.3108593", \
+					  "0.2434682, 0.1124715, 0.0027470, -0.0826316, -0.1605167, -0.2348029, -0.2992809", \
+					  "0.2401150, 0.1122483, 0.0135726, -0.0822834, -0.1573234, -0.2383244, -0.3003214", \
+					  "0.2403218, 0.1033998, 0.0031176, -0.0856102, -0.1702354, -0.2444225, -0.3033224", \
+					  "0.2207941, 0.0686133, -0.0221691, -0.0934872, -0.1836595, -0.2727508, -0.3116625", \
+					  "0.1944529, 0.0422720, -0.0277563, -0.1239040, -0.2058302, -0.2792556, -0.3576677", \
+					  "0.1596644, -0.0077754, -0.0594930, -0.1528474, -0.2376014, -0.2759750, -0.3756715");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139648, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0141210, 0.0017301", \
+					  "-0.1738101, -0.0970234, -0.0632206, -0.0529159, -0.0335661, -0.0373661, -0.0382997", \
+					  "-0.1567826, -0.1070228, -0.0771028, -0.0735835, -0.0704956, -0.0400165, -0.0485796", \
+					  "-0.1630344, -0.0951226, -0.0731150, -0.0448581, -0.0590268, -0.0357456, -0.0443183", \
+					  "-0.1404549, -0.0633878, -0.0249704, -0.0240826, -0.0208681, -0.0159349, -0.0251532", \
+					  "-0.1125877, -0.0370466, 0.0015370, 0.0003557, -0.0024108, -0.0007521, 0.0144875", \
+					  "-0.0793252, 0.0130007, 0.0286960, 0.0346973, -0.0297926, 0.0251892, 0.0419119");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1674165, -0.0339807, 0.0610238, 0.1518831, 0.2201501, 0.2732763, 0.3172938", \
+					  "-0.2303135, -0.1036538, 0.0028757, 0.0926297, 0.1705813, 0.2403439, 0.3092217", \
+					  "-0.2224412, -0.1020820, -0.0022008, 0.0923702, 0.1606989, 0.2455697, 0.3064858", \
+					  "-0.2271671, -0.0917076, 0.0009946, 0.0988995, 0.1772871, 0.2530209, 0.3101527", \
+					  "-0.2030617, -0.0584470, 0.0325438, 0.1103314, 0.1907113, 0.2828543, 0.3292229", \
+					  "-0.1782464, -0.0321060, 0.0394645, 0.1411577, 0.2164024, 0.2905625, 0.3677712", \
+					  "-0.1434579, 0.0194671, 0.0712012, 0.1659160, 0.2473170, 0.3470357, 0.3720421");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426662, 0.0420959, 0.0415257, 0.0416784, 0.0418249, 0.0419776, 0.0421303");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540832, 0.0533472, 0.0526111, 0.0530917, 0.0535531, 0.0540337, 0.0545143");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010592";
+				miller_cap_rise : "0.000882648";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90882e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87963e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88772e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89208e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.8978e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90966e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18654e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07574e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353497, 0.0656624, 0.1031316, 0.1459545, 0.2141032");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012708, 0.1316759, 0.1689743, 0.2117709, 0.2795798");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189855, 0.0300421, 0.0415633, 0.0543003, 0.0709668");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765025, 0.0933881, 0.1057908, 0.1184081, 0.1344163");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18862");
+						index_3("0.001");
+						index_4("2.0673775, 2.1760463, 2.3235424, 2.5258622, 2.6168643");
+						values("0.381847, 0.610956, 0.763694, 0.610956, 0.381847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41369");
+						index_3("0.001");
+						index_4("1.1907153, 1.2837009, 1.4357537, 1.5619640, 1.6354618");
+						values("0.459937, 0.7359, 0.919875, 0.7359, 0.459937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62684");
+						index_3("0.001");
+						index_4("0.8029656, 0.8800983, 1.0133912, 1.1133657, 1.1701055");
+						values("0.489733, 0.783573, 0.979466, 0.783573, 0.489733");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09431");
+						index_3("0.001");
+						index_4("1.0440512, 1.1001027, 1.1966417, 1.2717979, 1.3201272");
+						values("0.216828, 0.346924, 0.433655, 0.346924, 0.216828");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20685");
+						index_3("0.001");
+						index_4("0.6049622, 0.6495784, 0.7300148, 0.7884044, 0.8261674");
+						values("0.255112, 0.408179, 0.510224, 0.408179, 0.255112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.813419");
+						index_3("0.001");
+						index_4("0.4102094, 0.4483022, 0.5006235, 0.5629844, 0.5925875");
+						values("0.271495, 0.434392, 0.542989, 0.434392, 0.271495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.62054");
+						index_3("0.001");
+						index_4("1.7765214, 1.9197560, 2.1140383, 2.3286901, 2.4331822");
+						values("0.635971, 1.01755, 1.27194, 1.01755, 0.635971");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20481");
+						index_3("0.001");
+						index_4("0.6006478, 0.6554080, 0.7556867, 0.8985473, 0.9849122");
+						values("1.44554, 1.14286, 0.941073, 1.14286, 1.44554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682814");
+						index_3("0.001");
+						index_4("0.3438965, 0.3845658, 0.4607934, 0.5607878, 0.6260253");
+						values("1.41862, 1.09979, 0.88724, 1.09979, 1.41862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452963");
+						index_3("0.001");
+						index_4("0.2298859, 0.2603223, 0.3121291, 0.4003832, 0.4499734");
+						values("1.40751, 1.08202, 0.865021, 1.08202, 1.40751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602403");
+						index_3("0.001");
+						index_4("0.3064390, 0.3356662, 0.3917423, 0.4582382, 0.5151660");
+						values("1.69277, 1.53843, 1.43554, 1.53843, 1.69277");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341407");
+						index_3("0.001");
+						index_4("0.1767181, 0.1975850, 0.2290985, 0.2888024, 0.3327108");
+						values("1.6819, 1.52104, 1.41381, 1.52104, 1.6819");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226481");
+						index_3("0.001");
+						index_4("0.1194429, 0.1359590, 0.1655903, 0.2079155, 0.2423954");
+						values("1.67848, 1.51556, 1.40695, 1.51556, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02422");
+						index_3("0.001");
+						index_4("0.5104897, 0.5715607, 0.6771830, 0.8217028, 0.9166059");
+						values("1.17314, 0.707021, 0.396276, 0.707021, 1.17314");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004656;
+			capacitance : 0.004802;
+			rise_capacitance : 0.004949;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0956636, -0.0126184, 0.0122167, 0.0253194, 0.0205315, 0.0544025, 0.0515230", \
+					  "-0.1524571, -0.0753460, -0.0398489, -0.0137196, -0.0061192, 0.0038327, 0.0013731", \
+					  "-0.1400072, -0.0905160, -0.0605432, -0.0194525, -0.0100892, -0.0079730, -0.0081985", \
+					  "-0.1432073, -0.0740381, -0.0599826, -0.0096794, -0.0350945, 0.0156763, -0.0001965", \
+					  "-0.1267314, -0.0453551, -0.0152587, 0.0119999, 0.0036369, 0.0153844, 0.0435387", \
+					  "-0.0942866, -0.0159621, 0.0183216, -0.0009296, -0.0139744, 0.0343195, 0.0143937", \
+					  "-0.0640758, 0.0325593, 0.0575196, 0.0694052, 0.0136946, 0.0238613, 0.0460526");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1536380, -0.0141442, 0.0861915, 0.1768001, 0.2559033, 0.3243688, 0.3828599", \
+					  "-0.2119573, -0.0768806, 0.0279457, 0.1268926, 0.2077274, 0.2867743, 0.3523253", \
+					  "-0.2056110, -0.0891695, 0.0301418, 0.1210414, 0.1983386, 0.2820722, 0.3526732", \
+					  "-0.2118628, -0.0726917, 0.0260368, 0.1208131, 0.2180872, 0.2967398, 0.3644465", \
+					  "-0.1862316, -0.0424828, 0.0601222, 0.1375832, 0.2242806, 0.3087943, 0.3856804", \
+					  "-0.1568385, -0.0207192, 0.0650105, 0.1647209, 0.2459376, 0.3365406, 0.4165993", \
+					  "-0.1220501, 0.0293281, 0.0967741, 0.1905210, 0.2570549, 0.3561910, 0.4115179");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2751423, 0.1953368, 0.1609839, 0.1375035, 0.1270479, 0.1264576, 0.1334948", \
+					  "0.3349880, 0.2478500, 0.2193863, 0.1971935, 0.1884407, 0.1847987, 0.1781030", \
+					  "0.3423167, 0.2440996, 0.2281245, 0.2014991, 0.1909331, 0.1843974, 0.1766754", \
+					  "0.3226865, 0.2461108, 0.2101923, 0.2014083, 0.1746133, 0.1672585, 0.1658014", \
+					  "0.3031588, 0.2294492, 0.1951994, 0.1655412, 0.1489821, 0.1377106, 0.1310149", \
+					  "0.2890245, 0.1697726, 0.1617092, 0.1407258, 0.1151796, 0.1098435, 0.1016219", \
+					  "0.2557620, 0.1700002, 0.1350172, 0.1212889, 0.1061629, 0.1084850, 0.1124305");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1698619, 0.0333999, -0.0753031, -0.1643081, -0.2433509, -0.3140641, -0.3775050", \
+					  "0.2266528, 0.0916856, -0.0217639, -0.1174522, -0.1976066, -0.2758425, -0.3406959", \
+					  "0.2399436, 0.1010475, -0.0171276, -0.1107081, -0.1909095, -0.2754465, -0.3401224", \
+					  "0.2235065, 0.0891472, -0.0251127, -0.1056249, -0.2051461, -0.2817522, -0.3418707", \
+					  "0.2070306, 0.0589384, -0.0436791, -0.1249288, -0.2065477, -0.3017425, -0.3563048", \
+					  "0.1684823, 0.0295453, -0.0555679, -0.1459988, -0.2344120, -0.3189285, -0.4003923", \
+					  "0.1459009, -0.0159242, -0.0865553, -0.1959151, -0.2441096, -0.3582945, -0.4153443");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0512305, 0.0505265, 0.0498225, 0.0503222, 0.0508019, 0.0513015, 0.0518012");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0632302, 0.0625772, 0.0619241, 0.0629310, 0.0638976, 0.0649044, 0.0659113");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00104678";
+				miller_cap_rise : "0.000875765";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.3978, 0.626133, 0.573974, 0.551564, 0.524534, 0.509262, 0.492816, 0.475198, 0.456409, 0.436454, 0.415336, 0.393059, 0.369627, 0.345044, 0.319316, 0.292445, 0.264437, 0.235297, 0.205029, 0.173638, 0.141128, 0.107504, 0.0727721, 0.0369357, -4.77919e-09, -0.0733954, -0.144065, -0.368653, -2.24515", \
+					  "3.30797, 0.443653, 0.401603, 0.394245, 0.385388, 0.380135, 0.374109, 0.367073, 0.358759, 0.348945, 0.3375, 0.324366, 0.309524, 0.292969, 0.274705, 0.254737, 0.233076, 0.20973, 0.184709, 0.158023, 0.129683, 0.0997003, 0.0680848, 0.0348477, -5.56766e-09, -0.0703454, -0.139055, -0.362129, -2.24513", \
+					  "3.25246, 0.331791, 0.264789, 0.260834, 0.256432, 0.25401, 0.251401, 0.248559, 0.245423, 0.241904, 0.237869, 0.233107, 0.227285, 0.219955, 0.210721, 0.199382, 0.185871, 0.170173, 0.152293, 0.132243, 0.110038, 0.0856934, 0.0592271, 0.0306567, -1.83793e-09, -0.0635463, -0.127357, -0.34337, -2.24251", \
+					  "3.24035, 0.321826, 0.217099, 0.213912, 0.210431, 0.208548, 0.206549, 0.204407, 0.202091, 0.199557, 0.19674, 0.193546, 0.189822, 0.18531, 0.179569, 0.172038, 0.162324, 0.150275, 0.135852, 0.119055, 0.0998957, 0.0783906, 0.0545594, 0.0284222, -1.84549e-09, -0.0598828, -0.121049, -0.333153, -2.24108", \
+					  "3.23036, 0.330307, 0.169795, 0.16727, 0.164561, 0.163118, 0.161603, 0.160004, 0.158303, 0.156478, 0.154498, 0.152321, 0.149883, 0.147083, 0.143745, 0.139534, 0.133841, 0.126008, 0.115699, 0.102821, 0.0873598, 0.0693266, 0.0487405, 0.0256237, -1.85528e-09, -0.0552877, -0.113149, -0.320409, -2.2393", \
+					  "3.22615, 0.339521, 0.146716, 0.144447, 0.142081, 0.140829, 0.139523, 0.138153, 0.136705, 0.135166, 0.133513, 0.131719, 0.12974, 0.127515, 0.124941, 0.121829, 0.117783, 0.112085, 0.104053, 0.0934017, 0.080063, 0.0640346, 0.0453327, 0.0239795, -1.86939e-09, -0.0525881, -0.108516, -0.312984, -2.23827", \
+					  "3.2225, 0.351817, 0.124803, 0.122212, 0.120166, 0.119092, 0.117976, 0.116812, 0.115591, 0.114303, 0.112933, 0.111462, 0.109862, 0.108095, 0.1061, 0.103773, 0.100908, 0.097031, 0.0912977, 0.0830337, 0.0720086, 0.05818, 0.0415544, 0.0221527, -2.1436e-09, -0.0495898, -0.103378, -0.304806, -2.23712", \
+					  "3.21947, 0.367231, 0.107289, 0.100804, 0.0990509, 0.0981402, 0.097199, 0.0962227, 0.0952055, 0.0941399, 0.0930164, 0.0918222, 0.0905401, 0.0891456, 0.0876027, 0.0858533, 0.0837941, 0.0811979, 0.0774832, 0.0716774, 0.0631474, 0.0517207, 0.037376, 0.0201276, -1.05633e-08, -0.0462684, -0.0976951, -0.295841, -2.23585", \
+					  "3.21715, 0.385817, 0.0998631, 0.0806098, 0.0790005, 0.078242, 0.0774621, 0.0766574, 0.0758241, 0.0749574, 0.0740508, 0.0730964, 0.0720832, 0.0709965, 0.0698148, 0.0685059, 0.0670164, 0.0652446, 0.0629355, 0.0593653, 0.0534458, 0.0446194, 0.0327685, 0.0178885, -2.7078e-07, -0.0425993, -0.0914272, -0.28607, -2.23446", \
+					  "3.21564, 0.407618, 0.102695, 0.0630714, 0.0603188, 0.0597011, 0.0590701, 0.0584226, 0.0577561, 0.0570674, 0.0563528, 0.0556071, 0.0548238, 0.0539942, 0.053106, 0.0521415, 0.0510732, 0.049854, 0.0483858, 0.0463763, 0.0429191, 0.0368453, 0.0277004, 0.0154134, -7.70174e-06, -0.038565, -0.0845415, -0.275492, -2.23294", \
+					  "3.21506, 0.432672, 0.112765, 0.0530833, 0.0433424, 0.0428228, 0.0423234, 0.0418147, 0.0412946, 0.0407609, 0.0402114, 0.0396432, 0.0390525, 0.0384345, 0.0377824, 0.037087, 0.0363345, 0.0355031, 0.0345535, 0.033394, 0.031683, 0.0282916, 0.0220285, 0.0125562, -0.000160028, -0.0342881, -0.0771542, -0.264294, -2.23143", \
+					  "3.21558, 0.461029, 0.128059, 0.0540936, 0.0284139, 0.0275523, 0.0271093, 0.026676, 0.0262401, 0.0257983, 0.0253488, 0.0248896, 0.0244187, 0.0239334, 0.0234303, 0.0229051, 0.0223511, 0.0217589, 0.0211128, 0.0203831, 0.0194881, 0.0180244, 0.0146138, 0.00811885, -0.00168346, -0.0310378, -0.0705868, -0.253971, -2.23113", \
+					  "3.21739, 0.49205, 0.147534, 0.0627619, 0.0160002, 0.0117698, 0.0109425, 0.0103577, 0.00981949, 0.00929814, 0.00878356, 0.00827089, 0.0077571, 0.00723984, 0.00671693, 0.00618599, 0.0056441, 0.00508734, 0.00450993, 0.00390231, 0.00324509, 0.00247274, 0.00111566, -0.00244505, -0.00921078, -0.0335298, -0.0696527, -0.249343, -2.23579", \
+					  "3.22079, 0.527385, 0.17072, 0.0754957, 0.00697762, -0.00721243, -0.0110135, -0.0123998, -0.0133849, -0.0142348, -0.0150199, -0.015768, -0.0164929, -0.0172026, -0.0179021, -0.0185951, -0.0192843, -0.0199724, -0.0206621, -0.0213566, -0.0220609, -0.0227852, -0.0235742, -0.0249577, -0.0287092, -0.0475692, -0.0799983, -0.255147, -2.24868", \
+					  "3.22614, 0.566665, 0.197386, 0.0908521, 0.00203756, -0.0247745, -0.0368637, -0.0407533, -0.0427472, -0.0442116, -0.0454488, -0.046563, -0.0476013, -0.0485885, -0.0495392, -0.050463, -0.0513661, -0.0522531, -0.0531277, -0.0539928, -0.0548512, -0.055706, -0.0565625, -0.0574522, -0.0590376, -0.0719442, -0.100155, -0.269267, -2.26786", \
+					  "3.23332, 0.609061, 0.22627, 0.107645, 0.000402299, -0.0383733, -0.0616205, -0.0711096, -0.0750591, -0.0775195, -0.0794142, -0.0810253, -0.0824691, -0.0838038, -0.0850622, -0.0862644, -0.0874239, -0.0885498, -0.0896489, -0.0907263, -0.0917864, -0.0928328, -0.0938693, -0.0949009, -0.0960046, -0.103258, -0.12652, -0.288144, -2.2905", \
+					  "3.24145, 0.652255, 0.254836, 0.123934, 6.6148e-05, -0.0494431, -0.0838513, -0.101999, -0.109393, -0.113365, -0.116156, -0.118398, -0.120334, -0.122075, -0.123685, -0.125198, -0.12664, -0.128026, -0.129367, -0.130672, -0.131948, -0.1332, -0.134434, -0.135656, -0.136875, -0.140654, -0.157624, -0.310058, -2.31517", \
+					  "3.24997, 0.694706, 0.281525, 0.138801, 8.2889e-06, -0.0590173, -0.103657, -0.13196, -0.144824, -0.151021, -0.154994, -0.15801, -0.160517, -0.162715, -0.164705, -0.166549, -0.168284, -0.169935, -0.17152, -0.173052, -0.17454, -0.175993, -0.177418, -0.178822, -0.180212, -0.183175, -0.193271, -0.334245, -2.34112", \
+					  "3.25875, 0.735828, 0.305809, 0.152141, 5.61046e-07, -0.0674282, -0.121237, -0.159658, -0.180098, -0.189536, -0.195069, -0.199031, -0.202201, -0.204906, -0.207309, -0.209502, -0.211541, -0.213463, -0.215292, -0.217047, -0.218743, -0.22039, -0.221998, -0.223575, -0.225131, -0.228237, -0.233623, -0.360298, -2.3679", \
+					  "3.26775, 0.775432, 0.327609, 0.164045, 2.3701e-08, -0.0748346, -0.136804, -0.184528, -0.21401, -0.228052, -0.235665, -0.240796, -0.244741, -0.248018, -0.250872, -0.253437, -0.255794, -0.257993, -0.260071, -0.262051, -0.263953, -0.26579, -0.267576, -0.26932, -0.271034, -0.274415, -0.278206, -0.388, -2.39522", \
+					  "3.27698, 0.813521, 0.347048, 0.174637, 2.37072e-09, -0.081353, -0.150561, -0.206586, -0.245538, -0.2658, -0.276209, -0.282802, -0.287666, -0.291592, -0.294944, -0.297911, -0.300605, -0.303095, -0.305428, -0.307637, -0.309746, -0.311774, -0.313735, -0.315643, -0.317511, -0.321174, -0.324883, -0.417262, -2.42289", \
+					  "3.28646, 0.850166, 0.364326, 0.184037, 1.6586e-09, -0.0870838, -0.162701, -0.226067, -0.274035, -0.302034, -0.316205, -0.324656, -0.330621, -0.335296, -0.339204, -0.342609, -0.345664, -0.348461, -0.35106, -0.353505, -0.355826, -0.358046, -0.360184, -0.362255, -0.364275, -0.368215, -0.372128, -0.448105, -2.45079", \
+					  "3.2962, 0.885425, 0.379654, 0.192361, 1.63169e-09, -0.0921161, -0.1734, -0.243248, -0.299318, -0.336022, -0.355184, -0.366025, -0.373332, -0.378879, -0.383413, -0.387302, -0.390747, -0.39387, -0.39675, -0.399441, -0.401981, -0.404398, -0.406715, -0.408951, -0.411122, -0.415337, -0.41949, -0.48066, -2.47881", \
+					  "3.30618, 0.919293, 0.393226, 0.199713, 1.63576e-09, -0.0965287, -0.182815, -0.258386, -0.321551, -0.367122, -0.392654, -0.406603, -0.415564, -0.42214, -0.427391, -0.431817, -0.435688, -0.439162, -0.44234, -0.445289, -0.448056, -0.450677, -0.453178, -0.455582, -0.457907, -0.462396, -0.46679, -0.515182, -2.50692", \
+					  "3.31642, 0.951687, 0.40522, 0.206188, 1.65276e-09, -0.100391, -0.191088, -0.271713, -0.341048, -0.394929, -0.428081, -0.446073, -0.457108, -0.464914, -0.47099, -0.47602, -0.48036, -0.484215, -0.487711, -0.490933, -0.493939, -0.496772, -0.499463, -0.502039, -0.504521, -0.509287, -0.513921, -0.552072, -2.53508", \
+					  "3.33756, 1.0113, 0.425082, 0.216844, 1.73744e-09, -0.106705, -0.204696, -0.293717, -0.373115, -0.440685, -0.490624, -0.520236, -0.537258, -0.548388, -0.556567, -0.563069, -0.568513, -0.57324, -0.577452, -0.581278, -0.584804, -0.588093, -0.59119, -0.59413, -0.596942, -0.602281, -0.607397, -0.633948, -2.59155", \
+					  "3.35572, 1.06199, 0.440299, 0.224929, 1.91802e-09, -0.111467, -0.215062, -0.310605, -0.397717, -0.475296, -0.539856, -0.585072, -0.611764, -0.628047, -0.639243, -0.647721, -0.654578, -0.660379, -0.665445, -0.669975, -0.674096, -0.677899, -0.681446, -0.684785, -0.687954, -0.693905, -0.699525, -0.7222, -2.64815", \
+					  "3.38312, 1.14644, 0.465822, 0.238174, 3.45601e-09, -0.1193, -0.232639, -0.339937, -0.441086, -0.535894, -0.623916, -0.703943, -0.772659, -0.824345, -0.857985, -0.879654, -0.894776, -0.906215, -0.915406, -0.923115, -0.929788, -0.935702, -0.941037, -0.945919, -0.950438, -0.958641, -0.966046, -0.987467, -2.81319", \
+					  "3.38276, 1.16682, 0.470113, 0.239816, 1.20713e-08, -0.120757, -0.237483, -0.350149, -0.458728, -0.563189, -0.66349, -0.759569, -0.851311, -0.938482, -1.02054, -1.09616, -1.1624, -1.21507, -1.253, -1.27971, -1.2993, -1.31448, -1.3268, -1.33716, -1.34613, -1.36118, -1.37364, -1.40299, -3.06735");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0403070, 0.0805763, 0.1272700, 0.1821111, 0.2682958");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1062961, 0.1462279, 0.1937819, 0.2475670, 0.3342914");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0193946, 0.0334359, 0.0481780, 0.0641486, 0.0843591");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0784238, 0.0975092, 0.1124350, 0.1284155, 0.1489769");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("5.2841");
+						index_3("0.001");
+						index_4("2.6085269, 2.7468652, 2.9483010, 3.1728306, 3.2966178");
+						values("0.375056, 0.600089, 0.750111, 0.600089, 0.375056");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("3.03828");
+						index_3("0.001");
+						index_4("1.4980082, 1.6161057, 1.8030993, 1.9681103, 2.0542694");
+						values("0.450281, 0.72045, 0.900562, 0.72045, 0.450281");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("2.04474");
+						index_3("0.001");
+						index_4("1.0095740, 1.1066290, 1.2518612, 1.3995836, 1.4683595");
+						values("0.483268, 0.77323, 0.966537, 0.77323, 0.483268");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("2.64205");
+						index_3("0.001");
+						index_4("1.3129154, 1.3841305, 1.4859360, 1.6010300, 1.6681935");
+						values("0.21159, 0.338544, 0.42318, 0.338544, 0.21159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("1.51914");
+						index_3("0.001");
+						index_4("0.7579387, 0.8165128, 0.9178404, 0.9923511, 1.0383401");
+						values("0.250921, 0.401473, 0.501841, 0.401473, 0.250921");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("1.02237");
+						index_3("0.001");
+						index_4("0.5117486, 0.5585003, 0.6508118, 0.7045657, 0.7426731");
+						values("0.263963, 0.42234, 0.527926, 0.42234, 0.263963");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("4.55742");
+						index_3("0.001");
+						index_4("2.2383442, 2.4167013, 2.7084353, 2.9274027, 3.0580776");
+						values("0.627788, 1.00446, 1.25558, 1.00446, 0.627788");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("1.43919");
+						index_3("0.001");
+						index_4("0.7168557, 0.7845056, 0.9057971, 1.0701914, 1.1782966");
+						values("1.46884, 1.18014, 0.98767, 1.18014, 1.46884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.815165");
+						index_3("0.001");
+						index_4("0.4084027, 0.4555233, 0.5485801, 0.6699119, 0.7462743");
+						values("1.44026, 1.13441, 0.930514, 1.13441, 1.44026");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.540546");
+						index_3("0.001");
+						index_4("0.2725486, 0.3099407, 0.3819180, 0.4770077, 0.5393148");
+						values("1.42692, 1.11308, 0.903845, 1.11308, 1.42692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("0.719593");
+						index_3("0.001");
+						index_4("0.3630654, 0.3983444, 0.4769490, 0.5494688, 0.6183565");
+						values("1.70089, 1.55142, 1.45177, 1.55142, 1.70089");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.407583");
+						index_3("0.001");
+						index_4("0.2089114, 0.2332358, 0.2731154, 0.3444691, 0.3986198");
+						values("1.69263, 1.53821, 1.43526, 1.53821, 1.69263");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.270273");
+						index_3("0.001");
+						index_4("0.1403846, 0.1594977, 0.2016276, 0.2479765, 0.2909301");
+						values("1.68964, 1.53342, 1.42928, 1.53342, 1.68964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("1.22275");
+						index_3("0.001");
+						index_4("0.6075333, 0.6802850, 0.8121845, 0.9862958, 1.0990733");
+						values("1.21154, 0.768457, 0.473072, 0.768457, 1.21154");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006308;
+			capacitance : 0.006466;
+			rise_capacitance : 0.006623;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2903870, 0.2167194, 0.1808203, 0.1725987, 0.1621431, 0.1630787, 0.1701159", \
+					  "0.3517586, 0.2625852, 0.2467908, 0.2339915, 0.2186547, 0.2198939, 0.2208276", \
+					  "0.3575614, 0.2625753, 0.2418118, 0.2259608, 0.2226899, 0.2174785, 0.2234780", \
+					  "0.3379311, 0.2691688, 0.2504172, 0.2155865, 0.2097085, 0.2162974, 0.2009380", \
+					  "0.3199293, 0.2460805, 0.2011993, 0.1991105, 0.1832588, 0.1728058, 0.1813689", \
+					  "0.3057951, 0.1849902, 0.1816046, 0.1762141, 0.1707501, 0.1534140, 0.1504500", \
+					  "0.2710067, 0.1881843, 0.1584799, 0.1505920, 0.1290511, 0.1425583, 0.1463680");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1881971, 0.0486578, -0.0519591, -0.1405817, -0.2074576, -0.2689656, -0.3167061", \
+					  "0.2465157, 0.1158852, 0.0041492, -0.0826167, -0.1627522, -0.2341162, -0.3025321", \
+					  "0.2349616, 0.1155727, 0.0154575, -0.0803943, -0.1538625, -0.2275072, -0.2976703", \
+					  "0.2403175, 0.1021466, -0.0008618, -0.0766517, -0.1672905, -0.2394902, -0.3087470", \
+					  "0.2238416, 0.0749895, -0.0233499, -0.1004942, -0.1757952, -0.2513885, -0.3287768", \
+					  "0.1868192, 0.0455965, -0.0274578, -0.1293632, -0.2061640, -0.2444446, -0.3530900", \
+					  "0.1688154, -0.0013992, -0.0576972, -0.1522858, -0.2306626, -0.3064147, -0.3588868");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1124389, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0125950, 0.0032560", \
+					  "-0.1753359, -0.1037286, -0.0692222, -0.0529159, -0.0380022, -0.0405197, -0.0428774", \
+					  "-0.1567825, -0.1085488, -0.0785950, -0.0811010, -0.0751202, -0.0415424, -0.0531572", \
+					  "-0.1615085, -0.0935969, -0.0731147, -0.0463695, -0.0590268, -0.0357456, -0.0459212", \
+					  "-0.1435067, -0.0618622, -0.0280285, -0.0270542, -0.0237812, -0.0159349, -0.0266130", \
+					  "-0.1156395, -0.0355209, -0.0030407, 0.0003558, -0.0024108, -0.0007527, 0.0144875", \
+					  "-0.0823769, 0.0145264, 0.0271701, 0.0346976, -0.0313320, 0.0251892, 0.0389393");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1689424, -0.0324548, 0.0623747, 0.1503575, 0.2201747, 0.2702665, 0.3189309", \
+					  "-0.2272617, -0.1036536, 0.0044016, 0.0900175, 0.1720989, 0.2433956, 0.3107109", \
+					  "-0.2209154, -0.1020824, -0.0022010, 0.0838623, 0.1653869, 0.2471653, 0.3150773", \
+					  "-0.2241153, -0.0917080, 0.0009943, 0.0988993, 0.1757612, 0.2530206, 0.3194287", \
+					  "-0.2030618, -0.0614991, 0.0356672, 0.1103316, 0.1907113, 0.2828543, 0.3250959", \
+					  "-0.1751947, -0.0336320, 0.0394645, 0.1411576, 0.2193612, 0.2954627, 0.3586159", \
+					  "-0.1388804, 0.0179414, 0.0712011, 0.1733526, 0.2444388, 0.2848722, 0.3857750");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426802, 0.0421167, 0.0415531, 0.0417052, 0.0418512, 0.0420033, 0.0421554");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539543, 0.0532218, 0.0524894, 0.0529691, 0.0534296, 0.0539093, 0.0543890");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105934";
+				miller_cap_rise : "0.000882978";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90897e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87978e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88788e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89223e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89796e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90983e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18671e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07576e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0352969, 0.0655231, 0.1028879, 0.1456022, 0.2135841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012179, 0.1315366, 0.1687247, 0.2114251, 0.2790374");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189672, 0.0299946, 0.0414811, 0.0541775, 0.0708563");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764691, 0.0933270, 0.1057129, 0.1182985, 0.1342493");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.1779");
+						index_3("0.001");
+						index_4("2.0620479, 2.1703718, 2.3170598, 2.5193980, 2.6102197");
+						values("0.381848, 0.610956, 0.763695, 0.610956, 0.381848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.40751");
+						index_3("0.001");
+						index_4("1.1876642, 1.2803916, 1.4317039, 1.5580779, 1.6312577");
+						values("0.460039, 0.736062, 0.920078, 0.736062, 0.460039");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62267");
+						index_3("0.001");
+						index_4("0.8009090, 0.8778644, 1.0105402, 1.1105243, 1.1670995");
+						values("0.489879, 0.783806, 0.979758, 0.783806, 0.489879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08895");
+						index_3("0.001");
+						index_4("1.0414090, 1.0973015, 1.1934204, 1.2685499, 1.3167395");
+						values("0.216934, 0.347095, 0.433869, 0.347095, 0.216934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20376");
+						index_3("0.001");
+						index_4("0.6034180, 0.6478973, 0.7283285, 0.7863906, 0.8240470");
+						values("0.255174, 0.408279, 0.510349, 0.408279, 0.255174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811337");
+						index_3("0.001");
+						index_4("0.4091721, 0.4471613, 0.4992892, 0.5615693, 0.5910826");
+						values("0.271598, 0.434557, 0.543196, 0.434557, 0.271598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.61127");
+						index_3("0.001");
+						index_4("1.7719474, 1.9148132, 2.1083912, 2.3227595, 2.4269738");
+						values("0.636041, 1.01766, 1.27208, 1.01766, 0.636041");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20192");
+						index_3("0.001");
+						index_4("0.5992199, 0.6538445, 0.7539387, 0.8963955, 0.9825528");
+						values("1.44543, 1.14269, 0.940865, 1.14269, 1.44543");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681179");
+						index_3("0.001");
+						index_4("0.3430819, 0.3836299, 0.4594002, 0.5594650, 0.6244336");
+						values("1.41855, 1.09968, 0.887097, 1.09968, 1.41855");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451878");
+						index_3("0.001");
+						index_4("0.2293441, 0.2596996, 0.3113658, 0.3994348, 0.4488984");
+						values("1.40745, 1.08191, 0.864893, 1.08191, 1.40745");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.60096");
+						index_3("0.001");
+						index_4("0.3057223, 0.3348752, 0.3906650, 0.4571452, 0.5139293");
+						values("1.69273, 1.53837, 1.43547, 1.53837, 1.69273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340589");
+						index_3("0.001");
+						index_4("0.1763153, 0.1971332, 0.2286381, 0.2881041, 0.3319198");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225939");
+						index_3("0.001");
+						index_4("0.1191702, 0.1356396, 0.1651558, 0.2074370, 0.2418253");
+						values("1.67848, 1.51557, 1.40697, 1.51557, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02177");
+						index_3("0.001");
+						index_4("0.5092793, 0.5702216, 0.6753410, 0.8197380, 0.9143627");
+						values("1.17297, 0.706758, 0.395947, 0.706758, 1.17297");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028484;
+			capacitance : 0.028428;
+			fall_capacitance : 0.028373;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0546577, 0.0614792, 0.1776162, 0.3267601, 0.4699383, 0.6190822, 0.7682261");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3198161, 0.4472738, 0.5747315, 0.7260719, 0.8713586, 1.0226989, 1.1740393");
+				}
+			}
+			/* Copied from enable_vswitch_h of gpio_ovtv3. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032530;
+			capacitance : 0.032824;
+			rise_capacitance : 0.033119;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1512753, 0.1519221, 0.1525690, 0.1554335, 0.1581834, 0.1610478, 0.1639123");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1459064, 0.1479861, 0.1500657, 0.1550634, 0.1598612, 0.1648588, 0.1698565");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000855551";
+				miller_cap_rise : "0.000651733";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("1.90843, 0.611514, 0.562003, 0.537685, 0.509056, 0.493134, 0.476152, 0.458117, 0.43904, 0.41893, 0.397797, 0.375652, 0.352504, 0.328362, 0.303238, 0.277139, 0.250077, 0.222061, 0.193099, 0.163202, 0.132379, 0.100638, 0.0679882, 0.0344394, -1.09139e-08, -0.0684885, -0.134721, -0.338268, -1.56863", \
+					  "1.83259, 0.418022, 0.398824, 0.391015, 0.381464, 0.375715, 0.369056, 0.36124, 0.352051, 0.341353, 0.329092, 0.315256, 0.299852, 0.282897, 0.26441, 0.244413, 0.222927, 0.199973, 0.175574, 0.149751, 0.122524, 0.0939156, 0.0639453, 0.0326336, -1.09139e-08, -0.0657957, -0.130223, -0.33246, -1.56852", \
+					  "1.7826, 0.288011, 0.263787, 0.259744, 0.255223, 0.252724, 0.25002, 0.247059, 0.243771, 0.240052, 0.235742, 0.230593, 0.224229, 0.216231, 0.206326, 0.194414, 0.180484, 0.164558, 0.146665, 0.126841, 0.105122, 0.0815427, 0.0561395, 0.0289472, -7.27596e-09, -0.059761, -0.119735, -0.315686, -1.56643", \
+					  "1.76983, 0.272589, 0.216434, 0.213201, 0.209658, 0.207737, 0.205691, 0.203492, 0.201106, 0.198483, 0.195549, 0.192196, 0.188244, 0.183387, 0.177145, 0.169026, 0.158773, 0.146321, 0.13168, 0.114883, 0.0959695, 0.074982, 0.0519633, 0.0269555, -7.27596e-09, -0.0564815, -0.114045, -0.306407, -1.56529", \
+					  "1.75873, 0.280679, 0.169379, 0.166835, 0.164097, 0.162636, 0.1611, 0.159476, 0.157745, 0.155882, 0.153855, 0.151617, 0.149096, 0.146178, 0.14266, 0.138148, 0.13201, 0.123706, 0.113028, 0.0999515, 0.0845068, 0.0667392, 0.0466986, 0.0244355, -7.27596e-09, -0.0523399, -0.106885, -0.294666, -1.56388", \
+					  "1.75377, 0.290033, 0.146366, 0.144117, 0.141733, 0.14047, 0.139151, 0.137764, 0.136298, 0.134735, 0.133054, 0.131222, 0.129195, 0.126904, 0.124232, 0.120962, 0.116638, 0.110534, 0.102105, 0.0911816, 0.0777585, 0.0618759, 0.0435854, 0.0229418, -7.27596e-09, -0.049892, -0.102667, -0.287731, -1.56306", \
+					  "1.74926, 0.301994, 0.124204, 0.12197, 0.119914, 0.118832, 0.117708, 0.116534, 0.115302, 0.114, 0.112613, 0.11112, 0.109493, 0.10769, 0.105643, 0.103238, 0.100239, 0.0961059, 0.0900146, 0.0814368, 0.0702443, 0.0564515, 0.0401076, 0.0212706, -7.27596e-09, -0.0471605, -0.0979731, -0.280006, -1.56215", \
+					  "1.74525, 0.316732, 0.105175, 0.100629, 0.0988739, 0.0979592, 0.0970134, 0.0960317, 0.095008, 0.0939346, 0.0928016, 0.0915956, 0.0902986, 0.0888848, 0.0873156, 0.0855287, 0.0834098, 0.0806999, 0.076755, 0.0706499, 0.0618975, 0.0504134, 0.0362294, 0.0194037, -1.45519e-08, -0.0441188, -0.0927621, -0.271428, -1.56114", \
+					  "1.74183, 0.333833, 0.095093, 0.0804299, 0.0788828, 0.0781223, 0.0773399, 0.0765325, 0.0756959, 0.0748251, 0.0739137, 0.0729532, 0.0719326, 0.0708363, 0.0696419, 0.0683156, 0.0668004, 0.0649851, 0.0625821, 0.0588114, 0.0526612, 0.0437091, 0.0319135, 0.0173218, -2.54659e-07, -0.0407395, -0.0869908, -0.261939, -1.56005", \
+					  "1.73909, 0.353048, 0.0953258, 0.0625188, 0.0602451, 0.0596271, 0.0589951, 0.0583463, 0.0576783, 0.0569879, 0.0562711, 0.0555227, 0.054736, 0.0539022, 0.0530084, 0.0520365, 0.0509577, 0.0497225, 0.0482246, 0.0461398, 0.0425182, 0.0362898, 0.0271179, 0.0149987, -7.2032e-06, -0.0370003, -0.0806221, -0.251498, -1.55885", \
+					  "1.73714, 0.374115, 0.10268, 0.0513497, 0.043291, 0.042782, 0.0422827, 0.0417738, 0.0412533, 0.0407192, 0.0401691, 0.0396001, 0.0390083, 0.0383888, 0.0377349, 0.0370369, 0.0362808, 0.0354442, 0.034486, 0.0333084, 0.0315401, 0.0280283, 0.0216909, 0.0122884, -0.000151096, -0.0330088, -0.073755, -0.240234, -1.55764", \
+					  "1.73612, 0.396826, 0.114905, 0.0507709, 0.0283083, 0.0275416, 0.0271023, 0.0266707, 0.0262362, 0.0257957, 0.0253474, 0.0248893, 0.0244193, 0.0239349, 0.0234326, 0.0229078, 0.0223542, 0.0217619, 0.021115, 0.0203829, 0.0194803, 0.0179808, 0.0145071, 0.0080247, -0.00160952, -0.0299599, -0.0676199, -0.229517, -1.55721", \
+					  "1.73623, 0.421175, 0.130846, 0.0574215, 0.0157346, 0.0118222, 0.0110214, 0.0104443, 0.00991151, 0.00939475, 0.00888439, 0.00837573, 0.00786581, 0.00735233, 0.00683313, 0.00630585, 0.00576759, 0.00521443, 0.00464059, 0.00403652, 0.00338278, 0.00261352, 0.00125864, -0.00227103, -0.00890847, -0.0323831, -0.0667881, -0.223955, -1.56007", \
+					  "1.7377, 0.447491, 0.149892, 0.0674831, 0.00670523, -0.00687299, -0.0107257, -0.0121166, -0.0130974, -0.0139418, -0.0147212, -0.0154637, -0.016183, -0.0168872, -0.0175813, -0.0182688, -0.0189526, -0.0196353, -0.0203195, -0.0210084, -0.0217068, -0.0224245, -0.0232018, -0.024513, -0.0280793, -0.0460294, -0.0767767, -0.228261, -1.56854", \
+					  "1.74087, 0.476325, 0.171592, 0.0795282, 0.00191361, -0.023308, -0.0358754, -0.0400925, -0.0421588, -0.0436449, -0.0448891, -0.0460046, -0.0470415, -0.0480258, -0.0489728, -0.0498923, -0.0507908, -0.0516729, -0.0525424, -0.0534022, -0.0542551, -0.0551041, -0.0559538, -0.056827, -0.0582344, -0.0699742, -0.0963599, -0.240584, -1.58141", \
+					  "1.74565, 0.507353, 0.194521, 0.0925447, 0.000370566, -0.0351102, -0.058593, -0.0694993, -0.073927, -0.0765384, -0.0784966, -0.080139, -0.0815997, -0.0829435, -0.0842065, -0.0854106, -0.0865701, -0.0876947, -0.0887915, -0.0898659, -0.0909222, -0.0919643, -0.0929957, -0.0940208, -0.0950752, -0.101138, -0.122186, -0.257594, -1.59674", \
+					  "1.75129, 0.538991, 0.216304, 0.104869, 5.99583e-05, -0.0440615, -0.0775021, -0.0980912, -0.107177, -0.111699, -0.114711, -0.117063, -0.119061, -0.120841, -0.122475, -0.124005, -0.125457, -0.12685, -0.128195, -0.129503, -0.130779, -0.13203, -0.133262, -0.134479, -0.135688, -0.138862, -0.153012, -0.27774, -1.61352", \
+					  "1.75732, 0.570273, 0.235789, 0.115785, 7.41355e-06, -0.0513793, -0.0933079, -0.123837, -0.140462, -0.148199, -0.152757, -0.156051, -0.158713, -0.161005, -0.163058, -0.164945, -0.166711, -0.168385, -0.169986, -0.171529, -0.173026, -0.174484, -0.175911, -0.177315, -0.178701, -0.181533, -0.188961, -0.30041, -1.63122", \
+					  "1.76366, 0.600905, 0.252895, 0.125298, 4.96232e-07, -0.0575168, -0.10662, -0.145863, -0.171825, -0.18476, -0.191639, -0.196204, -0.199696, -0.202595, -0.205125, -0.207406, -0.209508, -0.211476, -0.213341, -0.215124, -0.21684, -0.218503, -0.220121, -0.221704, -0.223261, -0.226337, -0.230348, -0.325346, -1.64953", \
+					  "1.77029, 0.630741, 0.267851, 0.133561, 2.07681e-08, -0.0627125, -0.117892, -0.164465, -0.199646, -0.220029, -0.230432, -0.236759, -0.241314, -0.244947, -0.248029, -0.250751, -0.25322, -0.255503, -0.257645, -0.259675, -0.261615, -0.263483, -0.265291, -0.267051, -0.268774, -0.272148, -0.275607, -0.352469, -1.66825", \
+					  "1.77725, 0.659629, 0.280926, 0.140734, 1.32693e-09, -0.0671326, -0.127476, -0.18021, -0.223374, -0.252617, -0.268243, -0.277072, -0.283024, -0.287562, -0.291296, -0.294521, -0.297398, -0.300024, -0.30246, -0.30475, -0.306923, -0.309001, -0.311002, -0.312939, -0.314827, -0.318499, -0.322128, -0.381832, -1.68725", \
+					  "1.78457, 0.687368, 0.292361, 0.146962, 1.43245e-09, -0.0709056, -0.135653, -0.193598, -0.243382, -0.281381, -0.304118, -0.316543, -0.324371, -0.330053, -0.334571, -0.338379, -0.341716, -0.34472, -0.347477, -0.350044, -0.352461, -0.354759, -0.356958, -0.359077, -0.361131, -0.365102, -0.368982, -0.413597, -1.70643", \
+					  "1.79231, 0.713693, 0.302361, 0.152368, 1.40133e-09, -0.0741347, -0.142651, -0.205028, -0.260299, -0.305902, -0.337026, -0.35453, -0.364937, -0.372093, -0.377572, -0.382069, -0.385933, -0.389359, -0.392467, -0.395334, -0.398013, -0.400542, -0.402949, -0.405256, -0.407482, -0.411755, -0.415894, -0.448058, -1.72575", \
+					  "1.80052, 0.738277, 0.3111, 0.15706, 1.39817e-09, -0.0769034, -0.148652, -0.214818, -0.274684, -0.326524, -0.366066, -0.390299, -0.404281, -0.41338, -0.42006, -0.425382, -0.429858, -0.433763, -0.437262, -0.440457, -0.443418, -0.446194, -0.448821, -0.451325, -0.453729, -0.458312, -0.462714, -0.485663, -1.74517", \
+					  "1.80925, 0.760751, 0.31873, 0.161127, 1.40702e-09, -0.0792799, -0.153807, -0.223222, -0.286977, -0.343893, -0.390844, -0.423015, -0.441895, -0.453602, -0.461812, -0.468139, -0.473335, -0.477791, -0.481728, -0.485286, -0.488555, -0.491597, -0.494458, -0.49717, -0.499762, -0.504668, -0.509338, -0.526862, -1.76468", \
+					  "1.82849, 0.798147, 0.331159, 0.16769, 1.46981e-09, -0.0830712, -0.162046, -0.236665, -0.306576, -0.37117, -0.429029, -0.476595, -0.509372, -0.529334, -0.542152, -0.551302, -0.558406, -0.564251, -0.56926, -0.573678, -0.57766, -0.581309, -0.584694, -0.587869, -0.59087, -0.596475, -0.601713, -0.617284, -1.80397", \
+					  "1.85021, 0.82521, 0.340494, 0.172558, 1.61523e-09, -0.085854, -0.168123, -0.24661, -0.32107, -0.391144, -0.456147, -0.514431, -0.562173, -0.595356, -0.61624, -0.630046, -0.640058, -0.647885, -0.654341, -0.659875, -0.664751, -0.669139, -0.673151, -0.676865, -0.68034, -0.686736, -0.692605, -0.70923, -1.84356", \
+					  "1.88348, 0.865817, 0.355699, 0.180309, 2.89038e-09, -0.0902715, -0.177964, -0.262979, -0.345206, -0.42452, -0.50076, -0.573689, -0.64289, -0.707472, -0.765385, -0.812872, -0.847228, -0.870616, -0.887083, -0.899449, -0.909283, -0.917455, -0.924471, -0.930647, -0.936188, -0.945887, -0.954287, -0.975466, -1.95953", \
+					  "1.87887, 0.874781, 0.357913, 0.181172, 1.00903e-08, -0.090971, -0.180217, -0.267695, -0.353362, -0.437174, -0.519081, -0.599032, -0.676966, -0.752814, -0.826483, -0.897842, -0.966667, -1.03255, -1.09463, -1.15121, -1.1996, -1.23767, -1.26609, -1.28737, -1.30387, -1.32831, -1.34624, -1.38293, -2.14046");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0386380, 0.0774858, 0.1242609, 0.1784726, 0.2637188");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1047665, 0.1431079, 0.1903832, 0.2434017, 0.3302853");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0186925, 0.0325560, 0.0472792, 0.0635025, 0.0854210");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0775415, 0.0968992, 0.1117395, 0.1281227, 0.1499168");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("5.21972");
+						index_3("0.001");
+						index_4("2.5723522, 2.7140427, 2.9437388, 3.1454498, 3.2568107");
+						values("0.380758, 0.609213, 0.761516, 0.609213, 0.380758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("2.98718");
+						index_3("0.001");
+						index_4("1.4699309, 1.5867952, 1.7892037, 1.9368050, 2.0224461");
+						values("0.450741, 0.721186, 0.901482, 0.721186, 0.450741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("2.00397");
+						index_3("0.001");
+						index_4("0.9858201, 1.0812306, 1.2639550, 1.3707861, 1.4428887");
+						values("0.479594, 0.76735, 0.959188, 0.76735, 0.479594");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("2.60986");
+						index_3("0.001");
+						index_4("1.2976038, 1.3670617, 1.4672662, 1.5826670, 1.6527881");
+						values("0.217104, 0.347367, 0.434208, 0.347367, 0.217104");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("1.49359");
+						index_3("0.001");
+						index_4("0.7459378, 0.8034018, 0.8896262, 0.9747554, 1.0220642");
+						values("0.255384, 0.408614, 0.510768, 0.408614, 0.255384");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("1.00198");
+						index_3("0.001");
+						index_4("0.5010090, 0.5473467, 0.6296929, 0.6910191, 0.7309120");
+						values("0.272208, 0.435533, 0.544416, 0.435533, 0.272208");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("4.48077");
+						index_3("0.001");
+						index_4("2.1974381, 2.3754435, 2.6377868, 2.8791292, 3.0096060");
+						values("0.628482, 1.00557, 1.25696, 1.00557, 0.628482");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.41555");
+						index_3("0.001");
+						index_4("0.7047213, 0.7701521, 0.8790189, 1.0540883, 1.1569896");
+						values("1.47838, 1.19541, 1.00676, 1.19541, 1.47838");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.800416");
+						index_3("0.001");
+						index_4("0.4002901, 0.4473567, 0.5335182, 0.6568220, 0.7340727");
+						values("1.44599, 1.14359, 0.941982, 1.14359, 1.44599");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.530178");
+						index_3("0.001");
+						index_4("0.2660415, 0.3026688, 0.3731364, 0.4677235, 0.5291988");
+						values("1.42914, 1.11662, 0.908273, 1.11662, 1.42914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.707774");
+						index_3("0.001");
+						index_4("0.3565863, 0.3909566, 0.4542554, 0.5384325, 0.6079411");
+						values("1.70091, 1.55146, 1.45182, 1.55146, 1.70091");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.400208");
+						index_3("0.001");
+						index_4("0.2049228, 0.2288798, 0.2835496, 0.3376822, 0.3911442");
+						values("1.69996, 1.54993, 1.44992, 1.54993, 1.69996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.265089");
+						index_3("0.001");
+						index_4("0.1364989, 0.1559512, 0.1913174, 0.2433943, 0.2856520");
+						values("1.68719, 1.52951, 1.42438, 1.52951, 1.68719");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("1.20062");
+						index_3("0.001");
+						index_4("0.5956411, 0.6667822, 0.8056963, 0.9688685, 1.0767308");
+						values("1.227, 0.793204, 0.504005, 0.793204, 1.227");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051707;
+			capacitance : 0.052126;
+			rise_capacitance : 0.052544;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0196528, -0.0197741, -0.0198954, -0.0198871, -0.0198792, -0.0198709, -0.0198626");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0202093, 0.0201009, 0.0199926, 0.0199895, 0.0199866, 0.0199836, 0.0199806");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00145923";
+				miller_cap_rise : "0.00051885";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.42798, 0.610543, 0.428185, 0.41954, 0.40904, 0.4028, 0.395651, 0.387314, 0.37743, 0.365649, 0.351872, 0.336318, 0.319232, 0.300725, 0.280821, 0.259511, 0.236775, 0.212585, 0.186913, 0.159728, 0.130999, 0.100694, 0.0687815, 0.035228, -9.24485e-09, -0.072621, -0.146786, -0.415699, -2.91428", \
+					  "3.29358, 0.466754, 0.252642, 0.248593, 0.243957, 0.241351, 0.238502, 0.235355, 0.231835, 0.227837, 0.223206, 0.217717, 0.211042, 0.202807, 0.192816, 0.181126, 0.167812, 0.152879, 0.136301, 0.118039, 0.0980543, 0.0763024, 0.0527395, 0.0273207, -6.76313e-09, -0.0577831, -0.118395, -0.348497, -2.90792", \
+					  "3.21905, 0.387586, 0.153276, 0.151001, 0.14847, 0.147084, 0.1456, 0.144, 0.142261, 0.140353, 0.138234, 0.135846, 0.133102, 0.129871, 0.125944, 0.121003, 0.114665, 0.106693, 0.0970078, 0.0855596, 0.072296, 0.0571632, 0.0401068, 0.0210713, -6.61407e-09, -0.0461677, -0.09641, -0.294969, -2.90204", \
+					  "3.19614, 0.363578, 0.122465, 0.120666, 0.118684, 0.117607, 0.116462, 0.115237, 0.113917, 0.112483, 0.110909, 0.10916, 0.107186, 0.104913, 0.102225, 0.0989336, 0.0947295, 0.0892099, 0.0820711, 0.0731764, 0.0624503, 0.0498299, 0.0352549, 0.0186652, -2.79349e-09, -0.0417222, -0.0880501, -0.274687, -2.89988", \
+					  "3.17469, 0.341572, 0.0934303, 0.092054, 0.0905538, 0.0897463, 0.0888931, 0.0879871, 0.087019, 0.0859775, 0.0848473, 0.0836084, 0.0822329, 0.0806808, 0.0788922, 0.0767716, 0.0741571, 0.0707623, 0.066151, 0.0599267, 0.0518923, 0.041952, 0.0300341, 0.0160722, -3.40932e-09, -0.0369473, -0.0791042, -0.253014, -2.89761", \
+					  "3.16463, 0.331477, 0.0797452, 0.0785569, 0.077274, 0.0765867, 0.075863, 0.0750974, 0.0742829, 0.0734108, 0.0724698, 0.071445, 0.070316, 0.0690541, 0.0676174, 0.0659401, 0.0639134, 0.0613401, 0.0578579, 0.0529705, 0.0463327, 0.037796, 0.0272756, 0.0147, -3.53603e-09, -0.0344274, -0.0743969, -0.241616, -2.89642", \
+					  "3.15507, 0.322095, 0.0667416, 0.0657048, 0.0646236, 0.0640472, 0.0634424, 0.0628052, 0.0621302, 0.0614111, 0.0606396, 0.0598047, 0.058892, 0.0578813, 0.0567435, 0.0554347, 0.0538836, 0.0519639, 0.0494274, 0.0458066, 0.0405825, 0.0334893, 0.0244131, 0.0132744, -2.72718e-09, -0.0318142, -0.0695253, -0.229817, -2.89519", \
+					  "3.14609, 0.313529, 0.0546414, 0.0535912, 0.0526957, 0.052221, 0.0517249, 0.0512044, 0.0506555, 0.0500737, 0.0494531, 0.0487859, 0.0480621, 0.0472678, 0.0463834, 0.0453802, 0.0442128, 0.0428038, 0.0410035, 0.0384806, 0.0346458, 0.029029, 0.0214431, 0.0117929, -1.13024e-08, -0.0291039, -0.0644835, -0.217595, -2.89393", \
+					  "3.13777, 0.305888, 0.0441249, 0.0423245, 0.041595, 0.0412132, 0.0408157, 0.0404004, 0.0399647, 0.0395054, 0.0390182, 0.038498, 0.037938, 0.037329, 0.0366582, 0.0359075, 0.0350488, 0.0340363, 0.0327861, 0.0311111, 0.0285448, 0.024415, 0.0183623, 0.0102531, -5.92545e-08, -0.0262927, -0.0592657, -0.204934, -2.89263", \
+					  "3.1302, 0.299272, 0.0359787, 0.0320462, 0.0314381, 0.03114, 0.0308312, 0.0305101, 0.030175, 0.0298236, 0.0294534, 0.0290608, 0.0286415, 0.0281898, 0.0276977, 0.027154, 0.0265423, 0.0258367, 0.0249921, 0.023916, 0.0223543, 0.0196547, 0.0151678, 0.00865145, -3.94392e-07, -0.0233771, -0.0538667, -0.19184, -2.89131", \
+					  "3.12346, 0.293747, 0.0300911, 0.0230656, 0.0223505, 0.0221265, 0.0218964, 0.0216585, 0.0214116, 0.0211544, 0.0208853, 0.020602, 0.0203021, 0.0199821, 0.0196375, 0.0192618, 0.0188459, 0.0183761, 0.0178295, 0.0171634, 0.0162726, 0.0147798, 0.0118559, 0.00698187, -2.71893e-06, -0.0203553, -0.0482839, -0.178373, -2.89", \
+					  "3.11768, 0.289348, 0.0261175, 0.0162068, 0.0144685, 0.0143035, 0.0141414, 0.0139752, 0.013804, 0.013627, 0.0134432, 0.0132516, 0.0130507, 0.0128386, 0.0126132, 0.0123715, 0.0121086, 0.0118176, 0.0114881, 0.0111019, 0.0106208, 0.0099178, 0.00842035, 0.00522509, -1.88618e-05, -0.0172353, -0.0425273, -0.164666, -2.8887", \
+					  "3.11299, 0.286073, 0.0238992, 0.0119615, 0.00797415, 0.00780905, 0.00769616, 0.007585, 0.00747255, 0.00735792, 0.00724048, 0.00711962, 0.00699472, 0.00686542, 0.00673296, 0.00659514, 0.0064482, 0.00628921, 0.00611408, 0.00591623, 0.00568349, 0.00538535, 0.00485903, 0.00329565, -0.000132552, -0.014096, -0.0366843, -0.150956, -2.88748", \
+					  "3.10969, 0.284263, 0.0235449, 0.0100493, 0.00323246, 0.00268649, 0.00253442, 0.00242724, 0.00233106, 0.00223959, 0.00215059, 0.0020632, 0.00197804, 0.00190027, 0.00183436, 0.00177072, 0.00170502, 0.001636, 0.00156244, 0.00148257, 0.0013935, 0.0012894, 0.00115052, 0.000763923, -0.000867302, -0.0114534, -0.0312945, -0.137907, -2.88668", \
+					  "3.10821, 0.284263, 0.0253663, 0.0103948, 0.00075921, -0.00116307, -0.00182405, -0.00210854, -0.00229851, -0.00244976, -0.00257834, -0.00268886, -0.00277818, -0.00283697, -0.00286982, -0.00289421, -0.00291658, -0.00293848, -0.00296051, -0.00298311, -0.00300677, -0.00303225, -0.00306129, -0.00310583, -0.00348496, -0.0105777, -0.0276758, -0.12688, -2.88707", \
+					  "3.10834, 0.285758, 0.0287741, 0.0122998, 9.90841e-05, -0.00360854, -0.00562641, -0.00655271, -0.00704948, -0.00738165, -0.00762852, -0.00781499, -0.00794462, -0.00801726, -0.00805153, -0.00807075, -0.00808443, -0.00809552, -0.00810518, -0.00811395, -0.00812217, -0.00813005, -0.00813784, -0.0081462, -0.00819073, -0.0118834, -0.0262072, -0.118176, -2.8888", \
+					  "3.10908, 0.287732, 0.0324215, 0.0144648, 9.06642e-06, -0.00525854, -0.00888902, -0.0110636, -0.0122593, -0.0129678, -0.0134349, -0.0137535, -0.0139574, -0.0140698, -0.0141273, -0.014161, -0.0141841, -0.0142019, -0.0142164, -0.0142289, -0.0142399, -0.0142499, -0.014259, -0.0142676, -0.0142786, -0.0154695, -0.0264744, -0.111178, -2.89144", \
+					  "3.10992, 0.289724, 0.035772, 0.0164109, 6.75767e-07, -0.00653487, -0.0115518, -0.0151252, -0.017442, -0.0188553, -0.0197269, -0.020275, -0.0206055, -0.0207879, -0.0208884, -0.0209509, -0.020995, -0.021029, -0.0210568, -0.0210805, -0.0211012, -0.0211197, -0.0211366, -0.0211521, -0.0211668, -0.0213805, -0.0282322, -0.105366, -2.89463", \
+					  "3.11073, 0.29164, 0.0387647, 0.0181018, 5.27976e-08, -0.00756754, -0.0136978, -0.0184548, -0.0219377, -0.0243183, -0.0258519, -0.0267984, -0.0273519, -0.0276594, -0.0278371, -0.0279523, -0.0280353, -0.0280998, -0.0281526, -0.0281975, -0.0282367, -0.0282717, -0.0283033, -0.0283324, -0.0283593, -0.0284274, -0.0314666, -0.100294, -2.8981", \
+					  "3.11148, 0.293455, 0.041427, 0.0195705, 1.06868e-08, -0.00841942, -0.015445, -0.0211374, -0.0255743, -0.0288631, -0.0311547, -0.032639, -0.033526, -0.0340339, -0.0343408, -0.0345467, -0.034698, -0.0348169, -0.0349147, -0.034998, -0.0350707, -0.0351355, -0.035194, -0.0352476, -0.0352971, -0.0353882, -0.0362414, -0.0954727, -2.90152", \
+					  "3.11218, 0.295148, 0.0437971, 0.0208521, 7.91304e-09, -0.00913298, -0.0168894, -0.0233232, -0.0284984, -0.0324949, -0.0354147, -0.0373919, -0.0386191, -0.0393534, -0.0398183, -0.0401411, -0.0403839, -0.0405774, -0.0407382, -0.0408761, -0.0409971, -0.0411052, -0.0412031, -0.0412929, -0.041376, -0.0415263, -0.041774, -0.0903877, -2.90453", \
+					  "3.11282, 0.296711, 0.0459112, 0.0219766, 7.72743e-09, -0.00973877, -0.0181015, -0.0251333, -0.0308852, -0.0354168, -0.0388009, -0.0411408, -0.0426257, -0.0435427, -0.0441435, -0.044572, -0.0449005, -0.0451657, -0.0453884, -0.0455807, -0.0457504, -0.0459028, -0.0460413, -0.0461688, -0.046287, -0.0465017, -0.0467071, -0.0848533, -2.90689", \
+					  "3.1134, 0.298143, 0.0478023, 0.0229686, 7.71178e-09, -0.0102592, -0.0191325, -0.0266558, -0.0328676, -0.0378114, -0.0415387, -0.0441356, -0.0458004, -0.0468487, -0.0475506, -0.0480602, -0.0484555, -0.0487778, -0.0490501, -0.0492865, -0.049496, -0.0496846, -0.0498567, -0.0500153, -0.0501628, -0.0504312, -0.0506734, -0.0793118, -2.90861", \
+					  "3.11392, 0.299451, 0.049499, 0.0238486, 7.70794e-09, -0.0107108, -0.0200198, -0.0279537, -0.0345404, -0.0398098, -0.0437977, -0.0465813, -0.0483741, -0.0495175, -0.0502944, -0.050865, -0.0513115, -0.0516776, -0.0519883, -0.0522589, -0.0524994, -0.0527163, -0.0529146, -0.0530976, -0.053268, -0.0535785, -0.0538577, -0.0745893, -2.90986", \
+					  "3.11439, 0.300642, 0.0510263, 0.0246331, 7.70533e-09, -0.0111064, -0.0207912, -0.0290733, -0.0359711, -0.0415037, -0.0456952, -0.0486189, -0.0505064, -0.0517211, -0.0525552, -0.0531731, -0.0536595, -0.05406, -0.054401, -0.0546987, -0.0549636, -0.055203, -0.055422, -0.0556244, -0.0558129, -0.0561567, -0.056466, -0.0714632, -2.91079", \
+					  "3.11518, 0.302722, 0.053655, 0.0259686, 7.70095e-09, -0.0117661, -0.0220663, -0.0309063, -0.0382907, -0.0442222, -0.0487096, -0.0518273, -0.0538444, -0.0551588, -0.0560747, -0.0567609, -0.0573055, -0.0577565, -0.0581421, -0.0584798, -0.0587809, -0.0590536, -0.0593033, -0.0595343, -0.0597497, -0.0601429, -0.0604969, -0.070431, -2.91218", \
+					  "3.11583, 0.304416, 0.0558257, 0.0270592, 7.69708e-09, -0.0122936, -0.023076, -0.0323435, -0.0400905, -0.0463097, -0.0510004, -0.0542448, -0.0563458, -0.0577264, -0.058698, -0.0594314, -0.0600166, -0.0605031, -0.0609201, -0.061286, -0.0616128, -0.061909, -0.0621806, -0.0624319, -0.0626663, -0.0630945, -0.0634801, -0.0726014, -2.91351", \
+					  "3.11717, 0.308149, 0.0604801, 0.0293699, 7.68769e-09, -0.0133847, -0.0251386, -0.0352421, -0.0436753, -0.0504157, -0.0554521, -0.0588981, -0.0611331, -0.0626243, -0.063691, -0.0645067, -0.0651635, -0.0657129, -0.066186, -0.0666024, -0.0669753, -0.0673138, -0.0676245, -0.0679123, -0.068181, -0.0686721, -0.0691146, -0.0782347, -2.917", \
+					  "3.11831, 0.311589, 0.0648492, 0.0315196, 7.67853e-09, -0.0143764, -0.0269851, -0.037799, -0.0467924, -0.0539357, -0.0592175, -0.0627949, -0.0651197, -0.0666892, -0.0678259, -0.0687033, -0.0694144, -0.070012, -0.0705283, -0.0709839, -0.0713924, -0.0717638, -0.0721051, -0.0724214, -0.0727168, -0.0732569, -0.0737437, -0.0829469, -2.92");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1031292, 0.2409167, 0.3809640, 0.5044836, 0.6644850");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1719577, 0.3094758, 0.4506616, 0.5739375, 0.7356512");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1411594, 0.2749152, 0.4367432, 0.6628533, 1.0126625");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2069896, 0.3410303, 0.5029552, 0.7286762, 1.0819313");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("16.7404");
+						index_3("0.001");
+						index_4("8.3480637, 9.0083334, 10.1955746, 11.3514845, 12.0518054");
+						values("0.473886, 0.758218, 0.947773, 0.758218, 0.473886");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("9.57571");
+						index_3("0.001");
+						index_4("4.7896056, 5.2584266, 6.2427872, 6.9711356, 7.4667711");
+						values("0.495021, 0.792034, 0.990043, 0.792034, 0.495021");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("6.44687");
+						index_3("0.001");
+						index_4("3.2350192, 3.5991025, 4.3409521, 4.9468935, 5.3382550");
+						values("0.505609, 0.808975, 1.01122, 0.808975, 0.505609");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("8.3702");
+						index_3("0.001");
+						index_4("4.1965762, 4.5289027, 5.1215588, 5.7497713, 6.1490821");
+						values("0.242969, 0.388751, 0.485938, 0.388751, 0.242969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("4.78785");
+						index_3("0.001");
+						index_4("2.4108079, 2.6390589, 3.0165440, 3.5395166, 3.8362592");
+						values("0.251345, 0.402153, 0.502691, 0.402153, 0.251345");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("3.22343");
+						index_3("0.001");
+						index_4("1.6333137, 1.8112053, 2.1686827, 2.5142592, 2.7595438");
+						values("0.253959, 0.406335, 0.507919, 0.406335, 0.253959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("14.3636");
+						index_3("0.001");
+						index_4("7.2676797, 7.9873818, 9.1047273, 10.2436148, 10.9765952");
+						values("0.809235, 1.29478, 1.61847, 1.29478, 0.809235");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("20.3831");
+						index_3("0.001");
+						index_4("10.1511910, 10.7778458, 12.0946096, 13.4294696, 14.1000314");
+						values("1.46178, 1.16885, 0.973566, 1.16885, 1.46178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("11.5656");
+						index_3("0.001");
+						index_4("5.7685645, 6.2170579, 7.0813322, 8.2227724, 8.6765921");
+						values("1.43821, 1.13113, 0.926411, 1.13113, 1.43821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("7.63352");
+						index_3("0.001");
+						index_4("3.8188112, 4.1630734, 4.8423122, 5.7402400, 6.0866868");
+						values("1.42913, 1.11661, 0.908262, 1.11661, 1.42913");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("10.1916");
+						index_3("0.001");
+						index_4("5.1602671, 5.5091905, 6.1643429, 6.7150155, 7.0903857");
+						values("1.6978, 1.54647, 1.44559, 1.54647, 1.6978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("5.78279");
+						index_3("0.001");
+						index_4("2.9588333, 3.2096058, 3.6312256, 4.0932167, 4.3654779");
+						values("1.68292, 1.52266, 1.41583, 1.52266, 1.68292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("3.81676");
+						index_3("0.001");
+						index_4("1.9713263, 2.1628114, 2.4658847, 2.8586101, 3.0673071");
+						values("1.679, 1.51639, 1.40799, 1.51639, 1.679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("17.3484");
+						index_3("0.001");
+						index_4("8.5123556, 9.2244472, 10.8849518, 12.2932854, 13.0147223");
+						values("1.26102, 0.847631, 0.572039, 0.847631, 1.26102");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016757;
+			capacitance : 0.016704;
+			fall_capacitance : 0.016651;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254142, 0.0264072, 0.0274003, 0.0297905, 0.0320852, 0.0344754, 0.0368656");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297630, 0.0309483, 0.0321337, 0.0346784, 0.0371214, 0.0396662, 0.0422110");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000704878";
+				miller_cap_rise : "0.000447112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.14074, 0.809172, 0.739793, 0.709237, 0.672842, 0.65247, 0.630684, 0.607493, 0.5829, 0.556908, 0.529517, 0.500727, 0.47054, 0.438957, 0.405979, 0.371608, 0.335845, 0.298694, 0.260157, 0.220236, 0.178935, 0.136258, 0.0922064, 0.0467859, -8.21555e-09, -0.0918079, -0.178371, -0.452011, -2.32319", \
+					  "3.04295, 0.626174, 0.581043, 0.565785, 0.548802, 0.539399, 0.529164, 0.517847, 0.505102, 0.490505, 0.47369, 0.454529, 0.433058, 0.409323, 0.383339, 0.355108, 0.32463, 0.291904, 0.25693, 0.219709, 0.180243, 0.138534, 0.0945874, 0.0484073, -1.76872e-09, -0.095934, -0.18663, -0.46869, -2.32801", \
+					  "2.9639, 0.473106, 0.42629, 0.415749, 0.404547, 0.398619, 0.392416, 0.385873, 0.378906, 0.371395, 0.363167, 0.353961, 0.343378, 0.330847, 0.315747, 0.297732, 0.27673, 0.25273, 0.225721, 0.195691, 0.16263, 0.126532, 0.0873941, 0.045216, -1.77892e-09, -0.0915175, -0.17968, -0.457779, -2.32635", \
+					  "2.94091, 0.438881, 0.36643, 0.357188, 0.347478, 0.342397, 0.337127, 0.33163, 0.325853, 0.319727, 0.313152, 0.305985, 0.298006, 0.288869, 0.278032, 0.26478, 0.248537, 0.229083, 0.206354, 0.18032, 0.150958, 0.118254, 0.0821962, 0.0427792, -1.78731e-09, -0.0877771, -0.173501, -0.447503, -2.32481", \
+					  "2.91769, 0.432449, 0.303672, 0.295654, 0.287321, 0.283003, 0.278561, 0.273971, 0.269202, 0.264213, 0.25895, 0.253334, 0.247251, 0.240527, 0.232879, 0.223836, 0.212665, 0.198563, 0.18107, 0.160025, 0.135365, 0.107054, 0.0750659, 0.0393852, -1.8005e-09, -0.0824581, -0.164655, -0.432608, -2.32261", \
+					  "2.90573, 0.433074, 0.271629, 0.264198, 0.256521, 0.252562, 0.248505, 0.244331, 0.240016, 0.235532, 0.230835, 0.22587, 0.220555, 0.214769, 0.20832, 0.200885, 0.19191, 0.180545, 0.16598, 0.147814, 0.12591, 0.100208, 0.0706711, 0.0372745, -1.84193e-09, -0.0791159, -0.159083, -0.423145, -2.32122", \
+					  "2.89369, 0.434072, 0.239556, 0.23259, 0.225553, 0.221942, 0.218254, 0.214477, 0.210592, 0.206577, 0.202402, 0.198026, 0.193393, 0.188418, 0.182972, 0.176845, 0.169668, 0.160777, 0.149199, 0.134138, 0.115264, 0.0924604, 0.0656716, 0.0348604, -2.67222e-09, -0.0752717, -0.152666, -0.412186, -2.31963", \
+					  "2.88171, 0.435419, 0.208928, 0.201122, 0.194714, 0.19144, 0.18811, 0.184714, 0.181237, 0.177665, 0.173974, 0.170138, 0.166116, 0.161851, 0.157259, 0.152205, 0.146459, 0.139593, 0.1308, 0.118975, 0.103385, 0.0837708, 0.0600354, 0.0321245, -2.25813e-08, -0.0708934, -0.14535, -0.39962, -2.3178", \
+					  "2.86995, 0.437468, 0.184687, 0.170173, 0.164356, 0.161414, 0.158432, 0.155403, 0.152318, 0.149165, 0.145929, 0.142591, 0.139124, 0.135491, 0.131636, 0.127476, 0.122873, 0.117576, 0.11108, 0.102378, 0.0902618, 0.0741141, 0.0537389, 0.029052, -5.0121e-07, -0.0659542, -0.13709, -0.385352, -2.31574", \
+					  "2.85861, 0.440495, 0.17168, 0.140573, 0.134899, 0.132282, 0.129641, 0.126969, 0.124261, 0.121508, 0.118701, 0.115827, 0.112869, 0.109802, 0.106593, 0.103191, 0.0995158, 0.0954286, 0.0906588, 0.0845849, 0.0759341, 0.0634837, 0.0467634, 0.0256241, -1.16347e-05, -0.0604449, -0.127861, -0.369326, -2.31343", \
+					  "2.8479, 0.444759, 0.167582, 0.115401, 0.106817, 0.104511, 0.102196, 0.0998649, 0.0975135, 0.0951371, 0.0927293, 0.0902822, 0.0877851, 0.0852237, 0.0825778, 0.0798184, 0.076901, 0.0737523, 0.0702387, 0.0660651, 0.0604265, 0.0517522, 0.0389364, 0.0216475, -0.00023487, -0.0545749, -0.117879, -0.351823, -2.31112", \
+					  "2.83807, 0.450944, 0.168834, 0.100558, 0.0805793, 0.0783825, 0.0762753, 0.0741647, 0.0720464, 0.0699171, 0.0677734, 0.0656109, 0.0634237, 0.061204, 0.0589409, 0.056619, 0.0542156, 0.0516947, 0.0489947, 0.0459928, 0.0423625, 0.0370188, 0.0281555, 0.0148911, -0.0030043, -0.0508679, -0.109859, -0.336207, -2.31147", \
+					  "2.8294, 0.459434, 0.173965, 0.0960077, 0.0565348, 0.0528129, 0.0503265, 0.0478992, 0.045483, 0.0430687, 0.0406526, 0.0382319, 0.0358037, 0.0333642, 0.0309086, 0.0284299, 0.0259182, 0.0233579, 0.020724, 0.0179708, 0.0149954, 0.0114353, 0.00585368, -0.00363471, -0.017666, -0.0593003, -0.114426, -0.335047, -2.32435", \
+					  "2.82217, 0.470764, 0.182619, 0.0973608, 0.0355436, 0.0242722, 0.0197948, 0.016219, 0.0128176, 0.009484, 0.00618631, 0.00291126, -0.000348071, -0.00359612, -0.00683639, -0.0100724, -0.0133083, -0.0165498, -0.0198055, -0.0230901, -0.0264312, -0.0299027, -0.0338903, -0.0401716, -0.0505484, -0.0860555, -0.13763, -0.354139, -2.35334", \
+					  "2.8167, 0.485508, 0.19489, 0.102304, 0.019095, -0.00515269, -0.0155885, -0.0211775, -0.0258182, -0.0301446, -0.0343191, -0.0384028, -0.0424246, -0.0464001, -0.0503387, -0.0542463, -0.0581275, -0.061986, -0.0658257, -0.0696515, -0.0734705, -0.0772968, -0.0811736, -0.0854972, -0.0923168, -0.12074, -0.167887, -0.378951, -2.3857", \
+					  "2.81332, 0.504291, 0.211095, 0.110307, 0.00793378, -0.0293639, -0.0497167, -0.0588393, -0.0649635, -0.070214, -0.0750838, -0.0797436, -0.0842693, -0.0887007, -0.0930609, -0.0973647, -0.101622, -0.105839, -0.110024, -0.114179, -0.118311, -0.122424, -0.126529, -0.130651, -0.135306, -0.155985, -0.197569, -0.401275, -2.41493", \
+					  "2.81238, 0.527762, 0.231525, 0.121468, 0.00216458, -0.046594, -0.0780839, -0.0929368, -0.101114, -0.107418, -0.112981, -0.118166, -0.123126, -0.127938, -0.132647, -0.137278, -0.141852, -0.146379, -0.15087, -0.155333, -0.159774, -0.164199, -0.168615, -0.173031, -0.177496, -0.191553, -0.22643, -0.421186, -2.44136", \
+					  "2.8141, 0.555878, 0.255252, 0.13484, 0.000392897, -0.0583074, -0.100477, -0.123225, -0.134455, -0.1422, -0.148671, -0.154529, -0.160038, -0.165327, -0.170466, -0.175498, -0.18045, -0.185342, -0.190186, -0.194994, -0.199773, -0.204532, -0.209277, -0.214018, -0.218767, -0.229497, -0.255995, -0.439948, -2.46604", \
+					  "2.81781, 0.586536, 0.279508, 0.14808, 6.74048e-05, -0.0672806, -0.119108, -0.151088, -0.166614, -0.176247, -0.183823, -0.190461, -0.196581, -0.202383, -0.20797, -0.213406, -0.21873, -0.223969, -0.229142, -0.234263, -0.239343, -0.244392, -0.24942, -0.254436, -0.259452, -0.269701, -0.287831, -0.458483, -2.48966", \
+					  "2.82223, 0.617517, 0.302418, 0.16003, 1.22604e-05, -0.0748258, -0.135167, -0.17669, -0.197903, -0.209932, -0.218799, -0.226291, -0.23305, -0.239367, -0.245391, -0.251211, -0.256881, -0.262436, -0.267903, -0.2733, -0.278642, -0.28394, -0.289208, -0.294455, -0.299695, -0.31023, -0.323192, -0.477409, -2.51259", \
+					  "2.82678, 0.648103, 0.323377, 0.170595, 2.19446e-06, -0.0813202, -0.149109, -0.199708, -0.227974, -0.243074, -0.253472, -0.261913, -0.269351, -0.276196, -0.282656, -0.288848, -0.294847, -0.300699, -0.306437, -0.312085, -0.317662, -0.323182, -0.32866, -0.334109, -0.339541, -0.350424, -0.361838, -0.497166, -2.53507", \
+					  "2.83136, 0.678129, 0.342193, 0.179893, 3.30039e-07, -0.0869414, -0.161235, -0.220019, -0.256375, -0.27542, -0.28767, -0.297194, -0.305369, -0.312769, -0.319674, -0.32624, -0.332562, -0.3387, -0.344697, -0.350581, -0.356377, -0.362101, -0.367771, -0.373401, -0.379006, -0.39021, -0.401594, -0.518101, -2.55725", \
+					  "2.83593, 0.707543, 0.358863, 0.188066, 3.22624e-08, -0.0918166, -0.171791, -0.237757, -0.282655, -0.306701, -0.321235, -0.332016, -0.341011, -0.349007, -0.356378, -0.363327, -0.369973, -0.376395, -0.382645, -0.388758, -0.394762, -0.40068, -0.40653, -0.412328, -0.418093, -0.429592, -0.441199, -0.540511, -2.57921", \
+					  "2.84049, 0.736322, 0.37351, 0.195243, 4.73118e-09, -0.0960488, -0.180988, -0.253188, -0.306447, -0.336627, -0.354008, -0.366277, -0.376199, -0.384846, -0.392713, -0.400061, -0.407041, -0.41375, -0.420252, -0.426591, -0.4328, -0.438906, -0.444928, -0.450888, -0.456802, -0.468577, -0.480426, -0.564663, -2.60103", \
+					  "2.84505, 0.764452, 0.386328, 0.201536, 4.101e-09, -0.0997241, -0.189005, -0.266607, -0.327556, -0.364877, -0.385824, -0.399878, -0.410864, -0.420234, -0.428637, -0.436407, -0.443735, -0.450739, -0.457497, -0.464063, -0.470476, -0.476767, -0.482959, -0.489075, -0.495135, -0.507172, -0.519253, -0.590809, -2.62277", \
+					  "2.85415, 0.818673, 0.407302, 0.211866, 6.61501e-09, -0.105684, -0.202081, -0.288454, -0.361999, -0.414996, -0.445795, -0.464682, -0.478363, -0.489467, -0.499105, -0.507819, -0.515904, -0.523538, -0.530834, -0.53787, -0.544701, -0.551365, -0.557897, -0.564322, -0.570666, -0.583206, -0.595723, -0.649911, -2.66615", \
+					  "2.86321, 0.869897, 0.42326, 0.219721, 1.07822e-08, -0.110157, -0.211992, -0.305033, -0.387764, -0.454972, -0.499237, -0.525437, -0.542942, -0.556337, -0.567518, -0.57736, -0.586321, -0.594664, -0.602553, -0.610097, -0.61737, -0.624427, -0.631309, -0.638051, -0.644682, -0.657722, -0.670655, -0.717642, -2.70954", \
+					  "2.89005, 0.997989, 0.450847, 0.233014, 3.70632e-08, -0.117627, -0.22902, -0.334007, -0.432269, -0.522965, -0.603478, -0.667373, -0.710134, -0.737712, -0.757302, -0.772664, -0.785562, -0.796893, -0.807154, -0.816647, -0.825564, -0.834036, -0.842155, -0.84999, -0.857593, -0.872279, -0.886524, -0.931086, -2.83763", \
+					  "2.92932, 1.07618, 0.460187, 0.23655, 1.46296e-07, -0.119708, -0.235171, -0.346332, -0.453121, -0.555446, -0.653148, -0.74588, -0.83277, -0.911515, -0.977316, -1.02612, -1.06041, -1.08555, -1.10529, -1.12169, -1.1359, -1.1486, -1.16018, -1.17094, -1.18104, -1.19978, -1.21713, -1.26559, -3.03414");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187681, 0.0318305, 0.0461040, 0.0628126, 0.0872592");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0791456, 0.0976510, 0.1125844, 0.1294277, 0.1543311");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0139886, 0.0207261, 0.0284040, 0.0371979, 0.0485362");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0616281, 0.0767803, 0.0882831, 0.0986147, 0.1099561");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("1.38517");
+						index_3("0.001");
+						index_4("0.6764619, 0.7117517, 0.7550555, 0.8221173, 0.8563486");
+						values("0.277389, 0.443823, 0.554779, 0.443823, 0.277389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.809978");
+						index_3("0.001");
+						index_4("0.3964683, 0.4266815, 0.4676335, 0.5235193, 0.5522842");
+						values("0.386316, 0.618106, 0.772632, 0.618106, 0.386316");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.548326");
+						index_3("0.001");
+						index_4("0.2696824, 0.2965163, 0.3389490, 0.3782717, 0.4045766");
+						values("0.441538, 0.706461, 0.883076, 0.706461, 0.441538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("0.692584");
+						index_3("0.001");
+						index_4("0.3392619, 0.3605676, 0.3978346, 0.4228610, 0.4428935");
+						values("0.165152, 0.264243, 0.330304, 0.264243, 0.165152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.404989");
+						index_3("0.001");
+						index_4("0.2018897, 0.2178392, 0.2469241, 0.2696164, 0.2862348");
+						values("0.220178, 0.352284, 0.440355, 0.352284, 0.220178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.274163");
+						index_3("0.001");
+						index_4("0.1383363, 0.1523308, 0.1728960, 0.1949547, 0.2102382");
+						values("0.246014, 0.393623, 0.492028, 0.393623, 0.246014");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("1.21497");
+						index_3("0.001");
+						index_4("0.5895727, 0.6341014, 0.6974591, 0.7693360, 0.8130766");
+						values("0.519945, 0.831911, 1.03989, 0.831911, 0.519945");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.810568");
+						index_3("0.001");
+						index_4("0.3965359, 0.4223816, 0.4643560, 0.5229260, 0.5606813");
+						values("1.5833, 1.36329, 1.21661, 1.36329, 1.5833");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.435424");
+						index_3("0.001");
+						index_4("0.2138976, 0.2335343, 0.2670167, 0.3142477, 0.3444667");
+						values("1.50941, 1.24506, 1.06882, 1.24506, 1.50941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.27597");
+						index_3("0.001");
+						index_4("0.1362743, 0.1522178, 0.1811945, 0.2181815, 0.2424233");
+						values("1.46891, 1.18026, 0.987829, 1.18026, 1.46891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.405284");
+						index_3("0.001");
+						index_4("0.2019171, 0.2159516, 0.2397500, 0.2705378, 0.2969039");
+						values("1.7359, 1.60745, 1.52181, 1.60745, 1.7359");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.217712");
+						index_3("0.001");
+						index_4("0.1092805, 0.1195171, 0.1322871, 0.1658118, 0.1854123");
+						values("1.70064, 1.55103, 1.45129, 1.55103, 1.70064");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.137985");
+						index_3("0.001");
+						index_4("0.0708324, 0.0794735, 0.0930939, 0.1141431, 0.1314051");
+						values("1.67754, 1.51407, 1.40509, 1.51407, 1.67754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.653136");
+						index_3("0.001");
+						index_4("0.3158493, 0.3447028, 0.4028474, 0.4598864, 0.5026477");
+						values("1.36998, 1.02196, 0.78995, 1.02196, 1.36998");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.036384;
+			capacitance : 0.036900;
+			rise_capacitance : 0.037416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4176429, -0.3575159, -0.3187278, -0.3105062, -0.3061541, -0.3070897, -0.3110751", \
+					  "-0.4790140, -0.4061732, -0.3831168, -0.3713695, -0.3629739, -0.3608524, -0.3678896", \
+					  "-0.4802971, -0.4091637, -0.3784376, -0.3762193, -0.3686707, -0.3741840, -0.3667904", \
+					  "-0.4621348, -0.4078074, -0.3543885, -0.3537521, -0.3582964, -0.3654038, -0.3540621", \
+					  "-0.4441330, -0.3854591, -0.3286425, -0.3446467, -0.3512454, -0.3550826, -0.3375862", \
+					  "-0.4223693, -0.3530143, -0.3210217, -0.3122019, -0.3216115, -0.3042077, -0.3158225", \
+					  "-0.3799515, -0.3228035, -0.2645746, -0.2653855, -0.2990651, -0.3091684, -0.3031069");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4420612, -0.3120531, -0.2180196, -0.1335040, -0.0635391, 0.0041898, 0.0630236", \
+					  "-0.5034325, -0.3648154, -0.2763601, -0.1918446, -0.1190680, -0.0541507, 0.0059508", \
+					  "-0.5062159, -0.3576506, -0.2806868, -0.1929691, -0.1260560, -0.0492321, 0.0048262", \
+					  "-0.4865532, -0.3626174, -0.2614320, -0.1882687, -0.1019487, -0.0220127, 0.0167265", \
+					  "-0.4670256, -0.3387105, -0.2441120, -0.1615412, -0.0869987, -0.0442926, 0.0408318", \
+					  "-0.4483137, -0.3092520, -0.2092910, -0.1306223, -0.0606574, 0.0024939, 0.0734931", \
+					  "-0.4028441, -0.2777784, -0.1774586, -0.1215839, -0.0511454, 0.0045484, 0.0870071");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498151, 0.4836818, 0.4540796, 0.4426732, 0.4368013, 0.4362110, 0.4401964", \
+					  "0.6111867, 0.5358417, 0.5153455, 0.5053925, 0.5042977, 0.4972970, 0.5017279", \
+					  "0.6154633, 0.5354061, 0.5179959, 0.5082484, 0.5069481, 0.5063578, 0.5103432", \
+					  "0.5958334, 0.5267224, 0.4999922, 0.4917706, 0.4904702, 0.4868282, 0.5060724", \
+					  "0.5763057, 0.5104070, 0.4774370, 0.4585099, 0.4648390, 0.4749299, 0.4651824", \
+					  "0.5652234, 0.5100001, 0.4336618, 0.4275910, 0.4369718, 0.4394333, 0.4373152", \
+					  "0.5273830, 0.4658108, 0.4136530, 0.3943285, 0.4067611, 0.4092225, 0.4254150");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4491129, 0.3193438, 0.2235425, 0.1390269, 0.0705879, 0.0028589, -0.0593609", \
+					  "0.5120075, 0.3712344, 0.2849378, 0.1966741, 0.1319832, 0.0585409, -0.0004249", \
+					  "0.4974323, 0.3634133, 0.2863785, 0.2000209, 0.1315819, 0.0544420, 0.0064144", \
+					  "0.5042836, 0.3703434, 0.2670965, 0.1934263, 0.1077649, 0.0457361, -0.0103932", \
+					  "0.4740747, 0.3458069, 0.2508769, 0.1670671, 0.0894728, 0.0254525, -0.0235992", \
+					  "0.4675033, 0.3157590, 0.2085744, 0.1391999, 0.0746242, 0.0039423, -0.0706417", \
+					  "0.4358332, 0.2851261, 0.1875725, 0.1301610, 0.0543804, 0.0001065, -0.0812554");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2112057, 0.3019650, 0.3927244, 0.4124578, 0.4314019, 0.4511353, 0.4708687");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5604494, 0.5991437, 0.6378380, 0.6417467, 0.6454991, 0.6494078, 0.6533165");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00213872";
+				miller_cap_rise : "0.00152684";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01163, 1.68228, 1.5424, 1.47452, 1.39546, 1.35175, 1.30524, 1.25594, 1.20386, 1.14901, 1.0914, 1.03102, 0.967902, 0.902043, 0.833456, 0.762152, 0.688141, 0.611434, 0.532044, 0.44998, 0.365256, 0.277884, 0.187875, 0.095243, -7.83903e-09, -0.189305, -0.372812, -0.950697, -3.91623", \
+					  "4.70936, 1.16004, 1.10736, 1.08606, 1.06041, 1.04515, 1.02757, 1.00695, 0.982563, 0.953944, 0.92092, 0.88345, 0.841526, 0.795159, 0.744369, 0.689181, 0.629625, 0.565732, 0.497536, 0.425071, 0.348374, 0.267481, 0.182429, 0.0932555, -7.85134e-09, -0.187801, -0.371585, -0.984563, -3.92705", \
+					  "4.50492, 0.793437, 0.734481, 0.722799, 0.709923, 0.702891, 0.695348, 0.68717, 0.678183, 0.668132, 0.656639, 0.643111, 0.626638, 0.606022, 0.58019, 0.54859, 0.511046, 0.467534, 0.418083, 0.362743, 0.301576, 0.234649, 0.162029, 0.0837885, -7.93708e-09, -0.17294, -0.346346, -0.961854, -3.927", \
+					  "4.44444, 0.73645, 0.602113, 0.592546, 0.582206, 0.576661, 0.570804, 0.564566, 0.55786, 0.550561, 0.542492, 0.533387, 0.522826, 0.510119, 0.494147, 0.473428, 0.446766, 0.413643, 0.373934, 0.327649, 0.274844, 0.215593, 0.149977, 0.0780835, -7.98978e-09, -0.163765, -0.330656, -0.939342, -3.92503", \
+					  "4.38716, 0.72502, 0.469718, 0.461999, 0.4538, 0.449472, 0.444956, 0.440218, 0.435211, 0.429876, 0.424128, 0.417849, 0.410865, 0.402901, 0.393496, 0.38183, 0.366453, 0.345493, 0.317705, 0.282692, 0.240405, 0.1909, 0.134263, 0.0705947, -8.0597e-09, -0.151683, -0.310024, -0.909308, -3.92174", \
+					  "4.36, 0.729886, 0.404711, 0.397784, 0.390552, 0.38676, 0.382827, 0.378726, 0.374426, 0.369883, 0.365042, 0.359824, 0.354115, 0.347745, 0.340439, 0.331718, 0.320672, 0.305609, 0.284427, 0.255934, 0.219821, 0.176082, 0.124795, 0.0660632, -8.10383e-09, -0.144372, -0.297566, -0.890979, -3.91953", \
+					  "4.33414, 0.740659, 0.342143, 0.335066, 0.328746, 0.325456, 0.32206, 0.318541, 0.314876, 0.311037, 0.306985, 0.302668, 0.298014, 0.292916, 0.287212, 0.280629, 0.272671, 0.262317, 0.247543, 0.226041, 0.196735, 0.159415, 0.114116, 0.060938, -8.23828e-09, -0.136112, -0.283516, -0.870129, -3.91689", \
+					  "4.30989, 0.757181, 0.288443, 0.274577, 0.269116, 0.266297, 0.263402, 0.26042, 0.257334, 0.254125, 0.250769, 0.247231, 0.243465, 0.239408, 0.234961, 0.229972, 0.22418, 0.217071, 0.207469, 0.192923, 0.170981, 0.140752, 0.102122, 0.0551651, -2.84601e-08, -0.126822, -0.267747, -0.846481, -3.91377", \
+					  "4.28765, 0.779444, 0.255866, 0.217402, 0.212509, 0.210137, 0.207714, 0.20523, 0.202677, 0.20004, 0.197305, 0.194449, 0.191446, 0.188255, 0.184822, 0.18106, 0.176834, 0.171896, 0.16572, 0.156909, 0.142479, 0.119968, 0.0887143, 0.0486899, -7.6822e-07, -0.116423, -0.250135, -0.819725, -3.91002", \
+					  "4.26789, 0.807545, 0.245098, 0.166913, 0.159902, 0.157954, 0.155975, 0.153959, 0.151897, 0.149784, 0.147608, 0.145358, 0.143016, 0.14056, 0.137959, 0.135168, 0.132117, 0.128687, 0.124657, 0.119489, 0.111461, 0.0969924, 0.0737956, 0.0414438, -2.14922e-05, -0.104857, -0.230581, -0.789542, -3.90485", \
+					  "4.25117, 0.841657, 0.249928, 0.133804, 0.112372, 0.110755, 0.109183, 0.107591, 0.105975, 0.104329, 0.102648, 0.100924, 0.0991496, 0.0973115, 0.0953937, 0.0933732, 0.0912161, 0.0888687, 0.0862386, 0.0831366, 0.0790146, 0.071686, 0.0570021, 0.033038, -0.000423495, -0.0924375, -0.209377, -0.756016, -3.89695", \
+					  "4.23817, 0.882018, 0.266602, 0.124638, 0.0710149, 0.0686853, 0.0673396, 0.0660236, 0.0647059, 0.0633786, 0.062037, 0.0606765, 0.0592924, 0.0578786, 0.0564275, 0.0549286, 0.0533669, 0.0517201, 0.0499525, 0.0479995, 0.0457153, 0.0425473, 0.0356865, 0.0205924, -0.00415606, -0.0821771, -0.189628, -0.722243, -3.89141", \
+					  "4.22973, 0.929033, 0.293548, 0.132232, 0.0374517, 0.0273155, 0.0251109, 0.0235511, 0.0221167, 0.0207301, 0.0193656, 0.0180113, 0.0166598, 0.0153058, 0.0139443, 0.0125702, 0.0111774, 0.00975759, 0.00829865, 0.00678108, 0.00516828, 0.00336601, 0.0008384, -0.00593594, -0.0215236, -0.0844125, -0.181757, -0.698436, -3.89609", \
+					  "4.22706, 0.983644, 0.330483, 0.150079, 0.0145684, -0.0167108, -0.0260582, -0.0293875, -0.0316984, -0.0336747, -0.0354911, -0.0372157, -0.0388815, -0.0405074, -0.042105, -0.0436826, -0.0452462, -0.0468014, -0.0483532, -0.049908, -0.0514744, -0.0530684, -0.0547369, -0.0569935, -0.0639983, -0.110158, -0.196293, -0.693432, -3.91658", \
+					  "4.23149, 1.0469, 0.377004, 0.175751, 0.00366073, -0.0522398, -0.0799985, -0.0893135, -0.0938493, -0.0970902, -0.0997904, -0.102202, -0.104438, -0.106554, -0.108586, -0.110555, -0.112476, -0.114358, -0.116209, -0.118037, -0.119846, -0.121642, -0.123434, -0.125248, -0.127863, -0.156278, -0.229587, -0.702021, -3.94814", \
+					  "4.24182, 1.11613, 0.428379, 0.205101, 0.000660693, -0.0773873, -0.127945, -0.150682, -0.159908, -0.165397, -0.169522, -0.172982, -0.176056, -0.178882, -0.181535, -0.184062, -0.186494, -0.18885, -0.191146, -0.193394, -0.195603, -0.197781, -0.199936, -0.202076, -0.204272, -0.217864, -0.275715, -0.717177, -3.98528", \
+					  "4.2547, 1.1863, 0.478014, 0.233085, 0.000101713, -0.0970567, -0.168975, -0.211211, -0.229178, -0.238305, -0.244477, -0.249333, -0.253471, -0.257163, -0.260555, -0.263732, -0.266748, -0.26964, -0.272433, -0.275147, -0.277796, -0.280393, -0.282949, -0.285475, -0.287986, -0.294765, -0.333059, -0.7367, -4.02519", \
+					  "4.26851, 1.25535, 0.523071, 0.258084, 1.10232e-05, -0.113534, -0.20413, -0.267286, -0.299237, -0.314109, -0.323138, -0.329776, -0.335191, -0.339878, -0.34409, -0.347969, -0.351603, -0.355049, -0.358348, -0.361529, -0.364614, -0.367622, -0.370567, -0.373463, -0.376326, -0.382227, -0.402067, -0.76134, -4.06688", \
+					  "4.28288, 1.3229, 0.563067, 0.280085, 6.06591e-07, -0.1276, -0.234319, -0.316645, -0.366821, -0.390579, -0.403641, -0.412575, -0.419531, -0.425364, -0.430487, -0.435124, -0.43941, -0.44343, -0.447244, -0.450894, -0.454411, -0.457819, -0.46114, -0.464391, -0.467592, -0.473934, -0.483653, -0.791986, -4.11039", \
+					  "4.29779, 1.38883, 0.598306, 0.299373, 2.25535e-08, -0.139678, -0.260296, -0.35922, -0.428916, -0.465558, -0.484396, -0.496345, -0.505191, -0.512364, -0.518514, -0.523982, -0.528965, -0.533589, -0.537936, -0.542064, -0.546015, -0.549823, -0.553514, -0.557111, -0.560636, -0.567559, -0.574938, -0.828997, -4.15567", \
+					  "4.31328, 1.45302, 0.629292, 0.316264, 2.35631e-09, -0.150076, -0.282686, -0.395827, -0.483683, -0.536887, -0.563999, -0.579984, -0.591194, -0.599958, -0.607284, -0.613676, -0.619418, -0.624686, -0.629593, -0.634216, -0.638613, -0.642826, -0.646889, -0.65083, -0.654676, -0.662182, -0.669672, -0.872475, -4.20255", \
+					  "4.32939, 1.51524, 0.656528, 0.331042, 1.8233e-09, -0.159043, -0.302016, -0.427354, -0.5309, -0.602458, -0.641065, -0.66255, -0.676772, -0.68746, -0.69615, -0.703584, -0.710163, -0.716128, -0.721631, -0.726776, -0.731636, -0.736266, -0.740708, -0.744997, -0.749164, -0.757248, -0.765198, -0.922494, -4.25082", \
+					  "4.34618, 1.57517, 0.680458, 0.34396, 1.94199e-09, -0.166784, -0.318724, -0.454566, -0.571362, -0.66067, -0.714102, -0.743135, -0.76127, -0.774321, -0.784621, -0.793245, -0.800757, -0.807483, -0.813628, -0.819327, -0.824675, -0.82974, -0.834573, -0.839219, -0.843712, -0.852376, -0.860827, -0.979246, -4.3003", \
+					  "4.36371, 1.63237, 0.701464, 0.355236, 2.15328e-09, -0.173471, -0.333179, -0.478097, -0.606089, -0.710992, -0.781511, -0.82076, -0.844064, -0.860071, -0.872296, -0.882297, -0.890861, -0.89843, -0.905274, -0.911568, -0.917434, -0.922955, -0.928198, -0.933213, -0.938042, -0.947295, -0.95625, -1.04314, -4.35088", \
+					  "4.38203, 1.68627, 0.719878, 0.365064, 2.46034e-09, -0.179248, -0.345689, -0.498468, -0.635989, -0.75396, -0.841843, -0.894296, -0.924495, -0.944265, -0.958829, -0.970444, -0.980208, -0.988719, -0.99633, -1.00327, -1.00969, -1.0157, -1.02137, -1.02677, -1.03195, -1.04181, -1.05127, -1.1148, -4.40244", \
+					  "4.4212, 1.78142, 0.750043, 0.381025, 3.44944e-09, -0.188536, -0.365873, -0.53139, -0.684123, -0.821954, -0.939028, -1.02392, -1.07508, -1.10608, -1.12715, -1.143, -1.15576, -1.16654, -1.17596, -1.18438, -1.19204, -1.19913, -1.20574, -1.21198, -1.21791, -1.22905, -1.23957, -1.28125, -4.50826", \
+					  "4.45937, 1.85565, 0.772849, 0.392948, 5.09775e-09, -0.19541, -0.380911, -0.556035, -0.720139, -0.87207, -1.00904, -1.1237, -1.20508, -1.25506, -1.28669, -1.30887, -1.32583, -1.33963, -1.35133, -1.36158, -1.37074, -1.37909, -1.38679, -1.39398, -1.40075, -1.41331, -1.42498, -1.46296, -4.61726", \
+					  "4.52055, 1.9678, 0.810324, 0.412074, 1.59255e-08, -0.206421, -0.405587, -0.597263, -0.781183, -0.957014, -1.12427, -1.28205, -1.42833, -1.55821, -1.66241, -1.73521, -1.78335, -1.81677, -1.84174, -1.86157, -1.87805, -1.8922, -1.90466, -1.91585, -1.92604, -1.9442, -1.96022, -2.00261, -4.94161", \
+					  "4.52107, 1.99322, 0.815887, 0.414124, 6.30387e-08, -0.208166, -0.411457, -0.609775, -0.803022, -0.991091, -1.17387, -1.35122, -1.52299, -1.68895, -1.84876, -2.00176, -2.1466, -2.28038, -2.39747, -2.49127, -2.56061, -2.61101, -2.64889, -2.67869, -2.70311, -2.74175, -2.772, -2.83827, -5.44372");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0317222, 0.0530699, 0.0792968, 0.1091437, 0.1576791");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983167, 0.1204535, 0.1466261, 0.1759831, 0.2263209");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0183664, 0.0278790, 0.0386995, 0.0511559, 0.0688609");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0742943, 0.0901396, 0.1021498, 0.1144247, 0.1318964");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.29652");
+						index_3("0.001");
+						index_4("1.6270724, 1.7176141, 1.8642152, 2.0026402, 2.0956819");
+						values("0.425722, 0.681155, 0.851444, 0.681155, 0.425722");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.89268");
+						index_3("0.001");
+						index_4("0.9354078, 1.0086004, 1.1388459, 1.2416920, 1.3034251");
+						values("0.490672, 0.785075, 0.981343, 0.785075, 0.490672");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.27038");
+						index_3("0.001");
+						index_4("0.6293090, 0.6885694, 0.7788140, 0.8794330, 0.9282573");
+						values("0.51647, 0.826352, 1.03294, 0.826352, 0.51647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.64826");
+						index_3("0.001");
+						index_4("0.8249075, 0.8702452, 0.9501908, 1.0163148, 1.0590527");
+						values("0.242683, 0.388293, 0.485366, 0.388293, 0.242683");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.946338");
+						index_3("0.001");
+						index_4("0.4772639, 0.5121085, 0.5827139, 0.6278674, 0.6610066");
+						values("0.274147, 0.438636, 0.548295, 0.438636, 0.274147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.635191");
+						index_3("0.001");
+						index_4("0.3231901, 0.3513432, 0.3931032, 0.4459413, 0.4718652");
+						values("0.288937, 0.4623, 0.577875, 0.4623, 0.288937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.83901");
+						index_3("0.001");
+						index_4("1.3964453, 1.5087643, 1.6887996, 1.8420056, 1.9353557");
+						values("0.681365, 1.09018, 1.36273, 1.09018, 0.681365");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.09409");
+						index_3("0.001");
+						index_4("0.5457140, 0.5952255, 0.6885760, 0.8043111, 0.8732240");
+						values("1.45467, 1.15748, 0.959349, 1.15748, 1.45467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.620372");
+						index_3("0.001");
+						index_4("0.3119109, 0.3472945, 0.4258049, 0.5016221, 0.5511514");
+						values("1.42852, 1.11563, 0.907036, 1.11563, 1.42852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.411478");
+						index_3("0.001");
+						index_4("0.2083655, 0.2360794, 0.2921845, 0.3573563, 0.3966019");
+						values("1.41795, 1.09873, 0.885906, 1.09873, 1.41795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.547044");
+						index_3("0.001");
+						index_4("0.2786364, 0.3048571, 0.3542074, 0.4114711, 0.4559708");
+						values("1.69675, 1.5448, 1.4435, 1.5448, 1.69675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.310186");
+						index_3("0.001");
+						index_4("0.1605392, 0.1788324, 0.2122522, 0.2585439, 0.2927492");
+						values("1.6897, 1.53352, 1.4294, 1.53352, 1.6897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.205739");
+						index_3("0.001");
+						index_4("0.1083616, 0.1227336, 0.1508469, 0.1849896, 0.2132559");
+						values("1.68795, 1.53072, 1.4259, 1.53072, 1.68795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.930558");
+						index_3("0.001");
+						index_4("0.4622690, 0.5181711, 0.6213466, 0.7369678, 0.8110930");
+						values("1.19058, 0.734927, 0.431158, 0.734927, 1.19058");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.012664;
+			capacitance : 0.012997;
+			rise_capacitance : 0.013330;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.0413111, 16.2959050, 23.5504980, 25.2256580, 26.8338110, 28.5089700, 30.1841300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.3676846, 15.1718310, 22.9759770, 23.4187000, 23.8437150, 24.2864380, 24.7291610");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00164854";
+				miller_cap_rise : "0.00148964";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("18.2291, 8.24311, 2.7424, 2.66667, 2.58832, 2.5383, 2.47682, 2.40101, 2.31321, 2.21851, 2.11908, 2.0153, 1.90712, 1.79436, 1.67671, 1.55378, 1.42487, 1.28878, 1.14295, 0.983635, 0.811221, 0.626831, 0.430349, 0.2215, -1.66175e-08, -0.460214, -0.961228, -5.46471, -15.2005", \
+					  "17.8577, 7.77147, 2.13477, 1.99879, 1.96333, 1.94364, 1.92194, 1.89758, 1.86968, 1.83687, 1.79695, 1.74613, 1.67954, 1.59792, 1.5071, 1.40905, 1.30348, 1.18922, 1.06371, 0.922823, 0.766576, 0.596318, 0.411977, 0.213293, -4.58534e-09, -0.44568, -0.938374, -5.46244, -15.1989", \
+					  "17.69, 7.51084, 1.81979, 1.59625, 1.57085, 1.55756, 1.54339, 1.52802, 1.51113, 1.49229, 1.4709, 1.44606, 1.41631, 1.37903, 1.32943, 1.26209, 1.17993, 1.08677, 0.981059, 0.858474, 0.718552, 0.562871, 0.391396, 0.203861, 1.39767e-09, -0.429035, -0.912175, -5.45998, -15.197", \
+					  "17.6362, 7.42871, 1.72132, 1.46418, 1.44102, 1.42921, 1.41675, 1.40336, 1.38878, 1.37269, 1.35467, 1.33412, 1.31011, 1.28107, 1.24414, 1.19396, 1.12527, 1.04163, 0.944465, 0.829815, 0.69702, 0.547761, 0.38202, 0.199524, -6.24015e-09, -0.421452, -0.900309, -5.4589, -15.1962", \
+					  "17.5818, 7.35138, 1.62994, 1.33356, 1.31218, 1.30163, 1.29062, 1.27891, 1.26625, 1.25241, 1.23708, 1.21985, 1.20008, 1.17679, 1.1483, 1.11138, 1.05992, 0.989122, 0.901949, 0.796433, 0.671857, 0.530037, 0.370977, 0.194392, -6.56314e-09, -0.412538, -0.886441, -5.45765, -15.1953", \
+					  "17.5531, 7.31412, 1.58828, 1.26926, 1.24822, 1.23822, 1.22787, 1.21689, 1.20509, 1.19223, 1.17806, 1.16221, 1.14418, 1.12316, 1.09782, 1.06572, 1.02198, 0.959451, 0.878112, 0.777693, 0.657698, 0.520038, 0.364728, 0.191479, -2.94546e-08, -0.407505, -0.878651, -5.45697, -15.1948", \
+					  "17.5229, 7.27738, 1.54806, 1.21373, 1.18463, 1.17514, 1.16539, 1.1551, 1.14408, 1.13212, 1.119, 1.10441, 1.08791, 1.06886, 1.04618, 1.01801, 0.980618, 0.926886, 0.852308, 0.757411, 0.642351, 0.509177, 0.357926, 0.188298, -4.72325e-06, -0.402046, -0.870235, -5.45624, -15.1943", \
+					  "17.4908, 7.2409, 1.50859, 1.16626, 1.12129, 1.11222, 1.10299, 1.09332, 1.08299, 1.07184, 1.05965, 1.04616, 1.03101, 1.01365, 0.993225, 0.968257, 0.935931, 0.890362, 0.8237, 0.734814, 0.62504, 0.496665, 0.349752, 0.183987, -0.000944097, -0.397333, -0.862775, -5.4581, -15.2017", \
+					  "17.4563, 7.20436, 1.46901, 1.1168, 1.05487, 1.0438, 1.03456, 1.02516, 1.01525, 1.00465, 0.993153, 0.980522, 0.966441, 0.950451, 0.931847, 0.909451, 0.881094, 0.84226, 0.784298, 0.702031, 0.597805, 0.474372, 0.331811, 0.169761, -0.0121474, -0.404534, -0.87033, -5.4823, -15.2684", \
+					  "17.4188, 7.16736, 1.42847, 1.06364, 0.989241, 0.970726, 0.960296, 0.95091, 0.941338, 0.931241, 0.920398, 0.908582, 0.89551, 0.880789, 0.863828, 0.843669, 0.818607, 0.785204, 0.735899, 0.661393, 0.56335, 0.445421, 0.307739, 0.149884, -0.0285773, -0.416463, -0.882648, -5.51217, -15.3469", \
+					  "17.3777, 7.12961, 1.38707, 1.00854, 0.925991, 0.900022, 0.885604, 0.875717, 0.866353, 0.856713, 0.846489, 0.835445, 0.823318, 0.809763, 0.794278, 0.77607, 0.753769, 0.724706, 0.682899, 0.617254, 0.526133, 0.414356, 0.282222, 0.129263, -0.0450229, -0.426913, -0.891904, -5.53757, -15.4134", \
+					  "17.3336, 7.09122, 1.34533, 0.952647, 0.864465, 0.833385, 0.813416, 0.80166, 0.792247, 0.782989, 0.773342, 0.763025, 0.751781, 0.739299, 0.725146, 0.708652, 0.688693, 0.663137, 0.627312, 0.570797, 0.487479, 0.382514, 0.256611, 0.10927, -0.0600686, -0.434317, -0.894655, -5.55637, -15.463", \
+					  "17.2861, 7.05233, 1.30373, 0.896666, 0.804413, 0.769967, 0.745725, 0.730485, 0.720442, 0.711465, 0.702367, 0.692753, 0.682354, 0.67088, 0.657948, 0.642987, 0.625051, 0.60239, 0.571257, 0.522906, 0.448354, 0.350893, 0.231915, 0.0909299, -0.0726714, -0.437572, -0.88948, -5.56723, -15.492", \
+					  "17.2351, 7.01305, 1.26257, 0.841112, 0.745847, 0.709119, 0.682255, 0.664055, 0.652579, 0.64378, 0.635269, 0.626395, 0.616851, 0.606366, 0.594596, 0.581044, 0.564899, 0.544687, 0.517296, 0.475472, 0.410101, 0.320895, 0.209551, 0.075669, -0.0813917, -0.435204, -0.876463, -5.56842, -15.4962", \
+					  "17.1802, 6.9735, 1.22211, 0.786464, 0.688965, 0.650635, 0.622222, 0.602748, 0.590309, 0.581567, 0.573675, 0.565559, 0.556859, 0.547315, 0.53662, 0.524339, 0.509764, 0.49162, 0.467235, 0.430393, 0.373305, 0.293083, 0.190031, 0.0639323, -0.0858744, -0.427157, -0.855933, -5.56132, -15.4799", \
+					  "17.1217, 6.93387, 1.18268, 0.733265, 0.634151, 0.594669, 0.56534, 0.545636, 0.533379, 0.524601, 0.517092, 0.509603, 0.501627, 0.492897, 0.483134, 0.471946, 0.458704, 0.442279, 0.420309, 0.387267, 0.336562, 0.265556, 0.171435, 0.053752, -0.0881726, -0.415911, -0.831061, -5.55114, -15.4551", \
+					  "17.0598, 6.89452, 1.14474, 0.682218, 0.582018, 0.541705, 0.511841, 0.49235, 0.480659, 0.47209, 0.464682, 0.457622, 0.45025, 0.442224, 0.43327, 0.423027, 0.410925, 0.39594, 0.375932, 0.34584, 0.299702, 0.236756, 0.152189, 0.0436202, -0.0897427, -0.403011, -0.803654, -5.54066, -15.428", \
+					  "16.9954, 6.85605, 1.10895, 0.634322, 0.533514, 0.492619, 0.462463, 0.44335, 0.43225, 0.424052, 0.416806, 0.409946, 0.403038, 0.395616, 0.387365, 0.37794, 0.36681, 0.35303, 0.334618, 0.306838, 0.263965, 0.20668, 0.131597, 0.0329153, -0.0910939, -0.388866, -0.774094, -5.53083, -15.401", \
+					  "16.9303, 6.81956, 1.07634, 0.591061, 0.490087, 0.44882, 0.418546, 0.399869, 0.389317, 0.38152, 0.374569, 0.367885, 0.361243, 0.3543, 0.346646, 0.337914, 0.327599, 0.314807, 0.297669, 0.271678, 0.231151, 0.177365, 0.109519, 0.0213498, -0.0923835, -0.373457, -0.742131, -5.52193, -15.3753", \
+					  "16.8682, 6.78688, 1.04853, 0.554664, 0.453911, 0.412457, 0.382203, 0.363989, 0.353943, 0.346536, 0.339914, 0.333494, 0.327035, 0.320382, 0.31319, 0.30502, 0.295357, 0.283339, 0.267172, 0.242503, 0.203609, 0.151924, 0.0878182, 0.00878847, -0.0936567, -0.356623, -0.707385, -5.5141, -15.3514", \
+					  "16.8157, 6.76113, 1.02797, 0.528388, 0.428107, 0.386619, 0.356502, 0.338774, 0.329198, 0.322157, 0.315856, 0.30972, 0.303486, 0.296995, 0.290073, 0.282306, 0.273122, 0.261658, 0.246156, 0.222356, 0.184479, 0.133953, 0.071457, -0.00370835, -0.0949335, -0.338261, -0.670983, -5.50746, -15.3299", \
+					  "16.7938, 6.75163, 1.02093, 0.519978, 0.419697, 0.378107, 0.348078, 0.330741, 0.321495, 0.314687, 0.308577, 0.302602, 0.296492, 0.290061, 0.28314, 0.275467, 0.266465, 0.255218, 0.239964, 0.216457, 0.178899, 0.1287, 0.0665797, -0.00807213, -0.0960012, -0.31923, -0.635515, -5.50202, -15.3108", \
+					  "16.7939, 6.75162, 1.02105, 0.520452, 0.419517, 0.377627, 0.347506, 0.330352, 0.32122, 0.314448, 0.308343, 0.302355, 0.296211, 0.28971, 0.282657, 0.274806, 0.265716, 0.254445, 0.239186, 0.215682, 0.17813, 0.127938, 0.0658247, -0.00881784, -0.0967201, -0.302886, -0.602562, -5.4977, -15.2943", \
+					  "16.7945, 6.75179, 1.02126, 0.520992, 0.419467, 0.377313, 0.347118, 0.33012, 0.321078, 0.314332, 0.308231, 0.302233, 0.296065, 0.289523, 0.282395, 0.274409, 0.265154, 0.253807, 0.238524, 0.215013, 0.17746, 0.127269, 0.0651574, -0.00948236, -0.0973801, -0.295491, -0.572951, -5.49434, -15.2802", \
+					  "16.7951, 6.7516, 1.02157, 0.521467, 0.419423, 0.377042, 0.346791, 0.32993, 0.320964, 0.31424, 0.308143, 0.30214, 0.295959, 0.289392, 0.282221, 0.27416, 0.264771, 0.253276, 0.237929, 0.214399, 0.17684, 0.126647, 0.064536, -0.0101023, -0.0979975, -0.295782, -0.551682, -5.49178, -15.2685", \
+					  "16.796, 6.75185, 1.02187, 0.522255, 0.41935, 0.376603, 0.346271, 0.32964, 0.32079, 0.314104, 0.308016, 0.30201, 0.295815, 0.289222, 0.282009, 0.273879, 0.26437, 0.252662, 0.237041, 0.213328, 0.175701, 0.125482, 0.0633611, -0.0112809, -0.0991764, -0.296961, -0.545764, -5.48965, -15.252", \
+					  "16.7968, 6.75207, 1.02198, 0.522872, 0.419294, 0.376266, 0.345885, 0.329431, 0.320668, 0.314008, 0.30793, 0.301924, 0.295723, 0.28912, 0.281889, 0.273731, 0.264177, 0.252393, 0.23663, 0.212657, 0.17471, 0.12428, 0.0620698, -0.0126126, -0.10053, -0.298346, -0.547141, -5.49026, -15.2437", \
+					  "16.7985, 6.75256, 1.02241, 0.524026, 0.419192, 0.375645, 0.345191, 0.32907, 0.320458, 0.313849, 0.307789, 0.301788, 0.295585, 0.288972, 0.281726, 0.273544, 0.263955, 0.25211, 0.236237, 0.212044, 0.173642, 0.122317, 0.0586728, -0.017974, -0.108305, -0.31079, -0.561235, -5.5006, -15.247", \
+					  "16.7997, 6.7529, 1.02267, 0.524677, 0.419149, 0.375285, 0.344781, 0.328861, 0.320337, 0.313756, 0.307707, 0.30171, 0.295508, 0.288893, 0.281643, 0.273455, 0.263856, 0.251995, 0.23609, 0.211832, 0.173299, 0.121718, 0.0575869, -0.0199585, -0.111914, -0.322431, -0.59084, -5.56118, -15.3229");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0162977, 0.0246761, 0.0336284, 0.0445239, 0.0629605");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189566, 0.0537599, 0.0709619, 0.0856617, 0.1070520");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0809506");
+						index_3("0.001");
+						index_4("0.0746002, 0.1372174, 0.4996453, 0.8620732, 0.9246904");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0779017");
+						index_3("0.001");
+						index_4("0.0705935, 0.1327359, 0.4548361, 0.7769363, 0.8390787");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0731957");
+						index_3("0.001");
+						index_4("0.0643869, 0.1249217, 1.0682589, 2.0115961, 2.0721309");
+						values("2.59521, 4.15233, 5.19041, 4.15233, 2.59521");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0404753");
+						index_3("0.001");
+						index_4("0.0791874, 0.1447697, 0.4694070, 0.7940444, 0.8596266");
+						values("2.59522, 4.15234, 5.19043, 4.15234, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0389508");
+						index_3("0.001");
+						index_4("0.0766899, 0.1420407, 1.0570862, 1.9721316, 2.0374825");
+						values("2.59522, 4.15236, 5.19045, 4.15236, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0365979");
+						index_3("0.001");
+						index_4("0.0729939, 0.1383994, 0.5614711, 0.9845427, 1.0499482");
+						values("2.59524, 4.15238, 5.19048, 4.15238, 2.59524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.116853");
+						index_3("0.001");
+						index_4("0.0734769, 0.1223527, 0.4936337, 0.8649148, 0.9137906");
+						values("2.59521, 4.15234, 5.19043, 4.15234, 2.59521");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006701;
+			capacitance : 0.006861;
+			rise_capacitance : 0.007022;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3300597, 0.2623177, 0.2189672, 0.2076939, 0.1972383, 0.1951221, 0.1991075", \
+					  "0.3944831, 0.3077261, 0.2882137, 0.2779404, 0.2565743, 0.2592497, 0.2620432", \
+					  "0.3972333, 0.3019502, 0.2864255, 0.2677257, 0.2684244, 0.2637431, 0.2481796", \
+					  "0.3776039, 0.3071991, 0.2730055, 0.2445781, 0.2417519, 0.2548946, 0.2527765", \
+					  "0.3580762, 0.2860115, 0.2378269, 0.2514490, 0.2210177, 0.2572403, 0.2225676", \
+					  "0.3439419, 0.2568277, 0.2192034, 0.1926449, 0.1882536, 0.2064446, 0.2267439", \
+					  "0.3106794, 0.2332003, 0.1714332, 0.1671369, 0.1855978, 0.1772889, 0.1812744");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820954, 0.0471345, -0.0544258, -0.1414033, -0.2125286, -0.2650952, -0.3158471", \
+					  "0.2404158, 0.1095182, 0.0008101, -0.0841194, -0.1664645, -0.2392754, -0.3037676", \
+					  "0.2522215, 0.1092516, 0.0122035, -0.0819142, -0.1579206, -0.2334262, -0.3009874", \
+					  "0.2372694, 0.1004031, 0.0017230, -0.0870044, -0.1717612, -0.2453660, -0.3031956", \
+					  "0.2116382, 0.0701943, -0.0268050, -0.1005911, -0.1851854, -0.2727508, -0.3324274", \
+					  "0.1929264, 0.0423271, -0.0307410, -0.1311440, -0.2088624, -0.2868850, -0.3515642", \
+					  "0.1581379, -0.0077203, -0.0624776, -0.1587088, -0.2390836, -0.2777405, -0.3665162");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1093872, -0.0339807, -0.0089744, -0.0068563, -0.0025042, -0.0080174, 0.0063077", \
+					  "-0.1707583, -0.0954671, -0.0611808, -0.0514637, -0.0305727, -0.0373661, -0.0367738", \
+					  "-0.1537308, -0.1085480, -0.0771029, -0.0721677, -0.0387304, -0.0384906, -0.0440019", \
+					  "-0.1599826, -0.0951219, -0.0698102, -0.0418385, 0.3455607, -0.0250645, -0.0443184", \
+					  "-0.1389290, -0.0633872, -0.0219171, -0.0225950, -0.0208678, -0.0159349, -0.0221483", \
+					  "-0.1095360, -0.0370459, 0.0030628, 0.0033914, 0.0109241, 0.0077000, 0.0279851", \
+					  "-0.0777993, 0.0130013, 0.0317478, 0.0380231, 0.0339744, 0.0534314, 0.0419139");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1643647, -0.0294029, 0.0664352, 0.1564663, 0.2262156, 0.2792643, 0.3218196", \
+					  "-0.2242099, -0.0905112, 0.0105051, 0.0971228, 0.1766806, 0.2479733, 0.3136853", \
+					  "-0.2178636, -0.0959782, 0.0075516, 0.0938961, 0.1820920, 0.2550837, 0.3164430", \
+					  "-0.2195376, -0.0886556, 0.0099252, 0.1033922, 0.1803389, 0.2530218, 0.3249540", \
+					  "-0.1984841, -0.0569209, 0.0356674, 0.1174003, 0.1968148, 0.2874320, 0.3410309", \
+					  "-0.1690910, -0.0290537, 0.0440426, 0.1483864, 0.2193611, 0.2939368, 0.3677712", \
+					  "-0.1327768, 0.0194679, 0.0757793, 0.1778018, 0.2501966, 0.2865811, 0.3827232");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427426, 0.0421909, 0.0416391, 0.0417909, 0.0419366, 0.0420884, 0.0422401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541270, 0.0533502, 0.0525735, 0.0530537, 0.0535148, 0.0539950, 0.0544752");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105891";
+				miller_cap_rise : "0.000882002";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90883e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87966e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88777e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89211e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89782e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90972e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18657e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07575e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353344, 0.0656160, 0.1030595, 0.1458410, 0.2139358");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012553, 0.1316349, 0.1689006, 0.2116700, 0.2794220");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189804, 0.0300283, 0.0415407, 0.0542692, 0.0709178");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764922, 0.0933711, 0.1057679, 0.1183760, 0.1343663");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18545");
+						index_3("0.001");
+						index_4("2.0658022, 2.1743704, 2.3216477, 2.5239498, 2.6148987");
+						values("0.381852, 0.610964, 0.763705, 0.610964, 0.381852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41186");
+						index_3("0.001");
+						index_4("1.1898114, 1.2827219, 1.4345620, 1.5608119, 1.6342176");
+						values("0.459968, 0.735949, 0.919936, 0.735949, 0.459968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62561");
+						index_3("0.001");
+						index_4("0.8023583, 0.8794378, 1.0125702, 1.1125277, 1.1692210");
+						values("0.489774, 0.783638, 0.979547, 0.783638, 0.489774");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09272");
+						index_3("0.001");
+						index_4("1.0432648, 1.0992678, 1.1957395, 1.2708285, 1.3191279");
+						values("0.216853, 0.346965, 0.433706, 0.346965, 0.216853");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20593");
+						index_3("0.001");
+						index_4("0.6044990, 0.6490701, 0.7295724, 0.7878050, 0.8255335");
+						values("0.255114, 0.408182, 0.510228, 0.408182, 0.255114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.812803");
+						index_3("0.001");
+						index_4("0.4099026, 0.4479644, 0.5002286, 0.5625673, 0.5921428");
+						values("0.271527, 0.434443, 0.543054, 0.434443, 0.271527");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.6178");
+						index_3("0.001");
+						index_4("1.7751677, 1.9182923, 2.1123600, 2.3269389, 2.4313488");
+						values("0.635991, 1.01759, 1.27198, 1.01759, 0.635991");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20401");
+						index_3("0.001");
+						index_4("0.6002549, 0.6549751, 0.7551825, 0.8979506, 0.9842547");
+						values("1.44551, 1.14281, 0.941012, 1.14281, 1.44551");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682363");
+						index_3("0.001");
+						index_4("0.3436712, 0.3843044, 0.4603746, 0.5604229, 0.6255722");
+						values("1.41858, 1.09972, 0.887154, 1.09972, 1.41858");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452664");
+						index_3("0.001");
+						index_4("0.2297364, 0.2601492, 0.3119187, 0.4001209, 0.4496755");
+						values("1.40747, 1.08195, 0.864935, 1.08195, 1.40747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602005");
+						index_3("0.001");
+						index_4("0.3062411, 0.3354463, 0.3914434, 0.4579357, 0.5148226");
+						values("1.69274, 1.53839, 1.43549, 1.53839, 1.69274");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341182");
+						index_3("0.001");
+						index_4("0.1766096, 0.1974612, 0.2289989, 0.2886036, 0.3324891");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226332");
+						index_3("0.001");
+						index_4("0.1193699, 0.1358753, 0.1655061, 0.2077771, 0.2422361");
+						values("1.67847, 1.51555, 1.40693, 1.51555, 1.67847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02354");
+						index_3("0.001");
+						index_4("0.5101547, 0.5711875, 0.6767979, 0.8211416, 0.9160089");
+						values("1.17305, 0.706874, 0.396092, 0.706874, 1.17305");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017565;
+			capacitance : 0.017619;
+			rise_capacitance : 0.017673;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1334713, 0.2267727, 0.3200742, 0.3385628, 0.3563119, 0.3748005, 0.3932890");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4547570, 0.4933850, 0.5320130, 0.5346143, 0.5371116, 0.5397129, 0.5423142");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000690271";
+				miller_cap_rise : "0.000443326";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.17667, 0.806351, 0.736681, 0.705661, 0.668864, 0.648339, 0.626428, 0.603142, 0.578485, 0.55246, 0.52507, 0.496317, 0.466202, 0.434731, 0.401904, 0.367727, 0.332201, 0.295332, 0.257124, 0.21758, 0.176705, 0.134505, 0.0909836, 0.0461468, -8.48741e-09, -0.0905978, -0.176134, -0.444347, -2.17689", \
+					  "3.09151, 0.62361, 0.579768, 0.564422, 0.547305, 0.537807, 0.527451, 0.515976, 0.503026, 0.488185, 0.471122, 0.45175, 0.430119, 0.406273, 0.380229, 0.351992, 0.321568, 0.288958, 0.254169, 0.217204, 0.178069, 0.136773, 0.0933236, 0.0477291, -1.75804e-09, -0.0946115, -0.184177, -0.46064, -2.18146", \
+					  "3.0232, 0.469605, 0.425632, 0.415073, 0.403841, 0.397894, 0.391665, 0.385091, 0.378083, 0.370519, 0.362219, 0.352913, 0.342191, 0.329476, 0.314174, 0.295986, 0.274862, 0.250801, 0.223798, 0.193849, 0.160955, 0.125116, 0.0863389, 0.0446301, -1.76872e-09, -0.0903012, -0.177366, -0.450171, -2.17986", \
+					  "3.00446, 0.435081, 0.365932, 0.356681, 0.346956, 0.341865, 0.336582, 0.331069, 0.325271, 0.319118, 0.312507, 0.305291, 0.297244, 0.288008, 0.277032, 0.263608, 0.247205, 0.227634, 0.204848, 0.178828, 0.149561, 0.117043, 0.0812742, 0.0422574, -1.77742e-09, -0.0866493, -0.171316, -0.440229, -2.17839", \
+					  "2.98563, 0.429736, 0.303313, 0.295292, 0.286953, 0.282631, 0.278183, 0.273586, 0.268808, 0.263807, 0.258528, 0.25289, 0.246778, 0.240011, 0.2323, 0.223163, 0.211862, 0.197622, 0.180024, 0.158931, 0.134294, 0.106091, 0.07431, 0.038946, -1.79125e-09, -0.081451, -0.16265, -0.425772, -2.17628", \
+					  "2.9759, 0.431061, 0.27133, 0.263899, 0.256219, 0.252258, 0.248198, 0.24402, 0.2397, 0.235207, 0.230501, 0.225522, 0.220189, 0.214377, 0.207889, 0.200396, 0.19133, 0.179846, 0.165165, 0.146926, 0.125014, 0.0993818, 0.0700088, 0.0368829, -1.83283e-09, -0.0781812, -0.157187, -0.416562, -2.17495", \
+					  "2.96609, 0.432713, 0.239298, 0.232348, 0.225311, 0.221699, 0.21801, 0.21423, 0.210342, 0.206323, 0.202143, 0.197759, 0.193115, 0.188124, 0.182656, 0.176495, 0.169265, 0.160289, 0.148605, 0.133456, 0.114545, 0.0917757, 0.0651078, 0.0345198, -2.65972e-09, -0.074417, -0.150893, -0.405871, -2.17342", \
+					  "2.95633, 0.434657, 0.208617, 0.200931, 0.194524, 0.191251, 0.187921, 0.184523, 0.181046, 0.177471, 0.173778, 0.169938, 0.16591, 0.161637, 0.157033, 0.15196, 0.146185, 0.139271, 0.1304, 0.118487, 0.10284, 0.083228, 0.0595728, 0.0318373, -2.24796e-08, -0.0701257, -0.143714, -0.393581, -2.17168", \
+					  "2.94677, 0.437247, 0.184165, 0.170024, 0.164212, 0.161271, 0.158289, 0.155261, 0.152175, 0.149022, 0.145785, 0.142446, 0.138976, 0.135339, 0.131478, 0.127309, 0.122692, 0.117372, 0.110833, 0.102062, 0.0898803, 0.0737093, 0.0533773, 0.0288193, -4.99124e-07, -0.0652791, -0.135602, -0.379583, -2.1697", \
+					  "2.93757, 0.440764, 0.17106, 0.140435, 0.134793, 0.132178, 0.129537, 0.126866, 0.124159, 0.121407, 0.1186, 0.115726, 0.112767, 0.109699, 0.106488, 0.103082, 0.0994006, 0.0953034, 0.0905152, 0.084404, 0.0756962, 0.0632068, 0.0464988, 0.0254453, -1.15911e-05, -0.0598664, -0.12653, -0.363806, -2.1675", \
+					  "2.92893, 0.445471, 0.167107, 0.11519, 0.106743, 0.104439, 0.102125, 0.0997949, 0.0974445, 0.0950689, 0.0926619, 0.0902154, 0.0877187, 0.0851573, 0.0825111, 0.0797507, 0.0768314, 0.0736793, 0.0701591, 0.0659717, 0.0603013, 0.0515864, 0.0387607, 0.0215203, -0.000234099, -0.0540938, -0.116712, -0.346511, -2.1653", \
+					  "2.92106, 0.452056, 0.16862, 0.100281, 0.0805252, 0.0783345, 0.0762285, 0.074119, 0.0720017, 0.0698735, 0.0677308, 0.0655692, 0.0633828, 0.0611637, 0.0589011, 0.0565796, 0.0541762, 0.0516548, 0.0489534, 0.045948, 0.0423083, 0.0369406, 0.0280584, 0.014815, -0.00299607, -0.0504734, -0.108847, -0.331034, -2.16576", \
+					  "2.9142, 0.460903, 0.17405, 0.0958259, 0.0564675, 0.0527784, 0.0502943, 0.0478684, 0.0454533, 0.0430402, 0.0406251, 0.0382055, 0.0357782, 0.0333397, 0.030885, 0.0284072, 0.0258963, 0.0233367, 0.0207034, 0.0179504, 0.0149746, 0.0114108, 0.00582124, -0.00365557, -0.0176297, -0.0589501, -0.113518, -0.329949, -2.17869", \
+					  "2.90861, 0.472553, 0.183011, 0.0973695, 0.0354394, 0.0242305, 0.0197681, 0.0161951, 0.0127951, 0.0094625, 0.0061657, 0.00289147, -0.000367098, -0.00361442, -0.00685401, -0.0100893, -0.0133246, -0.0165654, -0.0198205, -0.0231044, -0.0264447, -0.0299149, -0.0338981, -0.0401593, -0.0504858, -0.0857146, -0.136771, -0.349126, -2.20765", \
+					  "2.90456, 0.487584, 0.195584, 0.102552, 0.0189926, -0.00523989, -0.0156267, -0.0212048, -0.0258427, -0.030168, -0.034342, -0.0384255, -0.0424474, -0.046423, -0.0503618, -0.0542697, -0.0581512, -0.0620101, -0.0658502, -0.0696764, -0.0734958, -0.0773222, -0.0811983, -0.0855086, -0.092277, -0.12043, -0.167088, -0.374053, -2.24", \
+					  "2.90235, 0.506627, 0.21208, 0.110821, 0.00787194, -0.029515, -0.0498051, -0.0588896, -0.0650045, -0.0702524, -0.0751216, -0.0797815, -0.0843079, -0.0887402, -0.0931016, -0.0974066, -0.101665, -0.105884, -0.11007, -0.114227, -0.11836, -0.122475, -0.126581, -0.130704, -0.135332, -0.155747, -0.196859, -0.396496, -2.26926", \
+					  "2.90228, 0.530337, 0.232787, 0.122241, 0.00214285, -0.0468274, -0.0782837, -0.0930419, -0.101191, -0.107486, -0.113046, -0.118231, -0.123191, -0.128004, -0.132714, -0.137347, -0.141922, -0.146451, -0.150944, -0.155409, -0.159852, -0.164279, -0.168697, -0.173115, -0.177578, -0.191436, -0.225833, -0.416517, -2.29573", \
+					  "2.90454, 0.558677, 0.256779, 0.135843, 0.000388142, -0.0586469, -0.100847, -0.12343, -0.134588, -0.14231, -0.148772, -0.154626, -0.160134, -0.165423, -0.170563, -0.175596, -0.180549, -0.185443, -0.190289, -0.195098, -0.19988, -0.20464, -0.209389, -0.214132, -0.218883, -0.229538, -0.255542, -0.435383, -2.32047", \
+					  "2.9085, 0.589555, 0.281313, 0.149293, 6.6461e-05, -0.0677375, -0.119683, -0.151452, -0.166829, -0.176411, -0.183968, -0.190596, -0.196712, -0.202512, -0.208099, -0.213535, -0.21886, -0.2241, -0.229274, -0.234397, -0.239479, -0.24453, -0.249561, -0.25458, -0.259598, -0.269839, -0.287573, -0.454025, -2.34415", \
+					  "2.91305, 0.62078, 0.304531, 0.161441, 1.20676e-05, -0.0754008, -0.135962, -0.177283, -0.198234, -0.210167, -0.218996, -0.22647, -0.23322, -0.239532, -0.245555, -0.251373, -0.257043, -0.262599, -0.268067, -0.273465, -0.278808, -0.284109, -0.289379, -0.294629, -0.299871, -0.310412, -0.323183, -0.473071, -2.36714", \
+					  "2.91771, 0.651637, 0.325828, 0.172192, 2.15657e-06, -0.0820091, -0.150124, -0.200588, -0.228472, -0.243401, -0.253733, -0.262144, -0.269566, -0.276401, -0.282856, -0.289046, -0.295043, -0.300895, -0.306633, -0.312282, -0.31786, -0.323382, -0.328862, -0.334313, -0.339749, -0.350639, -0.362016, -0.492972, -2.38969", \
+					  "2.92239, 0.681952, 0.344997, 0.181665, 3.23885e-07, -0.0877377, -0.162464, -0.221219, -0.257102, -0.275868, -0.28801, -0.297484, -0.305634, -0.31302, -0.319916, -0.326476, -0.332795, -0.338931, -0.344927, -0.350811, -0.356607, -0.362333, -0.368004, -0.373636, -0.379245, -0.390457, -0.401845, -0.514087, -2.41192", \
+					  "2.92705, 0.711663, 0.362016, 0.19, 3.16211e-08, -0.0927127, -0.173223, -0.239282, -0.283685, -0.307309, -0.321672, -0.332377, -0.341333, -0.349307, -0.356664, -0.363604, -0.370245, -0.376663, -0.38291, -0.389022, -0.395027, -0.400945, -0.406796, -0.412596, -0.418363, -0.429871, -0.441488, -0.536724, -2.43393", \
+					  "2.93172, 0.740739, 0.376994, 0.197326, 4.63192e-09, -0.0970366, -0.182609, -0.255025, -0.307847, -0.337447, -0.354567, -0.366722, -0.376586, -0.385201, -0.393048, -0.400382, -0.407354, -0.414057, -0.420555, -0.426892, -0.4331, -0.439205, -0.445228, -0.451189, -0.457106, -0.468888, -0.480748, -0.561163, -2.4558", \
+					  "2.93637, 0.769158, 0.390113, 0.203755, 4.01097e-09, -0.100795, -0.1908, -0.268735, -0.32937, -0.365974, -0.386536, -0.400423, -0.411326, -0.42065, -0.429024, -0.436776, -0.444091, -0.451087, -0.457839, -0.464401, -0.470812, -0.477101, -0.483293, -0.48941, -0.495471, -0.507515, -0.519608, -0.587666, -2.47757", \
+					  "2.94565, 0.823944, 0.411596, 0.21432, 6.45923e-09, -0.106898, -0.204181, -0.291091, -0.364641, -0.416871, -0.446947, -0.465494, -0.479012, -0.490029, -0.499616, -0.508296, -0.516358, -0.523976, -0.531261, -0.538289, -0.545113, -0.551774, -0.558303, -0.564727, -0.571071, -0.583616, -0.596145, -0.647706, -2.52099", \
+					  "2.95489, 0.875741, 0.427951, 0.222363, 1.05151e-08, -0.111486, -0.214341, -0.308086, -0.391106, -0.45784, -0.501087, -0.52665, -0.543852, -0.55709, -0.568181, -0.577966, -0.586888, -0.595204, -0.603074, -0.610604, -0.617867, -0.624916, -0.631793, -0.638531, -0.64516, -0.658201, -0.671145, -0.716458, -2.56437", \
+					  "2.98222, 1.00613, 0.456252, 0.235998, 3.60693e-08, -0.119161, -0.231839, -0.337866, -0.436912, -0.528072, -0.608474, -0.67132, -0.712786, -0.739573, -0.758744, -0.773867, -0.786618, -0.797852, -0.808045, -0.817489, -0.826369, -0.834812, -0.842909, -0.850726, -0.858317, -0.872986, -0.887228, -0.931411, -2.69219", \
+					  "3.02218, 1.08833, 0.465889, 0.239644, 1.4232e-07, -0.121311, -0.238205, -0.350625, -0.458506, -0.561756, -0.660208, -0.753487, -0.840616, -0.919014, -0.983566, -1.03073, -1.06381, -1.0882, -1.10748, -1.12359, -1.1376, -1.15015, -1.16163, -1.1723, -1.18234, -1.20099, -1.21828, -1.26668, -2.88817");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0228926, 0.0436072, 0.0672519, 0.0940876, 0.1346420");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0856721, 0.1097166, 0.1334942, 0.1604934, 0.2009303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0161621, 0.0273083, 0.0396062, 0.0541574, 0.0730294");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0688558, 0.0870830, 0.1014405, 0.1156623, 0.1334311");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("2.14922");
+						index_3("0.001");
+						index_4("1.0481231, 1.1046750, 1.1834837, 1.2757261, 1.3296216");
+						values("0.270624, 0.432999, 0.541249, 0.432999, 0.270624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.25604");
+						index_3("0.001");
+						index_4("0.6140751, 0.6614192, 0.7344527, 0.8109714, 0.8563699");
+						values("0.375419, 0.60067, 0.750838, 0.60067, 0.375419");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.849788");
+						index_3("0.001");
+						index_4("0.4160569, 0.4573707, 0.5156382, 0.5868092, 0.6250592");
+						values("0.426098, 0.681757, 0.852196, 0.681757, 0.426098");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("1.07461");
+						index_3("0.001");
+						index_4("0.5272347, 0.5580942, 0.6083196, 0.6523436, 0.6847180");
+						values("0.162721, 0.260354, 0.325442, 0.260354, 0.162721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("0.628018");
+						index_3("0.001");
+						index_4("0.3109012, 0.3366089, 0.3771298, 0.4171515, 0.4422684");
+						values("0.213747, 0.341995, 0.427493, 0.341995, 0.213747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.424894");
+						index_3("0.001");
+						index_4("0.2120493, 0.2340893, 0.2657118, 0.3012436, 0.3226189");
+						values("0.236323, 0.378116, 0.472645, 0.378116, 0.236323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.88405");
+						index_3("0.001");
+						index_4("0.9125662, 0.9819434, 1.1026785, 1.1946731, 1.2599962");
+						values("0.501606, 0.80257, 1.00321, 0.80257, 0.501606");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("1.23247");
+						index_3("0.001");
+						index_4("0.6012331, 0.6417130, 0.7195259, 0.7972144, 0.8555834");
+						values("1.59766, 1.38626, 1.24532, 1.38626, 1.59766");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.662314");
+						index_3("0.001");
+						index_4("0.3240325, 0.3545086, 0.4202195, 0.4780190, 0.5248205");
+						values("1.52773, 1.27437, 1.10546, 1.27437, 1.52773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.419748");
+						index_3("0.001");
+						index_4("0.2066224, 0.2311568, 0.2695752, 0.3314323, 0.3687501");
+						values("1.49511, 1.22217, 1.04021, 1.22217, 1.49511");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("0.616235");
+						index_3("0.001");
+						index_4("0.3048623, 0.3267665, 0.3730316, 0.4132045, 0.4516120");
+						values("1.74427, 1.62083, 1.53853, 1.62083, 1.74427");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.331157");
+						index_3("0.001");
+						index_4("0.1663651, 0.1825138, 0.2080356, 0.2479897, 0.2796140");
+						values("1.71306, 1.5709, 1.47612, 1.5709, 1.71306");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.209874");
+						index_3("0.001");
+						index_4("0.1072298, 0.1207174, 0.1407527, 0.1726917, 0.1987990");
+						values("1.69912, 1.5486, 1.44825, 1.5486, 1.69912");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.993471");
+						index_3("0.001");
+						index_4("0.4796566, 0.5237412, 0.6158307, 0.7009702, 0.7656274");
+						values("1.39278, 1.05845, 0.835563, 1.05845, 1.39278");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005610;
+			capacitance : 0.005465;
+			fall_capacitance : 0.005320;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139954, -0.0309289, -0.0028709, 0.0053507, 0.0138398, 0.0057155, 0.0228615", \
+					  "-0.1738406, -0.0937966, -0.0562932, -0.0306012, -0.0231941, -0.0148575, -0.0184633", \
+					  "-0.1522355, -0.0990845, -0.0650384, -0.0577528, -0.0302107, -0.0232318, -0.0210801", \
+					  "-0.1615390, -0.0887102, -0.0764602, -0.0506685, -0.0411523, -0.0115293, -0.0015841", \
+					  "-0.1389596, -0.0569754, -0.0358361, -0.0359728, -0.0055338, -0.0031240, -0.0066351", \
+					  "-0.1110925, -0.0291083, -0.0015148, 0.0230415, -0.0127013, 0.0181844, 0.0236717", \
+					  "-0.0778300, 0.0209392, 0.0286960, 0.0507288, -0.0032750, 0.0400010, 0.0460708");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1307486, 0.0056922, 0.1114818, 0.1969341, 0.2670591, 0.3209423, 0.3734768", \
+					  "-0.1890680, -0.0570340, 0.0471262, 0.1430570, 0.2214431, 0.2983273, 0.3675841", \
+					  "-0.1766179, -0.0675504, 0.0380752, 0.1396712, 0.2211503, 0.3054250, 0.3642760", \
+					  "-0.1798182, -0.0556502, 0.0597638, 0.1439433, 0.2444258, 0.3145321, 0.3732930", \
+					  "-0.1602905, -0.0254413, 0.0737564, 0.1622195, 0.2486947, 0.3347342, 0.3849479", \
+					  "-0.1278457, 0.0024258, 0.1032397, 0.1890698, 0.2610602, 0.3595496, 0.4257546", \
+					  "-0.0976349, 0.0509472, 0.1183072, 0.2277540, 0.2871952, 0.3989156, 0.4376548");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3056778, 0.2333136, 0.1960790, 0.1695468, 0.1590912, 0.1569751, 0.1624864", \
+					  "0.3715939, 0.2802476, 0.2574720, 0.2345677, 0.2233961, 0.2229457, 0.2208276", \
+					  "0.3774290, 0.2791041, 0.2631741, 0.2274867, 0.2226920, 0.2065046, 0.2156806", \
+					  "0.3547478, 0.2842688, 0.2579103, 0.2125347, 0.2081826, 0.1969112, 0.2131036", \
+					  "0.3352201, 0.2616408, 0.2224356, 0.1960588, 0.2011869, 0.1773835, 0.1798430", \
+					  "0.3226118, 0.2320690, 0.2044460, 0.1852560, 0.1347522, 0.1519571, 0.1489241", \
+					  "0.2878233, 0.2063855, 0.1600055, 0.1581125, 0.1351546, 0.1411014, 0.1376422");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1500057, 0.0135644, -0.0950405, -0.1846791, -0.2574487, -0.3216326, -0.3670861", \
+					  "0.2052693, 0.0717532, -0.0345619, -0.1258801, -0.2113395, -0.2879919, -0.3544288", \
+					  "0.2140232, 0.0838886, -0.0304745, -0.1201060, -0.2086993, -0.2859856, -0.3539795", \
+					  "0.1990713, 0.0689366, -0.0468665, -0.1338398, -0.2271759, -0.2946528, -0.3661689", \
+					  "0.1795436, 0.0417795, -0.0665462, -0.1455702, -0.2370653, -0.3215789, -0.3766312", \
+					  "0.1455729, 0.0108606, -0.0690693, -0.1764253, -0.2517951, -0.3402908, -0.4141252", \
+					  "0.1184139, -0.0346090, -0.1019418, -0.2119875, -0.2603963, -0.3537169, -0.4199219");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0509861, 0.0504034, 0.0498208, 0.0501465, 0.0504593, 0.0507850, 0.0511108");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0612016, 0.0602466, 0.0592917, 0.0598489, 0.0603839, 0.0609411, 0.0614984");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105361";
+				miller_cap_rise : "0.000927197";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("4.45141, 0.663676, 0.580556, 0.559376, 0.533446, 0.518643, 0.502601, 0.485317, 0.466786, 0.447006, 0.425976, 0.403695, 0.380162, 0.355376, 0.329336, 0.302043, 0.273497, 0.243697, 0.212643, 0.180335, 0.146774, 0.111959, 0.0758918, 0.0385719, -3.94795e-09, -0.0766062, -0.150153, -0.391706, -3.01796", \
+					  "4.32148, 0.487919, 0.403175, 0.396055, 0.387559, 0.382563, 0.376868, 0.370253, 0.362447, 0.353181, 0.342271, 0.329619, 0.315181, 0.298937, 0.280877, 0.260997, 0.239293, 0.215765, 0.190411, 0.163233, 0.13423, 0.103404, 0.0707561, 0.0362875, -1.88058e-09, -0.0733136, -0.144805, -0.386361, -3.01808", \
+					  "4.24239, 0.375445, 0.265369, 0.261462, 0.257126, 0.254748, 0.252191, 0.249413, 0.246359, 0.242947, 0.239057, 0.234498, 0.228968, 0.222024, 0.213209, 0.202252, 0.189038, 0.173527, 0.155703, 0.135558, 0.11309, 0.0882995, 0.0611863, 0.0317523, -1.88853e-09, -0.0659976, -0.1323, -0.367502, -3.01479", \
+					  "4.22369, 0.363232, 0.217487, 0.214325, 0.210878, 0.209017, 0.207044, 0.204934, 0.202657, 0.200171, 0.197418, 0.19431, 0.190708, 0.186377, 0.180911, 0.173732, 0.164365, 0.152593, 0.138341, 0.121582, 0.102306, 0.0805098, 0.0561925, 0.0293552, -1.89282e-09, -0.0620765, -0.12558, -0.35736, -3.013", \
+					  "4.20752, 0.36777, 0.170038, 0.167524, 0.164831, 0.163398, 0.161895, 0.16031, 0.158626, 0.156822, 0.154869, 0.152726, 0.150333, 0.147597, 0.144356, 0.140305, 0.134865, 0.127323, 0.11726, 0.10453, 0.0890867, 0.0709157, 0.0500113, 0.0263724, -1.89843e-09, -0.0571793, -0.11719, -0.34483, -3.01077", \
+					  "4.20042, 0.37484, 0.146929, 0.144639, 0.142284, 0.141039, 0.13974, 0.138379, 0.136942, 0.135416, 0.13378, 0.132006, 0.130054, 0.127866, 0.125345, 0.122317, 0.118422, 0.112959, 0.105177, 0.0947103, 0.0814462, 0.065351, 0.0464134, 0.0246299, -1.90995e-09, -0.054313, -0.112283, -0.337588, -3.00947", \
+					  "4.19406, 0.385036, 0.125169, 0.122354, 0.120314, 0.119244, 0.118133, 0.116974, 0.11576, 0.114479, 0.113119, 0.11166, 0.110076, 0.10833, 0.106363, 0.104079, 0.101286, 0.0975486, 0.092027, 0.0839636, 0.0730575, 0.0592256, 0.0424432, 0.0227021, -2.17721e-09, -0.0511388, -0.106852, -0.329656, -3.00802", \
+					  "4.18851, 0.398448, 0.108344, 0.100907, 0.0991545, 0.098246, 0.0973075, 0.0963344, 0.0953209, 0.0942597, 0.0931416, 0.0919541, 0.0906805, 0.0892971, 0.0877689, 0.0860406, 0.0840143, 0.0814796, 0.0778926, 0.0722674, 0.0638822, 0.0525046, 0.038075, 0.0205755, -1.04491e-08, -0.047634, -0.100859, -0.321013, -3.00643", \
+					  "4.18392, 0.415214, 0.101803, 0.0807107, 0.0790695, 0.0783122, 0.0775336, 0.0767305, 0.0758992, 0.0750347, 0.074131, 0.07318, 0.0721711, 0.0710899, 0.0699154, 0.0686164, 0.0671415, 0.0653938, 0.0631357, 0.0596791, 0.0539011, 0.0451596, 0.0332854, 0.0182365, -2.65781e-07, -0.0437761, -0.0942653, -0.311645, -3.00468", \
+					  "4.18043, 0.43548, 0.105298, 0.0633164, 0.0603621, 0.0597446, 0.0591142, 0.0584674, 0.0578018, 0.0571142, 0.0564008, 0.0556567, 0.0548754, 0.0540483, 0.0531633, 0.0522031, 0.0511408, 0.0499307, 0.0484789, 0.0465108, 0.0431488, 0.0371715, 0.0280496, 0.0156664, -7.55301e-06, -0.0395502, -0.0870415, -0.301558, -3.00276", \
+					  "4.1782, 0.459399, 0.11598, 0.0536802, 0.0433726, 0.042849, 0.0423499, 0.0418416, 0.0413219, 0.0407888, 0.0402399, 0.0396724, 0.0390826, 0.0384657, 0.037815, 0.0371213, 0.0363712, 0.0355431, 0.0345986, 0.0334495, 0.0317707, 0.0284508, 0.0222357, 0.0127255, -0.000157356, -0.0350808, -0.0793061, -0.290934, -3.00085", \
+					  "4.1775, 0.487142, 0.13198, 0.0550461, 0.0284701, 0.0275809, 0.0271388, 0.0267067, 0.0262721, 0.0258316, 0.0253833, 0.0249254, 0.0244558, 0.0239718, 0.0234702, 0.0229465, 0.0223942, 0.021804, 0.0211602, 0.0204337, 0.019545, 0.018104, 0.0147342, 0.00823687, -0.00166279, -0.0316362, -0.0723861, -0.281264, -3.00038", \
+					  "4.1786, 0.518931, 0.152326, 0.0641716, 0.016129, 0.0118632, 0.0110412, 0.0104609, 0.00992663, 0.00940883, 0.00889762, 0.00838819, 0.00787757, 0.00736341, 0.00684356, 0.00631565, 0.00577677, 0.00522302, 0.00464861, 0.00404402, 0.00338992, 0.00262124, 0.00127085, -0.0022959, -0.00912542, -0.0339398, -0.0711306, -0.277438, -3.00589", \
+					  "4.18192, 0.553983, 0.176614, 0.0776021, 0.00711093, -0.00708086, -0.0108005, -0.0121651, -0.0131394, -0.0139817, -0.0147606, -0.0155032, -0.0162231, -0.0169281, -0.0176232, -0.0183119, -0.0189971, -0.0196812, -0.020367, -0.0210577, -0.0217585, -0.0224796, -0.0232677, -0.0246779, -0.0285103, -0.0478538, -0.081283, -0.284373, -3.02129", \
+					  "4.18798, 0.594886, 0.204699, 0.0939334, 0.00210085, -0.0249059, -0.0366919, -0.0404465, -0.0423997, -0.0438444, -0.0450688, -0.0461736, -0.0472041, -0.0481846, -0.0491294, -0.0500477, -0.0509458, -0.051828, -0.0526981, -0.0535588, -0.0544131, -0.055264, -0.0561171, -0.057009, -0.0586759, -0.0721515, -0.101376, -0.299987, -3.04419", \
+					  "4.19664, 0.64, 0.235372, 0.111948, 0.00041927, -0.0390115, -0.061888, -0.0708994, -0.074699, -0.0771025, -0.0789672, -0.0805591, -0.0819891, -0.083313, -0.0845625, -0.0857571, -0.0869099, -0.0880297, -0.0891233, -0.0901956, -0.0912508, -0.0922928, -0.0933253, -0.0943534, -0.0954823, -0.103319, -0.12771, -0.320611, -3.07121", \
+					  "4.20664, 0.687076, 0.266064, 0.129595, 6.9603e-05, -0.0507256, -0.0849881, -0.102215, -0.109165, -0.112984, -0.115705, -0.117908, -0.119817, -0.12154, -0.123135, -0.124637, -0.126069, -0.127446, -0.12878, -0.130078, -0.131348, -0.132595, -0.133825, -0.135042, -0.136263, -0.14039, -0.158721, -0.344421, -3.10062", \
+					  "4.21715, 0.734579, 0.295146, 0.145863, 8.79657e-06, -0.061029, -0.105956, -0.133108, -0.144958, -0.150795, -0.154617, -0.157554, -0.160013, -0.162177, -0.164143, -0.165969, -0.167689, -0.169327, -0.170902, -0.172424, -0.173904, -0.17535, -0.176769, -0.178168, -0.179555, -0.182603, -0.194089, -0.370564, -3.13153", \
+					  "4.22797, 0.781841, 0.321982, 0.1606, 5.99931e-07, -0.0702135, -0.12487, -0.162273, -0.180958, -0.189628, -0.194861, -0.198675, -0.201759, -0.204408, -0.206772, -0.208935, -0.210951, -0.212854, -0.214668, -0.21641, -0.218095, -0.219732, -0.221332, -0.222903, -0.224454, -0.227569, -0.233863, -0.398551, -3.16341", \
+					  "4.23905, 0.828418, 0.346356, 0.173867, 2.55141e-08, -0.0784062, -0.141856, -0.188986, -0.216109, -0.228702, -0.23575, -0.240619, -0.244419, -0.247605, -0.250396, -0.252916, -0.255238, -0.25741, -0.259466, -0.261427, -0.263314, -0.265138, -0.266912, -0.268648, -0.270355, -0.273731, -0.277815, -0.42808, -3.19594", \
+					  "4.2504, 0.87392, 0.368283, 0.185765, 2.56723e-09, -0.0857, -0.157059, -0.21307, -0.249484, -0.267345, -0.276749, -0.282898, -0.287528, -0.291312, -0.294569, -0.297468, -0.300112, -0.302562, -0.304864, -0.307048, -0.309135, -0.311145, -0.313091, -0.314987, -0.316846, -0.320499, -0.324265, -0.458972, -3.22886", \
+					  "4.26204, 0.917998, 0.387897, 0.196404, 1.8055e-09, -0.0921786, -0.170629, -0.234629, -0.280365, -0.304945, -0.317423, -0.325147, -0.330744, -0.335204, -0.338971, -0.342279, -0.345262, -0.348004, -0.35056, -0.35297, -0.355262, -0.357458, -0.359575, -0.361631, -0.363637, -0.367564, -0.371488, -0.491142, -3.26204", \
+					  "4.27397, 0.960366, 0.405383, 0.205888, 1.78442e-09, -0.0979196, -0.182711, -0.253859, -0.308348, -0.340898, -0.357385, -0.367066, -0.373808, -0.379037, -0.38337, -0.387122, -0.390467, -0.393515, -0.396336, -0.39898, -0.401481, -0.403866, -0.406156, -0.408371, -0.410526, -0.41472, -0.418875, -0.524584, -3.29538", \
+					  "4.28619, 1.00082, 0.420931, 0.214314, 1.79611e-09, -0.102995, -0.193441, -0.270975, -0.333359, -0.374616, -0.396252, -0.408398, -0.416511, -0.422624, -0.427591, -0.431827, -0.435563, -0.438936, -0.442035, -0.444922, -0.447639, -0.450218, -0.452684, -0.45506, -0.457363, -0.461825, -0.466216, -0.559378, -3.3288", \
+					  "4.2987, 1.03923, 0.434722, 0.221775, 1.82122e-09, -0.10747, -0.202949, -0.286178, -0.355553, -0.405585, -0.43362, -0.448896, -0.45867, -0.465813, -0.471494, -0.476266, -0.480425, -0.484146, -0.48754, -0.490681, -0.493622, -0.496402, -0.499049, -0.501589, -0.504043, -0.508772, -0.513398, -0.595707, -3.36227", \
+					  "4.32448, 1.10945, 0.457673, 0.234142, 1.92566e-09, -0.114852, -0.218754, -0.311575, -0.392515, -0.458222, -0.502055, -0.526326, -0.540681, -0.550483, -0.557916, -0.563952, -0.569083, -0.573586, -0.577629, -0.581325, -0.584748, -0.587953, -0.590981, -0.593864, -0.59663, -0.601906, -0.606999, -0.673652, -3.42934", \
+					  "4.34378, 1.16961, 0.475364, 0.243599, 2.13506e-09, -0.120478, -0.230945, -0.331339, -0.421258, -0.498999, -0.559213, -0.596854, -0.618379, -0.632061, -0.641875, -0.649537, -0.655865, -0.6613, -0.666099, -0.670426, -0.674389, -0.678064, -0.681508, -0.684761, -0.68786, -0.693712, -0.699284, -0.756385, -3.49658", \
+					  "4.37739, 1.27358, 0.505328, 0.25926, 3.874e-09, -0.129867, -0.251994, -0.366387, -0.473002, -0.571636, -0.661585, -0.74057, -0.803048, -0.844731, -0.87066, -0.887946, -0.900586, -0.91051, -0.918703, -0.925715, -0.931877, -0.937401, -0.94243, -0.947067, -0.951385, -0.959287, -0.966499, -1.00612, -3.69295", \
+					  "4.37852, 1.30004, 0.510631, 0.261293, 1.35382e-08, -0.131725, -0.258187, -0.379401, -0.495383, -0.606142, -0.711668, -0.811908, -0.906699, -0.995599, -1.07742, -1.14924, -1.20641, -1.24712, -1.27525, -1.29557, -1.31114, -1.32369, -1.3342, -1.34327, -1.35126, -1.36496, -1.37654, -1.40956, -3.99419");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0390268, 0.0744387, 0.1158425, 0.1638199, 0.2406579");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1057621, 0.1409824, 0.1827031, 0.2309465, 0.3076041");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0192064, 0.0317233, 0.0447680, 0.0589268, 0.0774501");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0760389, 0.0943573, 0.1082363, 0.1224014, 0.1402771");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("4.74746");
+						index_3("0.001");
+						index_4("2.3451883, 2.4703095, 2.6937046, 2.8596870, 2.9651851");
+						values("0.381941, 0.611105, 0.763881, 0.611105, 0.381941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("2.73577");
+						index_3("0.001");
+						index_4("1.3511926, 1.4575654, 1.6172485, 1.7740496, 1.8553276");
+						values("0.458705, 0.733928, 0.917409, 0.733928, 0.458705");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("1.84396");
+						index_3("0.001");
+						index_4("0.9103644, 0.9970951, 1.1578431, 1.2618087, 1.3263384");
+						values("0.486621, 0.778593, 0.973241, 0.778593, 0.486621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("2.37373");
+						index_3("0.001");
+						index_4("1.1827553, 1.2466217, 1.3438088, 1.4404469, 1.4953811");
+						values("0.214985, 0.343976, 0.42997, 0.343976, 0.214985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("1.36789");
+						index_3("0.001");
+						index_4("0.6854897, 0.7366534, 0.8165338, 0.8931785, 0.9384387");
+						values("0.253692, 0.405908, 0.507385, 0.405908, 0.253692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("0.92198");
+						index_3("0.001");
+						index_4("0.4646343, 0.5077459, 0.5655864, 0.6313863, 0.6702139");
+						values("0.26751, 0.428016, 0.535019, 0.428016, 0.26751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("4.10366");
+						index_3("0.001");
+						index_4("2.0151981, 2.1771308, 2.4000158, 2.6394372, 2.7580227");
+						values("0.636213, 1.01794, 1.27243, 1.01794, 0.636213");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("1.31855");
+						index_3("0.001");
+						index_4("0.6572295, 0.7177996, 0.8426499, 0.9838303, 1.0791376");
+						values("1.46024, 1.16638, 0.970472, 1.16638, 1.46024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.747186");
+						index_3("0.001");
+						index_4("0.3752106, 0.4187244, 0.5113102, 0.6150679, 0.6842712");
+						values("1.43276, 1.12242, 0.915523, 1.12242, 1.43276");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.495704");
+						index_3("0.001");
+						index_4("0.2509885, 0.2852453, 0.3432237, 0.4385687, 0.4938894");
+						values("1.42252, 1.10603, 0.895042, 1.10603, 1.42252");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("0.659274");
+						index_3("0.001");
+						index_4("0.3339764, 0.3649225, 0.4141748, 0.5027577, 0.5659715");
+						values("1.69867, 1.54788, 1.44735, 1.54788, 1.69867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.373593");
+						index_3("0.001");
+						index_4("0.1928007, 0.2153678, 0.2532432, 0.3161886, 0.3653091");
+						values("1.68965, 1.53344, 1.4293, 1.53344, 1.68965");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.247852");
+						index_3("0.001");
+						index_4("0.1298786, 0.1476266, 0.1831662, 0.2279326, 0.2663150");
+						values("1.6868, 1.52888, 1.4236, 1.52888, 1.6868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("1.12078");
+						index_3("0.001");
+						index_4("0.5571199, 0.6256442, 0.7484080, 0.9027555, 1.0054695");
+						values("1.1842, 0.724726, 0.418407, 0.724726, 1.1842");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005769;
+			capacitance : 0.005540;
+			fall_capacitance : 0.005310;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("1");
+				} 
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1071929, 0.1071764, 0.1071600, 0.1070779, 0.1069991, 0.1069171, 0.1068350");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1016934, -0.1011249, -0.1005564, -0.1005259, -0.1004967, -0.1004662, -0.1004358");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2797057, 0.3380255, 0.3453534, 0.3272498, 0.3077221, 0.2951138, 0.2603253", \
+					  "0.2073874, 0.2547905, 0.2513539, 0.2579696, 0.2385118, 0.1755906, 0.1803924", \
+					  "0.1686132, 0.2330458, 0.2341908, 0.2222822, 0.1966808, 0.1736407, 0.1500568", \
+					  "0.1558140, 0.2248363, 0.2183314, 0.1967786, 0.1899552, 0.1468293, 0.1403721", \
+					  "0.1484101, 0.2098030, 0.2139793, 0.1929238, 0.1802983, 0.1423223, 0.1229476", \
+					  "0.1493457, 0.2076869, 0.1969892, 0.1831488, 0.1590729, 0.1342576, 0.1366462", \
+					  "0.1594347, 0.2101464, 0.2004392, 0.1918796, 0.1661101, 0.1412947, 0.1376303");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139648, -0.1738101, -0.1567826, -0.1630344, -0.1404549, -0.1125877, -0.0793252", \
+					  "-0.0370324, -0.0970234, -0.1070228, -0.0951226, -0.0633878, -0.0370466, 0.0130007", \
+					  "-0.0135520, -0.0632206, -0.0771028, -0.0731150, -0.0249704, 0.0015370, 0.0286960", \
+					  "-0.0114339, -0.0529159, -0.0735835, -0.0448581, -0.0240826, 0.0003557, 0.0346973", \
+					  "-0.0070818, -0.0335661, -0.0704956, -0.0590268, -0.0208681, -0.0024108, -0.0297926", \
+					  "-0.0141210, -0.0373661, -0.0400165, -0.0357456, -0.0159349, -0.0007521, 0.0251892", \
+					  "0.0017301, -0.0382997, -0.0485796, -0.0443183, -0.0251532, 0.0144875, 0.0419119");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4176429, -0.4790140, -0.4802971, -0.4621348, -0.4441330, -0.4223693, -0.3799515", \
+					  "-0.3575159, -0.4061732, -0.4091637, -0.4078074, -0.3854591, -0.3530143, -0.3228035", \
+					  "-0.3187278, -0.3831168, -0.3784376, -0.3543885, -0.3286425, -0.3210217, -0.2645746", \
+					  "-0.3105062, -0.3713695, -0.3762193, -0.3537521, -0.3446467, -0.3122019, -0.2653855", \
+					  "-0.3061541, -0.3629739, -0.3686707, -0.3582964, -0.3512454, -0.3216115, -0.2990651", \
+					  "-0.3070897, -0.3608524, -0.3741840, -0.3654038, -0.3550826, -0.3042077, -0.3091684", \
+					  "-0.3110751, -0.3678896, -0.3667904, -0.3540621, -0.3375862, -0.3158225, -0.3031069");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5498151, 0.6111867, 0.6154633, 0.5958334, 0.5763057, 0.5652234, 0.5273830", \
+					  "0.4836818, 0.5358417, 0.5354061, 0.5267224, 0.5104070, 0.5100001, 0.4658108", \
+					  "0.4540796, 0.5153455, 0.5179959, 0.4999922, 0.4774370, 0.4336618, 0.4136530", \
+					  "0.4426732, 0.5053925, 0.5082484, 0.4917706, 0.4585099, 0.4275910, 0.3943285", \
+					  "0.4368013, 0.5042977, 0.5069481, 0.4904702, 0.4648390, 0.4369718, 0.4067611", \
+					  "0.4362110, 0.4972970, 0.5063578, 0.4868282, 0.4749299, 0.4394333, 0.4092225", \
+					  "0.4401964, 0.5017279, 0.5103432, 0.5060724, 0.4651824, 0.4373152, 0.4254150");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3300597, 0.3944831, 0.3972333, 0.3776039, 0.3580762, 0.3439419, 0.3106794", \
+					  "0.2623177, 0.3077261, 0.3019502, 0.3071991, 0.2860115, 0.2568277, 0.2332003", \
+					  "0.2189672, 0.2882137, 0.2864255, 0.2730055, 0.2378269, 0.2192034, 0.1714332", \
+					  "0.2076939, 0.2779404, 0.2677257, 0.2445781, 0.2514490, 0.1926449, 0.1671369", \
+					  "0.1972383, 0.2565743, 0.2684244, 0.2417519, 0.2210177, 0.1882536, 0.1855978", \
+					  "0.1951221, 0.2592497, 0.2637431, 0.2548946, 0.2572403, 0.2064446, 0.1772889", \
+					  "0.1991075, 0.2620432, 0.2481796, 0.2527765, 0.2225676, 0.2267439, 0.1812744");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1093872, -0.1707583, -0.1537308, -0.1599826, -0.1389290, -0.1095360, -0.0777993", \
+					  "-0.0339807, -0.0954671, -0.1085480, -0.0951219, -0.0633872, -0.0370459, 0.0130013", \
+					  "-0.0089744, -0.0611808, -0.0771029, -0.0698102, -0.0219171, 0.0030628, 0.0317478", \
+					  "-0.0068563, -0.0514637, -0.0721677, -0.0418385, -0.0225950, 0.0033914, 0.0380231", \
+					  "-0.0025042, -0.0305727, -0.0387304, 0.3455607, -0.0208678, 0.0109241, 0.0339744", \
+					  "-0.0080174, -0.0373661, -0.0384906, -0.0250645, -0.0159349, 0.0077000, 0.0534314", \
+					  "0.0063077, -0.0367738, -0.0440019, -0.0443184, -0.0221483, 0.0279851, 0.0419139");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139954, -0.1738406, -0.1522355, -0.1615390, -0.1389596, -0.1110925, -0.0778300", \
+					  "-0.0309289, -0.0937966, -0.0990845, -0.0887102, -0.0569754, -0.0291083, 0.0209392", \
+					  "-0.0028709, -0.0562932, -0.0650384, -0.0764602, -0.0358361, -0.0015148, 0.0286960", \
+					  "0.0053507, -0.0306012, -0.0577528, -0.0506685, -0.0359728, 0.0230415, 0.0507288", \
+					  "0.0138398, -0.0231941, -0.0302107, -0.0411523, -0.0055338, -0.0127013, -0.0032750", \
+					  "0.0057155, -0.0148575, -0.0232318, -0.0115293, -0.0031240, 0.0181844, 0.0400010", \
+					  "0.0228615, -0.0184633, -0.0210801, -0.0015841, -0.0066351, 0.0236717, 0.0460708");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3056778, 0.3715939, 0.3774290, 0.3547478, 0.3352201, 0.3226118, 0.2878233", \
+					  "0.2333136, 0.2802476, 0.2791041, 0.2842688, 0.2616408, 0.2320690, 0.2063855", \
+					  "0.1960790, 0.2574720, 0.2631741, 0.2579103, 0.2224356, 0.2044460, 0.1600055", \
+					  "0.1695468, 0.2345677, 0.2274867, 0.2125347, 0.1960588, 0.1852560, 0.1581125", \
+					  "0.1590912, 0.2233961, 0.2226920, 0.2081826, 0.2011869, 0.1347522, 0.1351546", \
+					  "0.1569751, 0.2229457, 0.2065046, 0.1969112, 0.1773835, 0.1519571, 0.1411014", \
+					  "0.1624864, 0.2208276, 0.2156806, 0.2131036, 0.1798430, 0.1489241, 0.1376422");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0956636, -0.1524571, -0.1400072, -0.1432073, -0.1267314, -0.0942866, -0.0640758", \
+					  "-0.0126184, -0.0753460, -0.0905160, -0.0740381, -0.0453551, -0.0159621, 0.0325593", \
+					  "0.0122167, -0.0398489, -0.0605432, -0.0599826, -0.0152587, 0.0183216, 0.0575196", \
+					  "0.0253194, -0.0137196, -0.0194525, -0.0096794, 0.0119999, -0.0009296, 0.0694052", \
+					  "0.0205315, -0.0061192, -0.0100892, -0.0350945, 0.0036369, -0.0139744, 0.0136946", \
+					  "0.0544025, 0.0038327, -0.0079730, 0.0156763, 0.0153844, 0.0343195, 0.0238613", \
+					  "0.0515230, 0.0013731, -0.0081985, -0.0001965, 0.0435387, 0.0143937, 0.0460526");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2751423, 0.3349880, 0.3423167, 0.3226865, 0.3031588, 0.2890245, 0.2557620", \
+					  "0.1953368, 0.2478500, 0.2440996, 0.2461108, 0.2294492, 0.1697726, 0.1700002", \
+					  "0.1609839, 0.2193863, 0.2281245, 0.2101923, 0.1951994, 0.1617092, 0.1350172", \
+					  "0.1375035, 0.1971935, 0.2014991, 0.2014083, 0.1655412, 0.1407258, 0.1212889", \
+					  "0.1270479, 0.1884407, 0.1909331, 0.1746133, 0.1489821, 0.1151796, 0.1061629", \
+					  "0.1264576, 0.1847987, 0.1843974, 0.1672585, 0.1377106, 0.1098435, 0.1084850", \
+					  "0.1334948, 0.1781030, 0.1766754, 0.1658014, 0.1310149, 0.1016219, 0.1124305");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4161244, -0.4774955, -0.4787786, -0.4606163, -0.4426145, -0.4208509, -0.3784330", \
+					  "-0.3492507, -0.4048890, -0.4046609, -0.4047781, -0.3824802, -0.3500354, -0.3198246", \
+					  "-0.3236217, -0.3845993, -0.3843217, -0.3591457, -0.3255649, -0.3054625, -0.2538937", \
+					  "-0.3074544, -0.3714145, -0.3776005, -0.3445693, -0.3461725, -0.3137918, -0.2578423", \
+					  "-0.3076800, -0.3658987, -0.3564637, -0.3445635, -0.3527419, -0.3185309, -0.2530384", \
+					  "-0.3101414, -0.3641070, -0.3741840, -0.3715031, -0.3168688, -0.1653528, -0.3061021", \
+					  "-0.3126010, -0.3680305, -0.3684383, -0.3525362, -0.3345344, -0.3112449, -0.2800285");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5635576, 0.6249293, 0.6261535, 0.6080501, 0.5885224, 0.5789658, 0.5395997", \
+					  "0.4960949, 0.5536486, 0.5508123, 0.5406739, 0.5195187, 0.5191887, 0.4768252", \
+					  "0.4664253, 0.5306043, 0.5317288, 0.5154251, 0.4961810, 0.4411878, 0.4274994", \
+					  "0.4548862, 0.5193309, 0.5250331, 0.5055688, 0.4768205, 0.4459016, 0.4034837", \
+					  "0.4520600, 0.5119271, 0.5191551, 0.5057290, 0.4816237, 0.4507048, 0.4189681", \
+					  "0.4514697, 0.5048005, 0.5167989, 0.5036129, 0.4886645, 0.4516404, 0.4214296", \
+					  "0.4539292, 0.5148031, 0.5193702, 0.5243829, 0.4957000, 0.4571517, 0.4345703");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1164387, 0.1778102, 0.1607259, 0.1670345, 0.1444551, 0.1165899, 0.0848532", \
+					  "0.0410317, 0.1026062, 0.1157435, 0.1038432, 0.0721085, 0.0457672, -0.0042800", \
+					  "0.0160254, 0.0732326, 0.0826165, 0.0755057, 0.0273456, 0.0024630, -0.0307995", \
+					  "0.0108556, 0.0569872, 0.0776058, 0.0474996, 0.0281251, 0.0036938, -0.0288965", \
+					  "0.0065035, 0.0475991, 0.0450484, 0.0452016, 0.0264411, 0.0079532, 0.0337104", \
+					  "0.0181203, 0.0428919, 0.0455424, 0.0305904, 0.0207044, -0.0222168, 0.0353822", \
+					  "0.0022692, 0.0438256, 0.0541054, 0.0514687, 0.0291581, 0.0090205, -0.0348582");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0971803, -0.1570256, -0.1399981, -0.1477758, -0.1251963, -0.0973291, -0.0655925", \
+					  "-0.0263513, -0.0888814, -0.0994931, -0.0845411, -0.0543322, -0.0264651, 0.0220563", \
+					  "0.0001809, -0.0519800, -0.0740951, -0.0632246, -0.0129105, 0.0137440, 0.0424289", \
+					  "0.0022990, -0.0306047, -0.0663983, -0.0359123, -0.0151181, 0.0064576, 0.0445203", \
+					  "0.0066511, -0.0231941, -0.0375448, -0.0367043, -0.0148830, -0.0038581, -0.0205533", \
+					  "-0.0034398, -0.0206353, -0.0278095, -0.0190734, -0.0159275, 0.0181836, -0.0293402", \
+					  "0.0153077, -0.0276186, -0.0348466, -0.0279684, -0.0066407, -0.0030174, 0.0470298");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2903870, 0.3517586, 0.3575614, 0.3379311, 0.3199293, 0.3057951, 0.2710067", \
+					  "0.2167194, 0.2625852, 0.2625753, 0.2691688, 0.2460805, 0.1849902, 0.1881843", \
+					  "0.1808203, 0.2467908, 0.2418118, 0.2504172, 0.2011993, 0.1816046, 0.1584799", \
+					  "0.1725987, 0.2339915, 0.2259608, 0.2155865, 0.1991105, 0.1762141, 0.1505920", \
+					  "0.1621431, 0.2186547, 0.2226899, 0.2097085, 0.1832588, 0.1707501, 0.1290511", \
+					  "0.1630787, 0.2198939, 0.2174785, 0.2162974, 0.1728058, 0.1534140, 0.1425583", \
+					  "0.1701159, 0.2208276, 0.2234780, 0.2009380, 0.1813689, 0.1504500, 0.1463680");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1124389, -0.1753359, -0.1567825, -0.1615085, -0.1435067, -0.1156395, -0.0823769", \
+					  "-0.0370324, -0.1037286, -0.1085488, -0.0935969, -0.0618622, -0.0355209, 0.0145264", \
+					  "-0.0135520, -0.0692222, -0.0785950, -0.0731147, -0.0280285, -0.0030407, 0.0271701", \
+					  "-0.0114339, -0.0529159, -0.0811010, -0.0463695, -0.0270542, 0.0003558, 0.0346976", \
+					  "-0.0070818, -0.0380022, -0.0751202, -0.0590268, -0.0237812, -0.0024108, -0.0313320", \
+					  "-0.0125950, -0.0405197, -0.0415424, -0.0357456, -0.0159349, -0.0007527, 0.0251892", \
+					  "0.0032560, -0.0428774, -0.0531572, -0.0459212, -0.0266130, 0.0144875, 0.0389393");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0401464, 0.0902616, 0.0801597, 0.0720220, 0.0589593, 0.0311387, -0.0005980", \
+					  "-0.0319955, 0.0328425, 0.0379332, 0.0214553, -0.0072277, -0.0366207, -0.0805644", \
+					  "-0.0508464, 0.0011243, 0.0195824, 0.0049240, -0.0468701, -0.0723050, -0.1116711", \
+					  "-0.0630536, -0.0086232, -0.0170660, -0.0343604, -0.0629655, -0.0910794, -0.1207755", \
+					  "-0.0726757, -0.0155827, -0.0225338, -0.0435874, -0.0555606, -0.0922486, -0.1242293", \
+					  "-0.0566480, -0.0178888, -0.0184135, -0.0273610, -0.0682830, -0.0792919, -0.1247429", \
+					  "-0.0727984, -0.0356707, -0.0160850, -0.0249335, -0.0749788, -0.0982683, -0.1029943");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1911957, 0.1328897, 0.1317651, 0.1482449, 0.1769279, 0.2154762, 0.2578940", \
+					  "0.2622777, 0.2039572, 0.1965283, 0.2130062, 0.2355856, 0.2649787, 0.2982412", \
+					  "0.2986574, 0.2339628, 0.2393988, 0.2608314, 0.2757939, 0.3051869, 0.3384494", \
+					  "0.3006326, 0.2484051, 0.2564661, 0.2830027, 0.3089867, 0.3383798, 0.3701165", \
+					  "0.2934695, 0.2575190, 0.2670089, 0.3455944, 0.3133627, 0.3229729, 0.3562079", \
+					  "0.3002102, 0.2756194, 0.2624624, 0.2648525, 0.3052328, 0.3236182, 0.3553617", \
+					  "0.2925064, 0.2625650, 0.2464527, 0.2654447, 0.2849724, 0.3311501, 0.3561143");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1109123, -0.1738093, -0.1552560, -0.1599819, -0.1389283, -0.1095353, -0.0777986", \
+					  "-0.0385583, -0.0969710, -0.1070890, -0.0936629, -0.0619282, -0.0355869, 0.0144603", \
+					  "-0.0120261, -0.0652548, -0.0785798, -0.0730436, -0.0265090, -0.0015148, 0.0317478", \
+					  "-0.0083822, -0.0514637, -0.0735814, -0.0449284, -0.0240668, 0.0003823, 0.0364499", \
+					  "-0.0040301, -0.0335661, -0.0705168, 0.3455767, -0.0208976, 0.0109363, 0.0325273", \
+					  "-0.0095433, -0.0388919, -0.0400165, -0.0265904, -0.0159316, -0.0007468, 0.0579320", \
+					  "0.0063077, -0.0382997, -0.0485796, -0.0443127, -0.0236529, 0.0279863, 0.0404254");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3529474, 0.4064645, 0.4159108, 0.4004915, 0.3824897, 0.3683555, 0.3335670", \
+					  "0.2778699, 0.3278714, 0.3234929, 0.3274976, 0.3063761, 0.2782602, 0.2475418", \
+					  "0.2525366, 0.3093518, 0.3105473, 0.2819817, 0.2752695, 0.2286226, 0.2156406", \
+					  "0.2321080, 0.2881486, 0.3022548, 0.2842510, 0.2514600, 0.2536408, 0.2188524", \
+					  "0.2247041, 0.2901778, 0.2856180, 0.2831144, 0.2512159, 0.2111418, 0.2017354", \
+					  "0.2225880, 0.2840833, 0.2827541, 0.2854121, 0.2857209, 0.2142007, 0.2108583", \
+					  "0.2265734, 0.2879662, 0.2749328, 0.2726129, 0.2363005, 0.2450545, 0.2048541");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00162598";
+				miller_cap_rise : "0.00152105";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("21.4307, 9.35042, 2.72779, 2.6579, 2.57692, 2.52473, 2.4604, 2.38197, 2.29302, 2.1981, 2.09871, 1.99512, 1.88723, 1.77485, 1.6577, 1.53535, 1.40711, 1.27174, 1.12658, 0.968272, 0.797654, 0.615664, 0.422204, 0.217057, -1.95654e-08, -0.450179, -0.938422, -5.00708, -13.9069", \
+					  "21.0786, 8.9595, 2.11254, 1.99557, 1.95979, 1.93981, 1.91772, 1.89285, 1.86427, 1.83052, 1.78921, 1.73633, 1.66744, 1.58472, 1.49361, 1.39549, 1.28997, 1.17582, 1.05039, 0.909874, 0.75482, 0.586412, 0.4046, 0.209193, -8.12665e-09, -0.436214, -0.916671, -5.0049, -13.9053", \
+					  "20.9096, 8.74013, 1.81432, 1.59432, 1.56891, 1.55553, 1.54122, 1.52568, 1.50856, 1.48943, 1.46767, 1.44232, 1.41182, 1.37339, 1.322, 1.25294, 1.17011, 1.07659, 0.970519, 0.847798, 0.708554, 0.55423, 0.384818, 0.200134, -3.04021e-09, -0.420234, -0.891668, -5.00253, -13.9036", \
+					  "20.8593, 8.67089, 1.7243, 1.46252, 1.43943, 1.42756, 1.41501, 1.40149, 1.38675, 1.37046, 1.35218, 1.3313, 1.30682, 1.27708, 1.23906, 1.18714, 1.117, 1.03273, 0.935047, 0.820074, 0.687758, 0.539659, 0.375789, 0.195962, -1.05663e-08, -0.412944, -0.880322, -5.00149, -13.9029", \
+					  "20.8113, 8.60696, 1.64288, 1.33212, 1.31088, 1.3003, 1.28923, 1.27742, 1.26465, 1.25067, 1.23517, 1.2177, 1.19763, 1.17391, 1.14477, 1.10679, 1.05368, 0.981653, 0.893757, 0.787723, 0.663415, 0.522543, 0.365141, 0.191021, -1.08401e-08, -0.404367, -0.867045, -5.00029, -13.902", \
+					  "20.7871, 8.57646, 1.60679, 1.26784, 1.24704, 1.23703, 1.22663, 1.21558, 1.20367, 1.19069, 1.17637, 1.16034, 1.14206, 1.1207, 1.09485, 1.06195, 1.01684, 0.952799, 0.870576, 0.769538, 0.649701, 0.512874, 0.359109, 0.188213, -4.10679e-08, -0.39952, -0.85958, -4.99963, -13.9016", \
+					  "20.7624, 8.54653, 1.57231, 1.21189, 1.18356, 1.17407, 1.16428, 1.15393, 1.14283, 1.13077, 1.11752, 1.10278, 1.08608, 1.06675, 1.04368, 1.01489, 0.976453, 0.921161, 0.84546, 0.749835, 0.63482, 0.502364, 0.352539, 0.185145, -4.69983e-06, -0.394261, -0.851508, -4.99894, -13.9011", \
+					  "20.7368, 8.51692, 1.53861, 1.16441, 1.12032, 1.11126, 1.102, 1.09228, 1.08189, 1.07065, 1.05836, 1.04474, 1.02942, 1.01184, 0.991097, 0.965656, 0.932547, 0.885631, 0.817585, 0.727844, 0.618, 0.490225, 0.344613, 0.180958, -0.000940402, -0.389754, -0.8444, -5.00087, -13.9087", \
+					  "20.71, 8.48732, 1.50478, 1.11506, 1.05382, 1.04292, 1.03367, 1.02423, 1.01428, 1.00361, 0.992022, 0.979283, 0.965065, 0.948895, 0.930041, 0.907277, 0.878332, 0.838455, 0.778998, 0.695712, 0.591301, 0.468349, 0.326963, 0.166895, -0.0121032, -0.397124, -0.852218, -5.02557, -13.9775", \
+					  "20.6811, 8.45726, 1.46986, 1.06202, 0.987988, 0.969845, 0.959492, 0.950089, 0.940477, 0.930325, 0.919412, 0.907508, 0.894325, 0.879458, 0.862297, 0.841851, 0.816342, 0.782162, 0.731494, 0.655767, 0.557418, 0.439849, 0.303211, 0.147204, -0.0284809, -0.409233, -0.864809, -5.05612, -14.0588", \
+					  "20.6509, 8.42644, 1.43397, 1.00706, 0.924654, 0.899011, 0.884837, 0.874981, 0.865593, 0.855911, 0.845632, 0.834517, 0.822299, 0.808626, 0.792982, 0.774548, 0.751903, 0.722259, 0.679366, 0.612357, 0.520795, 0.409255, 0.278031, 0.126775, -0.04488, -0.419895, -0.874301, -5.08217, -14.1281", \
+					  "20.6189, 8.395, 1.39768, 0.951306, 0.863118, 0.832275, 0.812597, 0.800982, 0.791574, 0.782289, 0.772599, 0.762225, 0.750908, 0.738331, 0.72405, 0.707377, 0.68715, 0.661154, 0.624507, 0.56667, 0.482752, 0.377902, 0.252769, 0.106979, -0.0598852, -0.427543, -0.876987, -5.1015, -14.1801", \
+					  "20.5848, 8.36314, 1.36151, 0.89547, 0.8031, 0.768824, 0.744823, 0.729816, 0.71984, 0.710854, 0.701726, 0.692068, 0.681609, 0.670059, 0.657025, 0.641921, 0.623773, 0.600771, 0.569013, 0.519549, 0.44425, 0.34678, 0.228432, 0.0888408, -0.0724536, -0.431067, -0.872562, -5.11269, -14.2108", \
+					  "20.5486, 8.33103, 1.32572, 0.840064, 0.744592, 0.707986, 0.681327, 0.663355, 0.652027, 0.64325, 0.63472, 0.625811, 0.616221, 0.605673, 0.593821, 0.580154, 0.563841, 0.54336, 0.515483, 0.472778, 0.406631, 0.317289, 0.206434, 0.0737833, -0.0811471, -0.428994, -0.860355, -5.11396, -14.2154", \
+					  "20.5102, 8.29885, 1.29059, 0.785567, 0.687784, 0.649539, 0.621311, 0.602065, 0.589793, 0.581108, 0.573209, 0.565067, 0.556329, 0.546734, 0.535972, 0.523597, 0.508886, 0.490526, 0.465754, 0.428209, 0.37046, 0.289983, 0.187282, 0.062248, -0.0856139, -0.421267, -0.840689, -5.10674, -14.1986", \
+					  "20.4697, 8.26685, 1.25642, 0.732519, 0.633051, 0.593623, 0.56447, 0.545011, 0.532911, 0.524196, 0.516696, 0.509188, 0.501182, 0.492411, 0.482592, 0.471327, 0.457975, 0.441375, 0.41909, 0.385471, 0.334259, 0.262959, 0.169055, 0.0522687, -0.087905, -0.410367, -0.816727, -5.09638, -14.1728", \
+					  "20.4273, 8.23536, 1.2236, 0.681624, 0.581004, 0.540717, 0.511022, 0.491789, 0.480246, 0.471727, 0.464338, 0.45727, 0.449875, 0.441816, 0.432817, 0.422511, 0.410317, 0.395187, 0.37492, 0.344345, 0.297809, 0.234646, 0.15018, 0.0423396, -0.0894741, -0.397837, -0.790275, -5.08572, -14.1447", \
+					  "20.3838, 8.20488, 1.19268, 0.633876, 0.532587, 0.491691, 0.461697, 0.44285, 0.431888, 0.423733, 0.416501, 0.409644, 0.402721, 0.395273, 0.386985, 0.377507, 0.366302, 0.3524, 0.333771, 0.305579, 0.262377, 0.204977, 0.129955, 0.0318391, -0.0908294, -0.384085, -0.761713, -5.07576, -14.1167", \
+					  "20.3402, 8.1767, 1.16457, 0.590755, 0.489242, 0.447948, 0.417829, 0.39942, 0.388999, 0.381241, 0.374301, 0.367619, 0.360971, 0.354009, 0.346324, 0.337548, 0.327169, 0.314273, 0.29695, 0.270603, 0.229793, 0.175948, 0.108217, 0.0204774, -0.0921279, -0.369089, -0.730827, -5.06678, -14.0901", \
+					  "20.2991, 8.15138, 1.14064, 0.554486, 0.453139, 0.411636, 0.381531, 0.363584, 0.35366, 0.346289, 0.339678, 0.333258, 0.326793, 0.32013, 0.312913, 0.304705, 0.294986, 0.282878, 0.266547, 0.241563, 0.202418, 0.150703, 0.0867544, 0.00811328, -0.0934148, -0.352689, -0.697229, -5.05891, -14.0654", \
+					  "20.2649, 8.13171, 1.123, 0.528313, 0.427394, 0.385838, 0.355864, 0.338402, 0.328942, 0.321935, 0.315643, 0.309506, 0.303266, 0.296764, 0.289825, 0.282025, 0.272789, 0.261243, 0.245592, 0.221502, 0.183393, 0.132853, 0.0705261, -0.00423509, -0.0947106, -0.334771, -0.661896, -5.05226, -14.0432", \
+					  "20.2513, 8.12451, 1.11702, 0.51995, 0.419004, 0.377338, 0.347454, 0.330386, 0.321252, 0.314476, 0.308373, 0.302397, 0.29628, 0.289836, 0.282898, 0.275196, 0.266145, 0.254818, 0.239418, 0.215628, 0.177842, 0.127631, 0.0656821, -0.00856538, -0.095791, -0.316165, -0.627382, -5.04683, -14.0235", \
+					  "20.252, 8.12472, 1.11718, 0.520432, 0.41882, 0.376852, 0.346883, 0.330001, 0.320979, 0.314237, 0.30814, 0.30215, 0.295997, 0.289483, 0.282409, 0.274531, 0.265394, 0.254044, 0.23864, 0.214854, 0.177074, 0.12687, 0.0649286, -0.00930939, -0.0965084, -0.300222, -0.595223, -5.04254, -14.0064", \
+					  "20.2529, 8.12505, 1.1174, 0.520978, 0.418765, 0.376533, 0.346496, 0.329773, 0.320838, 0.314122, 0.308028, 0.302027, 0.295851, 0.289294, 0.282145, 0.274129, 0.264829, 0.253405, 0.237978, 0.214185, 0.176405, 0.126202, 0.0642624, -0.00997262, -0.0971668, -0.293249, -0.566318, -5.03922, -13.9919", \
+					  "20.2537, 8.12535, 1.1176, 0.521458, 0.418717, 0.376257, 0.346169, 0.329587, 0.320724, 0.31403, 0.30794, 0.301934, 0.295744, 0.289162, 0.281969, 0.273877, 0.264441, 0.252871, 0.237383, 0.213572, 0.175785, 0.125581, 0.063642, -0.0105914, -0.0977829, -0.293582, -0.545887, -5.03671, -13.9798", \
+					  "20.2551, 8.12588, 1.11793, 0.522255, 0.418638, 0.37581, 0.345651, 0.329301, 0.320553, 0.313894, 0.307813, 0.301803, 0.295599, 0.288991, 0.281756, 0.273593, 0.264036, 0.25225, 0.236488, 0.212498, 0.174646, 0.124418, 0.0624688, -0.0117678, -0.0989593, -0.294758, -0.540562, -5.03466, -13.9626", \
+					  "20.2563, 8.12632, 1.11818, 0.522879, 0.418577, 0.375467, 0.345266, 0.329096, 0.320431, 0.313799, 0.307727, 0.301717, 0.295507, 0.288889, 0.281635, 0.273445, 0.263842, 0.251978, 0.236071, 0.211819, 0.173649, 0.123214, 0.0611782, -0.0130978, -0.100311, -0.296141, -0.541939, -5.03527, -13.9538", \
+					  "20.2589, 8.12723, 1.11865, 0.524046, 0.418465, 0.374835, 0.344576, 0.328742, 0.320224, 0.31364, 0.307586, 0.301581, 0.295368, 0.288741, 0.281472, 0.273257, 0.263618, 0.251693, 0.235674, 0.211197, 0.172564, 0.121223, 0.057744, -0.0185018, -0.108126, -0.308585, -0.556002, -5.04572, -13.9567", \
+					  "20.2607, 8.12693, 1.11892, 0.524704, 0.418417, 0.374469, 0.344168, 0.328536, 0.320103, 0.313547, 0.307504, 0.301504, 0.295291, 0.288662, 0.281389, 0.273168, 0.263519, 0.251577, 0.235526, 0.210983, 0.172217, 0.120615, 0.056643, -0.0205125, -0.111779, -0.320342, -0.585754, -5.10764, -14.0334");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0159726, 0.0242777, 0.0331560, 0.0441382, 0.0629835");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189514, 0.0532626, 0.0702823, 0.0851913, 0.1070699");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0796957");
+						index_3("0.001");
+						index_4("0.0735107, 0.1359225, 2628.6068500, 5257.0777900, 5257.1402000");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0766943");
+						index_3("0.001");
+						index_4("0.0697621, 0.1318378, 0.4570813, 0.7823249, 0.8444005");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0720435");
+						index_3("0.001");
+						index_4("0.0636548, 0.1237456, 1.0516934, 1.9796413, 2.0397320");
+						values("2.59428, 4.15085, 5.18856, 4.15085, 2.59428");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0398479");
+						index_3("0.001");
+						index_4("0.0782737, 0.1432864, 0.5312179, 0.9191494, 0.9841621");
+						values("2.59429, 4.15087, 5.18858, 4.15087, 2.59429");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0383472");
+						index_3("0.001");
+						index_4("0.0757886, 0.1408463, 4.5231952, 8.9055440, 8.9706017");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0360218");
+						index_3("0.001");
+						index_4("0.0721336, 0.1368017, 0.4727479, 0.8086942, 0.8733622");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.115042");
+						index_3("0.001");
+						index_4("0.0725006, 0.1208456, 4385.1090200, 8770.0971900, 8770.1455400");
+						values("2.59424, 4.15079, 5.18849, 4.15079, 2.59424");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024267;
+			capacitance : 0.025004;
+			rise_capacitance : 0.025261;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4161244, -0.3492507, -0.3236217, -0.3074544, -0.3076800, -0.3101414, -0.3126010", \
+					  "-0.4774955, -0.4048890, -0.3845993, -0.3714145, -0.3658987, -0.3641070, -0.3680305", \
+					  "-0.4787786, -0.4046609, -0.3843217, -0.3776005, -0.3564637, -0.3741840, -0.3684383", \
+					  "-0.4606163, -0.4047781, -0.3591457, -0.3445693, -0.3445635, -0.3715031, -0.3525362", \
+					  "-0.4426145, -0.3824802, -0.3255649, -0.3461725, -0.3527419, -0.3168688, -0.3345344", \
+					  "-0.4208509, -0.3500354, -0.3054625, -0.3137918, -0.3185309, -0.1653528, -0.3112449", \
+					  "-0.3784330, -0.3198246, -0.2538937, -0.2578423, -0.2530384, -0.3061021, -0.2800285");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4435548, -0.3120807, -0.2210713, -0.1350299, -0.0635391, 0.0041898, 0.0663694", \
+					  "-0.5049260, -0.3648154, -0.2810867, -0.1918446, -0.1188506, -0.0572025, 0.0059508", \
+					  "-0.5024537, -0.3591414, -0.2793200, -0.1990188, -0.1245301, -0.0490517, 0.0048791", \
+					  "-0.4895727, -0.3644734, -0.2629015, -0.1883245, -0.1034746, -0.0418492, 0.0353285", \
+					  "-0.4853038, -0.3387834, -0.2441524, -0.1630671, -0.0869987, -0.0159404, 0.0435358", \
+					  "-0.4498073, -0.3115982, -0.2106399, -0.1321481, -0.0621833, 0.0005660, 0.0279724", \
+					  "-0.4058636, -0.2768418, -0.1791466, -0.1289941, -0.0512866, 0.0017338, 0.0757380");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5635576, 0.4960949, 0.4664253, 0.4548862, 0.4520600, 0.4514697, 0.4539292", \
+					  "0.6249293, 0.5536486, 0.5306043, 0.5193309, 0.5119271, 0.5048005, 0.5148031", \
+					  "0.6261535, 0.5508123, 0.5317288, 0.5250331, 0.5191551, 0.5167989, 0.5193702", \
+					  "0.6080501, 0.5406739, 0.5154251, 0.5055688, 0.5057290, 0.5036129, 0.5243829", \
+					  "0.5885224, 0.5195187, 0.4961810, 0.4768205, 0.4816237, 0.4886645, 0.4957000", \
+					  "0.5789658, 0.5191887, 0.4411878, 0.4459016, 0.4507048, 0.4516404, 0.4571517", \
+					  "0.5395997, 0.4768252, 0.4274994, 0.4034837, 0.4189681, 0.4214296, 0.4345703");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4506049, 0.3194301, 0.2265997, 0.1420811, 0.0705903, 0.0028614, -0.0594564", \
+					  "0.5119765, 0.3748038, 0.2866930, 0.1971272, 0.1335091, 0.0627284, 0.0011010", \
+					  "0.5147269, 0.3697950, 0.2894102, 0.2060977, 0.1331077, 0.0767728, 0.0021889", \
+					  "0.4950972, 0.3737189, 0.2699703, 0.1938095, 0.1120522, 0.0439950, -0.0285854", \
+					  "0.4786213, 0.3474069, 0.2508794, 0.1685929, 0.0940504, 0.0229758, -0.0356227", \
+					  "0.4583836, 0.3185299, 0.2161686, 0.1391999, 0.0677092, 0.0076096, -0.0662840", \
+					  "0.4296987, 0.2854618, 0.1863572, 0.1359568, 0.0566963, 0.0038462, -0.0596381");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0022228";
+				miller_cap_rise : "0.00154151";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01711, 1.68236, 1.54252, 1.47466, 1.39562, 1.3519, 1.3054, 1.2561, 1.20403, 1.14918, 1.09156, 1.03119, 0.968063, 0.902199, 0.833606, 0.762295, 0.688275, 0.611557, 0.532154, 0.450077, 0.365337, 0.277947, 0.187919, 0.095266, -7.17188e-09, -0.18935, -0.372899, -0.950937, -3.91994", \
+					  "4.71451, 1.1601, 1.10739, 1.08609, 1.06045, 1.04519, 1.02762, 1.00701, 0.98263, 0.954019, 0.921004, 0.88354, 0.841622, 0.795259, 0.744471, 0.689283, 0.629725, 0.565828, 0.497626, 0.425153, 0.348445, 0.267538, 0.18247, 0.0932775, -6.6988e-09, -0.187846, -0.371672, -0.984834, -3.93077", \
+					  "4.50985, 0.793562, 0.734492, 0.72281, 0.709935, 0.702904, 0.695362, 0.687186, 0.678199, 0.668151, 0.65666, 0.643135, 0.626668, 0.606058, 0.580232, 0.548639, 0.511099, 0.46759, 0.418139, 0.362798, 0.301626, 0.234691, 0.16206, 0.0838061, -6.51141e-09, -0.172979, -0.346424, -0.962222, -3.93072", \
+					  "4.4493, 0.736613, 0.60212, 0.592553, 0.582214, 0.57667, 0.570812, 0.564576, 0.55787, 0.550572, 0.542504, 0.5334, 0.522841, 0.510138, 0.49417, 0.473456, 0.446801, 0.413682, 0.373976, 0.327691, 0.274884, 0.215627, 0.150004, 0.0780986, -7.86408e-09, -0.1638, -0.330728, -0.9397, -3.92874", \
+					  "4.39195, 0.725187, 0.469722, 0.462004, 0.453805, 0.449477, 0.444962, 0.440224, 0.435217, 0.429882, 0.424134, 0.417856, 0.410873, 0.402909, 0.393506, 0.381843, 0.366469, 0.345514, 0.317731, 0.28272, 0.240434, 0.190926, 0.134284, 0.0706069, -9.29303e-09, -0.151713, -0.310089, -0.909651, -3.92546", \
+					  "4.36476, 0.730054, 0.404715, 0.397788, 0.390555, 0.386764, 0.382831, 0.37873, 0.37443, 0.369888, 0.365047, 0.359829, 0.354121, 0.347752, 0.340446, 0.331726, 0.320683, 0.305623, 0.284445, 0.255955, 0.219843, 0.176104, 0.124813, 0.0660738, -9.21533e-09, -0.1444, -0.297626, -0.891312, -3.92324", \
+					  "4.33887, 0.74083, 0.342149, 0.335069, 0.328749, 0.325459, 0.322063, 0.318544, 0.314879, 0.31104, 0.306988, 0.302671, 0.298017, 0.29292, 0.287216, 0.280634, 0.272678, 0.262325, 0.247555, 0.226056, 0.196752, 0.159432, 0.114131, 0.060947, -8.53641e-09, -0.136137, -0.283572, -0.870449, -3.9206", \
+					  "4.31459, 0.757357, 0.288459, 0.274579, 0.269118, 0.266299, 0.263404, 0.260422, 0.257336, 0.254128, 0.250771, 0.247233, 0.243468, 0.23941, 0.234963, 0.229975, 0.224183, 0.217076, 0.207475, 0.192932, 0.170992, 0.140764, 0.102133, 0.0551724, -2.65099e-08, -0.126844, -0.267798, -0.846787, -3.91748", \
+					  "4.29233, 0.77963, 0.255898, 0.217404, 0.21251, 0.210139, 0.207715, 0.205232, 0.202678, 0.200041, 0.197306, 0.194451, 0.191448, 0.188257, 0.184823, 0.181062, 0.176836, 0.171898, 0.165723, 0.156913, 0.142485, 0.119976, 0.0887225, 0.0486955, -7.65301e-07, -0.116442, -0.250181, -0.820015, -3.91373", \
+					  "4.27254, 0.807743, 0.24514, 0.166917, 0.159903, 0.157955, 0.155976, 0.153959, 0.151898, 0.149785, 0.147609, 0.145358, 0.143017, 0.140561, 0.13796, 0.135169, 0.132118, 0.128688, 0.124658, 0.119491, 0.111464, 0.0969971, 0.0738009, 0.0414477, -2.14968e-05, -0.104873, -0.230621, -0.789814, -3.90856", \
+					  "4.2558, 0.841872, 0.249977, 0.133815, 0.112373, 0.110756, 0.109183, 0.107592, 0.105975, 0.104329, 0.102648, 0.100925, 0.09915, 0.0973119, 0.0953942, 0.0933737, 0.0912166, 0.0888692, 0.0862392, 0.0831373, 0.0790156, 0.071688, 0.0570049, 0.0330404, -0.000423536, -0.0924501, -0.209411, -0.756269, -3.90066", \
+					  "4.24278, 0.882257, 0.26666, 0.124655, 0.0710158, 0.0686855, 0.0673398, 0.0660238, 0.0647061, 0.0633789, 0.0620372, 0.0606767, 0.0592926, 0.0578788, 0.0564277, 0.0549288, 0.0533671, 0.0517203, 0.0499527, 0.0479997, 0.0457156, 0.0425478, 0.0356875, 0.0205935, -0.00415638, -0.0821871, -0.189658, -0.722478, -3.8951", \
+					  "4.23432, 0.929303, 0.293615, 0.132255, 0.0374536, 0.0273158, 0.025111, 0.0235512, 0.0221168, 0.0207302, 0.0193657, 0.0180114, 0.0166599, 0.0153058, 0.0139443, 0.0125703, 0.0111775, 0.00975762, 0.00829868, 0.00678111, 0.0051683, 0.00336602, 0.000838408, -0.0059361, -0.0215248, -0.0844215, -0.181783, -0.698658, -3.8998", \
+					  "4.23165, 0.983951, 0.330564, 0.150111, 0.0145701, -0.0167115, -0.0260586, -0.0293877, -0.0316986, -0.0336748, -0.0354913, -0.0372159, -0.0388817, -0.0405076, -0.0421052, -0.0436828, -0.0452464, -0.0468015, -0.0483534, -0.0499082, -0.0514747, -0.0530686, -0.0547372, -0.056994, -0.0640002, -0.110168, -0.196319, -0.693648, -3.92031", \
+					  "4.23607, 1.04725, 0.377102, 0.175795, 0.00366139, -0.0522454, -0.0800016, -0.0893148, -0.0938502, -0.0970909, -0.0997911, -0.102203, -0.104438, -0.106555, -0.108587, -0.110556, -0.112476, -0.114358, -0.11621, -0.118037, -0.119846, -0.121643, -0.123435, -0.125248, -0.127865, -0.156289, -0.229614, -0.702237, -3.95191", \
+					  "4.24641, 1.11652, 0.428501, 0.20516, 0.000660848, -0.0774002, -0.127956, -0.150687, -0.159911, -0.165399, -0.169523, -0.172983, -0.176057, -0.178883, -0.181536, -0.184063, -0.186495, -0.188851, -0.191147, -0.193395, -0.195604, -0.197782, -0.199937, -0.202077, -0.204273, -0.217873, -0.275744, -0.717395, -3.98908", \
+					  "4.2593, 1.18672, 0.478161, 0.233159, 0.000101742, -0.097078, -0.169, -0.211226, -0.229185, -0.23831, -0.244481, -0.249336, -0.253473, -0.257165, -0.260557, -0.263734, -0.26675, -0.269642, -0.272435, -0.275149, -0.277798, -0.280395, -0.282951, -0.285477, -0.287988, -0.29477, -0.333087, -0.736921, -4.02903", \
+					  "4.27311, 1.25581, 0.523245, 0.258173, 1.10268e-05, -0.113564, -0.204171, -0.267319, -0.299253, -0.314117, -0.323144, -0.329781, -0.335195, -0.339882, -0.344094, -0.347972, -0.351606, -0.355052, -0.358351, -0.361532, -0.364617, -0.367625, -0.370569, -0.373466, -0.376329, -0.38223, -0.402089, -0.761566, -4.07077", \
+					  "4.28749, 1.32338, 0.563269, 0.280187, 6.06806e-07, -0.127638, -0.234377, -0.3167, -0.366853, -0.390595, -0.403652, -0.412584, -0.419537, -0.42537, -0.430493, -0.435129, -0.439415, -0.443435, -0.447249, -0.450898, -0.454415, -0.457823, -0.461144, -0.464395, -0.467595, -0.473938, -0.483666, -0.792218, -4.11432", \
+					  "4.3024, 1.38934, 0.598533, 0.299489, 2.25621e-08, -0.139725, -0.26037, -0.3593, -0.428974, -0.465588, -0.484414, -0.496357, -0.505201, -0.512372, -0.518522, -0.523989, -0.528972, -0.533595, -0.537942, -0.542069, -0.546021, -0.549828, -0.553519, -0.557116, -0.560642, -0.567564, -0.574945, -0.829236, -4.15964", \
+					  "4.3179, 1.45356, 0.629542, 0.316392, 2.35727e-09, -0.150131, -0.282776, -0.395931, -0.483772, -0.536938, -0.564028, -0.580004, -0.591208, -0.59997, -0.607294, -0.613685, -0.619427, -0.624694, -0.6296, -0.634224, -0.638621, -0.642833, -0.646896, -0.650837, -0.654683, -0.662188, -0.669679, -0.872719, -4.20657", \
+					  "4.33401, 1.51581, 0.6568, 0.331182, 1.82409e-09, -0.159105, -0.302121, -0.427481, -0.531023, -0.60254, -0.64111, -0.662579, -0.676793, -0.687477, -0.696165, -0.703597, -0.710175, -0.716138, -0.72164, -0.726785, -0.731645, -0.736275, -0.740716, -0.745005, -0.749172, -0.757255, -0.765206, -0.922739, -4.25488", \
+					  "4.35081, 1.57577, 0.680749, 0.344111, 1.94286e-09, -0.166852, -0.318843, -0.454715, -0.571517, -0.660791, -0.714173, -0.743177, -0.7613, -0.774344, -0.784639, -0.793261, -0.800771, -0.807496, -0.813641, -0.819339, -0.824686, -0.82975, -0.834584, -0.839229, -0.843722, -0.852385, -0.860837, -0.979483, -4.30442", \
+					  "4.36834, 1.63299, 0.701773, 0.355397, 2.15428e-09, -0.173545, -0.33331, -0.478266, -0.606273, -0.711156, -0.781617, -0.820821, -0.844105, -0.860102, -0.87232, -0.882318, -0.890879, -0.898446, -0.905289, -0.911583, -0.917447, -0.922968, -0.92821, -0.933224, -0.938053, -0.947306, -0.956261, -1.04336, -4.35504", \
+					  "4.38666, 1.68693, 0.720203, 0.365233, 2.46151e-09, -0.179328, -0.345832, -0.498655, -0.636201, -0.754164, -0.841993, -0.894385, -0.924552, -0.944306, -0.958861, -0.970471, -0.980231, -0.988739, -0.996349, -1.00329, -1.0097, -1.01571, -1.02138, -1.02678, -1.03196, -1.04182, -1.05128, -1.115, -4.40665", \
+					  "4.42582, 1.78217, 0.750395, 0.381209, 3.45117e-09, -0.188625, -0.366035, -0.531609, -0.68438, -0.822228, -0.939277, -1.02409, -1.07519, -1.10615, -1.1272, -1.14304, -1.1558, -1.16657, -1.17598, -1.1844, -1.19207, -1.19915, -1.20576, -1.212, -1.21792, -1.22907, -1.23959, -1.28137, -4.51257", \
+					  "4.46397, 1.85649, 0.773222, 0.393144, 5.10038e-09, -0.195506, -0.381088, -0.556278, -0.720433, -0.872396, -1.00937, -1.12399, -1.20527, -1.25519, -1.28677, -1.30893, -1.32588, -1.33967, -1.35137, -1.36161, -1.37077, -1.37912, -1.38682, -1.39401, -1.40077, -1.41333, -1.425, -1.46304, -4.62166", \
+					  "4.52509, 1.9688, 0.810734, 0.412289, 1.59341e-08, -0.206529, -0.405791, -0.597552, -0.781545, -0.957438, -1.12474, -1.28255, -1.42885, -1.55868, -1.66279, -1.73547, -1.78353, -1.8169, -1.84185, -1.86166, -1.87812, -1.89226, -1.90472, -1.9159, -1.92609, -1.94424, -1.96026, -2.00266, -4.94631", \
+					  "4.52562, 1.99426, 0.816305, 0.414342, 6.3073e-08, -0.208276, -0.41167, -0.610083, -0.803417, -0.991565, -1.17441, -1.35183, -1.52365, -1.68966, -1.84951, -2.00253, -2.14738, -2.28112, -2.39813, -2.49179, -2.561, -2.6113, -2.64912, -2.67887, -2.70327, -2.74187, -2.77209, -2.83834, -5.44888");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0304603, 0.0518305, 0.0776891, 0.1074331, 0.1570794");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983785, 0.1202205, 0.1462639, 0.1754744, 0.2246294");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0172819, 0.0267097, 0.0373712, 0.0499793, 0.0671783");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0739815, 0.0893091, 0.1014146, 0.1135868, 0.1307363");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.22209");
+						index_3("0.001");
+						index_4("1.5909915, 1.6787157, 1.8154563, 1.9619742, 2.0449702");
+						values("0.417406, 0.66785, 0.834813, 0.66785, 0.417406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.84997");
+						index_3("0.001");
+						index_4("0.9135602, 0.9841852, 1.1217075, 1.2145285, 1.2730751");
+						values("0.482147, 0.771436, 0.964295, 0.771436, 0.482147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.24172");
+						index_3("0.001");
+						index_4("0.6152101, 0.6733525, 0.7757975, 0.8585185, 0.9069337");
+						values("0.510985, 0.817575, 1.02197, 0.817575, 0.510985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.61105");
+						index_3("0.001");
+						index_4("0.8049242, 0.8484635, 0.9381564, 0.9935651, 1.0371441");
+						values("0.236648, 0.378637, 0.473297, 0.378637, 0.236648");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.924983");
+						index_3("0.001");
+						index_4("0.4659618, 0.5004545, 0.5507125, 0.6105068, 0.6493366");
+						values("0.272136, 0.435418, 0.544272, 0.435418, 0.272136");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.620862");
+						index_3("0.001");
+						index_4("0.3154982, 0.3432858, 0.3910316, 0.4352390, 0.4612088");
+						values("0.285407, 0.456652, 0.570815, 0.456652, 0.285407");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.77495");
+						index_3("0.001");
+						index_4("1.3643705, 1.4724073, 1.6674503, 1.8020846, 1.8916683");
+						values("0.668986, 1.07038, 1.33797, 1.07038, 0.668986");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.04796");
+						index_3("0.001");
+						index_4("0.5222598, 0.5700165, 0.6724899, 0.7698112, 0.8368874");
+						values("1.47168, 1.18468, 0.993353, 1.18468, 1.47168");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.594221");
+						index_3("0.001");
+						index_4("0.2981735, 0.3322131, 0.4045287, 0.4801872, 0.5278848");
+						values("1.44468, 1.14148, 0.939351, 1.14148, 1.44468");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.394134");
+						index_3("0.001");
+						index_4("0.1989923, 0.2254991, 0.2827964, 0.3422248, 0.3807349");
+						values("1.43706, 1.1293, 0.924124, 1.1293, 1.43706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.523981");
+						index_3("0.001");
+						index_4("0.2659828, 0.2910641, 0.3428308, 0.3940390, 0.4376436");
+						values("1.70592, 1.55948, 1.46185, 1.55948, 1.70592");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.297111");
+						index_3("0.001");
+						index_4("0.1531702, 0.1705757, 0.2036629, 0.2460766, 0.2810545");
+						values("1.70073, 1.55118, 1.45147, 1.55118, 1.70073");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.197067");
+						index_3("0.001");
+						index_4("0.1031254, 0.1167346, 0.1462145, 0.1772025, 0.2050037");
+						values("1.69987, 1.54979, 1.44974, 1.54979, 1.69987");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.891332");
+						index_3("0.001");
+						index_4("0.4423186, 0.4950347, 0.5921485, 0.7066810, 0.7780227");
+						values("1.21772, 0.778357, 0.485447, 0.778357, 1.21772");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006928;
+			capacitance : 0.006769;
+			fall_capacitance : 0.006611;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1164387, 0.0410317, 0.0160254, 0.0108556, 0.0065035, 0.0181203, 0.0022692", \
+					  "0.1778102, 0.1026062, 0.0732326, 0.0569872, 0.0475991, 0.0428919, 0.0438256", \
+					  "0.1607259, 0.1157435, 0.0826165, 0.0776058, 0.0450484, 0.0455424, 0.0541054", \
+					  "0.1670345, 0.1038432, 0.0755057, 0.0474996, 0.0452016, 0.0305904, 0.0514687", \
+					  "0.1444551, 0.0721085, 0.0273456, 0.0281251, 0.0264411, 0.0207044, 0.0291581", \
+					  "0.1165899, 0.0457672, 0.0024630, 0.0036938, 0.0079532, -0.0222168, 0.0090205", \
+					  "0.0848532, -0.0042800, -0.0307995, -0.0288965, 0.0337104, 0.0353822, -0.0348582");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3758918, 0.2411418, 0.1350425, 0.0490010, -0.0257201, -0.1039516, -0.1661476", \
+					  "0.4339299, 0.2876494, 0.1949109, 0.1056294, 0.0389046, -0.0423262, -0.1131646", \
+					  "0.4414352, 0.2846328, 0.2006131, 0.1191491, 0.0356815, -0.0353155, -0.1089885", \
+					  "0.4234315, 0.2902863, 0.1886561, 0.0950760, 0.0408979, -0.0529106, -0.1262434", \
+					  "0.4069555, 0.2676531, 0.1732816, 0.0970512, 0.0081903, -0.0692420, -0.1466951", \
+					  "0.3790884, 0.2380278, 0.1273606, 0.0555149, -0.0323422, -0.0646139, -0.1673843", \
+					  "0.3595588, 0.2095374, 0.1079355, 0.0220140, -0.0594725, -0.0991985, -0.1720917");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0971803, -0.0263513, 0.0001809, 0.0022990, 0.0066511, -0.0034398, 0.0153077", \
+					  "-0.1570256, -0.0888814, -0.0519800, -0.0306047, -0.0231941, -0.0206353, -0.0276186", \
+					  "-0.1399981, -0.0994931, -0.0740951, -0.0663983, -0.0375448, -0.0278095, -0.0348466", \
+					  "-0.1477758, -0.0845411, -0.0632246, -0.0359123, -0.0367043, -0.0190734, -0.0279684", \
+					  "-0.1251963, -0.0543322, -0.0129105, -0.0151181, -0.0148830, -0.0159275, -0.0066407", \
+					  "-0.0973291, -0.0264651, 0.0137440, 0.0064576, -0.0038581, 0.0181836, -0.0030174", \
+					  "-0.0655925, 0.0220563, 0.0424289, 0.0445203, -0.0205533, -0.0293402, 0.0470298");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1735238, -0.0370327, 0.0615400, 0.1486640, 0.2214202, 0.2750083, 0.5260000", \
+					  "-0.2333689, -0.1069126, 0.0028757, 0.0884446, 0.1690766, 0.2403439, 0.3092820", \
+					  "-0.2316359, -0.1051954, -0.0036645, 0.0923702, 0.1653869, 0.2471702, 0.3074841", \
+					  "-0.2332744, -0.0948210, 0.0024598, 0.0974411, 0.1772871, 0.2569858, 0.3101857", \
+					  "-0.2106949, -0.0630865, 0.0325461, 0.1089824, 0.1937631, 0.2798026, 0.3410342", \
+					  "-0.1858795, -0.0367450, 0.0363698, 0.1382168, 0.2163876, 0.2939368, 0.3570900", \
+					  "-0.1495652, 0.0133022, 0.0681065, 0.1643706, 0.2460803, 0.2865261, 0.3811973");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425391, 0.0419784, 0.0414177, 0.0416125, 0.0417995, 0.0419943, 0.0421891");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0542222, 0.0534303, 0.0526384, 0.0532766, 0.0538892, 0.0545274, 0.0551655");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.00106108";
+				miller_cap_rise : "0.000886848";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91031e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87956e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88767e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89202e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89777e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90965e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18651e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350737, 0.0654616, 0.1027410, 0.1450915, 0.2127594");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1010410, 0.1314012, 0.1686430, 0.2113895, 0.2791158");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187521, 0.0298075, 0.0414291, 0.0542067, 0.0707932");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765266, 0.0931006, 0.1055895, 0.1181801, 0.1342002");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.17149");
+						index_3("0.001");
+						index_4("2.0590590, 2.1673015, 2.3797730, 2.5153611, 2.6060170");
+						values("0.380783, 0.609252, 0.761565, 0.609252, 0.380783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40381");
+						index_3("0.001");
+						index_4("1.1858234, 1.2782055, 1.4297597, 1.5552256, 1.6286839");
+						values("0.45867, 0.733873, 0.917341, 0.733873, 0.45867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62017");
+						index_3("0.001");
+						index_4("0.7996104, 0.8765000, 1.0065325, 1.1086776, 1.1649543");
+						values("0.48896, 0.782335, 0.977919, 0.782335, 0.48896");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08575");
+						index_3("0.001");
+						index_4("1.0398455, 1.0957502, 1.1857852, 1.2667720, 1.3140762");
+						values("0.216714, 0.346743, 0.433428, 0.346743, 0.216714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20191");
+						index_3("0.001");
+						index_4("0.6026064, 0.6473462, 0.7205378, 0.7859579, 0.8225236");
+						values("0.255554, 0.408887, 0.511108, 0.408887, 0.255554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.810086");
+						index_3("0.001");
+						index_4("0.4083568, 0.4461370, 0.5194575, 0.5607781, 0.5900958");
+						values("0.270321, 0.432513, 0.540642, 0.432513, 0.270321");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.60572");
+						index_3("0.001");
+						index_4("1.7694516, 1.9126245, 2.1239663, 2.3177255, 2.4221926");
+						values("0.636225, 1.01796, 1.27245, 1.01796, 0.636225");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.2001");
+						index_3("0.001");
+						index_4("0.5989375, 0.6552179, 0.7635679, 0.8940352, 0.9822799");
+						values("1.4467, 1.14471, 0.943393, 1.14471, 1.4467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.680148");
+						index_3("0.001");
+						index_4("0.3424121, 0.3830317, 0.4609468, 0.5584630, 0.6243192");
+						values("1.41986, 1.10178, 0.88972, 1.10178, 1.41986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451194");
+						index_3("0.001");
+						index_4("0.2288319, 0.2604873, 0.3271507, 0.3985948, 0.4485543");
+						values("1.40787, 1.0826, 0.865745, 1.0826, 1.40787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.600051");
+						index_3("0.001");
+						index_4("0.3050185, 0.3339131, 0.3827462, 0.4570060, 0.5130212");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340074");
+						index_3("0.001");
+						index_4("0.1757125, 0.1971397, 0.2403617, 0.2874011, 0.3311760");
+						values("1.67968, 1.51749, 1.40936, 1.51749, 1.67968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225597");
+						index_3("0.001");
+						index_4("0.1188404, 0.1355336, 0.1668261, 0.2068097, 0.2414106");
+						values("1.67873, 1.51596, 1.40746, 1.51596, 1.67873");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02022");
+						index_3("0.001");
+						index_4("0.5084140, 0.5701794, 0.6653996, 0.8184802, 0.9132013");
+						values("1.17399, 0.708378, 0.397972, 0.708378, 1.17399");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.018312;
+			capacitance : 0.019257;
+			rise_capacitance : 0.020202;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6754388, 0.8967032, 1.1179677, 1.3825258, 1.6365017, 1.9010598, 2.1656179");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.1619740, 29.7756410, 45.3893070, 45.7833310, 46.1615940, 46.5556180, 46.9496420");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00154325";
+				miller_cap_rise : "0.000815969";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("12.1771, 5.6796, 2.30777, 2.25715, 2.19128, 2.1491, 2.09729, 2.03215, 1.95278, 1.86424, 1.77048, 1.67254, 1.57058, 1.46457, 1.35443, 1.24004, 1.1213, 0.998094, 0.870297, 0.737788, 0.600438, 0.458118, 0.310696, 0.158036, -1.80068e-08, -0.32192, -0.649267, -5.54981, -15.3887", \
+					  "11.8187, 5.2342, 1.61124, 1.57708, 1.5502, 1.53479, 1.51763, 1.49826, 1.47598, 1.44975, 1.41784, 1.3773, 1.32329, 1.25281, 1.17123, 1.08286, 0.988476, 0.888056, 0.781441, 0.668438, 0.548836, 0.422418, 0.288959, 0.148232, -7.6572e-09, -0.30461, -0.620521, -5.54636, -15.3855", \
+					  "11.6551, 4.98952, 1.26485, 1.17046, 1.15324, 1.14382, 1.13362, 1.12246, 1.11011, 1.09626, 1.08046, 1.06203, 1.03987, 1.01204, 0.974919, 0.922515, 0.853954, 0.775571, 0.689412, 0.595515, 0.493633, 0.383466, 0.264693, 0.136984, -5.56146e-09, -0.284412, -0.587821, -5.5426, -15.382", \
+					  "11.6001, 4.91219, 1.16587, 1.03731, 1.02224, 1.01422, 1.00563, 0.996303, 0.986082, 0.974742, 0.961981, 0.947353, 0.930174, 0.909308, 0.882702, 0.846321, 0.793863, 0.726101, 0.648805, 0.563136, 0.468944, 0.365903, 0.253652, 0.131814, -5.2942e-09, -0.275217, -0.573072, -5.54095, -15.3805", \
+					  "11.5431, 4.83835, 1.07631, 0.905725, 0.892355, 0.88552, 0.878293, 0.870529, 0.862092, 0.852822, 0.84251, 0.83086, 0.817434, 0.801542, 0.782008, 0.756616, 0.720827, 0.668318, 0.601692, 0.525483, 0.440128, 0.345321, 0.240655, 0.125697, -5.23002e-09, -0.264411, -0.555876, -5.53905, -15.3787", \
+					  "11.5128, 4.80289, 1.03608, 0.840836, 0.82791, 0.821598, 0.81498, 0.807911, 0.800264, 0.7919, 0.782645, 0.772256, 0.760381, 0.746476, 0.729641, 0.708237, 0.678926, 0.635318, 0.575283, 0.504371, 0.423931, 0.333717, 0.233303, 0.122225, -2.33737e-08, -0.258311, -0.546237, -5.53799, -15.3778", \
+					  "11.4808, 4.76807, 0.997564, 0.784097, 0.763851, 0.758016, 0.751964, 0.745541, 0.738626, 0.731097, 0.722807, 0.713558, 0.703064, 0.690897, 0.676364, 0.658243, 0.634123, 0.598853, 0.546654, 0.48154, 0.406385, 0.32112, 0.225303, 0.118434, -4.68577e-06, -0.251694, -0.535836, -5.53687, -15.3767", \
+					  "11.4468, 4.73301, 0.960231, 0.737892, 0.70007, 0.694621, 0.689056, 0.683201, 0.676933, 0.670145, 0.66271, 0.654464, 0.645179, 0.634515, 0.621935, 0.606525, 0.586545, 0.558242, 0.514815, 0.45616, 0.386663, 0.306684, 0.215776, 0.113401, -0.00100809, -0.24581, -0.526383, -5.537, -15.3783", \
+					  "11.4103, 4.69787, 0.923807, 0.69524, 0.632196, 0.623981, 0.618085, 0.612269, 0.606226, 0.599802, 0.592868, 0.585279, 0.576842, 0.567285, 0.556191, 0.542871, 0.526063, 0.503136, 0.468289, 0.416904, 0.353245, 0.278569, 0.192424, 0.0941829, -0.0168232, -0.257359, -0.539206, -5.55299, -15.4096", \
+					  "11.3711, 4.6631, 0.888123, 0.653034, 0.5639, 0.544554, 0.536325, 0.529962, 0.523869, 0.517653, 0.511116, 0.5041, 0.49643, 0.487879, 0.478119, 0.466625, 0.452479, 0.433849, 0.406551, 0.363733, 0.306626, 0.237804, 0.156896, 0.063163, -0.0441988, -0.280776, -0.565395, -5.57736, -15.4543", \
+					  "11.3289, 4.62823, 0.853067, 0.61024, 0.498361, 0.465133, 0.450877, 0.443189, 0.436817, 0.430715, 0.424523, 0.418038, 0.411082, 0.403459, 0.394903, 0.385016, 0.373122, 0.357942, 0.336652, 0.302928, 0.253347, 0.191079, 0.116112, 0.0275957, -0.0754176, -0.306853, -0.593407, -5.60266, -15.4995", \
+					  "11.2834, 4.59335, 0.818562, 0.566796, 0.435355, 0.389196, 0.365334, 0.354908, 0.347909, 0.341789, 0.335871, 0.329856, 0.323548, 0.316763, 0.309284, 0.3008, 0.290815, 0.27843, 0.261766, 0.23627, 0.195362, 0.14039, 0.0721018, -0.0104282, -0.108298, -0.333113, -0.620019, -5.62714, -15.5424", \
+					  "11.2344, 4.55806, 0.784554, 0.522942, 0.374664, 0.317135, 0.282226, 0.266795, 0.258594, 0.252266, 0.246522, 0.240905, 0.235172, 0.229137, 0.222612, 0.215354, 0.206996, 0.196903, 0.183822, 0.164818, 0.133281, 0.086433, 0.0255966, -0.0501344, -0.142012, -0.358598, -0.640545, -5.65023, -15.5822", \
+					  "11.1816, 4.52248, 0.751017, 0.479014, 0.316205, 0.248753, 0.203182, 0.180193, 0.16984, 0.163019, 0.157321, 0.152016, 0.146779, 0.141406, 0.135723, 0.129536, 0.122569, 0.114375, 0.104114, 0.0899483, 0.0671374, 0.0293746, -0.0231963, -0.0912701, -0.176253, -0.382909, -0.656966, -5.67157, -15.6186", \
+					  "11.1249, 4.48664, 0.717978, 0.435415, 0.260047, 0.183932, 0.128783, 0.096507, 0.0825188, 0.074774, 0.0689497, 0.0638537, 0.0590276, 0.054229, 0.0492847, 0.0440285, 0.0382539, 0.0316444, 0.0236369, 0.0130794, -0.00288095, -0.0308713, -0.0743101, -0.133815, -0.210937, -0.405866, -0.670198, -5.69064, -15.6514", \
+					  "11.0643, 4.45052, 0.685566, 0.392657, 0.206433, 0.122751, 0.059147, 0.0172084, -0.00237576, -0.0116988, -0.0178856, -0.0228973, -0.0274049, -0.0317178, -0.0360241, -0.0404744, -0.0452299, -0.0505145, -0.0567051, -0.0645275, -0.0756178, -0.0944981, -0.127902, -0.177866, -0.246088, -0.427377, -0.680068, -5.70812, -15.6805", \
+					  "11.0003, 4.41471, 0.654095, 0.351425, 0.155838, 0.0655513, -0.00550361, -0.0564462, -0.0835525, -0.0954382, -0.102317, -0.1074, -0.111694, -0.115614, -0.11938, -0.123143, -0.127034, -0.131216, -0.135938, -0.141662, -0.149333, -0.161352, -0.184196, -0.22359, -0.281785, -0.447383, -0.686413, -5.72407, -15.7067", \
+					  "10.9336, 4.37996, 0.624172, 0.312692, 0.109062, 0.0130257, -0.0645926, -0.123491, -0.159297, -0.175129, -0.183167, -0.188522, -0.192724, -0.19635, -0.199677, -0.202867, -0.20604, -0.209315, -0.212863, -0.216977, -0.222219, -0.229812, -0.243374, -0.271201, -0.318144, -0.465833, -0.68903, -5.73852, -15.7299", \
+					  "10.8662, 4.34669, 0.596832, 0.277897, 0.0673846, -0.033627, -0.117014, -0.182837, -0.227448, -0.248894, -0.258758, -0.264646, -0.268902, -0.272348, -0.275342, -0.278076, -0.28067, -0.283225, -0.285857, -0.288758, -0.29228, -0.297101, -0.304743, -0.320921, -0.355312, -0.482675, -0.687593, -5.75148, -15.7504", \
+					  "10.802, 4.31691, 0.573735, 0.249194, 0.0327855, -0.0724994, -0.160935, -0.232779, -0.285466, -0.314056, -0.326672, -0.333443, -0.337933, -0.341325, -0.344103, -0.346505, -0.348667, -0.350682, -0.352638, -0.354671, -0.35702, -0.36012, -0.364747, -0.372756, -0.393458, -0.49786, -0.681901, -5.76316, -15.7685", \
+					  "10.7478, 4.29362, 0.557426, 0.229725, 0.00814532, -0.100786, -0.193615, -0.2707, -0.330392, -0.366993, -0.383545, -0.391659, -0.396602, -0.400088, -0.402779, -0.404981, -0.406859, -0.408514, -0.410027, -0.411502, -0.413125, -0.415229, -0.418376, -0.423432, -0.432802, -0.511441, -0.673758, -5.77396, -15.7846", \
+					  "10.7259, 4.2856, 0.554439, 0.227016, 0.000118859, -0.112071, -0.208838, -0.290585, -0.356318, -0.400968, -0.422829, -0.432973, -0.438728, -0.442569, -0.445408, -0.447647, -0.449495, -0.451071, -0.452464, -0.453768, -0.455146, -0.456898, -0.459533, -0.463838, -0.470909, -0.524472, -0.666285, -5.78418, -15.799", \
+					  "10.7271, 4.2869, 0.558537, 0.232469, 9.34718e-08, -0.115289, -0.215761, -0.30185, -0.373003, -0.425296, -0.453855, -0.466968, -0.474007, -0.478517, -0.48176, -0.484271, -0.486313, -0.488037, -0.489538, -0.490914, -0.49231, -0.493997, -0.496451, -0.500428, -0.50701, -0.540994, -0.661284, -5.79402, -15.8119", \
+					  "10.7287, 4.28826, 0.562531, 0.237539, 1.36237e-08, -0.118079, -0.221914, -0.311946, -0.38792, -0.447046, -0.483199, -0.500289, -0.509015, -0.514365, -0.5181, -0.520934, -0.523206, -0.525101, -0.526733, -0.5282, -0.529636, -0.531281, -0.533575, -0.537231, -0.543306, -0.568817, -0.659643, -5.80357, -15.8236", \
+					  "10.7302, 4.2895, 0.566306, 0.24214, 1.86068e-08, -0.12059, -0.227474, -0.321094, -0.401387, -0.466478, -0.510502, -0.532758, -0.543715, -0.550126, -0.554457, -0.55767, -0.560207, -0.562298, -0.56408, -0.565656, -0.567149, -0.568774, -0.570928, -0.574278, -0.579839, -0.603515, -0.666512, -5.81291, -15.8341", \
+					  "10.7327, 4.29169, 0.573233, 0.250108, 4.83137e-08, -0.124889, -0.237064, -0.336941, -0.424669, -0.499496, -0.557829, -0.593716, -0.611538, -0.621063, -0.627032, -0.631237, -0.63444, -0.637011, -0.639156, -0.641005, -0.642674, -0.644331, -0.646288, -0.649102, -0.653673, -0.67374, -0.722105, -5.8329, -15.8525", \
+					  "10.7349, 4.29355, 0.579383, 0.256675, 1.38187e-07, -0.128383, -0.244941, -0.350052, -0.443949, -0.526408, -0.595719, -0.646643, -0.675627, -0.690459, -0.699012, -0.70467, -0.708795, -0.712006, -0.714623, -0.716831, -0.718759, -0.720549, -0.722438, -0.724861, -0.728576, -0.745023, -0.786828, -5.8545, -15.8697", \
+					  "10.7396, 4.29761, 0.593671, 0.270122, 1.63417e-06, -0.135379, -0.261099, -0.377433, -0.484625, -0.582846, -0.672066, -0.7517, -0.819567, -0.870515, -0.901539, -0.918827, -0.929301, -0.936393, -0.941621, -0.945713, -0.949056, -0.951879, -0.954349, -0.956653, -0.959112, -0.967289, -0.98947, -5.91713, -15.9036", \
+					  "10.7438, 4.30139, 0.606475, 0.279587, 1.8203e-05, -0.140058, -0.272957, -0.39884, -0.517865, -0.630184, -0.735934, -0.835226, -0.928111, -1.0145, -1.09397, -1.16523, -1.22516, -1.26936, -1.29777, -1.31566, -1.32767, -1.33639, -1.3431, -1.34851, -1.35303, -1.36038, -1.36766, -6.03886, -15.9457");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0316994, 0.0646630, 0.1001519, 0.1420163, 0.2108869");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0859116, 0.1220550, 0.1574995, 0.1992861, 0.2684138");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0486724, 0.0986454, 0.1506015, 0.2044972, 0.2789506");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011118, 0.1511087, 0.2030708, 0.2568744, 0.3311447");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.57708");
+						index_3("0.001");
+						index_4("0.6797956, 0.7725763, 0.8610425, 0.9660979, 1.0603680");
+						values("0.308509, 0.493614, 0.617018, 0.493614, 0.308509");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.13557");
+						index_3("0.001");
+						index_4("0.5010688, 0.5711706, 0.6439829, 0.7400819, 0.8129757");
+						values("0.436357, 0.698172, 0.872714, 0.698172, 0.436357");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.792844");
+						index_3("0.001");
+						index_4("0.3658036, 0.4173047, 0.4872219, 0.5697140, 0.6273965");
+						values("0.664496, 1.06319, 1.32899, 1.06319, 0.664496");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.788539");
+						index_3("0.001");
+						index_4("0.3510572, 0.3978250, 0.4567759, 0.5197734, 0.5780236");
+						values("0.251247, 0.401996, 0.502494, 0.401996, 0.251247");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("0.567785");
+						index_3("0.001");
+						index_4("0.2580685, 0.2934418, 0.3450243, 0.4015334, 0.4481567");
+						values("0.321447, 0.514315, 0.642894, 0.514315, 0.321447");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.396422");
+						index_3("0.001");
+						index_4("0.1861744, 0.2131907, 0.2525284, 0.3058397, 0.3461879");
+						values("0.413114, 0.660983, 0.826229, 0.660983, 0.413114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.70335");
+						index_3("0.001");
+						index_4("0.7563919, 0.8539765, 0.9614985, 1.0717013, 1.1641208");
+						values("0.517783, 0.828452, 1.03557, 0.828452, 0.517783");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.58352");
+						index_3("0.001");
+						index_4("0.6555302, 0.7708117, 0.8818958, 0.9885288, 1.1036263");
+						values("5.24621, 5.09394, 4.99243, 5.09394, 5.24621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.2522");
+						index_3("0.001");
+						index_4("0.5299328, 0.6218892, 0.6988492, 0.8093631, 0.9035159");
+						values("5.17301, 4.97681, 4.84601, 4.97681, 5.17301");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("1.02568");
+						index_3("0.001");
+						index_4("0.4473883, 0.5187549, 0.5954613, 0.6884405, 0.7677928");
+						values("5.08676, 4.83882, 4.67352, 4.83882, 5.08676");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.791759");
+						index_3("0.001");
+						index_4("0.3467616, 0.4020875, 0.4554105, 0.5396252, 0.6062598");
+						values("5.28614, 5.15782, 5.07227, 5.15782, 5.28614");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.626101");
+						index_3("0.001");
+						index_4("0.2818602, 0.3238834, 0.3668111, 0.4481485, 0.5053058");
+						values("5.24389, 5.09023, 4.98778, 5.09023, 5.24389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.512841");
+						index_3("0.001");
+						index_4("0.2367369, 0.2720566, 0.3236647, 0.3832080, 0.4332102");
+						values("5.19721, 5.01554, 4.89443, 5.01554, 5.19721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.8783");
+						index_3("0.001");
+						index_4("0.7865449, 0.9159386, 1.0142665, 1.1597079, 1.2892799");
+						values("5.1363, 4.91808, 4.77261, 4.91808, 5.1363");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033266;
+			capacitance : 0.033204;
+			fall_capacitance : 0.033142;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1505141, 1.3466635, 1.5428128, 1.8090585, 2.0646545, 2.3309002, 2.5971460");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0595048, 0.1144765, 0.2884578, 0.5451894, 0.7916516, 1.0483831, 1.3051147");
+				}
+			}
+			/* Copied from enable_switch_h. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007164;
+			capacitance : 0.007016;
+			fall_capacitance : 0.006867;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0401464, -0.0319955, -0.0508464, -0.0630536, -0.0726757, -0.0566480, -0.0727984", \
+					  "0.0902616, 0.0328425, 0.0011243, -0.0086232, -0.0155827, -0.0178888, -0.0356707", \
+					  "0.0801597, 0.0379332, 0.0195824, -0.0170660, -0.0225338, -0.0184135, -0.0160850", \
+					  "0.0720220, 0.0214553, 0.0049240, -0.0343604, -0.0435874, -0.0273610, -0.0249335", \
+					  "0.0589593, -0.0072277, -0.0468701, -0.0629655, -0.0555606, -0.0682830, -0.0749788", \
+					  "0.0311387, -0.0366207, -0.0723050, -0.0910794, -0.0922486, -0.0792919, -0.0982683", \
+					  "-0.0005980, -0.0805644, -0.1116711, -0.1207755, -0.1242293, -0.1247429, -0.1029943");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1185473, -0.2478959, -0.3474880, -0.4373644, -0.5174178, -0.5754468, -0.5665770", \
+					  "-0.0601774, -0.1945865, -0.3022970, -0.3856196, -0.4757778, -0.5348121, -0.6055960", \
+					  "-0.0621046, -0.1943780, -0.2870327, -0.3691607, -0.4613042, -0.5473068, -0.5973486", \
+					  "-0.0785825, -0.2093298, -0.2931116, -0.3867180, -0.4844452, -0.5335889, -0.6046530", \
+					  "-0.1133690, -0.2334351, -0.3156910, -0.3829299, -0.4858240, -0.5886463, -0.6911289", \
+					  "-0.1549690, -0.2628284, -0.3535224, -0.4310965, -0.4864510, -0.5444175, -0.6601135", \
+					  "-0.1973869, -0.2945649, -0.3844501, -0.4471113, -0.5440846, -0.6351483, -0.6808472");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1911957, 0.2622777, 0.2986574, 0.3006326, 0.2934695, 0.3002102, 0.2925064", \
+					  "0.1328897, 0.2039572, 0.2339628, 0.2484051, 0.2575190, 0.2756194, 0.2625650", \
+					  "0.1317651, 0.1965283, 0.2393988, 0.2564661, 0.2670089, 0.2624624, 0.2464527", \
+					  "0.1482449, 0.2130062, 0.2608314, 0.2830027, 0.3455944, 0.2648525, 0.2654447", \
+					  "0.1769279, 0.2355856, 0.2757939, 0.3089867, 0.3133627, 0.3052328, 0.2849724", \
+					  "0.2154762, 0.2649787, 0.3051869, 0.3383798, 0.3229729, 0.3236182, 0.3311501", \
+					  "0.2578940, 0.2982412, 0.3384494, 0.3701165, 0.3562079, 0.3553617, 0.3561143");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1317050, 0.2595736, 0.3600694, 0.4445283, 0.5280430, 0.6053859, 0.5778068", \
+					  "0.0733328, 0.2041783, 0.3079092, 0.3986706, 0.4851782, 0.5444344, 0.6077775", \
+					  "0.0752600, 0.2075912, 0.3028605, 0.3840441, 0.4675598, 0.5418857, 0.6090743", \
+					  "0.0932637, 0.2225432, 0.3093873, 0.3924787, 0.4884267, 0.5319768, 0.6122867", \
+					  "0.1265243, 0.2451226, 0.3273890, 0.3917202, 0.4925593, 0.5843781, 0.6232602", \
+					  "0.1681244, 0.2745159, 0.3654228, 0.4398442, 0.4952292, 0.5534251, 0.6662523", \
+					  "0.2105422, 0.3062523, 0.3885187, 0.4559016, 0.5483282, 0.6164135, 0.6865923");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538743, 0.0532279, 0.0525816, 0.0531560, 0.0537075, 0.0542819, 0.0548563");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425163, 0.0419438, 0.0413713, 0.0415476, 0.0417168, 0.0418931, 0.0420694");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010608";
+				miller_cap_rise : "0.000886029";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91021e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87946e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88757e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89193e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89766e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90955e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18642e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350821, 0.0657941, 0.1022187, 0.1447492, 0.2126969");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1009548, 0.1311494, 0.1681711, 0.2107468, 0.2780543");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187224, 0.0297188, 0.0412698, 0.0539439, 0.0706486");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764808, 0.0929814, 0.1054426, 0.1179760, 0.1338955");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.14851");
+						index_3("0.001");
+						index_4("2.0478413, 2.1556098, 2.3652763, 2.5012057, 2.5916527");
+						values("0.381059, 0.609695, 0.762119, 0.609695, 0.381059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.39057");
+						index_3("0.001");
+						index_4("1.1792953, 1.2711502, 1.4212456, 1.5468995, 1.6197027");
+						values("0.458689, 0.733903, 0.917379, 0.733903, 0.458689");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.61125");
+						index_3("0.001");
+						index_4("0.7952109, 0.8716752, 1.0009855, 1.1026178, 1.1585993");
+						values("0.488951, 0.782322, 0.977902, 0.782322, 0.488951");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.07426");
+						index_3("0.001");
+						index_4("1.0341715, 1.0897499, 1.1794266, 1.2598811, 1.3068872");
+						values("0.216802, 0.346883, 0.433604, 0.346883, 0.216802");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.19528");
+						index_3("0.001");
+						index_4("0.5993252, 0.6437974, 0.7167144, 0.7817090, 0.8180361");
+						values("0.255627, 0.409004, 0.511255, 0.409004, 0.255627");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.805624");
+						index_3("0.001");
+						index_4("0.4061695, 0.4437759, 0.5163736, 0.5576870, 0.5868630");
+						values("0.270549, 0.432878, 0.541098, 0.432878, 0.270549");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.58585");
+						index_3("0.001");
+						index_4("1.7593805, 1.9016832, 2.0978316, 2.3058563, 2.4095018");
+						values("0.635312, 1.0165, 1.27062, 1.0165, 0.635312");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.19451");
+						index_3("0.001");
+						index_4("0.5961584, 0.6521914, 0.7615254, 0.8900306, 0.9780552");
+						values("1.44662, 1.14459, 0.943243, 1.14459, 1.44662");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.676981");
+						index_3("0.001");
+						index_4("0.3408502, 0.3812831, 0.4587501, 0.5558889, 0.6213966");
+						values("1.41974, 1.10158, 0.889471, 1.10158, 1.41974");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.449093");
+						index_3("0.001");
+						index_4("0.2277744, 0.2592268, 0.3267760, 0.3969427, 0.4462580");
+						values("1.40811, 1.08297, 0.866211, 1.08297, 1.40811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.597257");
+						index_3("0.001");
+						index_4("0.3036300, 0.3323696, 0.3807082, 0.4549682, 0.5107107");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.338491");
+						index_3("0.001");
+						index_4("0.1749450, 0.1962463, 0.2393608, 0.2860893, 0.3296606");
+						values("1.67983, 1.51773, 1.40967, 1.51773, 1.67983");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.224546");
+						index_3("0.001");
+						index_4("0.1183265, 0.1349369, 0.1660168, 0.2058748, 0.2403051");
+						values("1.67884, 1.51614, 1.40767, 1.51614, 1.67884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.01547");
+						index_3("0.001");
+						index_4("0.5060721, 0.5675516, 0.6621571, 0.8146583, 0.9089627");
+						values("1.17369, 0.707897, 0.397372, 0.707897, 1.17369");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014331;
+			capacitance : 0.014243;
+			fall_capacitance : 0.014156;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1109123, -0.0385583, -0.0120261, -0.0083822, -0.0040301, -0.0095433, 0.0063077", \
+					  "-0.1738093, -0.0969710, -0.0652548, -0.0514637, -0.0335661, -0.0388919, -0.0382997", \
+					  "-0.1552560, -0.1070890, -0.0785798, -0.0735814, -0.0705168, -0.0400165, -0.0485796", \
+					  "-0.1599819, -0.0936629, -0.0730436, -0.0449284, 0.3455767, -0.0265904, -0.0443127", \
+					  "-0.1389283, -0.0619282, -0.0265090, -0.0240668, -0.0208976, -0.0159316, -0.0236529", \
+					  "-0.1095353, -0.0355869, -0.0015148, 0.0003823, 0.0109363, -0.0007468, 0.0279863", \
+					  "-0.0777986, 0.0144603, 0.0317478, 0.0364499, 0.0325273, 0.0579320, 0.0404254");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1704713, -0.0324548, 0.0627294, 0.1531035, 0.2216715, 0.2749536, 0.3178540", \
+					  "-0.2272648, -0.0969138, 0.0044016, 0.0915676, 0.1720986, 0.2418698, 0.3107602", \
+					  "-0.2209182, -0.1006093, -0.0022186, 0.0838787, 0.1653869, 0.2455697, 0.3073723", \
+					  "-0.2256443, -0.0917610, 0.0024487, 0.1003631, 0.1803389, 0.2529242, 0.3101527", \
+					  "-0.2030648, -0.0600263, 0.0340908, 0.1131977, 0.1937631, 0.2828543, 0.3250987", \
+					  "-0.1767236, -0.0336850, 0.0409448, 0.1440534, 0.2150355, 0.2906870, 0.3647194", \
+					  "-0.1404093, 0.0163624, 0.0726815, 0.1718139, 0.2474592, 0.2848626, 0.3811973");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529474, 0.2778699, 0.2525366, 0.2321080, 0.2247041, 0.2225880, 0.2265734", \
+					  "0.4064645, 0.3278714, 0.3093518, 0.2881486, 0.2901778, 0.2840833, 0.2879662", \
+					  "0.4159108, 0.3234929, 0.3105473, 0.3022548, 0.2856180, 0.2827541, 0.2749328", \
+					  "0.4004915, 0.3274976, 0.2819817, 0.2842510, 0.2831144, 0.2854121, 0.2726129", \
+					  "0.3824897, 0.3063761, 0.2752695, 0.2514600, 0.2512159, 0.2857209, 0.2363005", \
+					  "0.3683555, 0.2782602, 0.2286226, 0.2536408, 0.2111418, 0.2142007, 0.2450545", \
+					  "0.3335670, 0.2475418, 0.2156406, 0.2188524, 0.2017354, 0.2108583, 0.2048541");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1866800, 0.0501848, -0.0521293, -0.1392266, -0.2109619, -0.2702690, -0.3149049", \
+					  "0.2419447, 0.1142799, 0.0040888, -0.0796567, -0.1615592, -0.2343908, -0.3008948", \
+					  "0.2506975, 0.1124819, 0.0138669, -0.0798087, -0.1550435, -0.2293191, -0.2973581", \
+					  "0.2418501, 0.1036336, -0.0010066, -0.0736175, -0.1748130, -0.2471694, -0.3015513", \
+					  "0.2177448, 0.0718986, -0.0203287, -0.0962982, -0.1788541, -0.2498626, -0.3291990", \
+					  "0.1975070, 0.0425058, -0.0290426, -0.1265337, -0.2017687, -0.2838333, -0.3358114", \
+					  "0.1672962, -0.0044899, -0.0607793, -0.1494329, -0.2335787, -0.2747253, -0.3573609");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540300, 0.0534522, 0.0528744, 0.0534399, 0.0539828, 0.0545483, 0.0551138");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425349, 0.0420523, 0.0415697, 0.0417382, 0.0419001, 0.0420686, 0.0422372");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105772";
+				miller_cap_rise : "0.000879112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91034e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87958e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88771e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89205e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.8978e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90967e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18655e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.07571e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0351589, 0.0657407, 0.1029582, 0.1461699, 0.2139529");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011281, 0.1315191, 0.1688047, 0.2115821, 0.2793841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0188319, 0.0298939, 0.0415029, 0.0541900, 0.0707188");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765226, 0.0930895, 0.1056684, 0.1182703, 0.1343198");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18105");
+						index_3("0.001");
+						index_4("2.0636615, 2.1720643, 2.3861157, 2.5212828, 2.6120700");
+						values("0.381132, 0.60981, 0.762263, 0.60981, 0.381132");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40932");
+						index_3("0.001");
+						index_4("1.1884893, 1.2812180, 1.4337142, 1.5587600, 1.6325015");
+						values("0.459293, 0.734869, 0.918586, 0.734869, 0.459293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62388");
+						index_3("0.001");
+						index_4("0.8014507, 0.8784834, 1.0099447, 1.1112400, 1.1677317");
+						values("0.489342, 0.782947, 0.978684, 0.782947, 0.489342");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09052");
+						index_3("0.001");
+						index_4("1.0422029, 1.0982436, 1.1908686, 1.2700943, 1.3173047");
+						values("0.216851, 0.346961, 0.433701, 0.346961, 0.216851");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20466");
+						index_3("0.001");
+						index_4("0.6039753, 0.6487676, 0.7240098, 0.7874055, 0.8245302");
+						values("0.255642, 0.409027, 0.511283, 0.409027, 0.255642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811942");
+						index_3("0.001");
+						index_4("0.4092828, 0.4470649, 0.5210579, 0.5621788, 0.5915178");
+						values("0.270545, 0.432873, 0.541091, 0.432873, 0.270545");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.61398");
+						index_3("0.001");
+						index_4("1.7752440, 1.9168060, 2.1318016, 2.3229860, 2.4277155");
+						values("0.636978, 1.01917, 1.27396, 1.01917, 0.636978");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20269");
+						index_3("0.001");
+						index_4("0.5997272, 0.6567946, 0.7721224, 0.8970489, 0.9863844");
+						values("1.44491, 1.14185, 0.939814, 1.14185, 1.44491");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681615");
+						index_3("0.001");
+						index_4("0.3431984, 0.3839359, 0.4621048, 0.5596959, 0.6257108");
+						values("1.41934, 1.10094, 0.888679, 1.10094, 1.41934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452167");
+						index_3("0.001");
+						index_4("0.2293100, 0.2607167, 0.3310155, 0.4000566, 0.4492468");
+						values("1.40857, 1.08371, 0.867131, 1.08371, 1.40857");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.601345");
+						index_3("0.001");
+						index_4("0.3057683, 0.3349303, 0.3874826, 0.4574514, 0.5140895");
+						values("1.69303, 1.53884, 1.43606, 1.53884, 1.69303");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340807");
+						index_3("0.001");
+						index_4("0.1761879, 0.1974909, 0.2417938, 0.2880984, 0.3319271");
+						values("1.6804, 1.51863, 1.41079, 1.51863, 1.6804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226083");
+						index_3("0.001");
+						index_4("0.1191871, 0.1359437, 0.1682226, 0.2072662, 0.2419556");
+						values("1.67878, 1.51604, 1.40756, 1.51604, 1.67878");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02242");
+						index_3("0.001");
+						index_4("0.5099870, 0.5725161, 0.6803337, 0.8212983, 0.9148504");
+						values("1.16912, 0.700595, 0.388244, 0.700595, 1.16912");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.994940;
+			max_transition : 1.506563;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("2.2686592, 2.4279444, 2.9471070, 7.7861743, 8.5020622, 8.4999120, 8.4945708", \
+					  "6.2044194, 6.2053704, 6.2032293, 6.2228655, 6.2070835, 6.0595812, 6.0216970", \
+					  "6.4219901, 6.4229876, 6.4237821, 6.4250619, 6.4277620, 6.4287612, 6.2929423", \
+					  "6.6011415, 6.5751567, 6.5925390, 6.5736240, 6.6055343, 6.6076281, 6.6142187", \
+					  "6.7680883, 6.8284848, 6.7757145, 6.8018282, 6.8283235, 6.8217918, 6.6872081", \
+					  "6.9737749, 6.9720241, 6.9603021, 6.8482481, 6.9660801, 6.9643644, 6.9049150", \
+					  "7.1362551, 7.0734555, 7.1476982, 7.1088044, 7.1800274, 7.1571295, 7.1402736");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("4.5554573, 5.0475307, 5.8010183, 6.2674507, 6.4275180, 6.4339703, 6.4352774", \
+					  "9.1136040, 9.1136751, 9.1136864, 9.1178957, 9.1210468, 9.1219549, 9.2918850", \
+					  "9.1453321, 9.1459418, 9.1474502, 9.1515736, 9.1563241, 9.1440076, 9.1360461", \
+					  "9.1175860, 9.1160387, 9.1137748, 9.1860703, 9.1901946, 9.1901495, 9.1382821", \
+					  "9.1199626, 9.1174143, 9.1173909, 9.1176268, 9.2012196, 9.0865379, 9.1460346", \
+					  "9.1308367, 9.1313996, 9.0751616, 9.0736888, 9.0752709, 9.2462401, 9.2152761", \
+					  "9.1813319, 9.1822270, 9.1828836, 9.2741361, 9.2787904, 9.0652477, 9.2695773");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("11.6081038, 11.7494979, 11.8764994, 11.9313214, 11.9069960, 11.8873028, 11.8790889", \
+					  "47.3653030, 47.3779590, 47.3766410, 47.3754260, 47.3603620, 47.3767030, 46.6068820", \
+					  "85.9997350, 85.9946210, 86.0275320, 85.9889870, 85.9899930, 85.9634590, 85.9235250", \
+					  "123.6849900, 123.6575000, 123.6603200, 123.6672000, 123.6829500, 123.6086500, 123.5640900", \
+					  "160.9476900, 160.9192100, 160.9166800, 160.9194000, 160.9961900, 160.8950300, 160.8438000", \
+					  "197.7349300, 197.8450700, 197.7526300, 197.7536300, 197.6632100, 197.9724000, 197.6427400", \
+					  "234.4708600, 234.4815700, 234.4837900, 234.4776000, 234.1808400, 234.2500600, 234.3417100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.3009335, 10.3307185, 10.3713755, 10.4180865, 10.4588695, 10.4789495, 10.4933145", \
+					  "36.8535860, 36.8529170, 36.8531280, 36.8603970, 36.8487320, 36.8478030, 37.3836240", \
+					  "56.0528850, 56.0527010, 56.0491970, 56.0603370, 56.0632550, 56.0453840, 56.0352670", \
+					  "75.4726560, 75.4743070, 75.4966020, 75.5043460, 75.5855790, 75.4789700, 75.4565300", \
+					  "95.0098610, 94.9943870, 94.9853320, 95.0021800, 95.0605430, 95.0138580, 94.9518800", \
+					  "114.7188200, 114.6714900, 114.7317900, 114.7111900, 114.8400100, 114.7140600, 114.6655500", \
+					  "134.3410700, 134.3361100, 134.3115300, 134.4255000, 134.4540600, 134.4139800, 134.1844100");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("9.7685786, 9.9140054, 10.0454712, 10.0963477, 10.0672211, 10.0426385, 10.0046967", \
+					  "13.7340450, 13.7503940, 13.7489200, 13.7505990, 13.7414270, 13.7343700, 13.0962660", \
+					  "25.7103700, 25.6884050, 25.6840920, 25.6868550, 25.6942540, 25.6636760, 25.6276820", \
+					  "37.0157000, 37.0497520, 37.0485730, 37.0407520, 36.9477640, 37.0310280, 36.9517750", \
+					  "48.2104110, 48.1819620, 48.2676330, 48.2265170, 48.1070840, 48.2503450, 48.0925160", \
+					  "59.1720010, 59.2192680, 59.2090540, 59.1626540, 59.1100220, 59.1594760, 59.1388950", \
+					  "69.9964250, 69.9145320, 70.0299260, 69.9224080, 69.9992630, 69.9711400, 69.9626880");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.4265505, 10.4645445, 10.5213375, 10.5946585, 10.6513075, 10.6882675, 10.7076845", \
+					  "29.6422780, 29.6431070, 29.6466500, 29.6538870, 29.6505460, 29.6468650, 30.2187440", \
+					  "40.8281980, 40.8297770, 40.8347490, 40.8367370, 40.8377570, 40.8274980, 40.8304470", \
+					  "52.0440500, 52.0620460, 52.0760410, 52.0656920, 52.0649150, 52.0470020, 52.0155030", \
+					  "63.3582980, 63.3754230, 63.3578400, 63.3753740, 63.3453910, 63.3564100, 63.3082480", \
+					  "74.7790510, 74.7438850, 74.7872670, 74.7500260, 74.7586440, 74.7461880, 74.7143110", \
+					  "86.0841470, 86.0526030, 86.1934200, 86.0598880, 86.0833490, 86.0653150, 86.0914740");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("17.1656609, 17.5268669, 18.1875019, 18.8584129, 19.3453079, 19.5909429, 19.5915229", \
+					  "17.1704126, 17.5314856, 18.1898106, 18.8601726, 19.3411836, 19.5865476, 19.6081276", \
+					  "17.1660453, 17.5296783, 18.1882123, 18.8569803, 19.3484353, 19.5797603, 19.5987243", \
+					  "17.1628575, 17.5606285, 18.1871415, 18.8607965, 19.3417195, 19.5894565, 19.5919895", \
+					  "17.1713065, 17.5302155, 18.1794995, 18.8673595, 19.3436745, 19.5782355, 19.5900155", \
+					  "17.1645697, 17.5318997, 18.1979897, 18.8601927, 19.3453997, 19.5898507, 19.5945147", \
+					  "17.1522099, 17.5274179, 18.2044759, 18.8544469, 19.3549079, 19.5938029, 19.6082449");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("23.3171838, 23.3684758, 23.4860798, 23.5890628, 23.7059298, 23.7698508, 23.7514038", \
+					  "23.3308157, 23.3545367, 23.4822297, 23.6037417, 23.7115287, 23.7546087, 23.8005037", \
+					  "23.3205326, 23.4049356, 23.4818916, 23.6183586, 23.6858246, 23.7165206, 23.7952316", \
+					  "23.3432064, 23.4178494, 23.5153794, 23.6449424, 23.7495354, 23.7905464, 23.7785104", \
+					  "23.3564798, 23.4253868, 23.4981118, 23.6152138, 23.7414408, 23.8237228, 23.7974538", \
+					  "23.3657526, 23.4328336, 23.5312676, 23.6968436, 23.7324296, 23.8110606, 23.8544516", \
+					  "23.4028185, 23.4513295, 23.5498485, 23.6498885, 23.8121265, 23.8696445, 23.8570575");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.9490249, 0.9567178, 0.9752326, 1.0188744, 1.1207016, 1.3655769, 1.9573831", \
+					  "2.5447549, 2.5527489, 2.5713325, 2.6144114, 2.7165905, 2.9613769, 3.5528152", \
+					  "3.8200381, 3.8280308, 3.8466150, 3.8896908, 3.9918659, 4.2366453, 4.8276192", \
+					  "4.1059018, 4.1140149, 4.1324215, 4.1754893, 4.2776538, 4.5223856, 5.1138653", \
+					  "5.7576162, 5.7638738, 5.7823488, 5.8254299, 5.9276348, 6.1723728, 6.7638517", \
+					  "7.1847892, 7.1847895, 7.1930191, 7.2361277, 7.3383819, 7.5830684, 8.1745064", \
+					  "8.2747258, 8.3286675, 8.3448883, 8.3879641, 8.4889580, 8.7348058, 9.3256523", \
+					  "9.9599733, 9.9599739, 10.0065590, 10.0496070, 10.1517560, 10.3964880, 10.9872800", \
+					  "31.3219810, 31.6686160, 31.6831790, 31.7266570, 31.8284740, 32.0732600, 32.6649570", \
+					  "45.0252490, 45.0252514, 45.0701590, 45.1275850, 45.2153410, 45.4604250, 46.0579240", \
+					  "102.0216400, 102.5135200, 102.5135232, 102.7125200, 102.7125206, 103.4684000, 104.3585400", \
+					  "308.2670300, 309.2837300, 309.4430100, 309.4430390, 309.4572800, 309.4572907, 310.2166800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0520710, 0.0611997, 0.0855264, 0.1444683, 0.2899262, 0.6433701, 1.5047014", \
+					  "0.0515268, 0.0615479, 0.0856202, 0.1444293, 0.2898377, 0.6438478, 1.5111776", \
+					  "0.0515255, 0.0615709, 0.0856008, 0.1445784, 0.2898364, 0.6438434, 1.5061026", \
+					  "0.0523043, 0.0619980, 0.0855051, 0.1449469, 0.2899686, 0.6434423, 1.4999858", \
+					  "0.0517178, 0.0612366, 0.0855827, 0.1447089, 0.2899302, 0.6436458, 1.4975222", \
+					  "0.0516452, 0.0615365, 0.0855088, 0.1447231, 0.2898302, 0.6436382, 1.4980712", \
+					  "0.0517628, 0.0613490, 0.0853895, 0.1449612, 0.2899826, 0.6440114, 1.5025001", \
+					  "0.0515933, 0.0621264, 0.0854010, 0.1449653, 0.2899797, 0.6438465, 1.5003359", \
+					  "0.0516616, 0.0613577, 0.0856135, 0.1445139, 0.2898411, 0.6438877, 1.5030438", \
+					  "0.0517577, 0.0620567, 0.0855633, 0.1446917, 0.2899853, 0.6438334, 1.4997865", \
+					  "0.0515878, 0.0619780, 0.0856073, 0.1445658, 0.2899814, 0.6437190, 1.4966981", \
+					  "0.0516777, 0.0617567, 0.0856067, 0.1449781, 0.2898304, 0.6439291, 1.4994828");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.8280914, 0.8385104, 0.8610818, 0.9076653, 0.9968219, 1.1775594, 1.5856115", \
+					  "2.1778885, 2.1882673, 2.2111337, 2.2574869, 2.3464737, 2.5272484, 2.9354832", \
+					  "3.0166170, 3.0270171, 3.0499234, 3.0962382, 3.1852672, 3.3670391, 3.7738523", \
+					  "3.1914653, 3.2018326, 3.2247622, 3.2710803, 3.3601006, 3.5407914, 3.9486525", \
+					  "4.1727359, 4.1824451, 4.2120707, 4.2610475, 4.3410089, 4.5215156, 4.9295910", \
+					  "4.9481095, 4.9583546, 4.9583548, 4.9803890, 5.1166062, 5.2966258, 5.7053873", \
+					  "5.4869629, 5.4974407, 5.5177818, 5.5657301, 5.6556657, 5.8365091, 6.2443668", \
+					  "6.1606484, 6.2295546, 6.2513252, 6.2978445, 6.3878538, 6.5687615, 6.9766064", \
+					  "14.5626620, 14.5626627, 14.5894400, 14.6332900, 14.7157560, 14.8954210, 15.3026660", \
+					  "19.2938630, 19.2938643, 19.3272400, 19.3749660, 19.3804490, 19.5615130, 19.9716490", \
+					  "38.3845130, 38.3945990, 38.4076100, 38.4539480, 38.5048790, 38.7347930, 39.1144360", \
+					  "104.8334100, 104.8641900, 104.8798000, 104.9254300, 105.0187700, 105.1928800, 105.6062600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0621352, 0.0706408, 0.0904403, 0.1304879, 0.2156733, 0.4205142, 0.9414423", \
+					  "0.0625978, 0.0708332, 0.0904994, 0.1303880, 0.2157789, 0.4239963, 0.9493921", \
+					  "0.0625306, 0.0709595, 0.0904571, 0.1303793, 0.2173423, 0.4226323, 0.9454847", \
+					  "0.0625512, 0.0707153, 0.0904536, 0.1303758, 0.2173017, 0.4229443, 0.9449732", \
+					  "0.0622613, 0.0712072, 0.0906169, 0.1303807, 0.2173295, 0.4238255, 0.9449839", \
+					  "0.0621411, 0.0710230, 0.0906064, 0.1304434, 0.2174325, 0.4211132, 0.9439816", \
+					  "0.0621553, 0.0710976, 0.0906222, 0.1304813, 0.2174393, 0.4209281, 0.9453315", \
+					  "0.0626316, 0.0712186, 0.0904863, 0.1304013, 0.2170362, 0.4228205, 0.9460912", \
+					  "0.0622192, 0.0713731, 0.0907454, 0.1305182, 0.2173966, 0.4237702, 0.9461772", \
+					  "0.0626048, 0.0715170, 0.0904020, 0.1305430, 0.2171328, 0.4237265, 0.9465297", \
+					  "0.0624263, 0.0715253, 0.0906343, 0.1304798, 0.2172297, 0.4211598, 0.9466554", \
+					  "0.0623573, 0.0712521, 0.0903530, 0.1305874, 0.2162517, 0.4228014, 0.9464560");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6477564, 0.6557559, 0.6740473, 0.7169307, 0.8182712, 1.0619248, 1.6511325", \
+					  "1.0618364, 1.0698872, 1.0884582, 1.1310556, 1.2325276, 1.4760186, 2.0673778", \
+					  "1.2268213, 1.2349498, 1.2533599, 1.2959420, 1.3972531, 1.6407297, 2.2321924", \
+					  "1.2625143, 1.2706149, 1.2891721, 1.3317687, 1.4332249, 1.6767213, 2.2679525", \
+					  "1.4377860, 1.4493484, 1.4643808, 1.5069923, 1.6084710, 1.8519026, 2.4431324", \
+					  "1.5639318, 1.5697480, 1.5927494, 1.6315446, 1.7331657, 1.9805120, 2.5715179", \
+					  "1.6547952, 1.6580504, 1.6762290, 1.7245905, 1.8259160, 2.0689552, 2.6601172", \
+					  "1.7685120, 1.7778663, 1.7956296, 1.8386934, 1.9393045, 2.1821589, 2.7738742", \
+					  "3.0024674, 3.0159404, 3.0285601, 3.0980232, 3.1785601, 3.4164434, 4.0080721", \
+					  "3.7101431, 3.7210244, 3.7451460, 3.7820591, 3.8754977, 4.1248649, 4.7156079", \
+					  "6.4659456, 6.4681158, 6.4975718, 6.5401786, 6.6294943, 6.8826012, 7.4706632", \
+					  "15.8869060, 15.8869062, 15.8922880, 15.9393720, 16.0422400, 16.3018700, 16.8623000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0520758, 0.0610670, 0.0850124, 0.1435677, 0.2889829, 0.6401568, 1.4970705", \
+					  "0.0513720, 0.0609858, 0.0850223, 0.1445809, 0.2898066, 0.6416026, 1.5041718", \
+					  "0.0513694, 0.0609840, 0.0850053, 0.1445855, 0.2898382, 0.6415563, 1.5004060", \
+					  "0.0513494, 0.0609857, 0.0849921, 0.1446259, 0.2897919, 0.6415521, 1.4989567", \
+					  "0.0511702, 0.0610102, 0.0849403, 0.1444283, 0.2898601, 0.6413082, 1.5014663", \
+					  "0.0513761, 0.0610032, 0.0849516, 0.1446027, 0.2897876, 0.6413326, 1.4949401", \
+					  "0.0513713, 0.0609837, 0.0850178, 0.1446230, 0.2898208, 0.6415172, 1.4979995", \
+					  "0.0513674, 0.0609872, 0.0849663, 0.1445987, 0.2898041, 0.6415992, 1.4995087", \
+					  "0.0513226, 0.0610264, 0.0849764, 0.1444817, 0.2898501, 0.6415975, 1.5000574", \
+					  "0.0513389, 0.0609836, 0.0850585, 0.1446013, 0.2895000, 0.6413589, 1.4977501", \
+					  "0.0513827, 0.0610216, 0.0849963, 0.1446194, 0.2898423, 0.6415105, 1.4993834", \
+					  "0.0513516, 0.0609838, 0.0847408, 0.1445766, 0.2898087, 0.6416049, 1.4993835");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.7807773, 0.7909079, 0.8119206, 0.8555160, 0.9417512, 1.1189568, 1.5236206", \
+					  "2.1544480, 2.1641438, 2.1858002, 2.2294184, 2.3153273, 2.4926523, 2.8975671", \
+					  "3.4106056, 3.4146901, 3.4419569, 3.4855763, 3.5714856, 3.7488848, 4.1531094", \
+					  "3.6977694, 3.7112320, 3.7290704, 3.7726120, 3.8585051, 4.0361194, 4.4427875", \
+					  "5.4918079, 5.4998099, 5.5231038, 5.5666534, 5.6443117, 5.8301612, 6.2659522", \
+					  "7.0141866, 7.0254558, 7.0440711, 7.0783459, 7.1696111, 7.3524715, 7.7584454", \
+					  "8.1953502, 8.1953512, 8.2182021, 8.2605505, 8.3549931, 8.5337506, 8.9261709", \
+					  "9.8769029, 9.8917496, 9.9177173, 9.9515551, 10.0427990, 10.1970540, 10.6190580", \
+					  "34.5272610, 34.5369990, 34.5601020, 34.5925950, 34.6415410, 34.8655330, 35.2332210", \
+					  "50.9783290, 51.0018840, 51.0235910, 51.0529330, 51.1540460, 51.3006600, 51.7261110", \
+					  "124.7203300, 124.7324700, 124.7512800, 124.7953200, 124.8816500, 125.0577000, 125.4732600", \
+					  "410.3078200, 410.3623900, 410.3669700, 410.4275000, 410.4817000, 410.6892700, 411.0498300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0591228, 0.0676566, 0.0866051, 0.1260151, 0.2093909, 0.4146919, 0.9326083", \
+					  "0.0607321, 0.0681103, 0.0861243, 0.1262318, 0.2125846, 0.4197164, 0.9453995", \
+					  "0.0607210, 0.0681099, 0.0861035, 0.1262306, 0.2129626, 0.4202680, 0.9514592", \
+					  "0.0600108, 0.0679618, 0.0864518, 0.1264930, 0.2132028, 0.4199731, 0.9516460", \
+					  "0.0599907, 0.0680398, 0.0863825, 0.1265276, 0.2132747, 0.4198463, 0.9501842", \
+					  "0.0606839, 0.0681217, 0.0860993, 0.1261047, 0.2145575, 0.4202870, 0.9427779", \
+					  "0.0599661, 0.0679521, 0.0863786, 0.1272354, 0.2134506, 0.4196242, 0.9494044", \
+					  "0.0600276, 0.0680600, 0.0861144, 0.1262309, 0.2129657, 0.4202753, 0.9428116", \
+					  "0.0605842, 0.0681034, 0.0863325, 0.1265312, 0.2129582, 0.4202869, 0.9514381", \
+					  "0.0607279, 0.0680109, 0.0871125, 0.1272157, 0.2132204, 0.4195095, 0.9491570", \
+					  "0.0596993, 0.0681098, 0.0871326, 0.1265786, 0.2129150, 0.4186592, 0.9430311", \
+					  "0.0604592, 0.0680942, 0.0870562, 0.1265343, 0.2133215, 0.4203564, 0.9441194");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6372382, 0.6451702, 0.6638251, 0.7063002, 0.8074494, 1.0509841, 1.6385761", \
+					  "0.0687560, 0.0768368, 0.0953874, 0.1379821, 0.2393759, 0.4829113, 1.0741852", \
+					  "-0.7908659, -0.7830127, -0.7642180, -0.7216089, -0.6201929, -0.3778303, 0.2146247", \
+					  "-1.0074022, -0.9992928, -0.9807627, -0.9374212, -0.8367045, -0.5932190, -0.0019071", \
+					  "-2.4044551, -2.3966007, -2.3778650, -2.3354918, -2.2337319, -1.9897330, -1.3992376", \
+					  "-3.6560277, -3.6547743, -3.6294425, -3.5891925, -3.4866421, -3.2419210, -2.6510345", \
+					  "-4.6498112, -4.6423905, -4.6229034, -4.5817257, -4.4776001, -4.2344706, -3.6432330", \
+					  "-6.0968075, -6.0886132, -6.0702878, -6.0282348, -5.9264664, -5.6839906, -5.0899422", \
+					  "-28.4134000, -28.4133996, -28.3995640, -28.3517710, -28.2401390, -27.9974040, -27.4369110", \
+					  "-43.7400270, -43.7400264, -43.7117650, -43.6691000, -43.5643770, -43.3370530, -42.7297060", \
+					  "-113.3149000, -113.3148994, -113.2940900, -113.2473900, -113.1501400, -112.9013100, -112.3119300", \
+					  "-386.0890300, -386.0654700, -386.0654449, -386.0216400, -385.9095200, -385.6589500, -385.0890100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0509649, 0.0611363, 0.0849657, 0.1441772, 0.2889995, 0.6408362, 1.4974048", \
+					  "0.0513697, 0.0609960, 0.0849704, 0.1446067, 0.2898026, 0.6416210, 1.4965390", \
+					  "0.0513580, 0.0609967, 0.0849834, 0.1446161, 0.2898268, 0.6415980, 1.4994884", \
+					  "0.0513425, 0.0609928, 0.0850048, 0.1445778, 0.2897976, 0.6415398, 1.4994032", \
+					  "0.0514200, 0.0609976, 0.0849760, 0.1445960, 0.2898456, 0.6415901, 1.4960522", \
+					  "0.0514021, 0.0610015, 0.0850069, 0.1444749, 0.2898433, 0.6420174, 1.5018804", \
+					  "0.0512214, 0.0610200, 0.0850167, 0.1444786, 0.2897401, 0.6411892, 1.4977740", \
+					  "0.0514206, 0.0610194, 0.0849810, 0.1446230, 0.2898409, 0.6414489, 1.4960485", \
+					  "0.0512381, 0.0610058, 0.0851586, 0.1446082, 0.2898613, 0.6411874, 1.4962315", \
+					  "0.0514285, 0.0609938, 0.0849704, 0.1444692, 0.2898417, 0.6420164, 1.4968399", \
+					  "0.0512803, 0.0609927, 0.0850306, 0.1444622, 0.2897212, 0.6415399, 1.4994008", \
+					  "0.0513855, 0.0610293, 0.0849537, 0.1445613, 0.2898790, 0.6416646, 1.5003428");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.8939131, 0.9039272, 0.9255737, 0.9684119, 1.0550294, 1.2322292, 1.6366837", \
+					  "2.7629090, 2.7726068, 2.7942595, 2.8378824, 2.9237925, 3.1011904, 3.5053769", \
+					  "4.5309401, 4.5406159, 4.5622714, 4.6058499, 4.6917794, 4.8689199, 5.2740492", \
+					  "4.9437716, 4.9534643, 4.9751212, 5.0187401, 5.1046496, 5.2820517, 5.6868483", \
+					  "7.4855482, 7.4953697, 7.5166584, 7.5602671, 7.6467215, 7.8240001, 8.2286791", \
+					  "9.6541912, 9.6641619, 9.6857251, 9.7336148, 9.8152961, 9.9927053, 10.3972050", \
+					  "11.3387470, 11.3465270, 11.3681880, 11.4108190, 11.4974750, 11.6751550, 12.0796740", \
+					  "13.7494840, 13.7604490, 13.7740450, 13.8271560, 13.9085700, 14.0799630, 14.4828840", \
+					  "48.9913390, 48.9998020, 49.0208190, 49.0653030, 49.1529020, 49.3281860, 49.7324320", \
+					  "72.5409710, 72.5493980, 72.5718790, 72.6154920, 72.7015820, 72.8802830, 73.2844610", \
+					  "177.9927600, 178.0004600, 178.0207300, 178.0652200, 178.1514800, 178.3270300, 178.7335700", \
+					  "586.2637500, 586.2709100, 586.2917800, 586.3356600, 586.4207000, 586.6018000, 587.0020300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0593293, 0.0673704, 0.0860349, 0.1252192, 0.2101502, 0.4156024, 0.9326163", \
+					  "0.0607097, 0.0681088, 0.0860946, 0.1262287, 0.2129498, 0.4202722, 0.9424009", \
+					  "0.0607692, 0.0681149, 0.0860140, 0.1262451, 0.2134115, 0.4183897, 0.9403532", \
+					  "0.0607107, 0.0681090, 0.0860962, 0.1262291, 0.2129604, 0.4166314, 0.9431397", \
+					  "0.0595731, 0.0674498, 0.0870984, 0.1264889, 0.2134100, 0.4198651, 0.9409941", \
+					  "0.0606780, 0.0681027, 0.0860294, 0.1261472, 0.2129795, 0.4199426, 0.9401655", \
+					  "0.0606351, 0.0680921, 0.0859140, 0.1261806, 0.2131927, 0.4198586, 0.9402102", \
+					  "0.0606697, 0.0678338, 0.0860633, 0.1262210, 0.2129637, 0.4199228, 0.9434270", \
+					  "0.0596483, 0.0680551, 0.0859184, 0.1266814, 0.2130369, 0.4190610, 0.9401280", \
+					  "0.0604733, 0.0681117, 0.0862659, 0.1262320, 0.2117909, 0.4180838, 0.9343016", \
+					  "0.0600182, 0.0680967, 0.0861975, 0.1271057, 0.2115944, 0.4174230, 0.9370157", \
+					  "0.0599497, 0.0680838, 0.0862706, 0.1262639, 0.2137098, 0.4183066, 0.9389567");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("2.5563007, 2.5644453, 2.5828180, 2.6259046, 2.7272413, 2.9715326, 3.5642616", \
+					  "2.6906710, 2.6981961, 2.7159468, 2.7587925, 2.8613558, 3.1047167, 3.6959966", \
+					  "2.7985954, 2.8066096, 2.8250715, 2.8680609, 2.9694942, 3.2137084, 3.8061665", \
+					  "2.8856229, 2.8897320, 2.9120888, 2.9551164, 3.0564968, 3.3007095, 3.8914134", \
+					  "2.9594551, 2.9674372, 2.9859542, 3.0289173, 3.1303726, 3.3745511, 3.9665875", \
+					  "3.0248120, 3.0331577, 3.0513136, 3.0941971, 3.1956491, 3.4397831, 4.0315995", \
+					  "3.1008214, 3.1088441, 3.1272995, 3.1702876, 3.2717331, 3.5159431, 4.1085140");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0513581, 0.0610808, 0.0849709, 0.1449689, 0.2900702, 0.6411141, 1.4978198", \
+					  "0.0513619, 0.0610572, 0.0853545, 0.1448509, 0.2903857, 0.6426604, 1.5011422", \
+					  "0.0512557, 0.0611337, 0.0850044, 0.1449921, 0.2906663, 0.6414350, 1.4988255", \
+					  "0.0513441, 0.0611557, 0.0849748, 0.1450988, 0.2904127, 0.6428054, 1.5000599", \
+					  "0.0512494, 0.0611557, 0.0848920, 0.1449351, 0.2906564, 0.6405745, 1.5014381", \
+					  "0.0512346, 0.0610937, 0.0849218, 0.1450964, 0.2907739, 0.6428722, 1.4980835", \
+					  "0.0512521, 0.0611198, 0.0849254, 0.1450290, 0.2906200, 0.6417749, 1.4975888");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("1.9841424, 1.9937394, 2.0151139, 2.0586750, 2.1437385, 2.3200342, 2.7211842", \
+					  "2.0577968, 2.0673916, 2.0887647, 2.1323270, 2.2173923, 2.3936821, 2.7948539", \
+					  "2.0853022, 2.0950288, 2.1163788, 2.1599498, 2.2450088, 2.4213438, 2.8224838", \
+					  "2.1020405, 2.1117845, 2.1334949, 2.1766959, 2.2617421, 2.4380400, 2.8392004", \
+					  "2.1084068, 2.1180018, 2.1392907, 2.1828515, 2.2679174, 2.4442890, 2.8453822", \
+					  "2.1121758, 2.1217650, 2.1431548, 2.1867212, 2.2717852, 2.4480333, 2.8492155", \
+					  "2.1110889, 2.1208171, 2.1420976, 2.1856292, 2.2710289, 2.4469040, 2.8480911");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0604816, 0.0673007, 0.0847807, 0.1242252, 0.2107575, 0.4152254, 0.9378427", \
+					  "0.0600371, 0.0672891, 0.0847911, 0.1242049, 0.2108137, 0.4150727, 0.9378122", \
+					  "0.0593607, 0.0674276, 0.0846717, 0.1242621, 0.2106046, 0.4150887, 0.9378219", \
+					  "0.0593580, 0.0674180, 0.0847820, 0.1241336, 0.2106123, 0.4155839, 0.9378458", \
+					  "0.0600493, 0.0672624, 0.0847761, 0.1242072, 0.2108253, 0.4150579, 0.9378187", \
+					  "0.0603325, 0.0674243, 0.0849444, 0.1239968, 0.2110246, 0.4146724, 0.9380627", \
+					  "0.0591268, 0.0667683, 0.0848171, 0.1237745, 0.2112308, 0.4137932, 0.9383188");
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )";
+				miller_cap_fall : "0.00886148";
+				miller_cap_rise : "0.00409391";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("14.2715, 6.54505, 5.90368, 5.60514, 5.26614, 5.08203, 4.88847, 4.68566, 4.47382, 4.25315, 4.02384, 3.78607, 3.54002, 3.28587, 3.02379, 2.75394, 2.47648, 2.19155, 1.89931, 1.59991, 1.29347, 0.980126, 0.660019, 0.333269, -5.81717e-09, -0.662369, -1.30628, -3.24147, -9.61107", \
+					  "13.2757, 5.06731, 4.79394, 4.67556, 4.53015, 4.4409, 4.33681, 4.21648, 4.07965, 3.92655, 3.75764, 3.57344, 3.37447, 3.16125, 2.93425, 2.69396, 2.44083, 2.17527, 1.8977, 1.60849, 1.308, 0.99659, 0.674579, 0.342283, -1.98555e-09, -0.689067, -1.36868, -3.43707, -9.67469", \
+					  "12.3454, 3.51284, 3.34428, 3.28217, 3.21261, 3.17419, 3.13266, 3.08729, 3.03697, 2.97984, 2.9125, 2.82982, 2.72737, 2.60341, 2.458, 2.29182, 2.10577, 1.90073, 1.67757, 1.4371, 1.18008, 0.907213, 0.619172, 0.316574, -4.86623e-09, -0.652463, -1.31418, -3.40106, -9.67678", \
+					  "12.0457, 3.00753, 2.75922, 2.71065, 2.65728, 2.6283, 2.59744, 2.56432, 2.52844, 2.4891, 2.44521, 2.39484, 2.33416, 2.25697, 2.15801, 2.03545, 1.88954, 1.72122, 1.53155, 1.32159, 1.09233, 0.844704, 0.579582, 0.297765, -5.29606e-09, -0.623075, -1.26606, -3.33842, -9.66473", \
+					  "11.7477, 2.6981, 2.15131, 2.11441, 2.07457, 2.05327, 2.03086, 2.00715, 1.9819, 1.95478, 1.92537, 1.89304, 1.85678, 1.81468, 1.7624, 1.6924, 1.59841, 1.47862, 1.33356, 1.16443, 0.972503, 0.758993, 0.52505, 0.271728, -7.90862e-09, -0.581694, -1.19715, -3.2405, -9.64374", \
+					  "11.6021, 2.58628, 1.84765, 1.81595, 1.78202, 1.76401, 1.74517, 1.72537, 1.70443, 1.68214, 1.65822, 1.63226, 1.60371, 1.57163, 1.53411, 1.4866, 1.42073, 1.32968, 1.2117, 1.06753, 0.898489, 0.705954, 0.491235, 0.255545, -8.57103e-09, -0.555791, -1.15368, -3.17619, -9.62942", \
+					  "11.4612, 2.49319, 1.55056, 1.52311, 1.4946, 1.47957, 1.46393, 1.44758, 1.43042, 1.4123, 1.39303, 1.37236, 1.34995, 1.32528, 1.29752, 1.26482, 1.22236, 1.16085, 1.07293, 0.956995, 0.813976, 0.645331, 0.452545, 0.237008, -7.90484e-09, -0.526034, -1.10358, -3.10049, -9.6123", \
+					  "11.3276, 2.41934, 1.2737, 1.24093, 1.21734, 1.205, 1.19222, 1.17895, 1.1651, 1.15058, 1.13528, 1.11902, 1.10162, 1.08277, 1.06203, 1.03866, 1.0109, 0.973672, 0.916655, 0.831963, 0.71822, 0.576573, 0.40862, 0.215941, -4.11505e-08, -0.492151, -1.04637, -3.01248, -9.59221", \
+					  "11.204, 2.36711, 1.06285, 0.974968, 0.955656, 0.945732, 0.935511, 0.924952, 0.914005, 0.902611, 0.890692, 0.878154, 0.864871, 0.850675, 0.83533, 0.818475, 0.79946, 0.776664, 0.744727, 0.692175, 0.610696, 0.49923, 0.359152, 0.192187, -9.61962e-07, -0.453901, -0.981645, -2.91124, -9.56902", \
+					  "11.0928, 2.33953, 0.938375, 0.734818, 0.71516, 0.707397, 0.699444, 0.691275, 0.682858, 0.674157, 0.665125, 0.655707, 0.645829, 0.635398, 0.624284, 0.612308, 0.599187, 0.584389, 0.566442, 0.539709, 0.491442, 0.413054, 0.30391, 0.165607, -2.4205e-05, -0.411107, -0.909088, -2.79583, -9.5427", \
+					  "10.9978, 2.33985, 0.878646, 0.554651, 0.501395, 0.495472, 0.489501, 0.483406, 0.477166, 0.47076, 0.464162, 0.457339, 0.450254, 0.442854, 0.435074, 0.426823, 0.417973, 0.408319, 0.397452, 0.38408, 0.362289, 0.317957, 0.242472, 0.135721, -0.00050638, -0.364099, -0.82894, -2.6658, -9.5139", \
+					  "10.9218, 2.3716, 0.869824, 0.464948, 0.319058, 0.31291, 0.308391, 0.303887, 0.29933, 0.294695, 0.289964, 0.28512, 0.28014, 0.274999, 0.269666, 0.264097, 0.258237, 0.252003, 0.245261, 0.237725, 0.228318, 0.210888, 0.1699, 0.0970826, -0.0071013, -0.318708, -0.747215, -2.52715, -9.49017", \
+					  "10.8675, 2.43857, 0.906039, 0.442301, 0.172756, 0.146451, 0.140075, 0.135412, 0.131106, 0.126923, 0.122783, 0.118642, 0.114473, 0.110256, 0.10597, 0.101594, 0.097104, 0.0924668, 0.0876372, 0.0825405, 0.0770043, 0.0702221, 0.0559941, 0.0175482, -0.0526243, -0.307829, -0.696986, -2.41385, -9.50258", \
+					  "10.8384, 2.5452, 0.984794, 0.465302, 0.0717971, -0.0176402, -0.0456349, -0.0559482, -0.062934, -0.0687686, -0.0740448, -0.0790016, -0.0837592, -0.0883869, -0.0929295, -0.0974187, -0.101879, -0.106332, -0.110799, -0.115306, -0.119887, -0.12463, -0.130042, -0.142079, -0.178032, -0.370468, -0.715227, -2.35882, -9.5725", \
+					  "10.8372, 2.69482, 1.10354, 0.525348, 0.0197909, -0.144652, -0.228084, -0.257398, -0.271709, -0.281631, -0.289643, -0.296612, -0.302934, -0.308817, -0.314386, -0.319723, -0.324881, -0.329899, -0.334808, -0.339631, -0.34439, -0.34911, -0.353835, -0.358892, -0.37065, -0.495211, -0.789041, -2.34705, -9.68169", \
+					  "10.8598, 2.87756, 1.24812, 0.608365, 0.00362318, -0.228908, -0.380563, -0.449793, -0.478816, -0.496003, -0.508599, -0.518869, -0.527758, -0.535741, -0.543088, -0.549964, -0.556482, -0.562716, -0.568722, -0.574543, -0.580212, -0.58576, -0.591217, -0.596629, -0.602634, -0.664812, -0.899591, -2.36077, -9.81205", \
+					  "10.8917, 3.0687, 1.39317, 0.692278, 0.000588757, -0.290947, -0.507194, -0.633164, -0.687648, -0.716116, -0.735271, -0.750052, -0.762362, -0.773103, -0.782768, -0.791651, -0.799943, -0.807774, -0.815236, -0.822397, -0.829312, -0.836024, -0.842575, -0.849013, -0.855433, -0.880661, -1.043, -2.39256, -9.95347", \
+					  "10.9235, 3.25389, 1.52442, 0.766767, 9.6642e-05, -0.34165, -0.614527, -0.802313, -0.895593, -0.940697, -0.968649, -0.989101, -1.00553, -1.0195, -1.03181, -1.04295, -1.05321, -1.0628, -1.07184, -1.08045, -1.0887, -1.09666, -1.10437, -1.11191, -1.11933, -1.13577, -1.22309, -2.43935, -10.0999", \
+					  "10.9544, 3.42954, 1.63776, 0.830273, 1.5891e-05, -0.383821, -0.704954, -0.950251, -1.09426, -1.16346, -1.20314, -1.23064, -1.25194, -1.2696, -1.28486, -1.29847, -1.31084, -1.32229, -1.33299, -1.34311, -1.35273, -1.36196, -1.37085, -1.37949, -1.38794, -1.40489, -1.44332, -2.49993, -10.2474", \
+					  "10.9833, 3.59497, 1.7328, 0.883302, 2.52139e-06, -0.418628, -0.780181, -1.07486, -1.27506, -1.37805, -1.43356, -1.4699, -1.497, -1.51888, -1.53744, -1.55374, -1.56839, -1.5818, -1.59425, -1.60592, -1.61696, -1.62749, -1.63758, -1.64733, -1.65682, -1.67548, -1.69799, -2.5742, -10.3938", \
+					  "11.0102, 3.74996, 1.81073, 0.926784, 3.34016e-07, -0.446982, -0.841921, -1.17757, -1.43153, -1.57848, -1.65557, -1.7032, -1.73731, -1.76408, -1.78635, -1.8056, -1.82271, -1.83823, -1.85251, -1.86582, -1.87833, -1.89018, -1.9015, -1.91238, -1.92292, -1.94343, -1.9647, -2.66291, -10.5377", \
+					  "11.0351, 3.89422, 1.87328, 0.961737, 3.08528e-08, -0.469727, -0.891866, -1.26098, -1.56112, -1.75889, -1.86525, -1.9276, -1.97031, -2.00284, -2.02933, -2.05187, -2.07167, -2.08944, -2.10567, -2.12069, -2.13474, -2.14798, -2.16056, -2.17259, -2.18419, -2.20658, -2.22907, -2.76729, -10.6782", \
+					  "11.0582, 4.02724, 1.92232, 0.989178, 7.2507e-09, -0.487638, -0.931617, -1.32776, -1.66525, -1.91414, -2.05861, -2.14047, -2.19399, -2.2334, -2.26476, -2.291, -2.31375, -2.33398, -2.3523, -2.36913, -2.38478, -2.39947, -2.41336, -2.42658, -2.43927, -2.46357, -2.48758, -2.88882, -10.8152", \
+					  "11.0793, 4.14821, 1.95959, 1.01007, 6.26949e-09, -0.501418, -0.962638, -1.38038, -1.7473, -2.04133, -2.23139, -2.33918, -2.40654, -2.45434, -2.49141, -2.52187, -2.54791, -2.57082, -2.59139, -2.61017, -2.62752, -2.64372, -2.65897, -2.67343, -2.68725, -2.7135, -2.73908, -3.02907, -10.9486", \
+					  "11.0987, 4.25595, 1.98673, 1.02532, 6.21715e-09, -0.511688, -0.98623, -1.421, -1.81089, -2.14116, -2.37933, -2.5208, -2.60618, -2.66443, -2.7083, -2.74363, -2.77338, -2.79926, -2.82229, -2.84315, -2.86232, -2.88012, -2.8968, -2.91255, -2.92753, -2.95581, -2.98299, -3.18941, -11.0785", \
+					  "11.1322, 4.42537, 2.01619, 1.04201, 6.21556e-09, -0.523805, -1.01556, -1.4735, -1.89487, -2.27349, -2.59172, -2.81892, -2.95845, -3.04698, -3.10935, -3.15717, -3.19605, -3.22899, -3.25771, -3.28332, -3.30654, -3.32786, -3.34765, -3.36619, -3.38368, -3.41625, -3.4468, -3.56928, -11.3286", \
+					  "11.1592, 4.52219, 2.02038, 1.04471, 6.9357e-09, -0.527512, -1.02702, -1.49728, -1.9366, -2.3421, -2.70673, -3.01156, -3.22983, -3.36875, -3.46044, -3.52664, -3.57811, -3.62029, -3.65618, -3.68757, -3.71559, -3.741, -3.76435, -3.78601, -3.8063, -3.8436, -3.87788, -3.98796, -11.5673", \
+					  "11.2042, 4.45371, 1.94616, 1.00529, 1.42046e-07, -0.510551, -1.00133, -1.47177, -1.92128, -2.34916, -2.75453, -3.136, -3.49069, -3.81165, -4.08334, -4.28862, -4.43236, -4.53487, -4.61233, -4.67412, -4.72549, -4.76957, -4.8083, -4.84296, -4.87442, -4.93012, -4.97877, -5.10494, -12.2039", \
+					  "11.1637, 4.00395, 1.72745, 0.888842, 4.65295e-06, -0.452011, -0.891524, -1.31834, -1.73225, -2.13305, -2.52054, -2.89449, -3.25466, -3.60078, -3.93255, -4.24952, -4.55097, -4.83557, -5.10044, -5.33953, -5.54345, -5.70632, -5.83252, -5.93161, -6.01188, -6.13662, -6.2323, -6.43591, -12.9813");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0319164");
+						index_3("0.0279880, 0.0440605, 0.0617688, 0.0821052, 0.1183710");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0957492");
+						index_3("0.0344261, 0.0630240, 0.0937413, 0.1317891, 0.1950532");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0319164");
+						index_3("0.0943418, 0.1128132, 0.1299217, 0.1505235, 0.1868303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0957492");
+						index_3("0.1032050, 0.1321353, 0.1629765, 0.2002139, 0.2637778");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.045039");
+						index_3("0.0254493, 0.0385783, 0.0521227, 0.0670860, 0.0887394");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.135117");
+						index_3("0.0318133, 0.0571585, 0.0832936, 0.1122604, 0.1547012");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.045039");
+						index_3("0.0820914, 0.1001486, 0.1140389, 0.1287216, 0.1502549");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.135117");
+						index_3("0.0920315, 0.1195424, 0.1457644, 0.1745637, 0.2170263");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("2.39922");
+						index_3("0.0319164");
+						index_4("1.1966854, 1.2703293, 1.3806800, 1.5080764, 1.5792218");
+						values("0.488641, 0.781826, 0.977283, 0.781826, 0.488641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0319164");
+						index_4("0.6991833, 0.7559387, 0.8492475, 0.9422313, 0.9968458");
+						values("0.550112, 0.88018, 1.10022, 0.88018, 0.550112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0319164");
+						index_4("0.4768528, 0.5224628, 0.6125445, 0.6768160, 0.7191591");
+						values("0.57654, 0.922464, 1.15308, 0.922464, 0.57654");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("1.19961");
+						index_3("0.0319164");
+						index_4("0.6044096, 0.6424816, 0.6949111, 0.7640174, 0.8046017");
+						values("0.258995, 0.414393, 0.517991, 0.414393, 0.258995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.47241");
+						index_3("0.0319164");
+						index_4("0.2425694, 0.2663597, 0.3002935, 0.3467938, 0.3755202");
+						values("0.31215, 0.499441, 0.624301, 0.499441, 0.31215");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0957492");
+						index_4("0.7003014, 0.7583227, 0.8512210, 0.9510706, 1.0084091");
+						values("0.325879, 0.521407, 0.651758, 0.521407, 0.325879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0957492");
+						index_4("0.4776735, 0.5239726, 0.5871119, 0.6830033, 0.7302081");
+						values("0.336651, 0.538642, 0.673303, 0.538642, 0.336651");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("1.64783");
+						index_3("0.045039");
+						index_4("0.8242168, 0.8912668, 1.0255813, 1.1451531, 1.2290657");
+						values("1.41092, 1.08747, 0.871842, 1.08747, 1.41092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.045039");
+						index_4("0.4674775, 0.5179177, 0.5926564, 0.7060876, 0.7667738");
+						values("1.36367, 1.01187, 0.777334, 1.01187, 1.36367");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.045039");
+						index_4("0.3112585, 0.3511756, 0.4270584, 0.4969378, 0.5446299");
+						values("1.34582, 0.983308, 0.741636, 0.983308, 1.34582");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("0.823917");
+						index_3("0.045039");
+						index_4("0.4187869, 0.4531278, 0.5379979, 0.5896027, 0.6386529");
+						values("1.67604, 1.51166, 1.40207, 1.51166, 1.67604");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.304231");
+						index_3("0.045039");
+						index_4("0.1621026, 0.1821135, 0.2141863, 0.2581426, 0.2894527");
+						values("1.65624, 1.47999, 1.36249, 1.47999, 1.65624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.135117");
+						index_4("0.4700352, 0.5221537, 0.6059946, 0.7158632, 0.7897206");
+						values("1.63472, 1.44555, 1.31943, 1.44555, 1.63472");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.135117");
+						index_4("0.3126733, 0.3533397, 0.4176838, 0.5071156, 0.5670719");
+						values("1.6298, 1.43767, 1.30959, 1.43767, 1.6298");
+					}
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00886148";
+				miller_cap_rise : "0.00409391";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("14.2715, 6.54505, 5.90368, 5.60514, 5.26614, 5.08203, 4.88847, 4.68566, 4.47382, 4.25315, 4.02384, 3.78607, 3.54002, 3.28587, 3.02379, 2.75394, 2.47648, 2.19155, 1.89931, 1.59991, 1.29347, 0.980126, 0.660019, 0.333269, -5.81717e-09, -0.662369, -1.30628, -3.24147, -9.61107", \
+					  "13.2757, 5.06731, 4.79394, 4.67556, 4.53015, 4.4409, 4.33681, 4.21648, 4.07965, 3.92655, 3.75764, 3.57344, 3.37447, 3.16125, 2.93425, 2.69396, 2.44083, 2.17527, 1.8977, 1.60849, 1.308, 0.99659, 0.674579, 0.342283, -1.98555e-09, -0.689067, -1.36868, -3.43707, -9.67469", \
+					  "12.3454, 3.51284, 3.34428, 3.28217, 3.21261, 3.17419, 3.13266, 3.08729, 3.03697, 2.97984, 2.9125, 2.82982, 2.72737, 2.60341, 2.458, 2.29182, 2.10577, 1.90073, 1.67757, 1.4371, 1.18008, 0.907213, 0.619172, 0.316574, -4.86623e-09, -0.652463, -1.31418, -3.40106, -9.67678", \
+					  "12.0457, 3.00753, 2.75922, 2.71065, 2.65728, 2.6283, 2.59744, 2.56432, 2.52844, 2.4891, 2.44521, 2.39484, 2.33416, 2.25697, 2.15801, 2.03545, 1.88954, 1.72122, 1.53155, 1.32159, 1.09233, 0.844704, 0.579582, 0.297765, -5.29606e-09, -0.623075, -1.26606, -3.33842, -9.66473", \
+					  "11.7477, 2.6981, 2.15131, 2.11441, 2.07457, 2.05327, 2.03086, 2.00715, 1.9819, 1.95478, 1.92537, 1.89304, 1.85678, 1.81468, 1.7624, 1.6924, 1.59841, 1.47862, 1.33356, 1.16443, 0.972503, 0.758993, 0.52505, 0.271728, -7.90862e-09, -0.581694, -1.19715, -3.2405, -9.64374", \
+					  "11.6021, 2.58628, 1.84765, 1.81595, 1.78202, 1.76401, 1.74517, 1.72537, 1.70443, 1.68214, 1.65822, 1.63226, 1.60371, 1.57163, 1.53411, 1.4866, 1.42073, 1.32968, 1.2117, 1.06753, 0.898489, 0.705954, 0.491235, 0.255545, -8.57103e-09, -0.555791, -1.15368, -3.17619, -9.62942", \
+					  "11.4612, 2.49319, 1.55056, 1.52311, 1.4946, 1.47957, 1.46393, 1.44758, 1.43042, 1.4123, 1.39303, 1.37236, 1.34995, 1.32528, 1.29752, 1.26482, 1.22236, 1.16085, 1.07293, 0.956995, 0.813976, 0.645331, 0.452545, 0.237008, -7.90484e-09, -0.526034, -1.10358, -3.10049, -9.6123", \
+					  "11.3276, 2.41934, 1.2737, 1.24093, 1.21734, 1.205, 1.19222, 1.17895, 1.1651, 1.15058, 1.13528, 1.11902, 1.10162, 1.08277, 1.06203, 1.03866, 1.0109, 0.973672, 0.916655, 0.831963, 0.71822, 0.576573, 0.40862, 0.215941, -4.11505e-08, -0.492151, -1.04637, -3.01248, -9.59221", \
+					  "11.204, 2.36711, 1.06285, 0.974968, 0.955656, 0.945732, 0.935511, 0.924952, 0.914005, 0.902611, 0.890692, 0.878154, 0.864871, 0.850675, 0.83533, 0.818475, 0.79946, 0.776664, 0.744727, 0.692175, 0.610696, 0.49923, 0.359152, 0.192187, -9.61962e-07, -0.453901, -0.981645, -2.91124, -9.56902", \
+					  "11.0928, 2.33953, 0.938375, 0.734818, 0.71516, 0.707397, 0.699444, 0.691275, 0.682858, 0.674157, 0.665125, 0.655707, 0.645829, 0.635398, 0.624284, 0.612308, 0.599187, 0.584389, 0.566442, 0.539709, 0.491442, 0.413054, 0.30391, 0.165607, -2.4205e-05, -0.411107, -0.909088, -2.79583, -9.5427", \
+					  "10.9978, 2.33985, 0.878646, 0.554651, 0.501395, 0.495472, 0.489501, 0.483406, 0.477166, 0.47076, 0.464162, 0.457339, 0.450254, 0.442854, 0.435074, 0.426823, 0.417973, 0.408319, 0.397452, 0.38408, 0.362289, 0.317957, 0.242472, 0.135721, -0.00050638, -0.364099, -0.82894, -2.6658, -9.5139", \
+					  "10.9218, 2.3716, 0.869824, 0.464948, 0.319058, 0.31291, 0.308391, 0.303887, 0.29933, 0.294695, 0.289964, 0.28512, 0.28014, 0.274999, 0.269666, 0.264097, 0.258237, 0.252003, 0.245261, 0.237725, 0.228318, 0.210888, 0.1699, 0.0970826, -0.0071013, -0.318708, -0.747215, -2.52715, -9.49017", \
+					  "10.8675, 2.43857, 0.906039, 0.442301, 0.172756, 0.146451, 0.140075, 0.135412, 0.131106, 0.126923, 0.122783, 0.118642, 0.114473, 0.110256, 0.10597, 0.101594, 0.097104, 0.0924668, 0.0876372, 0.0825405, 0.0770043, 0.0702221, 0.0559941, 0.0175482, -0.0526243, -0.307829, -0.696986, -2.41385, -9.50258", \
+					  "10.8384, 2.5452, 0.984794, 0.465302, 0.0717971, -0.0176402, -0.0456349, -0.0559482, -0.062934, -0.0687686, -0.0740448, -0.0790016, -0.0837592, -0.0883869, -0.0929295, -0.0974187, -0.101879, -0.106332, -0.110799, -0.115306, -0.119887, -0.12463, -0.130042, -0.142079, -0.178032, -0.370468, -0.715227, -2.35882, -9.5725", \
+					  "10.8372, 2.69482, 1.10354, 0.525348, 0.0197909, -0.144652, -0.228084, -0.257398, -0.271709, -0.281631, -0.289643, -0.296612, -0.302934, -0.308817, -0.314386, -0.319723, -0.324881, -0.329899, -0.334808, -0.339631, -0.34439, -0.34911, -0.353835, -0.358892, -0.37065, -0.495211, -0.789041, -2.34705, -9.68169", \
+					  "10.8598, 2.87756, 1.24812, 0.608365, 0.00362318, -0.228908, -0.380563, -0.449793, -0.478816, -0.496003, -0.508599, -0.518869, -0.527758, -0.535741, -0.543088, -0.549964, -0.556482, -0.562716, -0.568722, -0.574543, -0.580212, -0.58576, -0.591217, -0.596629, -0.602634, -0.664812, -0.899591, -2.36077, -9.81205", \
+					  "10.8917, 3.0687, 1.39317, 0.692278, 0.000588757, -0.290947, -0.507194, -0.633164, -0.687648, -0.716116, -0.735271, -0.750052, -0.762362, -0.773103, -0.782768, -0.791651, -0.799943, -0.807774, -0.815236, -0.822397, -0.829312, -0.836024, -0.842575, -0.849013, -0.855433, -0.880661, -1.043, -2.39256, -9.95347", \
+					  "10.9235, 3.25389, 1.52442, 0.766767, 9.6642e-05, -0.34165, -0.614527, -0.802313, -0.895593, -0.940697, -0.968649, -0.989101, -1.00553, -1.0195, -1.03181, -1.04295, -1.05321, -1.0628, -1.07184, -1.08045, -1.0887, -1.09666, -1.10437, -1.11191, -1.11933, -1.13577, -1.22309, -2.43935, -10.0999", \
+					  "10.9544, 3.42954, 1.63776, 0.830273, 1.5891e-05, -0.383821, -0.704954, -0.950251, -1.09426, -1.16346, -1.20314, -1.23064, -1.25194, -1.2696, -1.28486, -1.29847, -1.31084, -1.32229, -1.33299, -1.34311, -1.35273, -1.36196, -1.37085, -1.37949, -1.38794, -1.40489, -1.44332, -2.49993, -10.2474", \
+					  "10.9833, 3.59497, 1.7328, 0.883302, 2.52139e-06, -0.418628, -0.780181, -1.07486, -1.27506, -1.37805, -1.43356, -1.4699, -1.497, -1.51888, -1.53744, -1.55374, -1.56839, -1.5818, -1.59425, -1.60592, -1.61696, -1.62749, -1.63758, -1.64733, -1.65682, -1.67548, -1.69799, -2.5742, -10.3938", \
+					  "11.0102, 3.74996, 1.81073, 0.926784, 3.34016e-07, -0.446982, -0.841921, -1.17757, -1.43153, -1.57848, -1.65557, -1.7032, -1.73731, -1.76408, -1.78635, -1.8056, -1.82271, -1.83823, -1.85251, -1.86582, -1.87833, -1.89018, -1.9015, -1.91238, -1.92292, -1.94343, -1.9647, -2.66291, -10.5377", \
+					  "11.0351, 3.89422, 1.87328, 0.961737, 3.08528e-08, -0.469727, -0.891866, -1.26098, -1.56112, -1.75889, -1.86525, -1.9276, -1.97031, -2.00284, -2.02933, -2.05187, -2.07167, -2.08944, -2.10567, -2.12069, -2.13474, -2.14798, -2.16056, -2.17259, -2.18419, -2.20658, -2.22907, -2.76729, -10.6782", \
+					  "11.0582, 4.02724, 1.92232, 0.989178, 7.2507e-09, -0.487638, -0.931617, -1.32776, -1.66525, -1.91414, -2.05861, -2.14047, -2.19399, -2.2334, -2.26476, -2.291, -2.31375, -2.33398, -2.3523, -2.36913, -2.38478, -2.39947, -2.41336, -2.42658, -2.43927, -2.46357, -2.48758, -2.88882, -10.8152", \
+					  "11.0793, 4.14821, 1.95959, 1.01007, 6.26949e-09, -0.501418, -0.962638, -1.38038, -1.7473, -2.04133, -2.23139, -2.33918, -2.40654, -2.45434, -2.49141, -2.52187, -2.54791, -2.57082, -2.59139, -2.61017, -2.62752, -2.64372, -2.65897, -2.67343, -2.68725, -2.7135, -2.73908, -3.02907, -10.9486", \
+					  "11.0987, 4.25595, 1.98673, 1.02532, 6.21715e-09, -0.511688, -0.98623, -1.421, -1.81089, -2.14116, -2.37933, -2.5208, -2.60618, -2.66443, -2.7083, -2.74363, -2.77338, -2.79926, -2.82229, -2.84315, -2.86232, -2.88012, -2.8968, -2.91255, -2.92753, -2.95581, -2.98299, -3.18941, -11.0785", \
+					  "11.1322, 4.42537, 2.01619, 1.04201, 6.21556e-09, -0.523805, -1.01556, -1.4735, -1.89487, -2.27349, -2.59172, -2.81892, -2.95845, -3.04698, -3.10935, -3.15717, -3.19605, -3.22899, -3.25771, -3.28332, -3.30654, -3.32786, -3.34765, -3.36619, -3.38368, -3.41625, -3.4468, -3.56928, -11.3286", \
+					  "11.1592, 4.52219, 2.02038, 1.04471, 6.9357e-09, -0.527512, -1.02702, -1.49728, -1.9366, -2.3421, -2.70673, -3.01156, -3.22983, -3.36875, -3.46044, -3.52664, -3.57811, -3.62029, -3.65618, -3.68757, -3.71559, -3.741, -3.76435, -3.78601, -3.8063, -3.8436, -3.87788, -3.98796, -11.5673", \
+					  "11.2042, 4.45371, 1.94616, 1.00529, 1.42046e-07, -0.510551, -1.00133, -1.47177, -1.92128, -2.34916, -2.75453, -3.136, -3.49069, -3.81165, -4.08334, -4.28862, -4.43236, -4.53487, -4.61233, -4.67412, -4.72549, -4.76957, -4.8083, -4.84296, -4.87442, -4.93012, -4.97877, -5.10494, -12.2039", \
+					  "11.1637, 4.00395, 1.72745, 0.888842, 4.65295e-06, -0.452011, -0.891524, -1.31834, -1.73225, -2.13305, -2.52054, -2.89449, -3.25466, -3.60078, -3.93255, -4.24952, -4.55097, -4.83557, -5.10044, -5.33953, -5.54345, -5.70632, -5.83252, -5.93161, -6.01188, -6.13662, -6.2323, -6.43591, -12.9813");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0319164");
+						index_3("0.0279880, 0.0440605, 0.0617688, 0.0821052, 0.1183710");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0957492");
+						index_3("0.0344261, 0.0630240, 0.0937413, 0.1317891, 0.1950532");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0319164");
+						index_3("0.0943418, 0.1128132, 0.1299217, 0.1505235, 0.1868303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0957492");
+						index_3("0.1032050, 0.1321353, 0.1629765, 0.2002139, 0.2637778");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.045039");
+						index_3("0.0254493, 0.0385783, 0.0521227, 0.0670860, 0.0887394");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.135117");
+						index_3("0.0318133, 0.0571585, 0.0832936, 0.1122604, 0.1547012");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.045039");
+						index_3("0.0820914, 0.1001486, 0.1140389, 0.1287216, 0.1502549");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.135117");
+						index_3("0.0920315, 0.1195424, 0.1457644, 0.1745637, 0.2170263");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("2.39922");
+						index_3("0.0319164");
+						index_4("1.1966854, 1.2703293, 1.3806800, 1.5080764, 1.5792218");
+						values("0.488641, 0.781826, 0.977283, 0.781826, 0.488641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0319164");
+						index_4("0.6991833, 0.7559387, 0.8492475, 0.9422313, 0.9968458");
+						values("0.550112, 0.88018, 1.10022, 0.88018, 0.550112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0319164");
+						index_4("0.4768528, 0.5224628, 0.6125445, 0.6768160, 0.7191591");
+						values("0.57654, 0.922464, 1.15308, 0.922464, 0.57654");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31876");
+						index_2("1.19961");
+						index_3("0.0319164");
+						index_4("0.6044096, 0.6424816, 0.6949111, 0.7640174, 0.8046017");
+						values("0.258995, 0.414393, 0.517991, 0.414393, 0.258995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.47241");
+						index_3("0.0319164");
+						index_4("0.2425694, 0.2663597, 0.3002935, 0.3467938, 0.3755202");
+						values("0.31215, 0.499441, 0.624301, 0.499441, 0.31215");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42018");
+						index_2("1.39344");
+						index_3("0.0957492");
+						index_4("0.7003014, 0.7583227, 0.8512210, 0.9510706, 1.0084091");
+						values("0.325879, 0.521407, 0.651758, 0.521407, 0.325879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50899");
+						index_2("0.944819");
+						index_3("0.0957492");
+						index_4("0.4776735, 0.5239726, 0.5871119, 0.6830033, 0.7302081");
+						values("0.336651, 0.538642, 0.673303, 0.538642, 0.336651");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("1.64783");
+						index_3("0.045039");
+						index_4("0.8242168, 0.8912668, 1.0255813, 1.1451531, 1.2290657");
+						values("1.41092, 1.08747, 0.871842, 1.08747, 1.41092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.045039");
+						index_4("0.4674775, 0.5179177, 0.5926564, 0.7060876, 0.7667738");
+						values("1.36367, 1.01187, 0.777334, 1.01187, 1.36367");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.045039");
+						index_4("0.3112585, 0.3511756, 0.4270584, 0.4969378, 0.5446299");
+						values("1.34582, 0.983308, 0.741636, 0.983308, 1.34582");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.0653");
+						index_2("0.823917");
+						index_3("0.045039");
+						index_4("0.4187869, 0.4531278, 0.5379979, 0.5896027, 0.6386529");
+						values("1.67604, 1.51166, 1.40207, 1.51166, 1.67604");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.304231");
+						index_3("0.045039");
+						index_4("0.1621026, 0.1821135, 0.2141863, 0.2581426, 0.2894527");
+						values("1.65624, 1.47999, 1.36249, 1.47999, 1.65624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17843");
+						index_2("0.924648");
+						index_3("0.135117");
+						index_4("0.4700352, 0.5221537, 0.6059946, 0.7158632, 0.7897206");
+						values("1.63472, 1.44555, 1.31943, 1.44555, 1.63472");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28626");
+						index_2("0.608461");
+						index_3("0.135117");
+						index_4("0.3126733, 0.3533397, 0.4176838, 0.5071156, 0.5670719");
+						values("1.6298, 1.43767, 1.30959, 1.43767, 1.6298");
+					}
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.316900;
+			max_transition : 3.762950;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("8.2657759, 8.1607024, 7.8903167, 7.4960830, 6.9661263, 6.3023335, 4.8699502", \
+					  "6.1400182, 6.0065182, 5.6893604, 5.2624420, 4.6058292, 4.1095560, 2.1589037", \
+					  "6.3403540, 6.2216963, 5.9242047, 5.4948359, 5.0794226, 4.1117309, 3.5322749", \
+					  "6.5315891, 6.4160608, 6.0864907, 5.6865567, 5.2191680, 4.9740398, 2.6565835", \
+					  "6.7113836, 6.6175837, 6.2710076, 5.8871579, 5.3826639, 5.0037704, 2.6623064", \
+					  "6.8783252, 6.7553285, 6.4587927, 5.9578466, 5.6010513, 5.2698419, 3.6399408", \
+					  "7.0898591, 6.8838091, 6.6381479, 6.2964330, 5.7547443, 5.3542904, 5.0890481");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("5.9660070, 5.8983345, 5.8220969, 5.7096990, 5.5285262, 5.4260639, 5.3803383", \
+					  "9.0575568, 8.9565742, 8.7220118, 8.4280664, 8.2163460, 8.2684875, 8.2244116", \
+					  "9.0838795, 8.9746821, 8.7576361, 8.4335412, 8.2365339, 8.1151266, 8.2340532", \
+					  "9.1118319, 8.9818855, 8.7880345, 8.4156978, 8.2669887, 8.1219337, 8.1774292", \
+					  "9.1229004, 8.9686123, 8.7826656, 8.4140568, 8.1544918, 8.1207645, 8.0952467", \
+					  "9.0382745, 8.9558066, 8.6594395, 8.4259735, 8.3106664, 8.1262115, 8.1217186", \
+					  "9.1751242, 9.0454476, 8.8763068, 8.5748839, 8.1745843, 8.1299516, 8.1177695");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.9249407, 9.8060826, 9.5112294, 9.0242129, 8.4061622, 7.6853219, 6.0369121", \
+					  "13.6707750, 13.5382570, 13.2289120, 12.7419040, 12.2540670, 11.5696600, 9.6024412", \
+					  "25.6340630, 25.5178780, 25.1431430, 24.6906020, 24.2237190, 23.7954970, 21.6800190", \
+					  "36.9871390, 36.8932800, 36.4966630, 36.0686650, 35.5399560, 35.1561310, 34.1416440", \
+					  "48.1698080, 47.9541580, 47.7339760, 47.2477890, 46.7180150, 46.3349360, 45.9221760", \
+					  "59.1497200, 58.8968180, 58.6709010, 58.1944110, 57.7295950, 57.2679020, 56.8951830", \
+					  "69.9520030, 69.8848200, 69.5026050, 68.9904520, 68.5030990, 68.0991790, 67.7227720");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.6463395, 9.5986655, 9.6250745, 9.7708315, 9.6977995, 9.6077645, 9.5688525", \
+					  "29.5934180, 29.4853830, 29.2478820, 28.9277390, 28.6839980, 29.1204910, 29.0934190", \
+					  "40.7744670, 40.6693360, 40.5340070, 40.1113310, 39.8639280, 39.7300140, 40.2234500", \
+					  "52.0066520, 51.9021870, 51.7819990, 51.3318620, 51.1088880, 50.9112750, 51.3837760", \
+					  "63.3064780, 63.2169460, 63.0832680, 62.6722980, 62.4449170, 62.1954990, 62.3577230", \
+					  "74.6748150, 74.5769420, 74.4621930, 73.9993410, 73.7874690, 73.5724610, 73.4739840", \
+					  "86.0636910, 85.9061020, 85.7871900, 85.3987510, 85.2552330, 84.9522470, 84.7712390");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("11.7530621, 11.6308450, 11.3405991, 10.8593451, 10.2512213, 9.5313151, 7.8806375", \
+					  "47.2949630, 47.1657540, 46.8535520, 46.3755210, 45.8853610, 44.4364130, 43.1733360", \
+					  "85.9505520, 85.8111150, 85.4552960, 85.0101730, 84.5020370, 84.1120990, 81.8975930", \
+					  "123.5879200, 123.4568000, 123.1077900, 122.6383000, 122.1569700, 121.7034800, 119.7143500", \
+					  "160.8328900, 160.6832000, 160.4140300, 159.9078000, 159.4788900, 158.9812900, 158.4594300", \
+					  "197.5919700, 197.5420000, 197.2927700, 196.8011900, 196.2955900, 195.9746400, 195.3698700", \
+					  "234.4667000, 234.0913600, 233.8058400, 233.2558300, 233.0391200, 232.3116700, 231.7875200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.7093275, 9.6398725, 9.5996105, 9.6231655, 9.4978855, 9.3904845, 9.3440605", \
+					  "36.7946820, 36.6927740, 36.4474530, 36.1362750, 35.8847670, 36.2734110, 36.2595890", \
+					  "55.9813860, 55.8918330, 55.6460570, 55.3413810, 55.0889890, 54.9103020, 55.4288140", \
+					  "75.3363340, 75.3490260, 75.0674520, 74.7316790, 74.5329010, 74.3351010, 74.6965540", \
+					  "94.9673120, 94.8611330, 94.6066700, 94.3195170, 94.0445850, 93.9093180, 93.7351170", \
+					  "114.7657000, 114.5447300, 114.2690200, 114.1895700, 113.7154100, 113.6576700, 113.3729600", \
+					  "134.4083300, 134.2711600, 134.0047700, 133.7182900, 133.4470500, 133.2517200, 132.9611000");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("23.2331618, 23.1401998, 22.8958118, 22.5337078, 22.1199478, 21.5173318, 19.7745118", \
+					  "23.2610767, 23.1390867, 22.8960647, 22.5394397, 22.1230037, 21.4871117, 19.7336007", \
+					  "23.2671816, 23.1662036, 22.9086506, 22.5474696, 22.1258326, 21.5227666, 19.7433316", \
+					  "23.2784944, 23.1685294, 22.9222824, 22.5726144, 22.1398944, 21.5379484, 19.9180314", \
+					  "23.2898478, 23.1683908, 22.9404288, 22.5784878, 22.1526768, 21.5218108, 19.8913058", \
+					  "23.3116656, 23.1944236, 22.9508946, 22.5965216, 22.1706906, 21.5428216, 19.9519376", \
+					  "23.3088625, 23.2027285, 22.9708845, 22.6094735, 22.1712025, 21.5933905, 19.8295365");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("18.6521019, 18.4776219, 18.0507859, 17.3942989, 16.5620099, 15.6754989, 15.2234419", \
+					  "18.6590726, 18.4931086, 18.0588536, 17.3988416, 16.5597906, 15.6391066, 15.1790456", \
+					  "18.6602063, 18.4717993, 18.0480603, 17.4041983, 16.5559113, 15.6675963, 15.2026683", \
+					  "18.6551035, 18.4766595, 18.0482855, 17.4028045, 16.5588135, 15.6759185, 15.2482105", \
+					  "18.6477275, 18.4701395, 18.0409335, 17.3967255, 16.5519775, 15.6748175, 15.2037605", \
+					  "18.6583337, 18.5008947, 18.0366417, 17.3999737, 16.5540737, 15.6765227, 15.2417977", \
+					  "18.6500809, 18.4753719, 18.0451669, 17.3894539, 16.5570759, 15.6743429, 15.2058989");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("1.3079935, 1.3167403, 1.3404301, 1.4012955, 1.5722940, 2.1008755, 3.7885468", \
+					  "2.9041158, 2.9126190, 2.9363283, 2.9971481, 3.1681300, 3.6988874, 5.3846354", \
+					  "4.1792405, 4.1881019, 4.2117705, 4.2726507, 4.4434687, 4.9729190, 6.6690076", \
+					  "4.4654098, 4.4740065, 4.4976995, 4.5586579, 4.7292983, 5.2581868, 6.9503101", \
+					  "6.1145462, 6.1233938, 6.1470757, 6.2079444, 6.3787682, 6.8804402, 8.5977421", \
+					  "7.5256734, 7.5340463, 7.5578521, 7.6188016, 7.7897804, 8.3676062, 10.0102960", \
+					  "8.6774614, 8.6859178, 8.7096836, 8.7705274, 8.9414973, 9.4192494, 11.1598960", \
+					  "10.3396000, 10.3475300, 10.3712540, 10.4321610, 10.6031710, 11.1134910, 12.8229640", \
+					  "32.0162140, 32.0252590, 32.0484440, 32.1099980, 32.2810330, 32.2810345, 34.5010700", \
+					  "45.4085340, 45.4182040, 45.4407320, 45.5551650, 45.7304450, 46.2127580, 47.8910070", \
+					  "102.7687900, 103.4557400, 103.7209600, 103.7209664, 103.9863900, 103.9863930, 104.9418300", \
+					  "309.6045900, 309.6045990, 309.6373900, 309.6374054, 309.9503700, 310.6335100, 311.5765700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0742272, 0.0811161, 0.1031828, 0.1720868, 0.4083431, 1.1996178, 3.7627630", \
+					  "0.0737005, 0.0822820, 0.1037083, 0.1720478, 0.4075342, 1.2045408, 3.7384384", \
+					  "0.0749599, 0.0818698, 0.1036745, 0.1718536, 0.4076627, 1.1997379, 3.7589003", \
+					  "0.0746710, 0.0822553, 0.1031731, 0.1717352, 0.4080371, 1.1992534, 3.7491983", \
+					  "0.0749705, 0.0818618, 0.1036848, 0.1718602, 0.4076930, 1.2019503, 3.7497987", \
+					  "0.0737614, 0.0822893, 0.1036773, 0.1718989, 0.4079785, 1.1993853, 3.7509107", \
+					  "0.0736112, 0.0822908, 0.1032006, 0.1719964, 0.4076181, 1.1993370, 3.7443186", \
+					  "0.0736235, 0.0822867, 0.1031976, 0.1719660, 0.4077113, 1.2035437, 3.7513535", \
+					  "0.0737652, 0.0811361, 0.1032081, 0.1718764, 0.4080644, 1.2035596, 3.7432919", \
+					  "0.0736203, 0.0822854, 0.1031969, 0.1718738, 0.4075653, 1.1981746, 3.7508130", \
+					  "0.0741007, 0.0819722, 0.1036882, 0.1717561, 0.4076875, 1.1993452, 3.7518340", \
+					  "0.0748964, 0.0822864, 0.1032812, 0.1719744, 0.4077029, 1.2029163, 3.7552343");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.9294713, 0.9377984, 0.9621138, 1.0241041, 1.1936933, 1.7064445, 3.3349794", \
+					  "2.2796127, 2.2879967, 2.3116864, 2.3739679, 2.5440390, 3.0570522, 4.6841548", \
+					  "3.1184870, 3.1268665, 3.1505609, 3.2128538, 3.3829211, 3.8958756, 5.5247584", \
+					  "3.2936123, 3.3020131, 3.3257510, 3.3879741, 3.5580430, 4.0708863, 5.6982401", \
+					  "4.2746269, 4.2827670, 4.3068944, 4.3684762, 4.5392889, 5.0515765, 6.6832704", \
+					  "5.0502029, 5.0579692, 5.0823408, 5.1438862, 5.2283085, 5.8271690, 7.4565776", \
+					  "5.5895053, 5.5979843, 5.6216054, 5.6839169, 5.8426348, 6.3646715, 7.9967704", \
+					  "6.3220362, 6.3306529, 6.3542185, 6.4166854, 6.5833023, 7.0733548, 8.7263841", \
+					  "14.6523240, 14.6601300, 14.6828660, 14.7468820, 14.9316360, 15.4445980, 17.0583420", \
+					  "19.3170720, 19.3261620, 19.3510220, 19.4115350, 19.6645030, 20.1635700, 21.7221330", \
+					  "38.4683750, 38.5064350, 38.5165610, 38.5469430, 38.7625080, 39.2409550, 40.8869880", \
+					  "104.9613900, 104.9756900, 104.9964200, 105.0595100, 105.2326000, 105.7214900, 107.3639700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0650115, 0.0711310, 0.0909639, 0.1506283, 0.3480443, 1.0076313, 3.1525964", \
+					  "0.0649496, 0.0713672, 0.0913000, 0.1498808, 0.3479249, 1.0126594, 3.1389689", \
+					  "0.0649383, 0.0713862, 0.0913596, 0.1507615, 0.3475503, 1.0133593, 3.1452823", \
+					  "0.0648807, 0.0713945, 0.0905705, 0.1507581, 0.3476208, 1.0132474, 3.1477148", \
+					  "0.0649968, 0.0711783, 0.0910509, 0.1512853, 0.3477219, 1.0118869, 3.1496215", \
+					  "0.0650052, 0.0713360, 0.0911652, 0.1506937, 0.3475609, 1.0102875, 3.1572982", \
+					  "0.0650396, 0.0713400, 0.0910911, 0.1506556, 0.3472780, 1.0117325, 3.1485237", \
+					  "0.0651194, 0.0716406, 0.0910496, 0.1509029, 0.3477554, 1.0098886, 3.1529488", \
+					  "0.0650030, 0.0713463, 0.0911500, 0.1503296, 0.3473473, 1.0134376, 3.1550620", \
+					  "0.0651152, 0.0713211, 0.0905967, 0.1505904, 0.3475851, 1.0129537, 3.1720522", \
+					  "0.0649574, 0.0713851, 0.0913595, 0.1510673, 0.3477835, 1.0127749, 3.1475322", \
+					  "0.0647182, 0.0713338, 0.0905164, 0.1509189, 0.3477887, 1.0087189, 3.1476863");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6678352, 0.6766784, 0.7012103, 0.7622305, 0.9332138, 1.4625954, 3.1453995", \
+					  "0.0994353, 0.1084424, 0.1328140, 0.1941043, 0.3650416, 0.8935111, 2.5782094", \
+					  "-0.7601395, -0.7523195, -0.7267525, -0.6654646, -0.4945256, 0.0339400, 1.7166100", \
+					  "-0.9766280, -0.9676667, -0.9432393, -0.8819576, -0.7110174, -0.1825266, 1.5015722", \
+					  "-2.3734950, -2.3649429, -2.3401123, -2.2788140, -2.1078785, -1.5793299, 0.1042817", \
+					  "-3.6250805, -3.6183088, -3.5928489, -3.5303669, -3.3594727, -2.8308778, -1.1406343", \
+					  "-4.6193058, -4.6105209, -4.5850399, -4.5231081, -4.3525321, -3.8239523, -2.1334603", \
+					  "-6.0669922, -6.0566996, -6.0307803, -5.9718368, -5.8002616, -5.2717564, -3.5886885", \
+					  "-28.3801050, -28.3801031, -28.3575970, -28.2887940, -28.1296800, -27.6011180, -25.8999100", \
+					  "-43.7049970, -43.6959660, -43.6873650, -43.6384230, -43.4378290, -42.9124430, -41.2234870", \
+					  "-113.2921300, -113.2921257, -113.2509300, -113.1887400, -113.0183400, -112.4964700, -110.8040600", \
+					  "-386.0613700, -386.0345700, -386.0345611, -385.9575200, -385.8550000, -385.2747500, -383.6050000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0768169, 0.0842433, 0.1057112, 0.1730434, 0.4089190, 1.2022950, 3.7476533", \
+					  "0.0766918, 0.0842944, 0.1058485, 0.1736454, 0.4090498, 1.2018241, 3.7416621", \
+					  "0.0766831, 0.0843011, 0.1061029, 0.1736494, 0.4090575, 1.2018398, 3.7489469", \
+					  "0.0766716, 0.0842982, 0.1060894, 0.1736432, 0.4090364, 1.2018215, 3.7398036", \
+					  "0.0767592, 0.0842935, 0.1058588, 0.1736219, 0.4089678, 1.2013250, 3.7395864", \
+					  "0.0767655, 0.0843114, 0.1058456, 0.1736199, 0.4088801, 1.2011135, 3.7510008", \
+					  "0.0766935, 0.0843160, 0.1060916, 0.1736544, 0.4089733, 1.2013395, 3.7460737", \
+					  "0.0767635, 0.0843158, 0.1058598, 0.1736220, 0.4089724, 1.2013702, 3.7487293", \
+					  "0.0767578, 0.0842849, 0.1059999, 0.1736577, 0.4088014, 1.2010383, 3.7432497", \
+					  "0.0769058, 0.0844068, 0.1060394, 0.1736725, 0.4090915, 1.2016116, 3.7545269", \
+					  "0.0770646, 0.0843404, 0.1061043, 0.1736511, 0.4090540, 1.2019010, 3.7397800", \
+					  "0.0767587, 0.0843024, 0.1061555, 0.1736221, 0.4090332, 1.2013858, 3.7408219");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6752985, 0.6839139, 0.7083397, 0.7718370, 0.9438714, 1.4569729, 3.0839289", \
+					  "2.5437326, 2.5523654, 2.5768061, 2.6402946, 2.8122968, 3.3252474, 4.9505364", \
+					  "4.3118749, 4.3205395, 4.3449968, 4.4085144, 4.5804769, 5.0936713, 6.7196479", \
+					  "4.7247606, 4.7333959, 4.7578514, 4.8212807, 4.9933169, 5.5062532, 7.1335533", \
+					  "7.2660042, 7.2747062, 7.2992212, 7.3629667, 7.5346627, 8.0476790, 9.6734283", \
+					  "9.4351202, 9.4437818, 9.4682218, 9.5334904, 9.7035997, 10.2167320, 11.8426850", \
+					  "11.1175250, 11.1262010, 11.1506400, 11.2163840, 11.3861110, 11.8991380, 13.5272900", \
+					  "13.5224620, 13.5404740, 13.5555960, 13.6276010, 13.7913940, 14.3127300, 15.9398200", \
+					  "48.7702000, 48.7809900, 48.8035110, 48.8657650, 49.0380320, 49.5524510, 51.1767300", \
+					  "72.3207380, 72.3291150, 72.3539200, 72.4191200, 72.5905170, 73.1018660, 74.7273250", \
+					  "177.7695600, 177.7782200, 177.8031600, 177.8660300, 178.0383300, 178.5506200, 180.1774200", \
+					  "586.0380300, 586.0481500, 586.0734400, 586.1391500, 586.3069000, 586.8204800, 588.4487000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0674095, 0.0742443, 0.0932676, 0.1534616, 0.3497878, 1.0098756, 3.1475778", \
+					  "0.0678200, 0.0741961, 0.0935649, 0.1536364, 0.3499019, 1.0095331, 3.1402156", \
+					  "0.0676531, 0.0740926, 0.0933829, 0.1538168, 0.3497928, 1.0095917, 3.1386518", \
+					  "0.0678092, 0.0741494, 0.0934371, 0.1532985, 0.3496353, 1.0090818, 3.1430993", \
+					  "0.0675023, 0.0742485, 0.0936018, 0.1536843, 0.3501575, 1.0077538, 3.1402541", \
+					  "0.0678273, 0.0740677, 0.0934175, 0.1535314, 0.3499062, 1.0088862, 3.1357932", \
+					  "0.0678371, 0.0741511, 0.0934150, 0.1537827, 0.3497430, 1.0084068, 3.1445078", \
+					  "0.0676710, 0.0739938, 0.0934095, 0.1533653, 0.3499022, 1.0077095, 3.1479206", \
+					  "0.0675937, 0.0740174, 0.0936361, 0.1538989, 0.3497309, 1.0077583, 3.1482830", \
+					  "0.0678905, 0.0742312, 0.0933702, 0.1536311, 0.3495362, 1.0082817, 3.1393241", \
+					  "0.0677218, 0.0743320, 0.0935024, 0.1534764, 0.3500348, 1.0075480, 3.1453813", \
+					  "0.0676234, 0.0741338, 0.0935253, 0.1534122, 0.3496227, 1.0068645, 3.1461231");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6783241, 0.6873695, 0.7116522, 0.7727998, 0.9438887, 1.4736071, 3.1611056", \
+					  "1.0925115, 1.1015533, 1.1259148, 1.1871942, 1.3581416, 1.8865298, 3.5802976", \
+					  "1.2574167, 1.2665574, 1.2908840, 1.3519657, 1.5230517, 2.0514479, 3.7354648", \
+					  "1.2931907, 1.3016733, 1.3260500, 1.3878655, 1.5587910, 2.0872486, 3.7714090", \
+					  "1.4683448, 1.4773452, 1.4999925, 1.5630263, 1.7339118, 2.2606270, 3.9531729", \
+					  "1.5927135, 1.6036367, 1.6259807, 1.6878282, 1.8602492, 2.3912383, 4.0791122", \
+					  "1.6859650, 1.6947835, 1.7138082, 1.7722810, 1.9514044, 2.4747371, 4.1656416", \
+					  "1.8033277, 1.8086314, 1.8356696, 1.8974717, 2.0647772, 2.5938993, 4.2727621", \
+					  "3.0304079, 3.0712062, 3.0740104, 3.1542984, 3.2987317, 3.8267203, 5.5112976", \
+					  "3.7117958, 3.7603223, 3.7771208, 3.8448040, 4.0065586, 4.5319726, 6.1923280", \
+					  "6.5016872, 6.5036971, 6.5240724, 6.5963599, 6.7639108, 7.2966913, 8.9542604", \
+					  "15.8908480, 15.9123720, 15.9296010, 16.0048880, 16.1479470, 16.6841650, 18.3652760");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0765315, 0.0841980, 0.1053487, 0.1731416, 0.4087973, 1.2032443, 3.7421204", \
+					  "0.0769035, 0.0843421, 0.1060179, 0.1736792, 0.4091192, 1.2019183, 3.7461620", \
+					  "0.0766908, 0.0842983, 0.1058520, 0.1736756, 0.4090787, 1.2018101, 3.7680952", \
+					  "0.0766796, 0.0843045, 0.1061542, 0.1736534, 0.4090643, 1.2018140, 3.7592218", \
+					  "0.0767938, 0.0843657, 0.1060987, 0.1735465, 0.4084207, 1.2018982, 3.7441161", \
+					  "0.0767020, 0.0842952, 0.1058601, 0.1736544, 0.4090587, 1.2013376, 3.7473220", \
+					  "0.0767007, 0.0842810, 0.1058509, 0.1736484, 0.4090876, 1.2016647, 3.7446770", \
+					  "0.0766817, 0.0844852, 0.1060973, 0.1736627, 0.4090703, 1.2010136, 3.7540837", \
+					  "0.0766890, 0.0843129, 0.1060958, 0.1735923, 0.4090791, 1.2018383, 3.7538124", \
+					  "0.0767721, 0.0843091, 0.1060985, 0.1736317, 0.4090868, 1.2001483, 3.7452308", \
+					  "0.0766767, 0.0843308, 0.1058535, 0.1736525, 0.4090803, 1.2013005, 3.7451984", \
+					  "0.0767703, 0.0842935, 0.1060964, 0.1736506, 0.4089099, 1.2017513, 3.7518735");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.5622613, 0.5708119, 0.5953549, 0.6588194, 0.8307520, 1.3436303, 2.9710756", \
+					  "1.9355448, 1.9441802, 1.9686479, 2.0320934, 2.2041342, 2.7170328, 4.3431410", \
+					  "3.1916523, 3.2004108, 3.2247480, 3.2881962, 3.4602448, 3.9733079, 5.5992602", \
+					  "3.4786918, 3.5129408, 3.5129411, 3.5756573, 3.7476648, 4.2607033, 5.8870291", \
+					  "5.2782121, 5.2800218, 5.3061950, 5.3696967, 5.5409299, 6.0546332, 7.6834992", \
+					  "6.7960810, 6.8045325, 6.8283459, 6.8917663, 7.0623360, 7.5664142, 9.1935313", \
+					  "7.9732478, 7.9869436, 8.0097928, 8.0732159, 8.2264293, 8.7432106, 10.3759390", \
+					  "9.6575690, 9.6834132, 9.6926875, 9.7361834, 9.9270974, 10.4389470, 12.0718310", \
+					  "34.3094650, 34.3180200, 34.3413400, 34.4039960, 34.5800510, 35.0902030, 36.6292490", \
+					  "50.7709750, 50.7709752, 50.7957660, 50.8701530, 51.0277760, 51.5405250, 53.1702360", \
+					  "124.4534800, 124.5142000, 124.5509500, 124.6028800, 124.7825000, 125.2829400, 126.9297800", \
+					  "410.1047200, 410.1419800, 410.1652600, 410.2045400, 410.3790200, 410.9161900, 412.5055600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0675790, 0.0742222, 0.0934379, 0.1532628, 0.3496689, 1.0091808, 3.1459764", \
+					  "0.0678340, 0.0741891, 0.0934818, 0.1533388, 0.3496507, 1.0101608, 3.1340877", \
+					  "0.0678378, 0.0741858, 0.0934760, 0.1533745, 0.3496565, 1.0098470, 3.1490073", \
+					  "0.0676262, 0.0741528, 0.0934490, 0.1538872, 0.3500137, 1.0098737, 3.1477236", \
+					  "0.0676308, 0.0741710, 0.0934622, 0.1538981, 0.3497701, 1.0096488, 3.1559990", \
+					  "0.0678442, 0.0741690, 0.0934728, 0.1537210, 0.3498931, 1.0097631, 3.1446885", \
+					  "0.0676052, 0.0741717, 0.0934711, 0.1537767, 0.3498186, 1.0104767, 3.1456135", \
+					  "0.0678497, 0.0740354, 0.0934691, 0.1535833, 0.3499092, 1.0098520, 3.1442038", \
+					  "0.0676871, 0.0741734, 0.0934530, 0.1537599, 0.3498785, 1.0097513, 3.1370058", \
+					  "0.0678536, 0.0741926, 0.0934580, 0.1534021, 0.3498859, 1.0105212, 3.1523792", \
+					  "0.0676298, 0.0740948, 0.0934309, 0.1537924, 0.3497208, 1.0097003, 3.1458167", \
+					  "0.0676475, 0.0740397, 0.0934560, 0.1536222, 0.3498592, 1.0097027, 3.1479361");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("2.5178382, 2.5268239, 2.5512898, 2.6125249, 2.7836393, 3.3135201, 5.0064255", \
+					  "2.6527118, 2.6618581, 2.6861178, 2.7472720, 2.9172227, 3.4484573, 5.1409477", \
+					  "2.7587381, 2.7678850, 2.7923190, 2.8534159, 3.0241174, 3.5545713, 5.2468057", \
+					  "2.8469265, 2.8559217, 2.8805198, 2.9417309, 3.1072154, 3.6431704, 5.3347819", \
+					  "2.9216427, 2.9306417, 2.9550997, 3.0163623, 3.1863574, 3.7179080, 5.4097802", \
+					  "2.9864624, 2.9954499, 3.0200080, 3.0811620, 3.2600229, 3.7827088, 5.4742708", \
+					  "3.0608331, 3.0698606, 3.0942957, 3.1555123, 3.3256080, 3.8563841, 5.5493232");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.0767708, 0.0842894, 0.1057046, 0.1734467, 0.4094770, 1.2016488, 3.7561052", \
+					  "0.0766723, 0.0842168, 0.1057152, 0.1732846, 0.4083456, 1.2017638, 3.7568810", \
+					  "0.0768055, 0.0843631, 0.1057900, 0.1734300, 0.4092000, 1.2029868, 3.7551873", \
+					  "0.0766600, 0.0842826, 0.1057913, 0.1731966, 0.4090206, 1.2043896, 3.7455030", \
+					  "0.0766847, 0.0842802, 0.1056201, 0.1734127, 0.4095393, 1.2046701, 3.7490628", \
+					  "0.0766276, 0.0842995, 0.1057594, 0.1733802, 0.4094167, 1.2043890, 3.7448227", \
+					  "0.0768025, 0.0843544, 0.1057991, 0.1733362, 0.4095833, 1.2011381, 3.7570342");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("1.9956523, 2.0066468, 2.0371287, 2.1119559, 2.2969926, 2.8154890, 4.4408317", \
+					  "2.0694149, 2.0799897, 2.1104549, 2.1856503, 2.3704205, 2.8888534, 4.5145243", \
+					  "2.0981683, 2.1074780, 2.1379938, 2.2138771, 2.3978730, 2.9163474, 4.5411128", \
+					  "2.1136879, 2.1246458, 2.1551783, 2.2298656, 2.4151556, 2.9335496, 4.5581432", \
+					  "2.1194884, 2.1303939, 2.1608486, 2.2355655, 2.4208244, 2.9392513, 4.5647726", \
+					  "2.1236362, 2.1344537, 2.1647524, 2.2397375, 2.4247069, 2.9431955, 4.5686757", \
+					  "2.1225146, 2.1331366, 2.1635229, 2.2390818, 2.4235394, 2.9423848, 4.5677968");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.1354806, 0.1447282, 0.1664549, 0.2229365, 0.4008890, 1.0274827, 3.1727008", \
+					  "0.1357976, 0.1446759, 0.1664068, 0.2229879, 0.3995242, 1.0284195, 3.1600551", \
+					  "0.1354417, 0.1450493, 0.1664994, 0.2230790, 0.3998106, 1.0283074, 3.1585119", \
+					  "0.1358460, 0.1444543, 0.1663190, 0.2229222, 0.4000898, 1.0285720, 3.1583782", \
+					  "0.1354668, 0.1446800, 0.1664198, 0.2227390, 0.3995639, 1.0283681, 3.1594063", \
+					  "0.1359183, 0.1445530, 0.1666604, 0.2229864, 0.4009232, 1.0275032, 3.1737177", \
+					  "0.1359895, 0.1450497, 0.1665955, 0.2232009, 0.3997118, 1.0286354, 3.1521368");
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & VTRIP_SEL )";
+				miller_cap_fall : "0.0151747";
+				miller_cap_rise : "0.0114473";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("91.6422, 44.0832, 29.6541, 28.493, 26.9135, 25.9396, 24.8801, 23.7672, 22.6177, 21.437, 20.2265, 18.9861, 17.7157, 16.4149, 15.0834, 13.7208, 12.3268, 10.9009, 9.4428, 7.95219, 6.42873, 4.87209, 3.28194, 1.65801, -2.46121e-07, -3.33749, -6.69098, -25.1905, -67.8562", \
+					  "87.8502, 40.2903, 21.4984, 21.0496, 20.5141, 20.2008, 19.8462, 19.438, 18.9573, 18.3761, 17.6579, 16.7863, 15.8026, 14.7516, 13.6501, 12.5012, 11.3053, 10.0621, 8.77109, 7.43195, 6.04421, 4.60748, 3.1214, 1.58567, -7.95893e-08, -3.2084, -6.45957, -25.1134, -67.7769", \
+					  "85.9305, 38.0906, 16.2962, 16.0104, 15.6909, 15.5123, 15.3173, 15.1022, 14.862, 14.5894, 14.274, 13.8995, 13.4395, 12.8517, 12.0944, 11.1951, 10.2105, 9.1594, 8.04443, 6.86558, 5.62248, 4.31471, 2.94189, 1.50373, -1.96599e-08, -3.05767, -6.20985, -25.0367, -67.6992", \
+					  "85.4819, 37.3999, 14.566, 14.3122, 14.0407, 13.8912, 13.7296, 13.5531, 13.3585, 13.1408, 12.8936, 12.6067, 12.2646, 11.8414, 11.2935, 10.5724, 9.70242, 8.74182, 7.70796, 6.60286, 5.42642, 4.17823, 2.85794, 1.46526, -4.23633e-08, -2.98806, -6.09717, -25.0037, -67.6661", \
+					  "85.122, 36.8635, 12.8689, 12.6142, 12.3816, 12.2564, 12.1223, 11.9774, 11.8193, 11.6446, 11.4492, 11.2269, 10.9681, 10.6581, 10.2712, 9.76257, 9.07749, 8.23837, 7.30319, 6.28671, 5.19028, 4.0137, 2.75662, 1.41877, -2.72062e-08, -2.90487, -5.96466, -24.9662, -67.6285", \
+					  "84.9524, 36.6317, 12.3232, 11.7667, 11.5503, 11.4357, 11.3137, 11.1825, 11.04, 10.8835, 10.7097, 10.5134, 10.2875, 10.0205, 9.69334, 9.272, 8.69907, 7.94508, 7.06911, 6.10394, 5.05371, 3.91849, 2.69794, 1.39182, -2.52931e-07, -2.85712, -5.88966, -24.9454, -67.6078", \
+					  "84.7848, 36.4079, 11.9842, 10.9221, 10.7187, 10.6137, 10.5028, 10.384, 10.2558, 10.1157, 9.96104, 9.78782, 9.5903, 9.35975, 9.08193, 8.7318, 8.26296, 7.61494, 6.80939, 5.90143, 4.90235, 3.81288, 2.63279, 1.36181, -0.000128511, -2.80473, -5.80817, -24.9235, -67.5863", \
+					  "84.6161, 36.1918, 11.7082, 10.2308, 9.88105, 9.78278, 9.67995, 9.57068, 9.45333, 9.32604, 9.1865, 9.03155, 8.85668, 8.6552, 8.41643, 8.12213, 7.73831, 7.20391, 6.48492, 5.63951, 4.69483, 3.65332, 2.51473, 1.27868, -0.055061, -2.81739, -5.81139, -25.0065, -67.8157", \
+					  "84.4437, 35.9801, 11.4554, 9.72807, 8.8263, 8.69668, 8.57887, 8.46086, 8.33861, 8.20957, 8.07138, 7.92127, 7.75562, 7.56926, 7.35427, 7.0976, 6.77564, 6.34244, 5.7306, 4.9537, 4.06203, 3.06331, 1.95671, 0.740761, -0.585835, -3.38741, -6.4868, -25.7716, -69.4211", \
+					  "84.2646, 35.7997, 11.2073, 9.23079, 7.63472, 7.38068, 7.2308, 7.10129, 6.97609, 6.84931, 6.71747, 6.57758, 6.42642, 6.25982, 6.07179, 5.8529, 5.58685, 5.2425, 4.75873, 4.08439, 3.26708, 2.3308, 1.27536, 0.098397, -1.20227, -4.00624, -7.16517, -26.462, -70.6976", \
+					  "84.0758, 35.5628, 10.9518, 8.72499, 6.56205, 6.04786, 5.82521, 5.67565, 5.54547, 5.42072, 5.29544, 5.16592, 5.02891, 4.88088, 4.71716, 4.53084, 4.31054, 4.03548, 3.66354, 3.12069, 2.39724, 1.53801, 0.547817, -0.576304, -1.83741, -4.61708, -7.78628, -27.0929, -71.8141", \
+					  "83.8743, 35.3541, 10.6791, 8.2125, 5.62026, 4.80553, 4.42495, 4.23482, 4.09355, 3.96816, 3.84776, 3.72704, 3.60237, 3.47046, 3.32751, 3.16836, 2.98496, 2.76328, 2.47613, 2.06587, 1.46297, 0.695901, -0.215258, -1.27318, -2.48176, -5.21244, -8.36036, -27.6716, -72.8063", \
+					  "83.6564, 35.1432, 10.3824, 7.69588, 4.77521, 3.70239, 3.07771, 2.80348, 2.63937, 2.5087, 2.39054, 2.27652, 2.16207, 2.04378, 1.91836, 1.78179, 1.62829, 1.44828, 1.22413, 0.919179, 0.458153, -0.197129, -1.01411, -1.99139, -3.13362, -5.7897, -8.88938, -28.2004, -73.6868", \
+					  "83.4186, 34.9287, 10.0584, 7.17748, 4.00167, 2.7217, 1.83643, 1.40466, 1.19555, 1.05213, 0.932504, 0.822689, 0.716233, 0.609196, 0.498422, 0.380629, 0.251542, 0.104536, -0.0719879, -0.300729, -0.63222, -1.1496, -1.85473, -2.73511, -3.79562, -6.34954, -9.38236, -28.6829, -74.4678", \
+					  "83.1572, 34.7089, 9.7082, 6.65974, 3.28349, 1.83608, 0.729276, 0.0709559, -0.224133, -0.392794, -0.519096, -0.62777, -0.7286, -0.826662, -0.92534, -1.02756, -1.13663, -1.25718, -1.39696, -1.57025, -1.80685, -2.17583, -2.74681, -3.51145, -4.47265, -6.89373, -9.83969, -29.1229, -75.1606", \
+					  "82.8681, 34.4825, 9.33608, 6.14578, 2.61119, 1.02676, -0.25674, -1.15541, -1.59969, -1.81516, -1.95592, -2.06737, -2.16521, -2.25657, -2.34549, -2.4349, -2.52753, -2.62673, -2.73772, -2.86965, -3.04028, -3.28938, -3.70351, -4.33012, -5.17129, -7.42429, -10.2616, -29.5243, -75.775", \
+					  "82.5484, 34.2492, 8.94893, 5.64039, 1.98079, 0.28342, -1.14256, -2.24644, -2.8999, -3.19893, -3.36639, -3.4859, -3.58387, -3.67089, -3.75228, -3.83132, -3.91052, -3.99246, -4.0807, -4.1812, -4.30487, -4.47368, -4.74091, -5.20381, -5.90011, -7.94316, -10.6476, -29.8899, -76.3188", \
+					  "82.1971, 34.0101, 8.55724, 5.15177, 1.39424, -0.39633, -1.93895, -3.20398, -4.08255, -4.51872, -4.73268, -4.86781, -4.96976, -5.05504, -5.13111, -5.20203, -5.27042, -5.33849, -5.40877, -5.48517, -5.57463, -5.69005, -5.85794, -6.14861, -6.67027, -8.45204, -10.9963, -30.2133, -76.7982", \
+					  "81.819, 33.7709, 8.17847, 4.69502, 0.861747, -1.00512, -2.6436, -4.03525, -5.10906, -5.73398, -6.02562, -6.18753, -6.29847, -6.38499, -6.45802, -6.52296, -6.58293, -6.64006, -6.69632, -6.75439, -6.81882, -6.8978, -7.00605, -7.17388, -7.49652, -8.95244, -11.305, -30.4795, -77.2068", \
+					  "81.435, 33.5471, 7.84498, 4.29932, 0.40787, -1.52013, -3.23655, -4.72886, -5.95374, -6.78419, -7.1956, -7.40073, -7.52728, -7.61853, -7.69095, -7.75204, -7.80581, -7.85465, -7.90037, -7.94495, -7.99156, -8.04587, -8.11765, -8.22299, -8.39377, -9.44572, -11.5705, -30.7099, -77.536", \
+					  "81.1133, 33.3806, 7.62657, 4.02757, 0.0884907, -1.88631, -3.66411, -5.23561, -6.57335, -7.58368, -8.15241, -8.42297, -8.57359, -8.67361, -8.748, -8.80744, -8.85726, -8.90046, -8.93899, -8.9746, -9.00978, -9.0489, -9.09969, -9.1744, -9.29079, -9.93441, -11.7983, -30.9175, -77.8168", \
+					  "81.0595, 33.3773, 7.66247, 3.9961, 0.00134103, -2.00954, -3.83575, -5.46994, -6.89335, -8.04193, -8.78025, -9.14338, -9.33135, -9.44793, -9.53037, -9.59376, -9.64528, -9.68881, -9.7267, -9.7608, -9.7933, -9.82799, -9.87163, -9.93539, -10.0358, -10.4571, -12.017, -31.1133, -78.0639", \
+					  "81.1646, 33.4467, 7.79595, 4.05474, 5.24377e-07, -2.04296, -3.91203, -5.60123, -7.09716, -8.35684, -9.26167, -9.7494, -9.99326, -10.1361, -10.2332, -10.3057, -10.3636, -10.4118, -10.4532, -10.4899, -10.5241, -10.5588, -10.6002, -10.6583, -10.7486, -11.1162, -12.2698, -31.3033, -78.2838", \
+					  "81.2679, 33.5592, 7.91511, 4.10753, 5.48298e-08, -2.07083, -3.97739, -5.71476, -7.27304, -8.62331, -9.67618, -10.3142, -10.6352, -10.8134, -10.9291, -11.013, -11.0785, -11.1322, -11.1779, -11.2178, -11.2541, -11.2897, -11.3296, -11.3829, -11.4639, -11.7966, -12.6208, -31.4916, -78.4808", \
+					  "81.3641, 33.6164, 8.02006, 4.15376, 9.41958e-08, -2.09501, -4.03442, -5.81409, -7.42652, -8.85188, -10.0278, -10.8269, -11.2515, -11.4774, -11.6172, -11.7153, -11.79, -11.8502, -11.9007, -11.9444, -11.9834, -12.0204, -12.0597, -12.1091, -12.1818, -12.4802, -13.1741, -31.6814, -78.6587", \
+					  "81.5315, 33.6587, 8.19422, 4.22957, 2.9177e-07, -2.13417, -4.12773, -5.97761, -7.6792, -9.22244, -10.5787, -11.6662, -12.37, -12.7478, -12.961, -13.0991, -13.1986, -13.2757, -13.3385, -13.3916, -13.4378, -13.4795, -13.52, -13.5649, -13.6245, -13.8599, -14.4347, -32.0933, -78.9719", \
+					  "81.6643, 33.787, 8.32948, 4.28717, 8.10895e-07, -2.16345, -4.19878, -6.10365, -7.87495, -9.50704, -10.9863, -12.2733, -13.2713, -13.8949, -14.2385, -14.443, -14.5805, -14.682, -14.7618, -14.8275, -14.8833, -14.9323, -14.9771, -15.0218, -15.0738, -15.2577, -15.7167, -32.5558, -79.2719", \
+					  "81.9078, 33.8777, 8.57158, 4.38325, 8.0274e-06, -2.21076, -4.32092, -6.32925, -8.23445, -10.0349, -11.7282, -13.3094, -14.7672, -16.0715, -17.1512, -17.9172, -18.3875, -18.6764, -18.8701, -19.0117, -19.1219, -19.2118, -19.2876, -19.3534, -19.4125, -19.5321, -19.7378, -33.9307, -79.8931", \
+					  "82.0633, 33.9611, 8.65648, 4.39525, 7.95978e-05, -2.21353, -4.3542, -6.42138, -8.41456, -10.3333, -12.177, -13.9451, -15.637, -17.2513, -18.7859, -20.2358, -21.5903, -22.8233, -23.8821, -24.7021, -25.2736, -25.6606, -25.934, -26.1392, -26.3013, -26.5474, -26.7334, -36.6206, -80.6727");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0675359");
+						index_3("0.0244730, 0.0364863, 0.0493817, 0.0654926, 0.0950739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.202608");
+						index_3("0.0311716, 0.0557036, 0.0823093, 0.1153488, 0.1753114");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0675359");
+						index_3("0.0742470, 0.0947703, 0.1091117, 0.1253235, 0.1548590");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.202608");
+						index_3("0.0854802, 0.1144287, 0.1411198, 0.1739157, 0.2339122");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0664462");
+						index_3("0.0242671, 0.0361503, 0.0482603, 0.0615671, 0.0812885");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.199339");
+						index_3("0.0309032, 0.0549490, 0.0796049, 0.1065251, 0.1471618");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0664462");
+						index_3("0.0677206, 0.0891567, 0.1033872, 0.1166395, 0.1361962");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.199339");
+						index_3("0.0795375, 0.1092724, 0.1338205, 0.1604400, 0.2012069");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.789677");
+						index_3("0.0675359");
+						index_4("0.3379724, 0.3866403, 0.4223882, 0.4669472, 0.5163076");
+						values("0.211161, 0.337858, 0.422322, 0.337858, 0.211161");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.0675359");
+						index_4("0.2256078, 0.2572223, 0.2859440, 0.3176921, 0.3493800");
+						values("0.349402, 0.559043, 0.698804, 0.559043, 0.349402");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.0675359");
+						index_4("0.1723685, 0.1919968, 0.2155440, 0.2458301, 0.2668971");
+						values("0.607058, 0.971293, 1.21412, 0.971293, 0.607058");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.394839");
+						index_3("0.0675359");
+						index_4("0.1787910, 0.2016051, 0.2234489, 0.2518276, 0.2794929");
+						values("0.188665, 0.301864, 0.37733, 0.301864, 0.188665");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.179357");
+						index_3("0.0675359");
+						index_4("0.0906709, 0.1009696, 0.1155031, 0.1351039, 0.1508527");
+						values("0.386548, 0.618477, 0.773096, 0.618477, 0.386548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.202608");
+						index_4("0.2275574, 0.2601532, 0.2881698, 0.3422679, 0.3816753");
+						values("0.273116, 0.436986, 0.546232, 0.436986, 0.273116");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.202608");
+						index_4("0.1700173, 0.1930095, 0.2285220, 0.2607388, 0.2915132");
+						values("0.388819, 0.62211, 0.777637, 0.62211, 0.388819");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413955");
+						index_3("0.0664462");
+						index_4("0.1802365, 0.2121998, 0.2425022, 0.2838830, 0.3187938");
+						values("5.06844, 4.80951, 4.63689, 4.80951, 5.06844");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.0664462");
+						index_4("0.1522441, 0.1773994, 0.2037293, 0.2415517, 0.2708419");
+						values("4.9651, 4.64417, 4.43021, 4.64417, 4.9651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.0664462");
+						index_4("0.1324443, 0.1527314, 0.1819097, 0.2105463, 0.2361860");
+						values("4.83797, 4.44075, 4.17593, 4.44075, 4.83797");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.206977");
+						index_3("0.0664462");
+						index_4("0.0997512, 0.1146819, 0.1361101, 0.1614699, 0.1832316");
+						values("5.165, 4.964, 4.83, 4.964, 5.165");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.140536");
+						index_3("0.0664462");
+						index_4("0.0735445, 0.0838946, 0.1019588, 0.1220595, 0.1412963");
+						values("5.06481, 4.8037, 4.62963, 4.8037, 5.06481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.199339");
+						index_4("0.1568619, 0.1827704, 0.2171606, 0.2658099, 0.3038723");
+						values("5.11218, 4.87949, 4.72437, 4.87949, 5.11218");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.199339");
+						index_4("0.1347480, 0.1571806, 0.1962738, 0.2325037, 0.2683923");
+						values("5.06041, 4.79665, 4.62081, 4.79665, 5.06041");
+					}
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0151747";
+				miller_cap_rise : "0.0114473";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("91.6422, 44.0832, 29.6541, 28.493, 26.9135, 25.9396, 24.8801, 23.7672, 22.6177, 21.437, 20.2265, 18.9861, 17.7157, 16.4149, 15.0834, 13.7208, 12.3268, 10.9009, 9.4428, 7.95219, 6.42873, 4.87209, 3.28194, 1.65801, -2.46121e-07, -3.33749, -6.69098, -25.1905, -67.8562", \
+					  "87.8502, 40.2903, 21.4984, 21.0496, 20.5141, 20.2008, 19.8462, 19.438, 18.9573, 18.3761, 17.6579, 16.7863, 15.8026, 14.7516, 13.6501, 12.5012, 11.3053, 10.0621, 8.77109, 7.43195, 6.04421, 4.60748, 3.1214, 1.58567, -7.95893e-08, -3.2084, -6.45957, -25.1134, -67.7769", \
+					  "85.9305, 38.0906, 16.2962, 16.0104, 15.6909, 15.5123, 15.3173, 15.1022, 14.862, 14.5894, 14.274, 13.8995, 13.4395, 12.8517, 12.0944, 11.1951, 10.2105, 9.1594, 8.04443, 6.86558, 5.62248, 4.31471, 2.94189, 1.50373, -1.96599e-08, -3.05767, -6.20985, -25.0367, -67.6992", \
+					  "85.4819, 37.3999, 14.566, 14.3122, 14.0407, 13.8912, 13.7296, 13.5531, 13.3585, 13.1408, 12.8936, 12.6067, 12.2646, 11.8414, 11.2935, 10.5724, 9.70242, 8.74182, 7.70796, 6.60286, 5.42642, 4.17823, 2.85794, 1.46526, -4.23633e-08, -2.98806, -6.09717, -25.0037, -67.6661", \
+					  "85.122, 36.8635, 12.8689, 12.6142, 12.3816, 12.2564, 12.1223, 11.9774, 11.8193, 11.6446, 11.4492, 11.2269, 10.9681, 10.6581, 10.2712, 9.76257, 9.07749, 8.23837, 7.30319, 6.28671, 5.19028, 4.0137, 2.75662, 1.41877, -2.72062e-08, -2.90487, -5.96466, -24.9662, -67.6285", \
+					  "84.9524, 36.6317, 12.3232, 11.7667, 11.5503, 11.4357, 11.3137, 11.1825, 11.04, 10.8835, 10.7097, 10.5134, 10.2875, 10.0205, 9.69334, 9.272, 8.69907, 7.94508, 7.06911, 6.10394, 5.05371, 3.91849, 2.69794, 1.39182, -2.52931e-07, -2.85712, -5.88966, -24.9454, -67.6078", \
+					  "84.7848, 36.4079, 11.9842, 10.9221, 10.7187, 10.6137, 10.5028, 10.384, 10.2558, 10.1157, 9.96104, 9.78782, 9.5903, 9.35975, 9.08193, 8.7318, 8.26296, 7.61494, 6.80939, 5.90143, 4.90235, 3.81288, 2.63279, 1.36181, -0.000128511, -2.80473, -5.80817, -24.9235, -67.5863", \
+					  "84.6161, 36.1918, 11.7082, 10.2308, 9.88105, 9.78278, 9.67995, 9.57068, 9.45333, 9.32604, 9.1865, 9.03155, 8.85668, 8.6552, 8.41643, 8.12213, 7.73831, 7.20391, 6.48492, 5.63951, 4.69483, 3.65332, 2.51473, 1.27868, -0.055061, -2.81739, -5.81139, -25.0065, -67.8157", \
+					  "84.4437, 35.9801, 11.4554, 9.72807, 8.8263, 8.69668, 8.57887, 8.46086, 8.33861, 8.20957, 8.07138, 7.92127, 7.75562, 7.56926, 7.35427, 7.0976, 6.77564, 6.34244, 5.7306, 4.9537, 4.06203, 3.06331, 1.95671, 0.740761, -0.585835, -3.38741, -6.4868, -25.7716, -69.4211", \
+					  "84.2646, 35.7997, 11.2073, 9.23079, 7.63472, 7.38068, 7.2308, 7.10129, 6.97609, 6.84931, 6.71747, 6.57758, 6.42642, 6.25982, 6.07179, 5.8529, 5.58685, 5.2425, 4.75873, 4.08439, 3.26708, 2.3308, 1.27536, 0.098397, -1.20227, -4.00624, -7.16517, -26.462, -70.6976", \
+					  "84.0758, 35.5628, 10.9518, 8.72499, 6.56205, 6.04786, 5.82521, 5.67565, 5.54547, 5.42072, 5.29544, 5.16592, 5.02891, 4.88088, 4.71716, 4.53084, 4.31054, 4.03548, 3.66354, 3.12069, 2.39724, 1.53801, 0.547817, -0.576304, -1.83741, -4.61708, -7.78628, -27.0929, -71.8141", \
+					  "83.8743, 35.3541, 10.6791, 8.2125, 5.62026, 4.80553, 4.42495, 4.23482, 4.09355, 3.96816, 3.84776, 3.72704, 3.60237, 3.47046, 3.32751, 3.16836, 2.98496, 2.76328, 2.47613, 2.06587, 1.46297, 0.695901, -0.215258, -1.27318, -2.48176, -5.21244, -8.36036, -27.6716, -72.8063", \
+					  "83.6564, 35.1432, 10.3824, 7.69588, 4.77521, 3.70239, 3.07771, 2.80348, 2.63937, 2.5087, 2.39054, 2.27652, 2.16207, 2.04378, 1.91836, 1.78179, 1.62829, 1.44828, 1.22413, 0.919179, 0.458153, -0.197129, -1.01411, -1.99139, -3.13362, -5.7897, -8.88938, -28.2004, -73.6868", \
+					  "83.4186, 34.9287, 10.0584, 7.17748, 4.00167, 2.7217, 1.83643, 1.40466, 1.19555, 1.05213, 0.932504, 0.822689, 0.716233, 0.609196, 0.498422, 0.380629, 0.251542, 0.104536, -0.0719879, -0.300729, -0.63222, -1.1496, -1.85473, -2.73511, -3.79562, -6.34954, -9.38236, -28.6829, -74.4678", \
+					  "83.1572, 34.7089, 9.7082, 6.65974, 3.28349, 1.83608, 0.729276, 0.0709559, -0.224133, -0.392794, -0.519096, -0.62777, -0.7286, -0.826662, -0.92534, -1.02756, -1.13663, -1.25718, -1.39696, -1.57025, -1.80685, -2.17583, -2.74681, -3.51145, -4.47265, -6.89373, -9.83969, -29.1229, -75.1606", \
+					  "82.8681, 34.4825, 9.33608, 6.14578, 2.61119, 1.02676, -0.25674, -1.15541, -1.59969, -1.81516, -1.95592, -2.06737, -2.16521, -2.25657, -2.34549, -2.4349, -2.52753, -2.62673, -2.73772, -2.86965, -3.04028, -3.28938, -3.70351, -4.33012, -5.17129, -7.42429, -10.2616, -29.5243, -75.775", \
+					  "82.5484, 34.2492, 8.94893, 5.64039, 1.98079, 0.28342, -1.14256, -2.24644, -2.8999, -3.19893, -3.36639, -3.4859, -3.58387, -3.67089, -3.75228, -3.83132, -3.91052, -3.99246, -4.0807, -4.1812, -4.30487, -4.47368, -4.74091, -5.20381, -5.90011, -7.94316, -10.6476, -29.8899, -76.3188", \
+					  "82.1971, 34.0101, 8.55724, 5.15177, 1.39424, -0.39633, -1.93895, -3.20398, -4.08255, -4.51872, -4.73268, -4.86781, -4.96976, -5.05504, -5.13111, -5.20203, -5.27042, -5.33849, -5.40877, -5.48517, -5.57463, -5.69005, -5.85794, -6.14861, -6.67027, -8.45204, -10.9963, -30.2133, -76.7982", \
+					  "81.819, 33.7709, 8.17847, 4.69502, 0.861747, -1.00512, -2.6436, -4.03525, -5.10906, -5.73398, -6.02562, -6.18753, -6.29847, -6.38499, -6.45802, -6.52296, -6.58293, -6.64006, -6.69632, -6.75439, -6.81882, -6.8978, -7.00605, -7.17388, -7.49652, -8.95244, -11.305, -30.4795, -77.2068", \
+					  "81.435, 33.5471, 7.84498, 4.29932, 0.40787, -1.52013, -3.23655, -4.72886, -5.95374, -6.78419, -7.1956, -7.40073, -7.52728, -7.61853, -7.69095, -7.75204, -7.80581, -7.85465, -7.90037, -7.94495, -7.99156, -8.04587, -8.11765, -8.22299, -8.39377, -9.44572, -11.5705, -30.7099, -77.536", \
+					  "81.1133, 33.3806, 7.62657, 4.02757, 0.0884907, -1.88631, -3.66411, -5.23561, -6.57335, -7.58368, -8.15241, -8.42297, -8.57359, -8.67361, -8.748, -8.80744, -8.85726, -8.90046, -8.93899, -8.9746, -9.00978, -9.0489, -9.09969, -9.1744, -9.29079, -9.93441, -11.7983, -30.9175, -77.8168", \
+					  "81.0595, 33.3773, 7.66247, 3.9961, 0.00134103, -2.00954, -3.83575, -5.46994, -6.89335, -8.04193, -8.78025, -9.14338, -9.33135, -9.44793, -9.53037, -9.59376, -9.64528, -9.68881, -9.7267, -9.7608, -9.7933, -9.82799, -9.87163, -9.93539, -10.0358, -10.4571, -12.017, -31.1133, -78.0639", \
+					  "81.1646, 33.4467, 7.79595, 4.05474, 5.24377e-07, -2.04296, -3.91203, -5.60123, -7.09716, -8.35684, -9.26167, -9.7494, -9.99326, -10.1361, -10.2332, -10.3057, -10.3636, -10.4118, -10.4532, -10.4899, -10.5241, -10.5588, -10.6002, -10.6583, -10.7486, -11.1162, -12.2698, -31.3033, -78.2838", \
+					  "81.2679, 33.5592, 7.91511, 4.10753, 5.48298e-08, -2.07083, -3.97739, -5.71476, -7.27304, -8.62331, -9.67618, -10.3142, -10.6352, -10.8134, -10.9291, -11.013, -11.0785, -11.1322, -11.1779, -11.2178, -11.2541, -11.2897, -11.3296, -11.3829, -11.4639, -11.7966, -12.6208, -31.4916, -78.4808", \
+					  "81.3641, 33.6164, 8.02006, 4.15376, 9.41958e-08, -2.09501, -4.03442, -5.81409, -7.42652, -8.85188, -10.0278, -10.8269, -11.2515, -11.4774, -11.6172, -11.7153, -11.79, -11.8502, -11.9007, -11.9444, -11.9834, -12.0204, -12.0597, -12.1091, -12.1818, -12.4802, -13.1741, -31.6814, -78.6587", \
+					  "81.5315, 33.6587, 8.19422, 4.22957, 2.9177e-07, -2.13417, -4.12773, -5.97761, -7.6792, -9.22244, -10.5787, -11.6662, -12.37, -12.7478, -12.961, -13.0991, -13.1986, -13.2757, -13.3385, -13.3916, -13.4378, -13.4795, -13.52, -13.5649, -13.6245, -13.8599, -14.4347, -32.0933, -78.9719", \
+					  "81.6643, 33.787, 8.32948, 4.28717, 8.10895e-07, -2.16345, -4.19878, -6.10365, -7.87495, -9.50704, -10.9863, -12.2733, -13.2713, -13.8949, -14.2385, -14.443, -14.5805, -14.682, -14.7618, -14.8275, -14.8833, -14.9323, -14.9771, -15.0218, -15.0738, -15.2577, -15.7167, -32.5558, -79.2719", \
+					  "81.9078, 33.8777, 8.57158, 4.38325, 8.0274e-06, -2.21076, -4.32092, -6.32925, -8.23445, -10.0349, -11.7282, -13.3094, -14.7672, -16.0715, -17.1512, -17.9172, -18.3875, -18.6764, -18.8701, -19.0117, -19.1219, -19.2118, -19.2876, -19.3534, -19.4125, -19.5321, -19.7378, -33.9307, -79.8931", \
+					  "82.0633, 33.9611, 8.65648, 4.39525, 7.95978e-05, -2.21353, -4.3542, -6.42138, -8.41456, -10.3333, -12.177, -13.9451, -15.637, -17.2513, -18.7859, -20.2358, -21.5903, -22.8233, -23.8821, -24.7021, -25.2736, -25.6606, -25.934, -26.1392, -26.3013, -26.5474, -26.7334, -36.6206, -80.6727");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0675359");
+						index_3("0.0244730, 0.0364863, 0.0493817, 0.0654926, 0.0950739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.202608");
+						index_3("0.0311716, 0.0557036, 0.0823093, 0.1153488, 0.1753114");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0675359");
+						index_3("0.0742470, 0.0947703, 0.1091117, 0.1253235, 0.1548590");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.202608");
+						index_3("0.0854802, 0.1144287, 0.1411198, 0.1739157, 0.2339122");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0664462");
+						index_3("0.0242671, 0.0361503, 0.0482603, 0.0615671, 0.0812885");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.199339");
+						index_3("0.0309032, 0.0549490, 0.0796049, 0.1065251, 0.1471618");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0664462");
+						index_3("0.0677206, 0.0891567, 0.1033872, 0.1166395, 0.1361962");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.199339");
+						index_3("0.0795375, 0.1092724, 0.1338205, 0.1604400, 0.2012069");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.789677");
+						index_3("0.0675359");
+						index_4("0.3379724, 0.3866403, 0.4223882, 0.4669472, 0.5163076");
+						values("0.211161, 0.337858, 0.422322, 0.337858, 0.211161");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.0675359");
+						index_4("0.2256078, 0.2572223, 0.2859440, 0.3176921, 0.3493800");
+						values("0.349402, 0.559043, 0.698804, 0.559043, 0.349402");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.0675359");
+						index_4("0.1723685, 0.1919968, 0.2155440, 0.2458301, 0.2668971");
+						values("0.607058, 0.971293, 1.21412, 0.971293, 0.607058");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.394839");
+						index_3("0.0675359");
+						index_4("0.1787910, 0.2016051, 0.2234489, 0.2518276, 0.2794929");
+						values("0.188665, 0.301864, 0.37733, 0.301864, 0.188665");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.179357");
+						index_3("0.0675359");
+						index_4("0.0906709, 0.1009696, 0.1155031, 0.1351039, 0.1508527");
+						values("0.386548, 0.618477, 0.773096, 0.618477, 0.386548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.91503");
+						index_2("0.506548");
+						index_3("0.202608");
+						index_4("0.2275574, 0.2601532, 0.2881698, 0.3422679, 0.3816753");
+						values("0.273116, 0.436986, 0.546232, 0.436986, 0.273116");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.35774");
+						index_2("0.358713");
+						index_3("0.202608");
+						index_4("0.1700173, 0.1930095, 0.2285220, 0.2607388, 0.2915132");
+						values("0.388819, 0.62211, 0.777637, 0.62211, 0.388819");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413955");
+						index_3("0.0664462");
+						index_4("0.1802365, 0.2121998, 0.2425022, 0.2838830, 0.3187938");
+						values("5.06844, 4.80951, 4.63689, 4.80951, 5.06844");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.0664462");
+						index_4("0.1522441, 0.1773994, 0.2037293, 0.2415517, 0.2708419");
+						values("4.9651, 4.64417, 4.43021, 4.64417, 4.9651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.0664462");
+						index_4("0.1324443, 0.1527314, 0.1819097, 0.2105463, 0.2361860");
+						values("4.83797, 4.44075, 4.17593, 4.44075, 4.83797");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.206977");
+						index_3("0.0664462");
+						index_4("0.0997512, 0.1146819, 0.1361101, 0.1614699, 0.1832316");
+						values("5.165, 4.964, 4.83, 4.964, 5.165");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.140536");
+						index_3("0.0664462");
+						index_4("0.0735445, 0.0838946, 0.1019588, 0.1220595, 0.1412963");
+						values("5.06481, 4.8037, 4.62963, 4.8037, 5.06481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.336307");
+						index_3("0.199339");
+						index_4("0.1568619, 0.1827704, 0.2171606, 0.2658099, 0.3038723");
+						values("5.11218, 4.87949, 4.72437, 4.87949, 5.11218");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.281072");
+						index_3("0.199339");
+						index_4("0.1347480, 0.1571806, 0.1962738, 0.2325037, 0.2683923");
+						values("5.06041, 4.79665, 4.62081, 4.79665, 5.06041");
+					}
+				}
+			}
+
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047768;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("52.7381386, 53.0555966, 54.8498626, 64.3245296, 90.9074806, 148.8680906, 177.5881406", \
+					  "52.7075733, 53.0297943, 54.8155183, 64.2989363, 90.9331933, 148.8460063, 177.4203963", \
+					  "52.6859140, 53.0074100, 54.7934850, 64.2735650, 90.8600620, 148.7878320, 177.4040520", \
+					  "52.7120783, 53.0037023, 54.8006953, 64.2727923, 90.8177043, 148.8675433, 177.5488833", \
+					  "52.7052999, 53.0202779, 54.8287619, 64.3103259, 90.9253419, 148.8668509, 177.4448509", \
+					  "52.7667182, 53.1127982, 54.7717182, 64.4803822, 90.9007342, 148.8584522, 177.5022822", \
+					  "52.8709175, 53.1549605, 54.8125065, 64.3578185, 90.9715025, 148.8664135, 177.4932335");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.3661933, 68.4083213, 68.8195893, 69.2073693, 69.0244673, 58.1345603, -126.3398657", \
+					  "69.1243750, 69.4541730, 68.8497890, 69.7570010, 69.0078430, 59.4355350, -123.7242650", \
+					  "68.9301846, 68.9525346, 68.8821946, 69.2836856, 68.6098916, 59.4667196, -127.5037444", \
+					  "68.6866232, 69.2045002, 68.8357672, 68.1969242, 68.9922602, 59.2324562, -128.1988378", \
+					  "68.9281231, 68.9377891, 68.9242231, 68.9689241, 68.9438191, 59.0273551, -127.3992119", \
+					  "68.8878647, 68.8886207, 68.7245297, 69.0030497, 68.8135697, 58.7296917, -127.0243153", \
+					  "68.8982463, 68.8753063, 68.9327673, 69.0183023, 68.9782933, 58.7276733, -127.2749087");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.7086063, 70.7753793, 71.0835283, 71.4258793, 71.2847983, 65.8906963, -10.8447117", \
+					  "70.6907190, 70.7119800, 70.9918250, 71.3328030, 71.1575950, 64.4311290, -15.5288890", \
+					  "70.5987386, 70.6399896, 70.8509806, 71.2080566, 71.0983946, 66.1209526, -15.4336164", \
+					  "70.5364832, 70.5896212, 70.8345922, 71.3123002, 71.0687802, 64.8626052, -5.8463091", \
+					  "70.5475431, 70.6184381, 70.8751141, 71.2998301, 71.6842241, 65.2114091, -11.5603119", \
+					  "70.5445807, 70.5814337, 70.8305537, 71.3052437, 71.0313997, 65.5482307, -6.2553756", \
+					  "70.5197963, 70.5735243, 70.8295553, 71.2642973, 71.1249093, 65.0237893, -1.4588408");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.4971396, 39.5262726, 39.7239926, 40.6856646, 43.6083446, 43.3635126, 43.5669186", \
+					  "39.3486653, 39.3906243, 39.7689433, 40.5189653, 42.5199443, 43.8989213, 44.0961423", \
+					  "39.4186960, 39.4516010, 39.7156080, 40.5836080, 42.2807400, 43.1672500, 43.5163010", \
+					  "39.4708193, 39.4476223, 39.5891453, 40.4712653, 42.8849323, 41.3901833, 43.8648773", \
+					  "39.4884149, 39.5395779, 39.6581289, 40.2724509, 43.2466689, 42.2588649, 43.3686649", \
+					  "39.5047742, 39.5368492, 39.6452522, 40.5237092, 42.5452662, 43.4011852, 43.4314662", \
+					  "39.5039955, 39.5428905, 39.7401355, 40.6343445, 42.5305465, 43.4898345, 43.4161365");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7277112, 19.7610872, 19.9563142, 20.8991572, 22.8196842, 23.7699082, 23.9710632", \
+					  "21.2644276, 21.2998096, 21.5200516, 22.5427486, 24.5672006, 25.5633956, 25.7704276", \
+					  "21.2669430, 21.3058650, 21.5217150, 22.5432780, 24.5695530, 25.5639820, 25.7738970", \
+					  "21.2616945, 21.3013555, 21.5155055, 22.5412975, 24.5728565, 25.5639595, 25.7789405", \
+					  "21.2666130, 21.3013100, 21.5209000, 22.5429600, 24.5740820, 25.5679280, 25.7791960", \
+					  "21.2707254, 21.3092484, 21.5272204, 22.5494924, 24.5776934, 25.5710524, 25.7827064", \
+					  "21.2718868, 21.3050958, 21.5233158, 22.5465078, 24.5736608, 25.5707328, 25.7785928");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.4800549, 63.5608939, 63.7906819, 64.2172089, 64.0673569, 59.6540879, -22.1620181", \
+					  "63.4428306, 63.5710686, 63.7829966, 64.1306416, 64.2168926, 59.5121626, -17.5555814", \
+					  "63.4639973, 63.5879263, 63.7935533, 64.2117123, 64.1393533, 62.1665213, -17.5123877", \
+					  "63.5311027, 63.6563227, 63.8621437, 64.2243817, 64.1501707, 57.8457377, -14.9609933", \
+					  "63.5080382, 63.5805842, 63.8270262, 64.2216552, 65.6781012, 58.4714632, -8.9829419", \
+					  "63.5647076, 63.6083116, 63.8357346, 64.2488756, 65.2174826, 58.5053386, -14.5498294", \
+					  "63.5643740, 63.6286290, 63.8335830, 64.2475500, 64.2788510, 58.6103510, -14.8818330");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5037652, 14.5035152, 14.5033672, 14.5027172, 14.5022782, 14.5024462, 14.5020612", \
+					  "14.9102916, 14.9099506, 14.9074866, 14.9029526, 14.8993406, 14.8984266, 14.8980466", \
+					  "14.9201800, 14.9196560, 14.9169930, 14.9091800, 14.9030930, 14.9014850, 14.9012190", \
+					  "14.9223505, 14.9178785, 14.9198375, 14.9081745, 14.9023195, 14.9019905, 14.9007035", \
+					  "14.9225320, 14.9221280, 14.9220150, 14.9097140, 14.9022910, 14.8997200, 14.9010530", \
+					  "14.9268854, 14.9262844, 14.9112574, 14.9000734, 14.9071714, 14.8920624, 14.9048244", \
+					  "14.9242148, 14.9226218, 14.9159448, 14.9109058, 14.9036648, 14.9024608, 14.9014528");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2638789, 26.3688919, 26.4559909, 26.3273439, 24.6657579, 26.1687259, 25.6692749", \
+					  "26.2277316, 26.3256156, 26.4788246, 26.7811906, 26.4154686, 26.2782676, 25.8831946", \
+					  "26.4603513, 26.3300853, 26.1839643, 26.1148183, 27.1315973, 23.5525323, 26.2535453", \
+					  "26.3562027, 26.3598757, 26.2908247, 26.2104617, 25.7435747, 27.5650627, 26.8392517", \
+					  "26.2430572, 26.6327962, 26.5028422, 26.3878812, 29.0961172, 26.1315322, 25.6101242", \
+					  "26.3840566, 26.4218776, 26.3327976, 26.3257686, 24.2551816, 26.3772986, 25.8928126", \
+					  "26.4006090, 26.4469580, 26.3997660, 26.3926610, 26.4287710, 26.4253130, 26.2450910");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("88.0132756, 88.8017976, 95.6777416, 125.0155206, 152.4085206, 160.5060306, 165.4443006", \
+					  "88.3399693, 89.1016243, 95.5700953, 124.9916963, 152.4286663, 160.4471963, 166.1760163", \
+					  "87.9467880, 88.9679360, 95.5030280, 124.7611020, 152.3800520, 160.4074820, 165.8915820", \
+					  "87.7016003, 88.8730443, 95.5171323, 125.0662833, 152.4164333, 160.3908433, 166.1350133", \
+					  "88.0228449, 89.1021549, 95.5161699, 124.9891409, 152.4720309, 160.3848109, 166.1223509", \
+					  "87.8480512, 89.0762152, 95.0161512, 124.9948822, 152.4832222, 160.4135622, 166.2577922", \
+					  "88.0665345, 89.2448275, 95.3780195, 125.0373635, 152.5079135, 160.4220735, 166.2897835");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.4185243, 19.4190133, 19.4248303, 19.4271353, 19.4061043, 19.3999003, 19.3988563", \
+					  "20.6313530, 20.6341250, 20.6195530, 20.5742890, 20.5504470, 20.4909340, 20.4650860", \
+					  "20.6663746, 20.6563526, 20.6506876, 20.5985586, 20.5462846, 20.5024376, 20.5125066", \
+					  "20.7206392, 20.7254812, 20.6966482, 20.6543362, 20.6119132, 20.5701952, 20.5490112", \
+					  "20.7690771, 20.7492751, 20.7319751, 20.6874291, 20.6153061, 20.5873421, 20.5734041", \
+					  "20.7669387, 20.7091867, 20.6810057, 20.6506547, 20.6022247, 20.5533877, 20.5395517", \
+					  "20.6979393, 20.6780833, 20.6796573, 20.6288623, 20.5640953, 20.5310143, 20.5165753");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4923172, 14.4922562, 14.4918902, 14.4911722, 14.4907042, 14.4906602, 14.4905752", \
+					  "14.9095786, 14.9095446, 14.9075786, 14.9023036, 14.8986366, 14.8976386, 14.8972586", \
+					  "14.9188770, 14.9189520, 14.9161270, 14.9079430, 14.9025450, 14.9008650, 14.9000900", \
+					  "14.9228725, 14.9205325, 14.9188255, 14.9097115, 14.9030185, 14.9012655, 14.9015045", \
+					  "14.9256890, 14.9207490, 14.9182540, 14.9132650, 14.9019130, 14.9005160, 14.9039740", \
+					  "14.9145204, 14.9133174, 14.9225174, 14.9014334, 14.9070224, 14.9046634, 14.8922544", \
+					  "14.9195338, 14.9230968, 14.9196558, 14.9069868, 14.9035848, 14.9019698, 14.8974288");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.1175729, 26.4841929, 26.4421059, 26.3071869, 23.8441649, 26.5711419, 27.4960239", \
+					  "26.3661156, 26.3558866, 26.3512826, 26.3231036, 26.2327936, 26.4633926, 25.4065386", \
+					  "26.3373553, 26.4523623, 26.3335843, 26.4434163, 25.6940833, 26.3880753, 24.3657083", \
+					  "26.3586387, 26.3290907, 26.3794867, 26.5917157, 26.0108417, 28.3572967, 26.8990707", \
+					  "26.2272992, 26.2389232, 26.8571492, 25.9991572, 27.4988752, 26.5160852, 26.0093642", \
+					  "26.2994236, 26.3837876, 26.2075666, 26.4831916, 25.9860286, 26.2370006, 26.2908416", \
+					  "26.4338430, 26.4048610, 26.3995960, 26.3527600, 26.4376390, 26.4317320, 26.2664650");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("59.2946809, 59.2130449, 59.1663809, 58.4866749, 56.7596429, 43.9988329, -139.4478041", \
+					  "59.3343886, 59.3257286, 59.1458376, 58.8179056, 56.7777736, 44.6405726, -143.9135794", \
+					  "59.3036933, 59.2673473, 59.1714453, 58.7543623, 56.8890173, 44.5658473, -142.5154447", \
+					  "59.2616707, 59.2908837, 59.1746987, 58.5453207, 56.9916777, 43.9567677, -144.2422933", \
+					  "59.2160892, 59.5860572, 59.8101352, 58.2957632, 57.4487322, 45.3005332, -139.1813428", \
+					  "59.3321556, 59.3154266, 59.2596936, 58.8855416, 56.9174206, 44.6980596, -140.8603414", \
+					  "59.4022870, 59.3605080, 59.2847210, 58.9557280, 57.0818970, 44.5038050, -140.5751400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.3323052, 14.3325062, 14.3343162, 14.3387252, 14.3416952, 14.3424872, 14.3430312", \
+					  "14.3946306, 14.3955796, 14.3999076, 14.4107206, 14.4198256, 14.4224076, 14.4228356", \
+					  "14.3910360, 14.3920350, 14.3966780, 14.4107110, 14.4218320, 14.4250480, 14.4251630", \
+					  "14.3834395, 14.3858555, 14.3960855, 14.4052085, 14.4154105, 14.4194915, 14.4198825", \
+					  "14.3891290, 14.3894380, 14.3971430, 14.4101750, 14.4226930, 14.4258610, 14.4264980", \
+					  "14.3939084, 14.3931214, 14.3999704, 14.4130784, 14.4256554, 14.4291104, 14.4296854", \
+					  "14.3927278, 14.3952268, 14.3977418, 14.4129658, 14.4270898, 14.4300138, 14.4313498");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("48.7223126, 49.0135346, 50.5552146, 59.1460056, 102.8293306, 160.4292306, 172.7964806", \
+					  "48.7205293, 49.0890993, 50.5369243, 59.0546733, 103.3134063, 160.3858763, 172.7400563", \
+					  "48.7762770, 48.9596100, 50.5894620, 59.0149240, 103.2641020, 160.3788020, 172.6980220", \
+					  "48.7143453, 48.9799453, 50.6000693, 59.0402693, 103.3219733, 160.3258933, 172.6589633", \
+					  "48.7309069, 49.0042229, 50.6127899, 59.1237319, 103.3537409, 160.2855209, 172.6606709", \
+					  "48.7996582, 49.0672332, 50.5482152, 59.1377672, 102.9577122, 160.3636422, 172.8015022", \
+					  "48.7759675, 49.0170975, 50.5799545, 59.1394375, 103.2756435, 160.3852535, 172.7333235");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.0666933, 20.0729193, 20.0725633, 20.0702023, 20.0626343, 20.0398503, 20.0428113", \
+					  "21.2849130, 21.2607260, 21.2353030, 21.2085120, 21.1529940, 21.1092200, 21.1057890", \
+					  "21.2832266, 21.2777756, 21.2646096, 21.2189446, 21.1739166, 21.1303606, 21.0983836", \
+					  "21.3455642, 21.3364332, 21.3346762, 21.2693962, 21.1995722, 21.1472532, 21.1572502", \
+					  "21.3337291, 21.3624101, 21.3440561, 21.3152151, 21.2628611, 21.2168491, 21.2190091", \
+					  "21.2816797, 21.3194777, 21.3143397, 21.2621187, 21.1954247, 21.1758527, 21.1534767", \
+					  "21.2975883, 21.2938413, 21.2625923, 21.2236713, 21.1646443, 21.1463233, 21.1164033");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.5883393, 66.5106173, 67.4974043, 65.6250123, 60.5238573, 52.8494163, -133.2736257", \
+					  "66.2469130, 66.3065250, 66.4340750, 65.9691350, 65.8069730, 51.6722860, -137.5963950", \
+					  "66.2514176, 66.3939556, 66.3761366, 65.9017806, 64.0014526, 51.8213076, -134.3021544", \
+					  "65.8641862, 66.5808492, 65.7880592, 66.1789062, 63.6777892, 52.3497642, -138.4789878", \
+					  "66.2761961, 66.2754261, 66.2445411, 65.7813211, 63.9380041, 51.7485771, -134.0261019", \
+					  "66.2700067, 66.2887557, 66.1899877, 65.8207067, 63.9803317, 51.4773987, -133.4838353", \
+					  "66.2850173, 66.2547703, 66.1994183, 65.7926813, 64.0114603, 51.5688403, -134.0037187");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0885696, 32.3835796, 32.1946136, 32.2256366, 33.8536226, 21.1531086, 31.4452956", \
+					  "32.0613343, 32.5135283, 32.0598253, 32.2745223, 35.1325443, 32.2083313, 32.6143893", \
+					  "32.1914780, 32.1139460, 32.2085990, 31.7051580, 31.9569570, 31.9428850, 32.0675840", \
+					  "32.1334833, 32.0629533, 31.9779453, 32.4554103, 31.9541583, 32.2673003, 31.5423563", \
+					  "32.1926669, 32.2008859, 32.1879349, 31.7421799, 32.0924659, 32.1804239, 31.8917929", \
+					  "32.2162122, 32.2140962, 32.2098802, 32.1588902, 32.2540602, 32.2474542, 32.0744162", \
+					  "32.2434725, 32.2439345, 32.2550435, 32.2535935, 32.2368425, 32.2621485, 32.0429045");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.7100263, 66.3849533, 66.3267503, 67.0103283, 64.1036533, 52.9163553, -122.6314757", \
+					  "66.2743490, 66.3340030, 66.3691340, 66.1751230, 65.5419000, 51.6967490, -136.0819450", \
+					  "66.3470356, 66.3871276, 66.2548726, 65.8408216, 63.9966656, 52.0251196, -136.1497544", \
+					  "65.8176742, 66.0611672, 66.4444932, 65.2369932, 63.7604662, 39.4106522, -131.7947278", \
+					  "66.3004261, 66.2923361, 66.2064251, 65.8675781, 64.1187271, 51.6156971, -134.4451419", \
+					  "66.2740107, 66.2457347, 66.1757077, 65.8262647, 63.7553937, 51.5866967, -135.0988553", \
+					  "66.2706323, 66.2141083, 66.1941453, 65.8615153, 63.9808253, 51.6944723, -134.9808787");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0939926, 32.3718706, 32.1299076, 32.2263066, 33.8604616, 32.3305876, 32.7402526", \
+					  "32.1955923, 32.2831783, 32.0419853, 32.3862343, 35.0963623, 24.7370353, 32.1133593", \
+					  "32.1929520, 32.1128880, 32.2056680, 31.6132600, 31.9362790, 32.1076630, 31.9379270", \
+					  "32.0962043, 31.9571803, 31.9742713, 31.4736643, 31.9234993, 31.9190443, 32.7383883", \
+					  "32.1932669, 32.2155169, 32.1901479, 31.6542709, 31.9538169, 32.1806909, 32.2278989", \
+					  "32.2196922, 32.2158722, 32.2043152, 32.0415572, 32.2538942, 32.2486482, 32.0667622", \
+					  "32.2340675, 32.2343245, 32.2216985, 32.2590165, 32.2365515, 32.2487885, 32.0423625");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.2088873, 70.3299363, 70.7271193, 71.0075543, 71.5182223, 65.2053003, -0.7081994", \
+					  "70.1925970, 70.1808980, 70.4874160, 71.0364290, 71.1898890, 65.4246260, -12.1796820", \
+					  "70.1513596, 70.1495356, 70.3973436, 70.7834936, 70.7461136, 65.1233746, -7.9675142", \
+					  "70.0844632, 70.1439642, 70.4158302, 70.9404522, 70.9657232, 66.7030502, -8.3182571", \
+					  "70.1000401, 70.1573201, 70.4257581, 70.8567121, 70.8724291, 65.0576841, -9.9976421", \
+					  "70.0725907, 70.1259087, 70.3796157, 70.7904957, 70.6567137, 65.1979277, -6.1777424", \
+					  "70.0799393, 70.1121433, 70.3654333, 70.8282503, 70.7983373, 64.7013323, -11.4646807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0309026, 24.0683046, 24.2860436, 25.3062656, 27.3267916, 28.3125566, 28.5198326", \
+					  "25.8697753, 25.8997563, 26.1262353, 27.1501853, 29.1689193, 30.1633873, 30.3664543", \
+					  "25.8688600, 25.9076240, 26.1242750, 27.1493950, 29.1782110, 30.1662020, 30.3746810", \
+					  "25.8710813, 25.9199853, 26.1283273, 27.1538313, 29.1919683, 30.1734123, 30.3913213", \
+					  "25.9021629, 25.9413139, 26.1575799, 27.1809089, 29.2082349, 30.1999759, 30.4061209", \
+					  "25.9266352, 25.9639352, 26.1820722, 27.2056562, 29.2308952, 30.2215302, 30.4297562", \
+					  "25.9337405, 25.9815495, 26.1900845, 27.2128515, 29.2494095, 30.2299555, 30.4493425");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7699102, 19.8028502, 19.9971392, 20.9387702, 22.8578352, 23.8073522, 24.0075152", \
+					  "21.3140826, 21.3479256, 21.5698836, 22.5883996, 24.6156446, 25.6094176, 25.8174716", \
+					  "21.3155880, 21.3549700, 21.5712070, 22.5898470, 24.6172110, 25.6124150, 25.8216970", \
+					  "21.3095785, 21.3465405, 21.5650595, 22.5862655, 24.6154755, 25.6106345, 25.8248505", \
+					  "21.3136640, 21.3548240, 21.5660470, 22.5892550, 24.6198650, 25.6140850, 25.8290920", \
+					  "21.3188574, 21.3565784, 21.5738594, 22.5926124, 24.6215864, 25.6174034, 25.8288714", \
+					  "21.3204348, 21.3543678, 21.5736138, 22.5949928, 24.6221338, 25.6175198, 25.8264638");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3794129, 85.4259309, 85.5354689, 85.3033629, 86.2116289, 79.5553559, 1.5492866", \
+					  "85.3829056, 85.3982126, 85.5325776, 85.3107346, 86.2909436, 78.7651996, 0.1806388", \
+					  "85.3848173, 85.4018033, 85.5438913, 85.3479503, 86.2990163, 79.1981893, -0.6417952", \
+					  "85.4252977, 85.4123637, 85.5499617, 85.3430027, 86.3193257, 79.7536337, 1.2385109", \
+					  "85.4660222, 85.4613872, 85.5800982, 85.3612932, 86.3705142, 79.1287792, 5.3207847", \
+					  "85.4528516, 85.4692906, 85.5920326, 85.3926776, 86.3349796, 78.8445686, 1.2810157", \
+					  "85.4653210, 85.4930490, 85.6015940, 85.3514530, 86.4316450, 79.7391030, 13.2154400");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.2699933, 95.3728493, 95.5571593, 95.7341713, 98.1965553, 93.0520593, 9.2858181", \
+					  "95.2082600, 95.2578450, 95.4442100, 95.6809720, 98.1989720, 93.4943600, 27.4563030", \
+					  "95.1130806, 95.1340146, 95.2978766, 95.5675636, 98.0437016, 94.7521816, 9.8681156", \
+					  "95.0900782, 95.1181882, 95.3395392, 95.5810472, 98.3226252, 94.7281942, 17.1228782", \
+					  "95.0994591, 95.1243351, 95.3476841, 95.5754251, 98.3542171, 93.4707691, 16.3039141", \
+					  "94.9758367, 95.1274097, 95.3449307, 95.5167717, 98.2505777, 93.6533527, 11.6569497", \
+					  "95.0568213, 95.1182863, 95.3040543, 95.5079533, 98.2135463, 94.6904403, 16.4806873");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.4134016, 60.7324516, 62.5266766, 73.2234876, 101.4822606, 160.0945406, 188.9238106", \
+					  "60.2114973, 60.6600343, 62.5841343, 73.0484093, 101.4640163, 160.0699163, 188.9034463", \
+					  "60.3535060, 60.6664990, 62.5042920, 73.1679010, 101.4294920, 160.0340120, 188.8093420", \
+					  "60.3606923, 60.6821853, 62.4497163, 73.0174013, 101.4444933, 160.0490433, 188.6590533", \
+					  "60.3853339, 60.6938579, 62.5959479, 73.0929679, 101.4005509, 160.0888709, 188.9422509", \
+					  "60.4049272, 60.6715962, 62.6068392, 73.2089422, 101.5632922, 160.1198122, 188.9325922", \
+					  "60.4111775, 60.6926185, 62.5792345, 73.1728435, 101.6115735, 160.1401835, 188.9081235");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.8822922, 14.8390072, 14.8531802, 14.8854702, 14.8848122, 14.8687902, 14.8719012", \
+					  "16.4677426, 16.5106766, 16.4824616, 16.4496836, 16.4288536, 16.3818646, 16.3375756", \
+					  "16.6826280, 16.6805820, 16.6592400, 16.6186650, 16.5682210, 16.5232620, 16.5193400", \
+					  "16.6685215, 16.6833325, 16.6715835, 16.6073115, 16.5689785, 16.5248415, 16.5200605", \
+					  "16.6809220, 16.6806760, 16.6653100, 16.6168240, 16.5641580, 16.5347390, 16.5170760", \
+					  "16.6855064, 16.6842274, 16.6679354, 16.6165334, 16.5685544, 16.5351074, 16.5217864", \
+					  "16.6675078, 16.6763298, 16.6604128, 16.5965918, 16.5636318, 16.5272408, 16.5198168");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.3338499, 44.6321389, 46.2387259, 54.6520059, 98.9608399, 155.9790159, 168.2017659", \
+					  "44.3518076, 44.6103156, 46.2415106, 54.6692176, 98.9518156, 155.9462106, 168.2438206", \
+					  "44.3594493, 44.5915553, 46.2582463, 54.6879203, 98.7925503, 155.9976353, 168.3675253", \
+					  "44.3794997, 44.6609787, 46.2792087, 54.6981377, 98.9837437, 156.0643767, 168.2692267", \
+					  "44.3649172, 44.7123472, 46.2986512, 54.7588422, 98.9360462, 156.0044372, 168.3352872", \
+					  "44.3731536, 44.6714256, 46.2524456, 54.7252406, 98.4167806, 156.0881686, 168.3879786", \
+					  "44.5017310, 44.7528840, 46.1803640, 54.7487460, 98.4667470, 156.1122100, 168.4282100");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8807312, 15.8607472, 15.8800332, 15.8761032, 15.8642562, 15.8278502, 15.8372622", \
+					  "16.5708666, 16.5701416, 16.5509366, 16.5078226, 16.4573596, 16.4165786, 16.4063386", \
+					  "16.5573890, 16.5674180, 16.4374260, 16.5180440, 16.4667500, 16.4188350, 16.4092750", \
+					  "16.5659875, 16.5710095, 16.5410245, 16.5026975, 16.4517595, 16.4115085, 16.3955295", \
+					  "16.5702760, 16.5626400, 16.5508040, 16.5046730, 16.4516400, 16.4144510, 16.4026050", \
+					  "16.5580044, 16.5533424, 16.5244544, 16.4905804, 16.4386794, 16.4030134, 16.3838514", \
+					  "16.5700848, 16.5671348, 16.5410508, 16.5045128, 16.4502508, 16.4016058, 16.3958588");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.3737469, 29.5068559, 30.1052869, 31.6936799, 35.7218739, 48.6425179, 71.4089769", \
+					  "29.4855046, 29.5144016, 30.1060086, 31.6666346, 35.7429366, 48.5371256, 71.4054896", \
+					  "29.4933833, 29.5298953, 30.0985553, 31.6787843, 35.6811863, 48.6465653, 71.4810633", \
+					  "29.4991007, 29.5842477, 30.1329057, 31.7178737, 35.7622157, 48.6891487, 71.4107447", \
+					  "29.5396512, 29.6157052, 30.1085362, 31.7348262, 35.7658962, 48.7264142, 71.4199112", \
+					  "29.5397236, 29.6207876, 30.1162276, 31.7428706, 35.8181186, 48.7471616, 71.4305266", \
+					  "29.5619170, 29.6514740, 30.1136740, 31.7762290, 35.8090730, 48.6325170, 71.4777800");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.3671129, 84.5276839, 91.1403949, 120.7061759, 148.0712159, 156.0561859, 161.7194259", \
+					  "83.3805426, 84.3986166, 91.1323696, 120.7057106, 148.0821306, 156.0656606, 161.7750606", \
+					  "83.6603283, 84.5292993, 91.1221443, 120.4334653, 148.1337153, 156.0589853, 161.8329453", \
+					  "83.3364267, 84.5211257, 91.1144627, 120.7507467, 148.0737167, 156.1081867, 161.9225067", \
+					  "83.5310842, 84.6839262, 91.2075892, 120.7644572, 148.1011172, 156.0180972, 161.9781172", \
+					  "83.6059546, 84.7798696, 91.3438206, 120.6754686, 148.1246186, 156.1186686, 161.8401786", \
+					  "83.7915210, 84.8269630, 91.0854640, 120.6880600, 148.1658300, 156.1800600, 161.9711600");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.2947722, 14.2936052, 14.2971682, 14.2926752, 14.2923372, 14.2887682, 14.2905482", \
+					  "15.8570416, 15.8639636, 15.8665966, 15.8223866, 15.7915646, 15.7458826, 15.7391146", \
+					  "16.0712880, 16.0688340, 16.0515890, 16.0097650, 15.9525570, 15.9171960, 15.9049080", \
+					  "16.0752445, 16.0782595, 16.0620465, 16.0166615, 15.9567105, 15.9215205, 15.9134385", \
+					  "16.0823260, 16.0787440, 16.0505050, 16.0171130, 15.9624240, 15.9155860, 15.9168670", \
+					  "16.0843324, 16.0964564, 16.0705954, 16.0093244, 15.9715824, 15.9288144, 15.9207744", \
+					  "16.0816968, 16.0723428, 16.0644588, 16.0102498, 15.9576208, 15.9298338, 15.9102828");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4924852, 14.4924302, 14.4921352, 14.4914392, 14.4909852, 14.4907912, 14.4907212", \
+					  "14.9094766, 14.9095076, 14.9075616, 14.9024926, 14.8983956, 14.8974996, 14.8974136", \
+					  "14.9188840, 14.9189600, 14.9160840, 14.9078940, 14.9019340, 14.9009140, 14.9006160", \
+					  "14.9248085, 14.9229305, 14.9174435, 14.9115535, 14.9030715, 14.9010725, 14.9003425", \
+					  "14.9252760, 14.9207120, 14.9176190, 14.9132060, 14.9049900, 14.8997030, 14.9039690", \
+					  "14.9144294, 14.9129394, 14.9097704, 14.9013954, 14.8939024, 14.9047374, 14.8922754", \
+					  "14.9196368, 14.9227398, 14.9199328, 14.9069588, 14.8995278, 14.9017338, 14.8974838");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.3188019, 26.3768239, 26.4241159, 26.7210009, 26.8533029, 26.5361629, 23.6061419", \
+					  "26.3170316, 26.3277306, 26.4010846, 26.5423396, 26.1279556, 26.3814506, 25.3444216", \
+					  "26.2018423, 26.3275453, 26.2857213, 26.4589233, 26.2714893, 20.0619573, 17.2136173", \
+					  "26.3701897, 26.3389407, 26.3516337, 26.1862437, 26.4699887, 26.3655377, 25.4504237", \
+					  "26.3244402, 26.3377562, 26.0045812, 26.8501482, 28.4970932, 26.4951232, 25.9627032", \
+					  "26.3881216, 26.3827036, 26.4050156, 26.2837446, 26.0303836, 26.3350336, 26.3204516", \
+					  "26.4279870, 26.4153090, 26.4153370, 26.3496810, 26.4260960, 26.4230010, 26.2903310");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.1333223, 92.1592733, 92.2930483, 92.1266053, 92.7396563, 87.1692653, 7.2161568", \
+					  "92.0415980, 92.0644270, 92.1878150, 92.0191720, 92.6499590, 86.4891370, 7.5020060", \
+					  "91.9457946, 91.9354346, 92.1111486, 91.9050076, 92.5497906, 85.4155596, 12.6017556", \
+					  "91.9270252, 91.9752642, 92.1063122, 91.9097402, 92.5348652, 87.0265082, 9.0387546", \
+					  "91.9455511, 91.9970731, 92.1260111, 91.9170601, 92.4703811, 87.0064191, 7.5656742", \
+					  "91.9063687, 91.9272747, 92.0646237, 91.8528837, 92.4718877, 85.3078067, 6.9737752", \
+					  "91.9058763, 91.9370463, 92.0817913, 91.8380903, 92.7678743, 85.3078003, 8.2852235");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0833206, 24.1205436, 24.3383076, 25.3573986, 27.3772216, 28.3611206, 28.5686696", \
+					  "25.9152143, 25.9529453, 26.1712563, 27.1950763, 29.2214573, 30.2119113, 30.4183403", \
+					  "25.9221420, 25.9573270, 26.1830560, 27.1950280, 29.2167040, 30.2067460, 30.4151520", \
+					  "25.9376533, 25.9702493, 26.2009063, 27.2171523, 29.2446453, 30.2347043, 30.4414723", \
+					  "25.9466629, 25.9867439, 26.2021139, 27.2271859, 29.2536609, 30.2404859, 30.4475179", \
+					  "25.9718352, 26.0098352, 26.2268662, 27.2503082, 29.2770112, 30.2693212, 30.4780812", \
+					  "25.9770445, 26.0269575, 26.2321895, 27.2542225, 29.2807695, 30.2728995, 30.4961935");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3770259, 85.3817369, 85.5728409, 85.3104189, 86.2750709, 80.3715779, 2.9014952", \
+					  "85.3734826, 85.3752036, 85.5183186, 85.3147866, 86.2321916, 80.5216136, 3.0825871", \
+					  "85.3877663, 85.4306273, 85.5432593, 85.2947983, 86.2967403, 79.0453463, 2.8537996", \
+					  "85.4613597, 85.4004287, 85.5879877, 85.3681557, 86.2846657, 80.4311257, -0.5167946", \
+					  "85.4654672, 85.4877762, 85.5830402, 85.3404632, 86.2953452, 78.8509802, 0.0249191", \
+					  "85.4418886, 85.5121236, 85.6015876, 85.3758926, 86.4106416, 80.3969586, 0.2672022", \
+					  "85.4668750, 85.4895310, 85.6154780, 85.3586210, 86.4422480, 79.0680490, 6.6920802");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7698872, 19.8005812, 19.9974922, 20.9400812, 22.8569802, 23.8069772, 24.0079642", \
+					  "21.3138586, 21.3503646, 21.5697396, 22.5881936, 24.6154726, 25.6076806, 25.8192866", \
+					  "21.3160600, 21.3520820, 21.5703650, 22.5893230, 24.6173200, 25.6127480, 25.8223670", \
+					  "21.3094205, 21.3464465, 21.5648825, 22.5860265, 24.6158935, 25.6162495, 25.8234325", \
+					  "21.3111580, 21.3545810, 21.5688850, 22.5889500, 24.6202710, 25.6189980, 25.8269530", \
+					  "21.3187374, 21.3564974, 21.5736814, 22.5924964, 24.6215534, 25.6186574, 25.8275924", \
+					  "21.3185698, 21.3540658, 21.5731098, 22.5943188, 24.6245308, 25.6163438, 25.8296468");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5036642, 14.5034382, 14.5032792, 14.5030122, 14.5023912, 14.5019642, 14.5019472", \
+					  "14.9103456, 14.9100646, 14.9080336, 14.9032906, 14.8993786, 14.8977826, 14.8980376", \
+					  "14.9201540, 14.9196260, 14.9167590, 14.9090650, 14.9030320, 14.9016950, 14.9013890", \
+					  "14.9240615, 14.9200675, 14.9176095, 14.9115975, 14.9043875, 14.9001865, 14.9017095", \
+					  "14.9261990, 14.9219040, 14.9184140, 14.9043760, 14.9028930, 14.9038470, 14.9043160", \
+					  "14.9154564, 14.9266304, 14.9228724, 14.9006424, 14.8925754, 14.8929434, 14.8925984", \
+					  "14.9200078, 14.9230468, 14.9199638, 14.9118768, 14.9045458, 14.8982788, 14.8977878");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2562849, 26.3500929, 26.3946239, 26.3158169, 25.5839629, 26.1699059, 25.6957569", \
+					  "26.2546426, 26.2667356, 26.2258466, 26.2035726, 25.7995556, 26.2274246, 26.4421546", \
+					  "26.3353853, 26.1568173, 26.3408733, 26.1295343, 26.3269753, 21.9266283, 26.1576903", \
+					  "26.3694507, 26.2634727, 26.6693937, 26.4064597, 26.3709687, 26.5720007, 27.0363917", \
+					  "26.9268802, 26.4604442, 26.6015832, 26.4475502, 24.9460112, 26.2260792, 26.9775372", \
+					  "26.3631256, 26.3848236, 26.4270386, 26.3872076, 25.1001016, 26.4004036, 26.2899446", \
+					  "26.3852660, 26.3644680, 26.4093370, 26.3645960, 26.4350630, 26.4482670, 26.2185330");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8835862, 15.8906152, 15.8908772, 15.8681382, 15.8772342, 15.8556742, 15.8396822", \
+					  "16.5708096, 16.5680426, 16.5530456, 16.4847456, 16.4548986, 16.4162536, 16.4044236", \
+					  "16.5711320, 16.5461880, 16.5555330, 16.5010260, 16.4421290, 16.4114940, 16.3983900", \
+					  "16.5757035, 16.5607245, 16.5599265, 16.5080755, 16.4551005, 16.4072685, 16.3869305", \
+					  "16.5711750, 16.5658740, 16.5484990, 16.5028080, 16.4605320, 16.4133250, 16.3981850", \
+					  "16.5583684, 16.5595324, 16.5396854, 16.4938274, 16.4411424, 16.4049354, 16.3854544", \
+					  "16.5676268, 16.5678098, 16.5498618, 16.5019958, 16.4484138, 16.4098798, 16.3959038");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.4180209, 29.4962399, 30.1232969, 31.6471719, 35.7233589, 48.6748529, 71.3263349", \
+					  "29.4151026, 29.5151126, 30.0757056, 31.6623536, 35.7394046, 48.6155696, 71.3946786", \
+					  "29.4563883, 29.5309533, 30.0472173, 31.6767653, 35.7387973, 48.6285213, 71.4759703", \
+					  "29.4814647, 29.5785887, 30.0748137, 31.7148487, 35.7644077, 48.6567657, 71.4022647", \
+					  "29.5612592, 29.6334582, 30.0332462, 31.7390272, 35.8695532, 48.7209192, 71.4571662", \
+					  "29.5455276, 29.6206696, 30.0996786, 31.7578386, 35.7831186, 48.7461206, 71.4343506", \
+					  "29.5554780, 29.6490630, 30.1124390, 31.7939270, 35.8265660, 48.7254630, 71.4503510");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.4973293, 92.4869423, 92.6419013, 92.4396463, 93.1385593, 86.0137243, 4.4429303", \
+					  "92.4059800, 92.4673550, 92.5401440, 92.3833390, 93.0528240, 86.3476110, 7.1924411", \
+					  "92.3395276, 92.3348446, 92.4408286, 92.2874446, 93.1272386, 87.4024356, 6.4549981", \
+					  "92.3054382, 92.3344562, 92.4697992, 92.2428702, 92.9044102, 85.7462262, 5.8247938", \
+					  "92.3096211, 92.2983251, 92.4549981, 92.2279091, 92.8433261, 87.4344171, 6.2247785", \
+					  "92.2631597, 92.3043767, 92.4237117, 92.2284927, 92.8063077, 85.6866007, 6.8568991", \
+					  "92.2755803, 92.3215993, 92.4722433, 92.2022023, 93.1347963, 85.7048163, 16.1900153");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.5764656, 39.5966646, 39.7596516, 40.7119526, 43.1837256, 43.4223646, 43.3944426", \
+					  "39.5204483, 39.5539353, 39.7238893, 40.6625343, 42.5584383, 44.7125103, 43.6818583", \
+					  "39.2190120, 39.6531300, 39.8963420, 40.4003110, 42.4946350, 43.3641170, 43.5105830", \
+					  "39.5906543, 39.4451213, 39.9938173, 40.5236123, 39.7313953, 45.4139713, 43.0955793", \
+					  "39.5638439, 39.5378369, 39.7609469, 40.5363159, 42.6520399, 43.3922569, 43.3398479", \
+					  "39.5037822, 39.5820982, 39.7426462, 40.5794112, 42.5670642, 43.4308902, 43.5257802", \
+					  "39.5462975, 39.5791255, 39.7679955, 40.6895535, 42.5229165, 43.5336885, 43.4610105");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.3883226, 35.4732996, 35.9406376, 37.5738246, 41.6281396, 54.5498596, 77.1998076", \
+					  "35.3368393, 35.4306463, 35.9423103, 37.5262443, 41.6040483, 54.4633773, 77.1137063", \
+					  "35.3215750, 35.4126410, 35.8757150, 37.5248950, 41.5669990, 54.6093200, 77.1993220", \
+					  "35.3232063, 35.4073373, 35.8855273, 37.5306313, 41.5671393, 54.5045853, 77.2145853", \
+					  "35.3564389, 35.4376549, 35.8966039, 37.5458469, 41.6271549, 54.5680049, 77.2609809", \
+					  "35.3706162, 35.4615992, 35.9069682, 37.5679522, 41.6136432, 54.4805902, 77.2697012", \
+					  "35.3727755, 35.4608265, 35.9233175, 37.5670755, 41.6446295, 54.4922075, 77.2853805");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.0733723, 69.0071683, 69.1500403, 68.9387023, 71.0970313, 59.3667413, -125.5936357", \
+					  "69.0253150, 69.4006190, 69.1573480, 68.6521270, 70.9837000, 61.8718570, -131.3088550", \
+					  "68.8665196, 68.3554976, 69.1278936, 69.1860276, 68.8314226, 56.1309436, -99.3967304", \
+					  "68.5084182, 69.1000072, 69.5572322, 69.5822332, 68.8920282, 58.5416822, -124.4615578", \
+					  "68.8335951, 68.8441831, 68.8387921, 68.9202341, 69.0064081, 58.8218531, -126.8062719", \
+					  "68.8034387, 68.8024677, 68.8946927, 68.9058297, 68.9437917, 58.8330257, -126.4935353", \
+					  "68.8110243, 68.7769333, 68.7997063, 68.9066003, 68.8323543, 58.5038803, -125.6113487");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.7148816, 42.8386786, 43.4967906, 46.0944706, 52.0012066, 65.7646006, 88.6624226", \
+					  "42.6936143, 42.7786403, 43.4942173, 46.0631553, 51.9588243, 65.5567223, 88.5275973", \
+					  "42.6558430, 42.7771630, 43.4746950, 46.0508250, 51.9711280, 65.8517950, 88.5106560", \
+					  "42.6631133, 42.8081293, 43.4502263, 46.0419763, 51.9534873, 65.7726893, 88.5924563", \
+					  "42.6892259, 42.8031099, 43.4683939, 46.0609899, 51.9631859, 65.7167919, 88.6361389", \
+					  "42.7187902, 42.8311792, 43.5065112, 46.0916612, 51.9817832, 65.6156552, 88.6140082", \
+					  "42.7167515, 42.8558905, 43.5101655, 46.1080565, 51.9984865, 65.7113265, 88.6727365");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.3441503, 73.3938953, 73.7472583, 74.7741043, 77.3167503, 73.9050353, -0.4514574", \
+					  "73.2370090, 73.3499950, 73.6305060, 74.5551070, 76.3815000, 75.1719950, -10.2834711", \
+					  "73.1528826, 73.1792296, 73.5608406, 74.5970396, 77.8738426, 76.0596896, -10.2287939", \
+					  "73.1759902, 73.1350852, 73.6743272, 74.3911712, 77.2402252, 72.2786872, 1.6187098", \
+					  "73.1464091, 73.2105171, 73.5812831, 74.4591081, 76.0062461, 73.0990371, -3.8915694", \
+					  "73.1032427, 73.1737277, 73.5262727, 74.3840787, 76.4353137, 74.0076097, 5.1470975", \
+					  "73.1206533, 73.1818123, 73.5105353, 74.3816093, 77.3664023, 74.7326763, 1.8606246");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("56.0923819, 56.3982483, 57.8400492, 64.4127294, 78.3889912, 100.0822147, 111.9612854", \
+					  "57.0828765, 57.3065841, 58.7804228, 65.4461344, 79.8383552, 101.1659088, 113.1482745", \
+					  "57.1388810, 57.3286265, 58.7479617, 65.3533625, 79.9119709, 99.8419427, 112.2555956", \
+					  "57.1191650, 57.3575732, 58.8090144, 65.4208103, 79.8585687, 101.5621958, 113.4903530", \
+					  "57.1823082, 57.4185060, 58.8435576, 65.4686296, 80.4052188, 101.2144375, 113.3768343", \
+					  "57.1785072, 57.4342867, 58.8096961, 65.4724920, 79.5320332, 101.2394753, 113.3668753", \
+					  "57.2246663, 57.4590229, 58.7988315, 65.4745451, 79.9678610, 101.2762204, 113.4204233");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.4301119, 83.3942193, 83.6539343, 83.5613501, 82.3832559, 74.6634034, -45.2998591", \
+					  "84.2847994, 84.2461309, 84.2408226, 83.9883821, 84.0175901, 75.4578827, -46.3907766", \
+					  "84.2605567, 84.2464864, 84.3389303, 84.2538415, 84.6212700, 75.0258309, -44.6272807", \
+					  "84.3280659, 84.3330889, 84.3727248, 84.1978154, 83.7432868, 74.6404983, -46.2543844", \
+					  "84.3660579, 84.4443810, 84.4883832, 84.4174646, 84.2213488, 76.4945309, -44.0403977", \
+					  "84.3220728, 84.3438893, 84.4092335, 84.2811354, 83.9927854, 75.0784568, -45.0559125", \
+					  "84.3547013, 84.3653246, 84.4230577, 84.2929957, 83.9372696, 74.9743381, -43.5319814");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.5601205, 86.9970990, 89.2465734, 100.3151772, 125.0778510, 157.8592672, 179.8083957", \
+					  "87.1535088, 87.6139678, 89.8058891, 100.8617721, 125.8999701, 159.2835319, 180.6952938", \
+					  "87.0921738, 87.4979625, 89.8457042, 100.5733287, 124.7558935, 160.1706843, 180.3892007", \
+					  "87.0958219, 87.4262426, 89.7612966, 100.7107149, 124.4086383, 160.2182023, 180.4804916", \
+					  "87.1961781, 87.5805256, 89.8459200, 100.6227193, 125.0248155, 160.0605915, 180.4581811", \
+					  "87.2086154, 87.6238846, 89.7612392, 100.8735199, 124.9380964, 160.2784572, 180.5640234", \
+					  "87.2754570, 87.6725005, 89.8650575, 100.9476962, 125.0111308, 160.3424622, 180.5484218");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("134.2309063, 134.0535448, 134.5568654, 134.6311184, 134.7353816, 122.3238174, -76.5760664", \
+					  "134.3938968, 134.5704452, 134.6578530, 134.8906682, 135.9457660, 122.9392398, -80.4969795", \
+					  "134.2743570, 134.2327154, 134.5359022, 134.7297374, 135.0997859, 122.6585137, -76.7410914", \
+					  "134.0104306, 134.3841696, 134.5828794, 134.5827284, 135.0284431, 120.4328791, -76.9645466", \
+					  "134.2649617, 134.3112380, 134.5168102, 134.6461244, 135.0193249, 122.3829015, -79.3732452", \
+					  "134.1916197, 134.2490624, 134.4249952, 134.5910984, 134.8238227, 121.9595969, -77.0343182", \
+					  "134.2031858, 134.2229091, 134.4386499, 134.5756726, 135.1562564, 122.0555098, -75.0574745");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3469892, 1.3528225, 1.3572524, 1.3572525, 1.3572526, 1.3572527, 1.7029130", \
+					  "1.4074173, 1.4087872, 1.4148206, 1.4199175, 1.4199176, 1.4199177, 1.6762531", \
+					  "1.4307686, 1.4373259, 1.4373261, 1.4373262, 1.4412168, 1.4412169, 1.6278524", \
+					  "1.4414747, 1.4415921, 1.4415922, 1.4415923, 1.4415924, 1.4415926, 1.6057726", \
+					  "1.4455419, 1.4455420, 1.4466807, 1.4466808, 1.4466809, 1.4466810, 1.6134821", \
+					  "1.4476748, 1.4486949, 1.4486951, 1.4486952, 1.4486953, 1.4486954, 1.6071682", \
+					  "1.4358226, 1.4369789, 1.4369790, 1.4369792, 1.4419874, 1.4419875, 1.5813895");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2590898, 7.4408528, 8.5774854, 15.5527360, 58.4124250, 321.1066800, 1938.9006000", \
+					  "7.3331150, 7.5091950, 8.6643928, 15.6154310, 58.4589300, 321.7640500, 1938.9729000", \
+					  "7.3542159, 7.5374409, 8.6678824, 15.6537020, 58.6064530, 321.5095000, 1939.0807000", \
+					  "7.3667938, 7.5582767, 8.7022283, 15.6616210, 58.5884190, 321.8617100, 1940.7644000", \
+					  "7.3689588, 7.5575493, 8.6871292, 15.6693660, 58.5173580, 321.8559400, 1939.5600000", \
+					  "7.3869137, 7.5697342, 8.6824334, 15.6918830, 58.5228190, 321.1978000, 1938.7369000", \
+					  "7.3787478, 7.5630968, 8.7039176, 15.6774180, 58.4960700, 321.3089600, 1941.6968000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.9165230, 12.2416150, 14.4397410, 28.2405550, 113.4156400, 637.7800900, 3872.9950000", \
+					  "11.8783470, 12.2437790, 14.4427670, 28.2288860, 113.4976100, 637.9816600, 3872.9963000", \
+					  "11.8859000, 12.2289460, 14.4671610, 28.2304460, 113.4888800, 637.7689500, 3872.9381000", \
+					  "11.8653620, 12.2365710, 14.4408660, 28.1783430, 113.4786700, 638.0581700, 3872.6284000", \
+					  "11.8703810, 12.2268200, 14.4561650, 28.1698810, 113.4221500, 638.0528800, 3871.9345000", \
+					  "11.8673760, 12.2350140, 14.4435570, 28.1696440, 113.0510200, 637.3157900, 3871.5566000", \
+					  "11.8729260, 12.2211700, 14.4380990, 28.1862460, 113.4981000, 637.1572000, 3871.0241000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7199236, 1.7288538, 1.7794294, 2.0312536, 3.0073473, 5.6919078, 14.7472770", \
+					  "1.8524834, 1.8614116, 1.9119886, 2.1638003, 3.1390683, 5.8247513, 14.8807380", \
+					  "1.9497060, 1.9586281, 2.0092019, 2.2610218, 3.2370557, 5.9215672, 14.9769530", \
+					  "2.0308999, 2.0401714, 2.0907478, 2.3425812, 3.3181755, 6.0035954, 15.0581330", \
+					  "2.1012634, 2.1101167, 2.1606594, 2.4123366, 3.3882717, 6.0735950, 15.1292970", \
+					  "2.1697761, 2.1782727, 2.2291049, 2.4808613, 3.4563175, 6.1439458, 15.1968590", \
+					  "2.2331659, 2.2416786, 2.2931206, 2.5444589, 3.5214587, 6.2064869, 15.2625750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4434239, 0.4471207, 0.4768253, 0.6521141, 1.4608955, 3.3918028, 13.2035280", \
+					  "0.4434625, 0.4471351, 0.4768685, 0.6521253, 1.4630006, 3.3921630, 13.2170100", \
+					  "0.4434613, 0.4471322, 0.4768581, 0.6521238, 1.4610112, 3.3916896, 13.2047640", \
+					  "0.4443048, 0.4471056, 0.4767861, 0.6520995, 1.4592690, 3.3920222, 13.2171330", \
+					  "0.4445826, 0.4476586, 0.4779015, 0.6527163, 1.4627753, 3.3926397, 13.2146550", \
+					  "0.4423484, 0.4493805, 0.4743449, 0.6556404, 1.4587961, 3.3906415, 13.2262540", \
+					  "0.4453098, 0.4497931, 0.4763069, 0.6523998, 1.4632513, 3.3856031, 13.2056980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9282354, 2.9293528, 2.9293529, 2.9293532, 2.9293534, 2.9293536, 2.9293539", \
+					  "2.9688929, 2.9927019, 2.9927022, 2.9927024, 2.9927027, 2.9927029, 2.9927031", \
+					  "2.9997980, 3.0006098, 3.0006100, 3.0006103, 3.0006105, 3.0006108, 3.0006110", \
+					  "3.0348459, 3.0348460, 3.0348462, 3.0348465, 3.0348467, 3.0348470, 3.0348472", \
+					  "3.0330400, 3.0426006, 3.0426008, 3.0426010, 3.0426013, 3.0426015, 3.0426018", \
+					  "3.0417175, 3.0427478, 3.0427479, 3.0427481, 3.0427484, 3.0427486, 3.0427489", \
+					  "3.0374965, 3.0378526, 3.0378527, 3.0378529, 3.0378532, 3.0378534, 3.0378536");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6562652, 2.6592710, 2.6653528, 2.6653530, 2.6653532, 2.6653535, 2.7509068", \
+					  "2.7393241, 2.7495470, 2.7495472, 2.7495474, 2.7495477, 2.7495479, 2.7495481", \
+					  "2.7780216, 2.7780217, 2.7780220, 2.7780222, 2.7780225, 2.7780227, 2.7780229", \
+					  "2.7925338, 2.7936389, 2.7936391, 2.7936394, 2.7936396, 2.7936398, 2.7936401", \
+					  "2.7902884, 2.7902886, 2.7902889, 2.7902891, 2.7902893, 2.7902896, 2.7902898", \
+					  "2.7948228, 2.7948229, 2.7948231, 2.7948233, 2.7948236, 2.7948238, 2.7948241", \
+					  "2.7926743, 2.7926745, 2.7926747, 2.7926749, 2.7926752, 2.7926754, 2.7926757");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8428987, 1.8671586, 2.0152480, 2.9052813, 8.0116322, 16.2219620, 35.6784400", \
+					  "1.9118398, 1.9359161, 2.0839712, 2.9748641, 8.0789260, 16.2938050, 35.7447870", \
+					  "1.9380597, 1.9622932, 2.1103748, 3.0004563, 8.1056859, 16.3189070, 35.7680610", \
+					  "1.9500512, 1.9743005, 2.1223341, 3.0132419, 8.1171363, 16.3271920, 35.8038610", \
+					  "1.9546880, 1.9791229, 2.1272364, 3.0180840, 8.1226643, 16.3322940, 35.8042410", \
+					  "1.9544264, 1.9790238, 2.1270874, 3.0176601, 8.1222380, 16.3330880, 35.8088240", \
+					  "1.9507904, 1.9752122, 2.1232509, 3.0141327, 8.1145659, 16.3298090, 35.7979460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5083065, 1.5521144, 1.8299767, 3.5887660, 7.9236484, 10.2984470, 28.2117250", \
+					  "1.5077055, 1.5499899, 1.8299386, 3.5890244, 7.9229370, 10.3017430, 28.2160780", \
+					  "1.5036606, 1.5505105, 1.8301137, 3.5900161, 7.9235694, 10.2888670, 28.2027310", \
+					  "1.5041006, 1.5501235, 1.8300726, 3.5910963, 7.9230071, 10.3102910, 28.1937780", \
+					  "1.5050036, 1.5504347, 1.8308358, 3.5874874, 7.9223428, 10.3066540, 28.1984360", \
+					  "1.5066910, 1.5518701, 1.8302527, 3.5862815, 7.9237337, 10.3008740, 28.1919180", \
+					  "1.5063711, 1.5518933, 1.8304740, 3.5820698, 7.9215781, 10.3115740, 28.2270530");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5092288, 7.6968423, 8.8619485, 15.9368550, 59.0939240, 322.9114000, 1945.7968000", \
+					  "7.6462090, 7.8278317, 8.9871968, 16.0866480, 59.1393780, 322.4263000, 1945.3462000", \
+					  "7.7427149, 7.9343891, 9.0873717, 16.1915600, 59.2050750, 322.5975700, 1945.4412000", \
+					  "7.8206241, 8.0336777, 9.1610817, 16.2422320, 59.2122690, 322.8171900, 1945.8278000", \
+					  "7.9160714, 8.0928437, 9.2546468, 16.3091600, 59.3188500, 322.9077700, 1946.2493000", \
+					  "7.9515221, 8.1689899, 9.3036796, 16.3969920, 59.3640760, 323.1849600, 1946.0178000", \
+					  "8.0192475, 8.2353084, 9.3578976, 16.4397240, 59.3736370, 323.2155200, 1947.3198000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6965320, 12.0630060, 14.2834500, 28.0835180, 113.3125300, 639.1290400, 3887.3545000", \
+					  "11.7085990, 12.0648220, 14.2838420, 28.1070120, 113.6187500, 639.7813800, 3885.5187000", \
+					  "11.6876400, 12.0415690, 14.2800150, 28.0923590, 113.6942600, 639.6752500, 3885.4769000", \
+					  "11.6847610, 12.0489460, 14.2591720, 28.0236940, 113.3746700, 640.3765300, 3885.0008000", \
+					  "11.6987760, 12.0620300, 14.2926600, 28.0239260, 113.3964700, 639.8598800, 3885.3377000", \
+					  "11.6810600, 12.0614350, 14.2798720, 28.0577360, 113.2823800, 640.1119800, 3885.4619000", \
+					  "11.6696200, 12.0579060, 14.2764920, 28.0311530, 113.2355800, 640.1440400, 3885.4191000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9283849, 2.9297220, 2.9297222, 2.9297225, 2.9297227, 2.9297230, 2.9297232", \
+					  "2.9785623, 2.9930971, 2.9930972, 2.9930975, 2.9930977, 2.9930980, 2.9930982", \
+					  "2.9987295, 3.0006124, 3.0006127, 3.0006129, 3.0006131, 3.0006134, 3.0006136", \
+					  "3.0348450, 3.0366021, 3.0366022, 3.0366024, 3.0366027, 3.0366029, 3.0366031", \
+					  "3.0357974, 3.0386860, 3.0386862, 3.0386864, 3.0386867, 3.0386869, 3.0386872", \
+					  "3.0419387, 3.0435767, 3.0435769, 3.0435771, 3.0435774, 3.0435776, 3.0435778", \
+					  "3.0372842, 3.0397482, 3.0397484, 3.0397486, 3.0397488, 3.0397491, 3.0397493");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3518359, 1.3543897, 1.3551054, 1.3551055, 1.3551056, 1.3568551, 1.6992309", \
+					  "1.4100212, 1.4106245, 1.4166520, 1.4166521, 1.4166522, 1.4166523, 1.6590612", \
+					  "1.4322834, 1.4396818, 1.4396819, 1.4396820, 1.4396821, 1.4396822, 1.6362868", \
+					  "1.4465673, 1.4474062, 1.4474063, 1.4474302, 1.4474304, 1.4474305, 1.6204100", \
+					  "1.4458142, 1.4479912, 1.4479914, 1.4479915, 1.4479916, 1.4479917, 1.6135064", \
+					  "1.4455422, 1.4464749, 1.4492013, 1.4492015, 1.4492016, 1.4492017, 1.6055702", \
+					  "1.4373651, 1.4394499, 1.4424950, 1.4424951, 1.4424952, 1.4424953, 1.5956583");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9792721, 2.0041178, 2.1546143, 3.0764240, 7.5600539, 27.1791140, 67.5328170", \
+					  "2.1126789, 2.1373001, 2.2874584, 3.2062735, 7.6925302, 27.3120710, 67.6528420", \
+					  "2.2096258, 2.2347730, 2.3859155, 3.3058412, 7.7898141, 27.4061110, 67.7637930", \
+					  "2.2919582, 2.3162980, 2.4673896, 3.3873084, 7.8713391, 27.4887540, 67.8319050", \
+					  "2.3624425, 2.3861708, 2.5367128, 3.4556513, 7.9413685, 27.5604390, 67.9251710", \
+					  "2.4299982, 2.4549140, 2.6061919, 3.5284242, 8.0098310, 27.6293710, 67.9763520", \
+					  "2.4949254, 2.5191578, 2.6697309, 3.5927570, 8.0722753, 27.6918510, 68.0427950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5228059, 1.5686933, 1.8521636, 3.2730267, 8.5847365, 31.0370960, 38.0546790", \
+					  "1.5230422, 1.5676203, 1.8516235, 3.2718241, 8.5702165, 31.0614790, 38.0302230", \
+					  "1.5216078, 1.5690464, 1.8534412, 3.2726977, 8.5701561, 31.0444650, 38.0423800", \
+					  "1.5227921, 1.5691737, 1.8533787, 3.2727510, 8.5706935, 31.0424540, 38.0133290", \
+					  "1.5220201, 1.5697805, 1.8531013, 3.2726837, 8.5681338, 31.0715540, 38.1555270", \
+					  "1.5217674, 1.5674743, 1.8516658, 3.2708458, 8.5678478, 31.0734940, 38.1091890", \
+					  "1.5186769, 1.5652161, 1.8528872, 3.2740508, 8.5681160, 31.0657240, 38.1096400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3547160, 1.3547161, 1.3547162, 1.3616683, 1.3616685, 1.3616686, 1.7052170", \
+					  "1.4104934, 1.4148771, 1.4148772, 1.4148773, 1.4191833, 1.4191834, 1.6711692", \
+					  "1.4333759, 1.4333761, 1.4333762, 1.4338591, 1.4338592, 1.4350321, 1.6135340", \
+					  "1.4469056, 1.4474562, 1.4474564, 1.4474911, 1.4474912, 1.4474913, 1.6205575", \
+					  "1.4460632, 1.4474666, 1.4477277, 1.4477278, 1.4477279, 1.4477280, 1.6133747", \
+					  "1.4455733, 1.4466098, 1.4466100, 1.4466101, 1.4466102, 1.4466103, 1.6056566", \
+					  "1.4374670, 1.4399582, 1.4399583, 1.4399584, 1.4451937, 1.4451938, 1.5955014");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7054771, 1.7140145, 1.7653137, 2.0199660, 3.0794352, 8.6146228, 36.1075260", \
+					  "1.8371873, 1.8464557, 1.8976709, 2.1524438, 3.2107621, 8.7471559, 36.2428450", \
+					  "1.9351778, 1.9434083, 1.9944897, 2.2496511, 3.3092322, 8.8444390, 36.3414260", \
+					  "2.0160731, 2.0249140, 2.0767117, 2.3311635, 3.3907925, 8.9257304, 36.4146790", \
+					  "2.0866111, 2.0949027, 2.1464255, 2.4012270, 3.4607128, 8.9962775, 36.4930950", \
+					  "2.1549473, 2.1630655, 2.2134610, 2.4692248, 3.5290253, 9.0624521, 36.5317870", \
+					  "2.2183717, 2.2277900, 2.2787860, 2.5341329, 3.5921679, 9.1292325, 36.6241540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4478750, 0.4515369, 0.4793512, 0.6618651, 1.7590037, 8.6974801, 30.0345100", \
+					  "0.4496461, 0.4542076, 0.4796487, 0.6606012, 1.7578273, 8.6953822, 30.0289390", \
+					  "0.4502797, 0.4534930, 0.4826016, 0.6581288, 1.7593120, 8.7050260, 30.0342540", \
+					  "0.4495993, 0.4532143, 0.4823387, 0.6606048, 1.7583158, 8.7059870, 30.0626370", \
+					  "0.4485374, 0.4523020, 0.4818360, 0.6616530, 1.7590445, 8.6972438, 30.0371530", \
+					  "0.4501192, 0.4549756, 0.4800543, 0.6621706, 1.7591915, 8.7013401, 30.0328150", \
+					  "0.4478650, 0.4516225, 0.4804005, 0.6610159, 1.7604326, 8.7029042, 30.0683320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1206379, 7.3064070, 8.4274649, 15.2998450, 57.8581800, 320.4129100, 1938.0243000", \
+					  "7.2534285, 7.4329569, 8.5676293, 15.4685700, 57.9983670, 320.5367100, 1938.1330000", \
+					  "7.3502466, 7.5341129, 8.6649576, 15.5748550, 58.0932640, 320.6205300, 1938.7878000", \
+					  "7.4387017, 7.6142275, 8.7405336, 15.6570690, 58.2430530, 320.5424300, 1938.3353000", \
+					  "7.5102351, 7.6929085, 8.7970262, 15.7031380, 58.2539670, 320.6653500, 1939.0075000", \
+					  "7.5783575, 7.7425923, 8.8696650, 15.7660660, 58.3255830, 321.3431800, 1938.4800000", \
+					  "7.6219111, 7.8266202, 8.9388861, 15.8409050, 58.3719450, 320.7463500, 1938.0786000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8752830, 12.2283560, 14.4654910, 28.1885470, 113.5050700, 638.0868700, 3873.2937000", \
+					  "11.8767270, 12.2172330, 14.4543180, 28.1684360, 113.4465700, 638.0560600, 3873.2196000", \
+					  "11.8752750, 12.2335630, 14.4553610, 28.1363360, 113.4582600, 638.0444100, 3872.4634000", \
+					  "11.8757460, 12.2373610, 14.4527050, 28.1635250, 113.4841600, 637.7969700, 3873.2742000", \
+					  "11.8715490, 12.2329960, 14.4596060, 28.1746320, 113.0985800, 637.8261300, 3872.3792000", \
+					  "11.8714020, 12.2322760, 14.4603130, 28.1876820, 113.4253300, 638.1328600, 3874.2369000", \
+					  "11.8596380, 12.2330990, 14.4599990, 28.2024870, 113.5172200, 637.4164600, 3872.6866000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8866737, 1.8957869, 1.9464090, 2.1979931, 3.1741350, 5.8604743, 14.9163930", \
+					  "2.0186417, 2.0277191, 2.0785513, 2.3287958, 3.3065035, 5.9914016, 15.0472830", \
+					  "2.1169677, 2.1254136, 2.1740607, 2.4281577, 3.4036263, 6.0897452, 15.1442010", \
+					  "2.1971631, 2.2053892, 2.2569244, 2.5085549, 3.4846217, 6.1692234, 15.2262430", \
+					  "2.2680322, 2.2775291, 2.3274693, 2.5772193, 3.5559309, 6.2388903, 15.2948680", \
+					  "2.3270994, 2.3426840, 2.3972171, 2.6481835, 3.6241576, 6.3110210, 15.3666220", \
+					  "2.4012472, 2.4097893, 2.4614566, 2.7134017, 3.6887786, 6.3752177, 15.4274980");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4467424, 0.4486350, 0.4780455, 0.6530549, 1.4637396, 3.3915093, 13.2257580", \
+					  "0.4469194, 0.4505578, 0.4781011, 0.6529785, 1.4636555, 3.3913536, 13.2084710", \
+					  "0.4465281, 0.4486352, 0.4779900, 0.6525352, 1.4638538, 3.3921763, 13.2027590", \
+					  "0.4470413, 0.4506338, 0.4780810, 0.6525356, 1.4601116, 3.3852516, 13.2065990", \
+					  "0.4456029, 0.4494007, 0.4780068, 0.6522473, 1.4606205, 3.3923608, 13.1993400", \
+					  "0.4450494, 0.4484834, 0.4774686, 0.6550437, 1.4612909, 3.3862408, 13.2130790", \
+					  "0.4445003, 0.4506218, 0.4762337, 0.6561311, 1.4631125, 3.3884289, 13.2102040");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0838309, 7.2551862, 8.3791738, 15.3087340, 57.8124040, 320.4640400, 1938.2358000", \
+					  "7.1537807, 7.3412716, 8.4568397, 15.3726810, 57.8372120, 320.4120300, 1937.8513000", \
+					  "7.1814190, 7.3619573, 8.4907557, 15.4031880, 57.8814120, 320.4235700, 1939.3863000", \
+					  "7.1927886, 7.3743012, 8.4925649, 15.4142960, 57.9276610, 320.4272900, 1938.7858000", \
+					  "7.1885631, 7.3662600, 8.5080432, 15.3966550, 58.1143170, 320.4220900, 1940.2571000", \
+					  "7.1879609, 7.3844797, 8.5007095, 15.3770330, 57.9218560, 320.2598600, 1940.3476000", \
+					  "7.1974817, 7.3674715, 8.4951548, 15.4003710, 57.9260370, 320.3103600, 1940.6668000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8770050, 12.2320150, 14.4479890, 28.1456710, 113.4509100, 638.1633900, 3874.8053000", \
+					  "11.8534440, 12.2345700, 14.4470200, 28.1916110, 113.2649400, 637.9935900, 3873.2464000", \
+					  "11.8494790, 12.2362980, 14.4528660, 28.1610700, 113.4554400, 637.5353200, 3876.3351000", \
+					  "11.8587930, 12.2326220, 14.4642660, 28.1676070, 113.4869200, 637.5350900, 3873.8422000", \
+					  "11.8657640, 12.2326780, 14.4343950, 28.1875220, 113.3721000, 637.5284400, 3871.8308000", \
+					  "11.8667050, 12.2361170, 14.4374370, 28.1706230, 113.4988400, 637.4907000, 3871.3601000", \
+					  "11.8733650, 12.2212140, 14.4504660, 28.1981830, 113.4854100, 637.4552300, 3873.3215000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2269457, 7.4336461, 8.5349070, 15.4616000, 58.2451140, 321.3184000, 1944.2032000", \
+					  "7.3597448, 7.5666877, 8.6675485, 15.5936770, 58.3789190, 321.8119400, 1944.5060000", \
+					  "7.4568431, 7.6638500, 8.7647267, 15.6913110, 58.4790280, 321.6391600, 1944.6038000", \
+					  "7.5386435, 7.7449673, 8.8451415, 15.7574130, 58.5572870, 322.2971300, 1944.5586000", \
+					  "7.6087847, 7.8162419, 8.9164116, 15.8441190, 58.6258050, 321.9462000, 1948.0251000", \
+					  "7.6769595, 7.8868494, 8.9838146, 15.9119310, 58.7069490, 322.4770600, 1944.5449000", \
+					  "7.7413222, 7.9206890, 9.0610417, 15.9779640, 58.6352510, 322.2714300, 1948.0592000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7012680, 12.0638210, 14.2952090, 28.0585300, 113.6685300, 640.0939600, 3887.7183000", \
+					  "11.7015170, 12.0632770, 14.2925730, 28.0338210, 113.6659900, 639.7801300, 3886.7420000", \
+					  "11.7014110, 12.0633410, 14.2946990, 28.0578030, 113.6603600, 640.2045800, 3885.0012000", \
+					  "11.7016050, 12.0636200, 14.2947040, 28.0068110, 113.6670300, 639.1861100, 3885.1699000", \
+					  "11.6968360, 12.0633090, 14.2934110, 28.0293990, 113.6692200, 639.8870500, 3889.0857000", \
+					  "11.6944480, 12.0585460, 14.2812990, 28.0315540, 113.6418400, 639.9581000, 3886.9862000", \
+					  "11.7019460, 12.0598660, 14.2900280, 28.0783540, 113.2752700, 639.8689700, 3885.4218000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3994554, 1.4011694, 1.4011695, 1.4011696, 1.4011698, 1.4011699, 1.5692339", \
+					  "1.4505324, 1.4592050, 1.4592051, 1.4592053, 1.4651707, 1.4651709, 1.6123404", \
+					  "1.4948344, 1.4948345, 1.5023681, 1.5023682, 1.5023683, 1.5023684, 1.6080125", \
+					  "1.4854111, 1.4854112, 1.4927849, 1.4948034, 1.4948035, 1.5077839, 1.6529590", \
+					  "1.4929082, 1.4929083, 1.4929085, 1.4939154, 1.4939155, 1.4966625, 1.6244973", \
+					  "1.4985718, 1.4985719, 1.4985720, 1.4985721, 1.4985722, 1.4985723, 1.6171925", \
+					  "1.4880454, 1.4880456, 1.4956313, 1.4993189, 1.4993191, 1.5014865, 1.6281705");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6681353, 2.6681356, 2.6681358, 2.6681361, 2.6681363, 2.6681365, 2.6681368", \
+					  "2.7478437, 2.7513638, 2.7513639, 2.7513642, 2.7513644, 2.7513646, 2.7513649", \
+					  "2.7782971, 2.7782974, 2.7782976, 2.7782978, 2.7782981, 2.7782983, 2.7782985", \
+					  "2.7924627, 2.7938805, 2.7938806, 2.7938809, 2.7938811, 2.7938813, 2.7938816", \
+					  "2.7907332, 2.7913576, 2.7913577, 2.7913579, 2.7913582, 2.7913584, 2.7913586", \
+					  "2.7949205, 2.7952676, 2.7952678, 2.7952680, 2.7952682, 2.7952685, 2.7952687", \
+					  "2.7927223, 2.7928095, 2.7928097, 2.7928099, 2.7928101, 2.7928104, 2.7928106");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894926, 1.9137809, 2.0618096, 2.9532228, 8.0765679, 16.3167360, 35.7604580", \
+					  "2.0213364, 2.0453632, 2.1943036, 3.0837555, 8.2076603, 16.4471070, 35.9018170", \
+					  "2.1177287, 2.1401407, 2.2917375, 3.1788590, 8.3046459, 16.5409390, 35.9993140", \
+					  "2.2010258, 2.2243838, 2.3708611, 3.2625087, 8.3854967, 16.6228170, 36.0841420", \
+					  "2.2710844, 2.2955509, 2.4432194, 3.3335574, 8.4536582, 16.6900430, 36.1611720", \
+					  "2.3390858, 2.3573203, 2.5070988, 3.4017755, 8.5174738, 16.7571040, 36.2217990", \
+					  "2.3982156, 2.4269955, 2.5760993, 3.4672925, 8.5852365, 16.8181950, 36.2850760");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5042783, 1.5485407, 1.8287769, 3.5681633, 7.9614058, 10.2820990, 28.2121080", \
+					  "1.5043786, 1.5459898, 1.8297162, 3.5718868, 7.9641138, 10.2844120, 28.2090580", \
+					  "1.5018097, 1.5461721, 1.8296002, 3.5683423, 7.9624343, 10.3021180, 28.2091430", \
+					  "1.5015107, 1.5469606, 1.8295969, 3.5728600, 7.9632381, 10.3079230, 28.2066170", \
+					  "1.5036651, 1.5484023, 1.8291695, 3.5738470, 7.9674276, 10.3182010, 28.2166200", \
+					  "1.5040039, 1.5483198, 1.8283899, 3.5766072, 7.9773823, 10.3146530, 28.2056800", \
+					  "1.5014039, 1.5485031, 1.8293788, 3.5716026, 7.9656459, 10.3097550, 28.2068980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5941954, 1.6040964, 1.6114887, 1.6114888, 1.6114889, 1.6125603, 1.7702370", \
+					  "1.6562560, 1.6591053, 1.6591054, 1.6832475, 1.6832476, 1.6832911, 1.7745634", \
+					  "1.6978549, 1.6980748, 1.6980749, 1.6980750, 1.6980752, 1.6980753, 1.8011639", \
+					  "1.6915073, 1.7089637, 1.7089639, 1.7089640, 1.7089641, 1.7102950, 1.8005750", \
+					  "1.6945962, 1.6994803, 1.7094760, 1.7108189, 1.7146264, 1.7201107, 1.8400695", \
+					  "1.7100840, 1.7100841, 1.7100842, 1.7159807, 1.7159808, 1.7159809, 1.8120365", \
+					  "1.7134221, 1.7134222, 1.7134223, 1.7134224, 1.7134225, 1.7161028, 1.8109327");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0839579, 7.2556645, 8.3791818, 15.2945280, 57.8007690, 320.3049900, 1937.9920000", \
+					  "7.1518929, 7.3416255, 8.4586027, 15.3593460, 57.8441720, 320.3759600, 1937.8954000", \
+					  "7.1681598, 7.3620465, 8.4809635, 15.3996570, 57.9035660, 320.6544900, 1940.5823000", \
+					  "7.1950410, 7.3766775, 8.4961131, 15.3792930, 57.9028520, 320.5082900, 1939.3240000", \
+					  "7.1884960, 7.3856281, 8.5059151, 15.3939370, 57.8837600, 320.7354700, 1937.9675000", \
+					  "7.2017525, 7.3754509, 8.5006446, 15.3823620, 57.9221720, 320.2408400, 1938.2812000", \
+					  "7.1981243, 7.3671380, 8.4893870, 15.4089700, 57.9157950, 320.4249300, 1940.1343000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8768240, 12.2320910, 14.4406260, 28.1991440, 113.3493200, 638.0430500, 3873.6148000", \
+					  "11.8599810, 12.2351180, 14.4420200, 28.1949610, 113.2808000, 637.8896300, 3873.0132000", \
+					  "11.8723650, 12.2364020, 14.4551620, 28.1899550, 113.4364000, 637.9916000, 3873.9786000", \
+					  "11.8674850, 12.2022220, 14.4641210, 28.1882060, 113.3611100, 638.0656100, 3876.2978000", \
+					  "11.8676790, 12.2350250, 14.4435470, 28.1887740, 113.2436400, 637.7765100, 3873.2269000", \
+					  "11.8734050, 12.2111870, 14.4456020, 28.1592580, 113.4954000, 637.3322800, 3874.7353000", \
+					  "11.8741600, 12.2218430, 14.4661800, 28.1998050, 113.4935700, 638.0680000, 3873.5666000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2271167, 7.4336088, 8.5340446, 15.4618130, 58.2460590, 321.3066300, 1944.2377000", \
+					  "7.3599140, 7.5657356, 8.6675778, 15.5944400, 58.3797190, 321.5148800, 1944.9701000", \
+					  "7.4569906, 7.6637248, 8.7647782, 15.6917930, 58.4789140, 321.6100900, 1944.6049000", \
+					  "7.5640392, 7.7339477, 8.8450944, 15.7735280, 58.5582570, 321.7643900, 1944.6018000", \
+					  "7.6089215, 7.8148057, 8.9163930, 15.8449430, 58.6090860, 322.4097000, 1947.4730000", \
+					  "7.6769071, 7.8868556, 8.9839629, 15.9121800, 58.7069910, 322.4766700, 1947.3075000", \
+					  "7.7437878, 7.9232905, 9.0436938, 15.9679450, 58.6265510, 322.5797500, 1944.2007000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7014420, 12.0638660, 14.2961510, 28.0603400, 113.6688800, 640.0716200, 3887.7274000", \
+					  "11.7013070, 12.0651430, 14.2948960, 28.0594480, 113.6665300, 640.1725200, 3885.7297000", \
+					  "11.7016140, 12.0633710, 14.2948140, 28.0584640, 113.6627100, 640.1704100, 3884.1690000", \
+					  "11.6992800, 12.0618750, 14.2950180, 28.0569490, 113.6671800, 640.2641500, 3885.0148000", \
+					  "11.6979520, 12.0647960, 14.2935730, 28.0283280, 113.6930200, 639.5864000, 3887.8698000", \
+					  "11.6936940, 12.0586330, 14.2820830, 28.0326140, 113.6436700, 639.9535600, 3883.6356000", \
+					  "11.6952640, 12.0615700, 14.2702980, 28.0715420, 113.2476500, 639.6747900, 3886.2026000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8400601, 1.8643702, 2.0123880, 2.9023215, 8.0251006, 16.2613570, 35.7244720", \
+					  "1.9092115, 1.9337418, 2.0813924, 2.9717799, 8.0942497, 16.3320680, 35.7912630", \
+					  "1.9347173, 1.9594153, 2.1076474, 2.9975495, 8.1222309, 16.3546850, 35.8222040", \
+					  "1.9473454, 1.9716799, 2.1194830, 3.0100429, 8.1323419, 16.3753600, 35.8344540", \
+					  "1.9522601, 1.9764037, 2.1246473, 3.0156067, 8.1371594, 16.3714930, 35.8526930", \
+					  "1.9516009, 1.9762654, 2.1241517, 3.0149527, 8.1388150, 16.3708420, 35.8479080", \
+					  "1.9482886, 1.9724089, 2.1203437, 3.0115046, 8.1333225, 16.3678080, 35.8309040");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5072028, 1.5482461, 1.8290849, 3.5880905, 7.9624254, 10.3141720, 28.2269690", \
+					  "1.5057595, 1.5504046, 1.8291231, 3.5906074, 7.9632008, 10.3115340, 28.2086640", \
+					  "1.5056427, 1.5502567, 1.8292146, 3.5900032, 7.9649451, 10.3117350, 28.2054750", \
+					  "1.5060350, 1.5491697, 1.8291103, 3.5903476, 7.9637141, 10.2849720, 28.2067220", \
+					  "1.5035634, 1.5495811, 1.8298276, 3.5869319, 7.9641316, 10.3126230, 28.2102430", \
+					  "1.5041550, 1.5496505, 1.8293267, 3.5817340, 7.9617077, 10.3102400, 28.1964870", \
+					  "1.5061377, 1.5511032, 1.8299093, 3.5839594, 7.9636431, 10.3177680, 28.2315770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3850969, 1.3872126, 1.3954852, 1.3954853, 1.3954854, 1.3954855, 1.5682059", \
+					  "1.4545365, 1.4612395, 1.4612396, 1.4612397, 1.4612398, 1.4612399, 1.5846344", \
+					  "1.4891411, 1.4891412, 1.4891413, 1.4891415, 1.4891416, 1.4891417, 1.5931839", \
+					  "1.4708849, 1.4890043, 1.4890044, 1.4890045, 1.4890046, 1.4904514, 1.5938455", \
+					  "1.4876802, 1.4876803, 1.4890833, 1.4890834, 1.4890835, 1.4936538, 1.5931330", \
+					  "1.4931674, 1.4931675, 1.4931676, 1.4931677, 1.4931679, 1.4960528, 1.5895882", \
+					  "1.4677515, 1.4709978, 1.4709979, 1.4709980, 1.4748638, 1.4853515, 1.5706415");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8274708, 1.8409865, 1.9130282, 2.1781479, 3.1012464, 7.6770963, 25.6200870", \
+					  "1.8968342, 1.9102271, 1.9820510, 2.2473872, 3.1703198, 7.7489632, 25.7096320", \
+					  "1.9229343, 1.9363156, 2.0083191, 2.2734108, 3.1973284, 7.7748199, 25.7231040", \
+					  "1.9348902, 1.9484105, 2.0201767, 2.2852173, 3.2093435, 7.7880591, 25.7404840", \
+					  "1.9394752, 1.9530388, 2.0248916, 2.2898825, 3.2138932, 7.7908089, 25.7471150", \
+					  "1.9395601, 1.9529081, 2.0247160, 2.2898980, 3.2139316, 7.7911784, 25.7557050", \
+					  "1.9357747, 1.9488859, 2.0210702, 2.2861602, 3.2102170, 7.7865829, 25.7398870");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7593118, 0.7619307, 0.7713578, 0.7585229, 1.7324295, 7.0719057, 27.2780020", \
+					  "0.7592811, 0.7616159, 0.7713762, 0.7583367, 1.7335271, 7.0785005, 27.3503870", \
+					  "0.7594070, 0.7615411, 0.7717386, 0.7580623, 1.7326707, 7.0824879, 27.3142910", \
+					  "0.7594799, 0.7621507, 0.7717099, 0.7591710, 1.7359982, 7.0805073, 27.3469830", \
+					  "0.7595045, 0.7615576, 0.7718374, 0.7592849, 1.7351108, 7.0815700, 27.3454020", \
+					  "0.7595248, 0.7617673, 0.7720940, 0.7590071, 1.7351610, 7.0816188, 27.3548220", \
+					  "0.7593266, 0.7613738, 0.7713462, 0.7600980, 1.7380672, 7.0776194, 27.3381350");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7355442, 1.7441285, 1.7952611, 2.0490678, 3.0249399, 5.7012088, 14.7540050", \
+					  "1.8682551, 1.8767996, 1.9277717, 2.1817628, 3.1574567, 5.8334626, 14.8866510", \
+					  "1.9654065, 1.9738611, 2.0249875, 2.2788984, 3.2547658, 5.9308660, 14.9837670", \
+					  "2.0469386, 2.0555315, 2.1066815, 2.3605955, 3.3364156, 6.0121832, 15.0652720", \
+					  "2.1169959, 2.1256030, 2.1765902, 2.4303226, 3.4065704, 6.0828165, 15.1343510", \
+					  "2.1846736, 2.1933746, 2.2452229, 2.4983819, 3.4741447, 6.1522289, 15.2034810", \
+					  "2.2484696, 2.2569067, 2.3081124, 2.5616211, 3.5376664, 6.2171512, 15.2678940");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4246750, 0.4293011, 0.4574120, 0.6419931, 1.4489108, 3.3851526, 13.2040900", \
+					  "0.4226850, 0.4291386, 0.4579237, 0.6388554, 1.4486161, 3.3852559, 13.2098000", \
+					  "0.4246624, 0.4293043, 0.4576721, 0.6419737, 1.4488420, 3.3850176, 13.1993700", \
+					  "0.4246673, 0.4293286, 0.4573892, 0.6389018, 1.4489894, 3.3849958, 13.2079570", \
+					  "0.4246786, 0.4293101, 0.4580184, 0.6398025, 1.4499097, 3.3864624, 13.2261940", \
+					  "0.4246526, 0.4288951, 0.4580379, 0.6418468, 1.4487034, 3.3821659, 13.2257160", \
+					  "0.4247489, 0.4292313, 0.4594527, 0.6397672, 1.4535693, 3.3815437, 13.2101760");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4123067, 1.4176776, 1.4176778, 1.4176779, 1.4176780, 1.4181054, 1.6037869", \
+					  "1.4878764, 1.4878765, 1.4878766, 1.4878768, 1.4878769, 1.4878770, 1.6281089", \
+					  "1.5128780, 1.5132051, 1.5179694, 1.5179695, 1.5179696, 1.5179697, 1.6373245", \
+					  "1.5301084, 1.5301086, 1.5301087, 1.5301088, 1.5301089, 1.5301090, 1.6454246", \
+					  "1.5123722, 1.5174088, 1.5174090, 1.5180158, 1.5180159, 1.5180160, 1.6338737", \
+					  "1.5113256, 1.5154579, 1.5166773, 1.5166774, 1.5166775, 1.5192753, 1.6276439", \
+					  "1.5159265, 1.5159266, 1.5159267, 1.5159268, 1.5181719, 1.5206931, 1.6289254");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4028001, 1.4028002, 1.4028003, 1.4028004, 1.4028006, 1.4028007, 1.6001241", \
+					  "1.4524848, 1.4723761, 1.4723762, 1.4723763, 1.4723765, 1.4723766, 1.6285702", \
+					  "1.4894364, 1.4894365, 1.4894366, 1.4894367, 1.4894369, 1.4973013, 1.5794581", \
+					  "1.5124797, 1.5124798, 1.5139009, 1.5139010, 1.5139011, 1.5139012, 1.6011447", \
+					  "1.4934145, 1.4934146, 1.4934148, 1.4934149, 1.4934150, 1.4982064, 1.6241719", \
+					  "1.4938885, 1.4938886, 1.4947589, 1.4986498, 1.4986499, 1.4986501, 1.6336346", \
+					  "1.4860824, 1.4860825, 1.4878735, 1.4878737, 1.4990217, 1.5013327, 1.6118752");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6754130, 2.6754131, 2.6754133, 2.6754135, 2.6754138, 2.6754140, 2.7465512", \
+					  "2.7465934, 2.7521321, 2.7521323, 2.7521326, 2.7521328, 2.7521331, 2.7521333", \
+					  "2.7800995, 2.7822782, 2.7822785, 2.7822787, 2.7822789, 2.7822792, 2.7822794", \
+					  "2.7925797, 2.7943938, 2.7943939, 2.7943942, 2.7943944, 2.7943947, 2.7943949", \
+					  "2.7914455, 2.7942899, 2.7942900, 2.7942902, 2.7942905, 2.7942907, 2.7942910", \
+					  "2.7949787, 2.7967750, 2.7967753, 2.7967755, 2.7967757, 2.7967760, 2.7967762", \
+					  "2.7925235, 2.7925236, 2.7925238, 2.7925240, 2.7925243, 2.7925245, 2.7925247");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8623585, 1.8761959, 1.9497237, 2.2181137, 3.1353073, 7.7095059, 25.6712160", \
+					  "1.9928281, 2.0081852, 2.0813320, 2.3500808, 3.2667486, 7.8417139, 25.8035030", \
+					  "2.0920223, 2.1058510, 2.1750095, 2.4475670, 3.3656702, 7.9405309, 25.9004780", \
+					  "2.1718589, 2.1866395, 2.2601381, 2.5249991, 3.4456373, 8.0206570, 25.9742340", \
+					  "2.2415119, 2.2580293, 2.3301059, 2.5983065, 3.5130976, 8.0890690, 26.0541190", \
+					  "2.3122599, 2.3258726, 2.3999089, 2.6665672, 3.5860284, 8.1613587, 26.1185260", \
+					  "2.3766238, 2.3855944, 2.4557492, 2.7324942, 3.6464283, 8.2254452, 26.1851940");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784656, 0.7816162, 0.7932876, 0.7838810, 1.7364897, 7.0819226, 27.3430810", \
+					  "0.7781895, 0.7810957, 0.7932760, 0.7854158, 1.7379602, 7.0881510, 27.3432570", \
+					  "0.7781859, 0.7812400, 0.7931102, 0.7854139, 1.7375859, 7.0866131, 27.3511080", \
+					  "0.7782988, 0.7812493, 0.7931123, 0.7854823, 1.7381943, 7.0865762, 27.3452050", \
+					  "0.7782638, 0.7810346, 0.7933168, 0.7851626, 1.7377156, 7.0867361, 27.3395670", \
+					  "0.7784592, 0.7811058, 0.7931052, 0.7854661, 1.7372266, 7.0829325, 27.3512910", \
+					  "0.7785505, 0.7811039, 0.7931851, 0.7835839, 1.7382267, 7.0855877, 27.3519150");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3733402, 7.5581710, 8.6814431, 15.6051850, 58.4345800, 322.1715900, 1944.6456000", \
+					  "7.5087785, 7.6881141, 8.8122416, 15.7356050, 58.5568150, 321.9790600, 1945.0049000", \
+					  "7.6112139, 7.7838669, 8.9109520, 15.8316100, 58.6613850, 322.3887000, 1944.5492000", \
+					  "7.6850144, 7.8654239, 8.9981116, 15.9160490, 58.7278240, 322.1109900, 1945.0274000", \
+					  "7.7562117, 7.9394491, 9.0770333, 15.9978380, 58.7902810, 322.5595700, 1944.5695000", \
+					  "7.8235045, 8.0082163, 9.1290673, 16.0586480, 58.8554800, 322.3036600, 1945.1495000", \
+					  "7.8839797, 8.0723721, 9.1970422, 16.1085990, 58.9196070, 322.6179500, 1945.4151000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6978880, 12.0643770, 14.2959730, 28.0475620, 113.5717000, 640.0254600, 3886.6533000", \
+					  "11.7036480, 12.0603810, 14.2960120, 28.0453280, 113.6065100, 639.8369100, 3886.4114000", \
+					  "11.6922390, 12.0543090, 14.2958080, 28.0640510, 113.5725600, 640.0759400, 3886.1372000", \
+					  "11.7020110, 12.0555960, 14.2837700, 28.0781570, 113.6314000, 640.4386000, 3885.0762000", \
+					  "11.6945720, 12.0631080, 14.2939210, 28.0595350, 113.6492800, 639.6000500, 3885.6924000", \
+					  "11.6981150, 12.0510500, 14.2964370, 28.0372760, 113.6579300, 640.2604500, 3886.3218000", \
+					  "11.7011430, 12.0572610, 14.2972660, 28.0323730, 113.6595300, 639.0889100, 3886.6069000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9284046, 2.9296925, 2.9296927, 2.9296929, 2.9296932, 2.9296934, 2.9296936", \
+					  "2.9762457, 3.0018363, 3.0018365, 3.0018367, 3.0018369, 3.0018372, 3.0018374", \
+					  "2.9986154, 2.9993785, 2.9993786, 2.9993789, 2.9993791, 2.9993793, 2.9993796", \
+					  "3.0352802, 3.0366354, 3.0366356, 3.0366358, 3.0366360, 3.0366363, 3.0366365", \
+					  "3.0357938, 3.0385219, 3.0385222, 3.0385224, 3.0385227, 3.0385229, 3.0385231", \
+					  "3.0419192, 3.0436569, 3.0436570, 3.0436572, 3.0436575, 3.0436577, 3.0436579", \
+					  "3.0373930, 3.0399547, 3.0399548, 3.0399551, 3.0399553, 3.0399555, 3.0399558");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3587638, 1.3587639, 1.3587640, 1.3587642, 1.3587643, 1.3592582, 1.6960786", \
+					  "1.4105873, 1.4110237, 1.4133172, 1.4133173, 1.4133174, 1.4188111, 1.6357113", \
+					  "1.4303650, 1.4340569, 1.4340570, 1.4403981, 1.4403982, 1.4403983, 1.6357725", \
+					  "1.4411140, 1.4415884, 1.4415885, 1.4415886, 1.4415887, 1.4415889, 1.6048387", \
+					  "1.4445535, 1.4462982, 1.4462984, 1.4462985, 1.4462986, 1.4462987, 1.6102679", \
+					  "1.4439197, 1.4492145, 1.4492146, 1.4492147, 1.4492148, 1.4492149, 1.6043983", \
+					  "1.4362851, 1.4372418, 1.4372419, 1.4435284, 1.4435285, 1.4468315, 1.6002608");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1490074, 2.1731823, 2.3245095, 3.2442644, 7.7286515, 27.3478090, 67.6887170", \
+					  "2.2809290, 2.3051481, 2.4564331, 3.3760486, 7.8603790, 27.4768670, 67.8384280", \
+					  "2.3786439, 2.4025792, 2.5543483, 3.4699008, 7.9574320, 27.5770000, 67.9169080", \
+					  "2.4548368, 2.4830911, 2.6339149, 3.5544541, 8.0383815, 27.6586700, 68.0212370", \
+					  "2.5225511, 2.5547890, 2.7055016, 3.6252676, 8.1100540, 27.7276340, 68.0910400", \
+					  "2.5989133, 2.6221199, 2.7748830, 3.6951220, 8.1784313, 27.7958350, 68.1565240", \
+					  "2.6553586, 2.6833562, 2.8386091, 3.7619605, 8.2338882, 27.8560230, 68.2029580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5226870, 1.5694890, 1.8532849, 3.2729157, 8.5705798, 31.0612050, 38.0291960", \
+					  "1.5226612, 1.5692591, 1.8532872, 3.2728673, 8.5700922, 31.0496300, 38.0403480", \
+					  "1.5217783, 1.5694801, 1.8524566, 3.2727152, 8.5688745, 31.0547890, 38.0312560", \
+					  "1.5229977, 1.5693802, 1.8513960, 3.2728835, 8.5726901, 31.0605550, 38.0284070", \
+					  "1.5232081, 1.5686337, 1.8535713, 3.2728575, 8.5756140, 31.0718060, 38.1499240", \
+					  "1.5234172, 1.5675110, 1.8517446, 3.2709677, 8.5774969, 31.0417430, 38.0011290", \
+					  "1.5208439, 1.5695903, 1.8511435, 3.2703411, 8.5848928, 31.0375760, 38.0215430");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4062876, 1.4062877, 1.4062878, 1.4062879, 1.4062880, 1.4062881, 1.5801207", \
+					  "1.4509406, 1.4708724, 1.4708725, 1.4708726, 1.4708728, 1.4715157, 1.6219094", \
+					  "1.4771923, 1.4894208, 1.4894209, 1.4985463, 1.4985464, 1.4985465, 1.6491238", \
+					  "1.5014567, 1.5014568, 1.5014570, 1.5014571, 1.5014572, 1.5014573, 1.6028809", \
+					  "1.4898406, 1.4899944, 1.4913233, 1.4913235, 1.4913236, 1.4934483, 1.6242732", \
+					  "1.4966720, 1.4966721, 1.4966723, 1.4966724, 1.4966725, 1.4985398, 1.6167521", \
+					  "1.4841716, 1.5060635, 1.5060636, 1.5060637, 1.5060638, 1.5060639, 1.5814772");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3609284, 1.3609285, 1.3609286, 1.3609288, 1.3609289, 1.3609290, 1.7014522", \
+					  "1.4074962, 1.4131659, 1.4177126, 1.4177127, 1.4177128, 1.4177129, 1.6620332", \
+					  "1.4305941, 1.4358205, 1.4358206, 1.4358207, 1.4358208, 1.4358209, 1.6339324", \
+					  "1.4412848, 1.4414165, 1.4414166, 1.4414167, 1.4414169, 1.4414170, 1.6049523", \
+					  "1.4427604, 1.4455952, 1.4462705, 1.4462706, 1.4462707, 1.4462708, 1.6140254", \
+					  "1.4479451, 1.4479452, 1.4479453, 1.4479455, 1.4479456, 1.4479457, 1.6062736", \
+					  "1.4362088, 1.4374241, 1.4377101, 1.4377102, 1.4377103, 1.4377104, 1.6029728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9286795, 2.9298362, 2.9298364, 2.9298367, 2.9298369, 2.9298372, 2.9298374", \
+					  "2.9689413, 3.0010943, 3.0010945, 3.0010947, 3.0010950, 3.0010952, 3.0010955", \
+					  "2.9991998, 2.9999538, 2.9999539, 2.9999542, 2.9999544, 2.9999546, 2.9999549", \
+					  "3.0316141, 3.0316142, 3.0316145, 3.0316147, 3.0316149, 3.0316152, 3.0316154", \
+					  "3.0330436, 3.0369642, 3.0369643, 3.0369646, 3.0369648, 3.0369651, 3.0369653", \
+					  "3.0417284, 3.0433847, 3.0433850, 3.0433852, 3.0433854, 3.0433857, 3.0433859", \
+					  "3.0355314, 3.0378378, 3.0378379, 3.0378382, 3.0378384, 3.0378386, 3.0378389");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6575762, 2.6725802, 2.6725804, 2.6725806, 2.6725809, 2.6725811, 2.7459282", \
+					  "2.7392961, 2.7392964, 2.7450147, 2.7450148, 2.7450151, 2.7450153, 2.7450156", \
+					  "2.7781342, 2.7813560, 2.7813563, 2.7813565, 2.7813567, 2.7813570, 2.7813572", \
+					  "2.7926528, 2.7946152, 2.7946154, 2.7946157, 2.7946159, 2.7946162, 2.7946164", \
+					  "2.7923039, 2.7948717, 2.7948720, 2.7948722, 2.7948725, 2.7948727, 2.7948729", \
+					  "2.7947480, 2.7963787, 2.7963788, 2.7963790, 2.7963793, 2.7963795, 2.7963797", \
+					  "2.7925358, 2.7925360, 2.8059808, 2.8059809, 2.8059811, 2.8059813, 2.8059816");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8128771, 1.8266378, 1.9005124, 2.1687363, 3.0865968, 7.6620328, 25.6236670", \
+					  "1.8821675, 1.8959694, 1.9693625, 2.2378115, 3.1558860, 7.7304838, 25.6911360", \
+					  "1.9079796, 1.9219195, 1.9953372, 2.2637106, 3.1816672, 7.7566487, 25.7159560", \
+					  "1.9201742, 1.9342996, 2.0076572, 2.2757394, 3.1931544, 7.7677571, 25.7281930", \
+					  "1.9250719, 1.9389386, 2.0124853, 2.2804831, 3.1987810, 7.7728917, 25.7337010", \
+					  "1.9243824, 1.9382653, 2.0120867, 2.2804989, 3.1979892, 7.7741609, 25.7313940", \
+					  "1.9212485, 1.9346216, 2.0086814, 2.2766264, 3.1948049, 7.7678850, 25.7274360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7785584, 0.7816680, 0.7931645, 0.7854794, 1.7339006, 7.0802253, 27.3406790", \
+					  "0.7785035, 0.7815885, 0.7930755, 0.7854207, 1.7357691, 7.0874515, 27.3401390", \
+					  "0.7784558, 0.7815705, 0.7930326, 0.7855782, 1.7344629, 7.0876371, 27.3542470", \
+					  "0.7782852, 0.7810603, 0.7932267, 0.7852766, 1.7328818, 7.0833135, 27.3521720", \
+					  "0.7785738, 0.7811318, 0.7930930, 0.7852463, 1.7359029, 7.0847519, 27.3415600", \
+					  "0.7788464, 0.7811182, 0.7930069, 0.7845753, 1.7348291, 7.0887332, 27.3385150", \
+					  "0.7783305, 0.7807306, 0.7932921, 0.7843499, 1.7388958, 7.0862289, 27.3434480");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.6260993, 1.6430560, 1.6430561, 1.6430563, 1.6430564, 1.6430565, 1.7912555", \
+					  "1.6975262, 1.6975263, 1.6975264, 1.6999703, 1.6999704, 1.7002231, 1.8341967", \
+					  "1.6998206, 1.7228210, 1.7228211, 1.7228212, 1.7256198, 1.7272912, 1.8476444", \
+					  "1.7343551, 1.7343552, 1.7343553, 1.7343554, 1.7359425, 1.7397189, 1.8545606", \
+					  "1.7524621, 1.7524623, 1.7524624, 1.7571055, 1.7571056, 1.7571057, 1.8657775", \
+					  "1.7363743, 1.7384616, 1.7410066, 1.7464499, 1.7464501, 1.7464502, 1.8550114", \
+					  "1.7420945, 1.7420946, 1.7420947, 1.7420949, 1.7420950, 1.7420951, 1.8426850");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8620553, 1.8761648, 1.9498472, 2.2179658, 3.1358687, 7.7096587, 25.6702290", \
+					  "1.9928037, 2.0075746, 2.0812729, 2.3495559, 3.2679575, 7.8422158, 25.8016350", \
+					  "2.0918862, 2.1052338, 2.1795017, 2.4475268, 3.3654162, 7.9406623, 25.9013110", \
+					  "2.1715811, 2.1860471, 2.2600848, 2.5268854, 3.4429950, 8.0205051, 25.9821270", \
+					  "2.2440452, 2.2579072, 2.3315245, 2.5927802, 3.5155745, 8.0905380, 26.0546890", \
+					  "2.3103794, 2.3257718, 2.3994748, 2.6677587, 3.5847789, 8.1617291, 26.1183150", \
+					  "2.3765987, 2.3841014, 2.4529616, 2.7249036, 3.6463004, 8.2203231, 26.1827640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784332, 0.7812155, 0.7933298, 0.7854480, 1.7354660, 7.0848150, 27.3473880", \
+					  "0.7784091, 0.7814592, 0.7931257, 0.7853058, 1.7380777, 7.0805397, 27.3480260", \
+					  "0.7784839, 0.7815964, 0.7931210, 0.7850218, 1.7356798, 7.0884396, 27.3545150", \
+					  "0.7782158, 0.7812120, 0.7932881, 0.7854493, 1.7370986, 7.0808510, 27.3482520", \
+					  "0.7782419, 0.7812456, 0.7931738, 0.7850724, 1.7380407, 7.0890369, 27.3372190", \
+					  "0.7784705, 0.7812955, 0.7930914, 0.7851361, 1.7371372, 7.0797861, 27.3557890", \
+					  "0.7786316, 0.7811580, 0.7931655, 0.7836964, 1.7376379, 7.0860833, 27.3486180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8867214, 1.8957147, 1.9461537, 2.1982716, 3.1748510, 5.8597185, 14.9155230", \
+					  "2.0186430, 2.0281217, 2.0785507, 2.3307039, 3.3065719, 5.9915704, 15.0481350", \
+					  "2.1128943, 2.1254283, 2.1760278, 2.4284139, 3.4004524, 6.0890684, 15.1452770", \
+					  "2.1976752, 2.2049296, 2.2567712, 2.5071687, 3.4845449, 6.1712022, 15.2230960", \
+					  "2.2679323, 2.2774853, 2.3277273, 2.5795670, 3.5560510, 6.2416703, 15.2955340", \
+					  "2.3364377, 2.3445064, 2.3965980, 2.6454412, 3.6189423, 6.3096128, 15.3685720", \
+					  "2.3975644, 2.4055427, 2.4541131, 2.7122957, 3.6888548, 6.3719674, 15.4289360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4449251, 0.4486117, 0.4784777, 0.6534934, 1.4611755, 3.3842373, 13.2131910", \
+					  "0.4451146, 0.4485649, 0.4779068, 0.6535315, 1.4606485, 3.3837200, 13.2275460", \
+					  "0.4452229, 0.4486041, 0.4778938, 0.6526198, 1.4610088, 3.3853731, 13.2136040", \
+					  "0.4451054, 0.4487259, 0.4788903, 0.6526130, 1.4598993, 3.3889873, 13.2134160", \
+					  "0.4456177, 0.4491783, 0.4781008, 0.6528623, 1.4593211, 3.3915351, 13.2173290", \
+					  "0.4466150, 0.4510916, 0.4786511, 0.6552083, 1.4609371, 3.3922056, 13.2170240", \
+					  "0.4443444, 0.4492721, 0.4782673, 0.6531857, 1.4611845, 3.3924872, 13.2315580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894790, 1.9137651, 2.0617759, 2.9531466, 8.0765510, 16.3198100, 35.7689100", \
+					  "2.0212767, 2.0461521, 2.1924942, 3.0846292, 8.2082678, 16.4435720, 35.9064490", \
+					  "2.1169517, 2.1434852, 2.2914466, 3.1823384, 8.3027736, 16.5405220, 36.0010010", \
+					  "2.1999480, 2.2252202, 2.3715681, 3.2616350, 8.3854879, 16.6233910, 36.0895530", \
+					  "2.2709918, 2.2941753, 2.4372688, 3.3333503, 8.4536707, 16.6836770, 36.1619890", \
+					  "2.3390763, 2.3638975, 2.5114180, 3.3985346, 8.5239549, 16.7579850, 36.2206730", \
+					  "2.3971212, 2.4279663, 2.5760678, 3.4666612, 8.5825365, 16.8211450, 36.2869130");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5044002, 1.5486706, 1.8291243, 3.5665177, 7.9613747, 10.2925140, 28.2291110", \
+					  "1.5045051, 1.5469069, 1.8267172, 3.5724985, 7.9612086, 10.2771580, 28.2194550", \
+					  "1.5043924, 1.5465601, 1.8296931, 3.5733152, 7.9603870, 10.3135050, 28.2076440", \
+					  "1.5040733, 1.5473116, 1.8297194, 3.5690693, 7.9626101, 10.3119260, 28.2106840", \
+					  "1.5038869, 1.5496726, 1.8292916, 3.5741574, 7.9674178, 10.3269220, 28.2066850", \
+					  "1.5024363, 1.5489955, 1.8277387, 3.5688192, 7.9623232, 10.3170680, 28.2065220", \
+					  "1.5014189, 1.5483488, 1.8294531, 3.5714365, 7.9648839, 10.3222320, 28.1986400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1599826, 2.1837687, 2.3345279, 3.2587646, 8.4143004, 16.3855150, 31.8838890", \
+					  "2.2916513, 2.3145663, 2.4669471, 3.3902543, 8.5465768, 16.5161480, 32.0167080", \
+					  "2.3859681, 2.4131908, 2.5650750, 3.4842700, 8.6441840, 16.6145710, 32.1139700", \
+					  "2.4698394, 2.4933902, 2.6445373, 3.5658045, 8.7251060, 16.6930270, 32.1953950", \
+					  "2.5410569, 2.5617086, 2.7136966, 3.6410892, 8.7941752, 16.7659110, 32.2660010", \
+					  "2.6107243, 2.6343097, 2.7856726, 3.7070569, 8.8649372, 16.8306770, 32.3329420", \
+					  "2.6737390, 2.6997486, 2.8498757, 3.7738817, 8.9289571, 16.9037370, 32.3918560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5191024, 1.5642918, 1.8531222, 3.6350205, 8.7151886, 9.4374375, 19.4425900", \
+					  "1.5191055, 1.5644088, 1.8526883, 3.6336998, 8.7175493, 9.4392769, 19.4350670", \
+					  "1.5167929, 1.5636986, 1.8533606, 3.6342906, 8.7151921, 9.4392405, 19.4704320", \
+					  "1.5167319, 1.5633266, 1.8531046, 3.6453034, 8.7149674, 9.4390362, 19.4308820", \
+					  "1.5191601, 1.5639806, 1.8526600, 3.6373835, 8.7161887, 9.4411199, 19.4705980", \
+					  "1.5184844, 1.5628824, 1.8507775, 3.6453138, 8.7182901, 9.4360217, 19.4687060", \
+					  "1.5141409, 1.5636528, 1.8489267, 3.6388692, 8.7173957, 9.4352979, 19.4664120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4013850, 1.4013851, 1.4014299, 1.4029121, 1.4029122, 1.4029124, 1.5884261", \
+					  "1.4666349, 1.4666350, 1.4678078, 1.4726291, 1.4726292, 1.4726293, 1.6274962", \
+					  "1.4917915, 1.4969777, 1.5003602, 1.5003604, 1.5003605, 1.5003606, 1.6234941", \
+					  "1.5097810, 1.5097811, 1.5116387, 1.5116388, 1.5116389, 1.5116390, 1.6228981", \
+					  "1.4910034, 1.4914298, 1.4914299, 1.4914300, 1.4914302, 1.4945388, 1.6236710", \
+					  "1.4844745, 1.4844746, 1.4945176, 1.4964883, 1.4964884, 1.4964886, 1.6127217", \
+					  "1.4993393, 1.4993395, 1.4993396, 1.5060110, 1.5060111, 1.5060112, 1.5814434");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3526742, 1.3526744, 1.3599077, 1.3599079, 1.3599080, 1.3599081, 1.7075340", \
+					  "1.4103606, 1.4106671, 1.4108523, 1.4118365, 1.4129866, 1.4205473, 1.6593499", \
+					  "1.4325000, 1.4339097, 1.4339098, 1.4347056, 1.4347057, 1.4347058, 1.6345386", \
+					  "1.4475906, 1.4476026, 1.4476027, 1.4477064, 1.4477065, 1.4477066, 1.6207888", \
+					  "1.4474744, 1.4481162, 1.4481163, 1.4481164, 1.4481165, 1.4481167, 1.6135213", \
+					  "1.4466898, 1.4497366, 1.4497367, 1.4497368, 1.4497369, 1.4497371, 1.6083821", \
+					  "1.4441746, 1.4441748, 1.4441749, 1.4441750, 1.4441751, 1.4441752, 1.5953610");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2525256, 1.2525257, 1.2525258, 1.2575558, 1.2575560, 1.2575561, 1.6630410", \
+					  "1.3684938, 1.3684939, 1.3700376, 1.3700377, 1.3700378, 1.3700379, 1.6128551", \
+					  "1.4583480, 1.4589490, 1.4590572, 1.4590573, 1.4590574, 1.4590576, 1.6495927", \
+					  "1.5357803, 1.5366844, 1.5366845, 1.5366846, 1.5366847, 1.5366849, 1.6945318", \
+					  "1.6049095, 1.6050488, 1.6050489, 1.6050490, 1.6050491, 1.6050492, 1.7519106", \
+					  "1.6713911, 1.6720164, 1.6720165, 1.6720166, 1.6720167, 1.6720168, 1.8161047", \
+					  "1.7350427, 1.7357000, 1.7357001, 1.7357002, 1.7357004, 1.7357005, 1.8799080");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8731192, 1.8819026, 1.9337387, 2.1880904, 3.2478042, 8.7829015, 36.2785250", \
+					  "2.0053101, 2.0141229, 2.0655158, 2.3200692, 3.3800216, 8.9150962, 36.4116560", \
+					  "2.1027085, 2.1111866, 2.1595294, 2.4171776, 3.4774235, 9.0112406, 36.5068970", \
+					  "2.1839732, 2.1921445, 2.2442677, 2.4978313, 3.5552796, 9.0939114, 36.5896790", \
+					  "2.2537423, 2.2601565, 2.3111830, 2.5694513, 3.6285737, 9.1577954, 36.6552510", \
+					  "2.3241824, 2.3297504, 2.3833739, 2.6339451, 3.6974899, 9.2326822, 36.7147980", \
+					  "2.3867248, 2.3964324, 2.4483859, 2.7024272, 3.7610994, 9.2892867, 36.7898070");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4498067, 0.4533270, 0.4824080, 0.6579264, 1.7588353, 8.7046317, 30.1164180", \
+					  "0.4497698, 0.4532826, 0.4823773, 0.6579349, 1.7586277, 8.7085770, 30.0248320", \
+					  "0.4498045, 0.4542698, 0.4818044, 0.6588046, 1.7613400, 8.7163814, 30.0191230", \
+					  "0.4497573, 0.4532678, 0.4829005, 0.6586069, 1.7591675, 8.6933456, 30.0141740", \
+					  "0.4479960, 0.4544434, 0.4825095, 0.6626074, 1.7602465, 8.6992848, 30.0324460", \
+					  "0.4481942, 0.4522210, 0.4823763, 0.6628892, 1.7598232, 8.7175538, 29.9825230", \
+					  "0.4499795, 0.4542583, 0.4796565, 0.6591944, 1.7590204, 8.7092618, 30.0599550");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2520106, 1.2520107, 1.2524565, 1.2527143, 1.2555544, 1.2555545, 1.6523570", \
+					  "1.3692685, 1.3692686, 1.3692688, 1.3692689, 1.3692690, 1.3692691, 1.5945827", \
+					  "1.4606543, 1.4606544, 1.4606545, 1.4606546, 1.4606547, 1.4606548, 1.6442949", \
+					  "1.5362281, 1.5366258, 1.5366259, 1.5366260, 1.5366261, 1.5366262, 1.6946827", \
+					  "1.6054205, 1.6054206, 1.6054207, 1.6054208, 1.6054209, 1.6054211, 1.7519202", \
+					  "1.6722161, 1.6722162, 1.6722163, 1.6722164, 1.6722165, 1.6722166, 1.8164287", \
+					  "1.7358984, 1.7358985, 1.7358986, 1.7358987, 1.7358988, 1.7358990, 1.8772335");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1189938, 7.2995832, 8.4176728, 15.3040780, 57.8736350, 320.4868300, 1938.4959000", \
+					  "7.2617707, 7.4330679, 8.5610434, 15.4405080, 58.0109930, 320.5457200, 1938.1978000", \
+					  "7.3557449, 7.5291640, 8.6472740, 15.5865790, 58.0452380, 320.6157300, 1938.2344000", \
+					  "7.4383593, 7.6057889, 8.7441565, 15.6642180, 58.1542490, 320.7049100, 1938.3333000", \
+					  "7.5022983, 7.6916997, 8.8055954, 15.6955360, 58.2473730, 320.6137400, 1938.1903000", \
+					  "7.5767366, 7.7614466, 8.8745846, 15.7982870, 58.3201330, 320.6139600, 1939.4702000", \
+					  "7.6279294, 7.8152924, 8.9352969, 15.8200370, 58.3824650, 320.7067300, 1938.0345000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8676760, 12.2274960, 14.4411250, 28.1765580, 113.0666100, 638.8702300, 3874.3055000", \
+					  "11.8750870, 12.2165630, 14.4492200, 28.1893640, 113.1197500, 638.0876700, 3873.7517000", \
+					  "11.8686780, 12.2274400, 14.4410970, 28.1510580, 113.4723200, 637.9256100, 3873.1919000", \
+					  "11.8751850, 12.2372150, 14.4601150, 28.1435320, 113.3602200, 638.0820400, 3873.3610000", \
+					  "11.8710610, 12.2329100, 14.4640420, 28.1870280, 113.4243100, 637.4261300, 3874.1737000", \
+					  "11.8704840, 12.2328290, 14.4550450, 28.1371510, 113.5168500, 637.3747400, 3872.1291000", \
+					  "11.8721760, 12.2328200, 14.4624650, 28.1644060, 113.5174400, 637.3856500, 3872.1982000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3769293, 7.5562898, 8.6746628, 15.6077640, 58.3009230, 322.1759400, 1944.8207000", \
+					  "7.5066353, 7.6866522, 8.8267811, 15.7469490, 58.4249410, 322.2490600, 1944.7673000", \
+					  "7.6336804, 7.8157329, 8.9102716, 15.8400470, 58.6610010, 322.0188200, 1945.1561000", \
+					  "7.6864026, 7.8915887, 8.9933563, 15.9192940, 58.6049720, 321.7461400, 1944.9894000", \
+					  "7.7577624, 7.9586178, 9.0625658, 15.9931750, 58.7936240, 322.5601100, 1946.4981000", \
+					  "7.8230764, 8.0222167, 9.1562056, 16.0917080, 58.8333470, 322.5274300, 1947.4362000", \
+					  "7.8850153, 8.0945810, 9.1965644, 16.1241300, 58.8165110, 322.1645100, 1944.7893000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7034790, 12.0609770, 14.2618250, 28.0786130, 113.5456900, 639.9190500, 3884.5355000", \
+					  "11.6829020, 12.0606460, 14.2904200, 28.0858220, 113.4947700, 639.1478000, 3886.6401000", \
+					  "11.6982160, 12.0575860, 14.2959770, 28.0696230, 113.5802800, 640.4184800, 3886.8557000", \
+					  "11.7025780, 12.0654300, 14.2974340, 28.0757890, 113.6085400, 640.1354000, 3885.9275000", \
+					  "11.7031480, 12.0601310, 14.2785870, 28.0401600, 113.6250300, 639.6567200, 3883.3041000", \
+					  "11.6980960, 12.0649110, 14.3008100, 28.0836310, 113.6807400, 638.9888700, 3885.4901000", \
+					  "11.7004540, 12.0665660, 14.2969240, 28.0813400, 113.3983900, 639.8629500, 3888.0953000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8121006, 1.8256895, 1.8985842, 2.1661224, 3.0858309, 7.6712006, 25.6441570", \
+					  "1.8807162, 1.8946567, 1.9672175, 2.2350182, 3.1545095, 7.7401623, 25.7123190", \
+					  "1.9072008, 1.9209314, 1.9937636, 2.2613171, 3.1810292, 7.7670821, 25.7390540", \
+					  "1.9191770, 1.9328938, 2.0054076, 2.2733497, 3.1930540, 7.7772606, 25.7511110", \
+					  "1.9240023, 1.9374626, 2.0102384, 2.2778228, 3.1973588, 7.7818001, 25.7523070", \
+					  "1.9239730, 1.9372648, 2.0101094, 2.2777757, 3.1979972, 7.7840117, 25.7531310", \
+					  "1.9201458, 1.9336062, 2.0064166, 2.2741802, 3.1939813, 7.7770208, 25.7374990");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7734202, 0.7768170, 0.7882450, 0.7814910, 1.7395616, 7.0982580, 27.3498980", \
+					  "0.7733055, 0.7764114, 0.7882084, 0.7818011, 1.7390790, 7.1006845, 27.3465570", \
+					  "0.7733908, 0.7763650, 0.7882205, 0.7818597, 1.7419597, 7.0949322, 27.3443560", \
+					  "0.7738124, 0.7762041, 0.7883163, 0.7801252, 1.7378915, 7.0988686, 27.3431680", \
+					  "0.7736242, 0.7760578, 0.7880117, 0.7815326, 1.7381026, 7.0958230, 27.3428690", \
+					  "0.7733784, 0.7763961, 0.7881984, 0.7809174, 1.7398679, 7.1006228, 27.3282530", \
+					  "0.7734465, 0.7759373, 0.7879202, 0.7806988, 1.7434667, 7.0978312, 27.3183180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5083229, 7.6977669, 8.8639940, 15.9299670, 59.0940420, 322.8873600, 1945.6902000", \
+					  "7.6476381, 7.8325328, 9.0003853, 16.1063730, 59.1260370, 322.6007300, 1945.3025000", \
+					  "7.7328375, 7.9479809, 9.0803756, 16.1530910, 59.2994030, 322.4667500, 1945.4142000", \
+					  "7.8168449, 8.0096569, 9.1750856, 16.2478210, 59.3595080, 322.7897000, 1945.9103000", \
+					  "7.8828855, 8.1049736, 9.2346149, 16.3080910, 59.4878670, 323.3139700, 1945.2898000", \
+					  "7.9506570, 8.1416722, 9.2964498, 16.3944180, 59.3272170, 322.9761200, 1946.2541000", \
+					  "8.0188665, 8.2343004, 9.3599315, 16.4376780, 59.4286040, 323.2107400, 1946.8571000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6907920, 12.0596410, 14.2871910, 28.0419400, 113.3350900, 639.0514500, 3885.8066000", \
+					  "11.6914520, 12.0595030, 14.2695780, 28.0804850, 113.6917300, 639.8393000, 3885.4100000", \
+					  "11.7076360, 12.0630730, 14.2874940, 28.0800500, 113.6117300, 639.7207200, 3885.5196000", \
+					  "11.6687080, 12.0495870, 14.2929240, 28.0738860, 113.6445700, 640.3632300, 3885.8839000", \
+					  "11.6788220, 12.0551350, 14.2762590, 28.0257380, 113.2073000, 639.1790600, 3884.1686000", \
+					  "11.6822510, 12.0374120, 14.2759350, 28.0364160, 113.2033600, 639.8743700, 3886.0794000", \
+					  "11.6670640, 12.0567090, 14.2701600, 28.0276100, 113.2879100, 640.1495500, 3886.0171000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8895172, 1.9137998, 2.0618312, 2.9529255, 8.0761406, 16.3188840, 35.7744840", \
+					  "2.0210653, 2.0453002, 2.1939561, 3.0850669, 8.2059879, 16.4453230, 35.9004030", \
+					  "2.1151594, 2.1434141, 2.2907012, 3.1825493, 8.3054932, 16.5402360, 36.0027530", \
+					  "2.1994389, 2.2246391, 2.3727271, 3.2607683, 8.3862544, 16.6229950, 36.0817080", \
+					  "2.2712812, 2.2952815, 2.4419597, 3.3316725, 8.4538412, 16.6932100, 36.1608700", \
+					  "2.3391551, 2.3578541, 2.5117108, 3.4029661, 8.5197982, 16.7580950, 36.2322120", \
+					  "2.3998386, 2.4286626, 2.5749967, 3.4668100, 8.5896881, 16.8188060, 36.2789120");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5043041, 1.5485302, 1.8290242, 3.5742136, 7.9733908, 10.2898040, 28.2051290", \
+					  "1.5038658, 1.5460219, 1.8267514, 3.5684344, 7.9632860, 10.2856420, 28.1761870", \
+					  "1.5042350, 1.5484789, 1.8290566, 3.5738366, 7.9643510, 10.3117890, 28.2076580", \
+					  "1.5035703, 1.5462024, 1.8296108, 3.5736374, 7.9611162, 10.3148960, 28.2215210", \
+					  "1.5037254, 1.5485381, 1.8291703, 3.5701770, 7.9674027, 10.3125760, 28.2183720", \
+					  "1.5039793, 1.5483165, 1.8285912, 3.5726075, 7.9773592, 10.3159670, 28.2135100", \
+					  "1.5015786, 1.5483839, 1.8293878, 3.5711540, 7.9665252, 10.3103410, 28.2105630");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3760735, 7.5576789, 8.6810710, 15.6073790, 58.2963080, 322.1704800, 1944.9644000", \
+					  "7.5359239, 7.6884179, 8.8130729, 15.7480590, 58.5565130, 322.3065100, 1944.9508000", \
+					  "7.6308266, 7.7867766, 8.9072438, 15.8310020, 58.6626780, 321.9651600, 1944.7850000", \
+					  "7.6863165, 7.8694455, 8.9938812, 15.9162050, 58.7254940, 322.4708400, 1945.0854000", \
+					  "7.7795725, 7.9396498, 9.0640340, 15.9751500, 58.8001930, 322.5573300, 1946.8750000", \
+					  "7.8234565, 8.0087439, 9.1290389, 16.0894210, 58.8205110, 322.6388400, 1944.3410000", \
+					  "7.8896187, 8.0677980, 9.1985823, 16.1138320, 58.8249240, 322.6863600, 1945.4792000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7030510, 12.0636870, 14.2954260, 28.0725330, 113.5303600, 640.0385900, 3885.3764000", \
+					  "11.6968660, 12.0636960, 14.2952750, 28.0801680, 113.6082400, 640.0094900, 3886.6729000", \
+					  "11.6941030, 12.0627780, 14.2942510, 28.0625640, 113.6102900, 640.4520100, 3885.7165000", \
+					  "11.7021140, 12.0642590, 14.2972510, 28.0725510, 113.6302400, 639.8776100, 3886.6378000", \
+					  "11.6980190, 12.0629220, 14.2847240, 28.0183930, 113.6099900, 640.0365500, 3886.9975000", \
+					  "11.7013930, 12.0640410, 14.2970650, 28.0838520, 113.6984800, 639.9868700, 3885.8725000", \
+					  "11.7017010, 12.0464310, 14.2949300, 28.0213220, 113.4368400, 640.0313200, 3885.9575000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1202271, 7.3065074, 8.4276390, 15.3001680, 57.8414120, 320.4124500, 1938.1050000", \
+					  "7.2526611, 7.4381217, 8.5674561, 15.4442240, 57.9786740, 320.4979500, 1938.1712000", \
+					  "7.3578552, 7.5361450, 8.6644727, 15.5396440, 58.0935050, 320.5369400, 1939.4886000", \
+					  "7.4320758, 7.6127380, 8.7461549, 15.6556260, 58.1620510, 320.3736300, 1938.4986000", \
+					  "7.5106774, 7.6929751, 8.7920986, 15.7038220, 58.2173490, 321.1050300, 1938.4058000", \
+					  "7.5779365, 7.7392626, 8.8682031, 15.7874090, 58.3021990, 320.6369600, 1941.9294000", \
+					  "7.6311562, 7.8261309, 8.9291081, 15.8245350, 58.3742050, 320.7124200, 1939.2676000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8739760, 12.2277020, 14.4661080, 28.1905950, 113.3720000, 638.0864800, 3875.4124000", \
+					  "11.8757940, 12.2275820, 14.4564630, 28.1869990, 112.9953700, 638.0376500, 3873.3101000", \
+					  "11.8715390, 12.2275430, 14.4558120, 28.1829370, 113.4567500, 637.4871800, 3873.1805000", \
+					  "11.8768130, 12.2418940, 14.4559020, 28.1771920, 113.5061000, 637.7184100, 3874.8426000", \
+					  "11.8721020, 12.2330460, 14.4604420, 28.1836620, 113.3739900, 638.4012200, 3872.9536000", \
+					  "11.8712420, 12.2322220, 14.4608540, 28.2015580, 113.4426200, 637.7815100, 3872.7353000", \
+					  "11.8516880, 12.2330700, 14.4467190, 28.1638690, 113.5169100, 637.4205000, 3875.0195000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1600239, 2.1837678, 2.3348645, 3.2554099, 8.4149256, 16.3868460, 31.8840670", \
+					  "2.2924871, 2.3157896, 2.4671165, 3.3898813, 8.5472305, 16.5139260, 32.0160860", \
+					  "2.3898590, 2.4133897, 2.5649596, 3.4840704, 8.6425933, 16.6121460, 32.1134270", \
+					  "2.4669680, 2.4952973, 2.6452915, 3.5662091, 8.7252712, 16.6932330, 32.1918460", \
+					  "2.5398204, 2.5645310, 2.7179270, 3.6379983, 8.7891898, 16.7654620, 32.2660190", \
+					  "2.6098430, 2.6345164, 2.7784874, 3.7121923, 8.8640828, 16.8384650, 32.3315490", \
+					  "2.6697315, 2.6960714, 2.8509983, 3.7721968, 8.9273264, 16.9028120, 32.3972880");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5189895, 1.5632993, 1.8530077, 3.6434802, 8.7148049, 9.4531174, 19.4279280", \
+					  "1.5179531, 1.5622467, 1.8533181, 3.6396521, 8.7156904, 9.4376587, 19.4530810", \
+					  "1.5169377, 1.5626307, 1.8531230, 3.6427581, 8.7148848, 9.4374073, 19.4792860", \
+					  "1.5167647, 1.5601258, 1.8533750, 3.6452066, 8.7150429, 9.4396739, 19.3914080", \
+					  "1.5146989, 1.5600322, 1.8504697, 3.6432910, 8.7149905, 9.4384704, 19.4030460", \
+					  "1.5141580, 1.5626830, 1.8529638, 3.6455730, 8.7162517, 9.4376231, 19.4690380", \
+					  "1.5165026, 1.5648164, 1.8516984, 3.6453598, 8.7151051, 9.4376169, 19.4193910");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8624546, 1.8762532, 1.9497177, 2.2178310, 3.1359080, 7.7105682, 25.6710970", \
+					  "1.9938535, 2.0085933, 2.0817523, 2.3499520, 3.2683107, 7.8430755, 25.8051130", \
+					  "2.0887279, 2.1058593, 2.1793376, 2.4461939, 3.3656553, 7.9402076, 25.8987040", \
+					  "2.1730213, 2.1839779, 2.2601407, 2.5283449, 3.4451453, 8.0185405, 25.9814930", \
+					  "2.2440816, 2.2565325, 2.3281508, 2.5953191, 3.5177838, 8.0887697, 26.0490030", \
+					  "2.3124065, 2.3259006, 2.3995836, 2.6674036, 3.5829846, 8.1606464, 26.1205970", \
+					  "2.3759281, 2.3799891, 2.4630085, 2.7325171, 3.6507413, 8.2253964, 26.2002190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7782653, 0.7811575, 0.7932751, 0.7853883, 1.7353367, 7.0883455, 27.3425350", \
+					  "0.7784411, 0.7812095, 0.7931006, 0.7855417, 1.7381035, 7.0867179, 27.3374510", \
+					  "0.7784209, 0.7810901, 0.7931112, 0.7854187, 1.7374918, 7.0883730, 27.3537830", \
+					  "0.7784700, 0.7810813, 0.7932788, 0.7855413, 1.7375749, 7.0872099, 27.3423240", \
+					  "0.7782136, 0.7810527, 0.7931385, 0.7851680, 1.7372842, 7.0852506, 27.3349720", \
+					  "0.7784106, 0.7811075, 0.7939320, 0.7856111, 1.7374938, 7.0866606, 27.3457220", \
+					  "0.7784833, 0.7810820, 0.7931286, 0.7836010, 1.7378913, 7.0855806, 27.3395510");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1297883, 7.3000139, 8.4142036, 15.3249310, 57.8702920, 320.3730000, 1939.2739000", \
+					  "7.2618351, 7.4246493, 8.5491312, 15.4407580, 57.9914180, 320.7371700, 1939.1205000", \
+					  "7.3568120, 7.5287518, 8.6569480, 15.5717220, 58.0773190, 320.8495200, 1938.6066000", \
+					  "7.4372033, 7.6122362, 8.7356122, 15.6623760, 58.1722400, 320.6941400, 1938.2321000", \
+					  "7.5001960, 7.6937354, 8.8122878, 15.6918320, 58.2438670, 320.7090100, 1939.2178000", \
+					  "7.5752382, 7.7492555, 8.8749363, 15.7590150, 58.3426110, 320.6410500, 1938.1159000", \
+					  "7.6332460, 7.8267224, 8.9340917, 15.8166550, 58.3788520, 320.7316300, 1938.5795000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8759970, 12.2293950, 14.4568880, 28.1946590, 113.0286500, 638.1288800, 3872.6071000", \
+					  "11.8754660, 12.2416440, 14.4532290, 28.1702570, 113.5073900, 637.7166000, 3874.6393000", \
+					  "11.8631200, 12.2361930, 14.4536840, 28.1772540, 113.4371400, 637.4313000, 3874.6821000", \
+					  "11.8576350, 12.2231700, 14.4510110, 28.1617750, 113.4351100, 638.0471400, 3873.2192000", \
+					  "11.8507070, 12.2330140, 14.4416090, 28.1872520, 113.4540100, 638.0273600, 3873.3751000", \
+					  "11.8606260, 12.2326310, 14.4603470, 28.1726070, 113.4751900, 637.3607600, 3874.1032000", \
+					  "11.8563860, 12.2331640, 14.4587690, 28.1632570, 113.5176300, 637.4342000, 3874.1546000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3772242, 7.5563378, 8.6727274, 15.6001820, 58.3002910, 322.1943500, 1944.7318000", \
+					  "7.5068920, 7.6906224, 8.8153245, 15.7465100, 58.5305920, 322.0472700, 1945.0436000", \
+					  "7.6072304, 7.7857605, 8.9045198, 15.8370760, 58.6311480, 322.0236800, 1945.1170000", \
+					  "7.6855970, 7.8679854, 9.0077483, 15.9068600, 58.7338140, 321.7780000, 1945.1163000", \
+					  "7.7569924, 7.9377767, 9.0627372, 15.9842890, 58.6688460, 322.5273400, 1945.5053000", \
+					  "7.8530862, 8.0049611, 9.1247365, 16.0522420, 58.8322600, 322.5214000, 1945.2466000", \
+					  "7.8867277, 8.0729485, 9.2036974, 16.1279810, 58.8895120, 322.4769800, 1944.7070000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7033050, 12.0611720, 14.2583280, 28.0694440, 113.5410100, 639.8539700, 3886.6347000", \
+					  "11.7012130, 12.0650380, 14.2738480, 28.0846530, 113.6601900, 639.9164400, 3884.7370000", \
+					  "11.7008860, 12.0629290, 14.2654870, 28.0681490, 113.6660800, 640.4076200, 3889.0917000", \
+					  "11.7020040, 12.0631110, 14.2932680, 28.0412760, 113.6166100, 639.7471600, 3886.1917000", \
+					  "11.6933550, 12.0603880, 14.2963770, 28.0739770, 113.4293400, 639.5499100, 3886.4964000", \
+					  "11.6958020, 12.0604950, 14.2587060, 28.0095080, 113.6809700, 638.9955200, 3890.8374000", \
+					  "11.6984010, 12.0588320, 14.2946620, 28.0816100, 113.7044500, 640.1641000, 3887.2868000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8652233, 1.8897823, 2.0396917, 2.9395950, 8.0827256, 16.2959370, 35.7364980", \
+					  "1.9343067, 1.9589015, 2.1086430, 3.0087541, 8.1521039, 16.3642880, 35.7878630", \
+					  "1.9605435, 1.9852970, 2.1349946, 3.0352394, 8.1755260, 16.3912470, 35.8145870", \
+					  "1.9724928, 1.9971911, 2.1469788, 3.0476310, 8.1880245, 16.3925620, 35.8601860", \
+					  "1.9771642, 2.0017463, 2.1514959, 3.0509899, 8.1944398, 16.4006640, 35.8598060", \
+					  "1.9770408, 2.0016000, 2.1513842, 3.0512979, 8.1920515, 16.4054320, 35.8581250", \
+					  "1.9734838, 1.9978945, 2.1479611, 3.0476091, 8.1890494, 16.4009360, 35.8516270");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5032332, 1.5485965, 1.8288745, 3.5870515, 7.9143092, 10.2133590, 28.1952580", \
+					  "1.5058939, 1.5488513, 1.8296918, 3.5806813, 7.9157747, 10.2321280, 28.1496360", \
+					  "1.5061457, 1.5483022, 1.8305215, 3.5815135, 7.9213907, 10.2747840, 28.1501520", \
+					  "1.5050979, 1.5493679, 1.8292464, 3.5887060, 7.9312965, 10.2941040, 28.1848380", \
+					  "1.5038558, 1.5486179, 1.8287124, 3.5821621, 7.9174267, 10.2780400, 28.1816400", \
+					  "1.5049462, 1.5504201, 1.8289673, 3.5875454, 7.9181133, 10.2556430, 28.2226300", \
+					  "1.5041758, 1.5511223, 1.8292436, 3.5852807, 7.9309670, 10.2567080, 28.2028780");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.0347981, 2.0605990, 2.2163202, 3.1553147, 8.3358920, 16.2388560, 31.7245470", \
+					  "2.1673270, 2.1925759, 2.3478897, 3.2866154, 8.4690823, 16.3716720, 31.8559860", \
+					  "2.2647548, 2.2900797, 2.4455145, 3.3880563, 8.5665377, 16.4686080, 31.9523860", \
+					  "2.3460756, 2.3718150, 2.5274254, 3.4688576, 8.6470413, 16.5499760, 32.0357360", \
+					  "2.4167710, 2.4419871, 2.5976516, 3.5393841, 8.7177288, 16.6192230, 32.1047720", \
+					  "2.4844469, 2.5089641, 2.6644436, 3.6098995, 8.7850367, 16.6903650, 32.1704330", \
+					  "2.5488287, 2.5753113, 2.7305245, 3.6746550, 8.8496108, 16.7509380, 32.2411220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5132391, 1.5629588, 1.8476195, 3.6439787, 8.6605292, 9.4107016, 19.3909810", \
+					  "1.5164604, 1.5653736, 1.8531455, 3.6447989, 8.6607823, 9.4117105, 19.3787080", \
+					  "1.5151882, 1.5646358, 1.8529410, 3.6466752, 8.6618126, 9.4241788, 19.3720670", \
+					  "1.5125857, 1.5643692, 1.8484575, 3.6424499, 8.6609635, 9.4103534, 19.4012180", \
+					  "1.5178568, 1.5617568, 1.8486062, 3.6399179, 8.6601197, 9.4128501, 19.4106300", \
+					  "1.5176322, 1.5646331, 1.8495249, 3.6444912, 8.6649390, 9.4275938, 19.3878900", \
+					  "1.5178998, 1.5622392, 1.8521683, 3.6439989, 8.6642444, 9.4105053, 19.3950740");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2596658, 7.4388824, 8.5736227, 15.5810390, 58.4414970, 321.1420700, 1941.2651000", \
+					  "7.3283681, 7.5159345, 8.6492919, 15.6189510, 58.4471810, 321.2165000, 1938.9927000", \
+					  "7.3676110, 7.5369009, 8.6691667, 15.6487530, 58.5125330, 321.5401700, 1939.0063000", \
+					  "7.3700535, 7.5585840, 8.6799679, 15.6997770, 58.4965600, 321.9464900, 1939.3199000", \
+					  "7.3693416, 7.5697413, 8.6877572, 15.6497110, 58.5108710, 321.5637900, 1938.5332000", \
+					  "7.3785404, 7.5616295, 8.6966239, 15.6685010, 58.4922400, 321.3755500, 1938.7896000", \
+					  "7.3789246, 7.5633846, 8.6848138, 15.6688300, 58.5111690, 321.2467200, 1943.1388000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8622920, 12.2126660, 14.5381070, 28.1292620, 113.4570000, 637.7951500, 3872.4647000", \
+					  "11.8849130, 12.2432660, 14.4606530, 28.1810560, 113.3038600, 637.7576900, 3873.0063000", \
+					  "11.8742070, 12.2298630, 14.4859980, 28.1963080, 113.4458500, 637.4555100, 3873.0032000", \
+					  "11.8539340, 12.2349300, 14.4543990, 28.1575190, 113.4389000, 637.5859700, 3873.1291000", \
+					  "11.8717520, 12.2306210, 14.4428860, 28.1897190, 113.4976500, 638.1465600, 3872.0177000", \
+					  "11.8690810, 12.2000850, 14.4497380, 28.1689920, 113.4752500, 637.5233900, 3874.1782000", \
+					  "11.8725840, 12.2229790, 14.4519310, 28.1824240, 113.4290000, 637.4448800, 3872.8444000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9951640, 2.0203774, 2.1720348, 3.0904552, 8.2490459, 16.2187650, 31.7153770", \
+					  "2.1277666, 2.1529565, 2.3023587, 3.2231213, 8.3816305, 16.3474430, 31.8479290", \
+					  "2.2250535, 2.2503071, 2.4017290, 3.3203362, 8.4788390, 16.4455240, 31.9451240", \
+					  "2.3063009, 2.3316298, 2.4832241, 3.4011489, 8.5601881, 16.5311910, 32.0252020", \
+					  "2.3769990, 2.4009925, 2.5523268, 3.4769234, 8.6293195, 16.5955520, 32.0961710", \
+					  "2.4453315, 2.4696469, 2.6209765, 3.5462218, 8.6975804, 16.6679290, 32.1659320", \
+					  "2.5082776, 2.5336684, 2.6862386, 3.6046142, 8.7623491, 16.7293270, 32.2259840");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5167686, 1.5652896, 1.8493629, 3.6440817, 8.7127328, 9.4386428, 19.3999610", \
+					  "1.5170086, 1.5653493, 1.8534861, 3.6437418, 8.7123428, 9.4357011, 19.4023110", \
+					  "1.5171393, 1.5656108, 1.8498020, 3.6437440, 8.7123420, 9.4515924, 19.4039260", \
+					  "1.5157781, 1.5650060, 1.8511177, 3.6452261, 8.7123126, 9.4430872, 19.4175730", \
+					  "1.5189611, 1.5660307, 1.8503145, 3.6411694, 8.7133190, 9.4361541, 19.4256630", \
+					  "1.5174976, 1.5650847, 1.8524501, 3.6457803, 8.7146370, 9.4359480, 19.3976530", \
+					  "1.5153659, 1.5646449, 1.8503439, 3.6441563, 8.7172651, 9.4362571, 19.4153050");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.3513895, 9.4226411, 9.4938927, 9.4832083, 9.4729514, 9.4622671, 9.4515827");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.0664759, -9.1418917, -9.2173075, -9.2123390, -9.2075692, -9.2026007, -9.1976322");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.483766";
+				miller_cap_rise : "0.0244361";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("117.724, 47.6637, 6.77422, 3.48214, 3.44385, 3.42195, 3.39757, 3.36998, 3.33809, 3.30015, 3.25314, 3.19137, 3.1034, 2.97396, 2.80802, 2.61844, 2.40833, 2.17802, 1.92751, 1.65673, 1.36567, 1.05436, 0.722885, 0.371366, -2.66242e-06, -0.778457, -1.60229, -17.103, -47.5312", \
+					  "117.697, 47.6149, 6.71564, 3.36119, 3.32488, 3.30423, 3.28136, 3.25564, 3.22613, 3.19139, 3.14896, 3.09435, 3.01849, 2.9055, 2.75124, 2.57005, 2.36742, 2.14404, 1.89994, 1.63507, 1.3494, 1.04295, 0.715798, 0.368084, -2.64665e-06, -0.77295, -1.59367, -17.1004, -47.5282", \
+					  "117.684, 47.5758, 6.66749, 3.2614, 3.22662, 3.20695, 3.18524, 3.16093, 3.13321, 3.10083, 3.06172, 3.01216, 2.94473, 2.84495, 2.70196, 2.52827, 2.33211, 2.11471, 1.87614, 1.61637, 1.33534, 1.03309, 0.709679, 0.365252, -2.44202e-07, -0.768203, -1.58625, -17.0983, -47.5256", \
+					  "117.68, 47.5126, 6.56861, 3.05275, 3.02092, 3.00312, 2.98361, 2.96197, 2.93757, 2.90948, 2.87622, 2.83525, 2.78174, 2.70563, 2.59017, 2.43486, 2.25332, 2.04925, 1.82303, 1.57462, 1.30396, 1.01107, 0.696013, 0.358921, -2.65846e-06, -0.757632, -1.56983, -17.0935, -47.5199", \
+					  "117.727, 47.48, 6.463, 2.66608, 2.63878, 2.62394, 2.6079, 2.59036, 2.57093, 2.54906, 2.52392, 2.49419, 2.45757, 2.40968, 2.34102, 2.23424, 2.08648, 1.9108, 1.71062, 1.48621, 1.23749, 0.964428, 0.667059, 0.345512, -2.65494e-06, -0.735373, -1.53558, -17.0836, -47.5083", \
+					  "117.768, 47.4842, 6.45786, 2.49507, 2.44698, 2.43343, 2.41888, 2.40308, 2.38572, 2.36635, 2.34436, 2.31878, 2.28797, 2.24901, 2.19578, 2.11475, 1.99034, 1.83146, 1.6462, 1.43551, 1.19936, 0.937659, 0.650441, 0.337819, -1.01391e-07, -0.722688, -1.51626, -17.078, -47.5018", \
+					  "117.816, 47.4929, 6.48285, 2.47119, 2.25519, 2.24281, 2.22963, 2.2154, 2.19988, 2.18272, 2.16345, 2.14133, 2.11522, 2.0831, 2.04103, 1.98026, 1.88313, 1.74418, 1.57539, 1.37976, 1.15739, 0.908198, 0.632151, 0.329349, -1.73013e-08, -0.708792, -1.49529, -17.072, -47.4949", \
+					  "117.866, 47.5059, 6.53297, 2.57756, 2.06282, 2.05116, 2.03916, 2.0272, 2.01383, 1.99863, 1.98172, 1.96256, 1.94031, 1.91358, 1.87977, 1.83346, 1.76183, 1.64759, 1.49731, 1.31826, 1.11108, 0.875665, 0.61195, 0.319995, -3.19419e-08, -0.693536, -1.47247, -17.0656, -47.4875", \
+					  "117.915, 47.5362, 6.59992, 2.73072, 1.84238, 1.77632, 1.76157, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74171, 1.71421, 1.67819, 1.6258, 1.53951, 1.4109, 1.2502, 1.05979, 0.839617, 0.589559, 0.309627, -3.18892e-08, -0.67673, -1.44759, -17.0586, -47.4794", \
+					  "117.961, 47.5725, 6.67129, 2.86139, 1.62172, 1.35795, 1.30163, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.17462, 1.00278, 0.799523, 0.564643, 0.298089, -2.61518e-08, -0.658156, -1.42039, -17.0511, -47.4708", \
+					  "118, 47.6049, 6.73593, 2.95719, 1.41545, 0.940422, 0.736981, 0.685053, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.536798, 0.285189, -3.17744e-08, -0.637548, -1.39057, -17.0429, -47.4615", \
+					  "118.033, 47.6293, 6.79152, 3.02248, 1.22136, 0.565701, 0.167354, 0.0141882, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.61459, -1.3577, -17.0339, -47.4514", \
+					  "118.062, 47.6456, 6.83749, 3.06279, 1.03782, 0.229606, -0.346727, -0.669187, -0.782507, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -1.32088, -17.0242, -47.4405", \
+					  "118.084, 47.655, 6.8744, 3.08312, 0.863965, -0.0738448, -0.802852, -1.30125, -1.54567, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -17.0135, -47.4287", \
+					  "118.1, 47.6577, 6.9035, 3.08796, 0.699596, -0.349102, -1.20879, -1.86153, -2.2744, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -17.0014, -47.4157", \
+					  "118.109, 47.6551, 6.9277, 3.08148, 0.545148, -0.599032, -1.57112, -2.35633, -2.92922, -3.24435, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -17.0058, -47.4015", \
+					  "118.11, 47.649, 6.9435, 3.06786, 0.401795, -0.825021, -1.89427, -2.79361, -3.50403, -3.98301, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -17.9768, -49.019", \
+					  "118.105, 47.641, 6.95794, 3.05166, 0.271665, -1.02693, -2.18053, -3.17872, -4.00667, -4.63431, -4.98382, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -19.0116, -51.5491", \
+					  "118.098, 47.6333, 6.97272, 3.03839, 0.158257, -1.20283, -2.4299, -3.51407, -4.44332, -5.19653, -5.71179, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -19.9748, -53.7508", \
+					  "118.092, 47.6294, 6.99242, 3.03509, 0.066873, -1.34867, -2.63985, -3.79902, -4.81619, -5.67567, -6.33521, -6.67773, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -20.8502, -55.6158", \
+					  "118.096, 47.6359, 7.02596, 3.05436, 0.00831328, -1.45472, -2.80189, -4.02658, -5.12035, -6.0709, -6.84921, -7.35609, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -21.6371, -57.168", \
+					  "118.135, 47.6671, 7.09016, 3.11723, 2.25763e-05, -1.50521, -2.90202, -4.18469, -5.34598, -6.37594, -7.25345, -7.90314, -8.23778, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -22.3497, -58.447", \
+					  "118.178, 47.7003, 7.15575, 3.18133, 2.51383e-08, -1.54283, -2.98387, -4.31814, -5.53941, -6.63942, -7.60195, -8.36955, -8.86123, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -23.0067, -59.4994", \
+					  "118.216, 47.7304, 7.21594, 3.23854, 2.22415e-08, -1.5762, -3.05657, -4.43675, -5.71135, -6.87338, -7.90984, -8.7752, -9.40434, -9.74193, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -23.6234, -60.3673", \
+					  "118.252, 47.7572, 7.2712, 3.28958, 3.67056e-08, -1.60584, -3.12122, -4.54233, -5.86447, -7.08165, -8.18307, -9.13118, -9.87379, -10.3628, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -24.2114, -61.0866", \
+					  "118.312, 47.8039, 7.36897, 3.37558, 1.09459e-07, -1.65556, -3.22997, -4.72027, -6.12286, -7.43322, -8.64337, -9.72517, -10.6406, -11.3704, -11.8629, -12.076, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -25.3496, -62.1873", \
+					  "118.361, 47.8416, 7.44992, 3.44353, 3.02705e-07, -1.69471, -3.31602, -4.86166, -6.3288, -7.71395, -9.01083, -10.1965, -11.2393, -12.1332, -12.8574, -13.3573, -13.5876, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -26.4801, -62.9818", \
+					  "118.457, 47.9137, 7.60826, 3.56803, 3.08208e-06, -1.76681, -3.47728, -5.13034, -6.72462, -8.25832, -9.7277, -11.1167, -12.4013, -13.582, -14.6632, -15.6421, -16.5082, -17.2354, -17.7715, -18.0657, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -29.9055, -64.4252", \
+					  "118.505, 47.9467, 7.67695, 3.61559, 3.23425e-05, -1.79798, -3.55663, -5.27571, -6.95481, -8.59329, -10.1889, -11.7294, -13.1948, -14.5854, -15.9094, -17.1705, -18.3703, -19.509, -20.5857, -21.5975, -22.5394, -23.4016, -24.165, -24.7939, -25.2501, -25.7559, -25.924, -35.88, -66.9989");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1782298, 0.2302742, 0.2670847, 0.3077915, 0.3768907");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2272209, 0.2793827, 0.3161413, 0.3568387, 0.4258885");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1010664, 0.1299910, 0.1499011, 0.1691111, 0.2002647");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1503854, 0.1801974, 0.2001564, 0.2192818, 0.2506266");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("5.23633");
+						index_3("0.001");
+						index_4("2.3104377, 2.6125523, 2.7759810, 3.0538438, 3.3964088");
+						values("0.0593577, 0.0949723, 0.118715, 0.0949723, 0.0593577");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("3.26439");
+						index_3("0.001");
+						index_4("1.4840027, 1.6608319, 1.7772298, 1.9853343, 2.2145282");
+						values("0.0943812, 0.15101, 0.188762, 0.15101, 0.0943812");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("2.28378");
+						index_3("0.001");
+						index_4("1.0817071, 1.1889228, 1.3167936, 1.4430735, 1.6066684");
+						values("0.14228, 0.227648, 0.28456, 0.227648, 0.14228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("2.61817");
+						index_3("0.001");
+						index_4("1.2523955, 1.3577087, 1.4558798, 1.6473129, 1.8373248");
+						values("0.0558977, 0.0894364, 0.111795, 0.0894364, 0.0558977");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("1.63219");
+						index_3("0.001");
+						index_4("0.8260413, 0.8811486, 0.9620001, 1.1039569, 1.2412737");
+						values("0.0842002, 0.13472, 0.1684, 0.13472, 0.0842002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("1.14189");
+						index_3("0.001");
+						index_4("0.6024743, 0.6465852, 0.7100643, 0.8273783, 0.9351961");
+						values("0.115959, 0.185534, 0.231918, 0.185534, 0.115959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("4.89658");
+						index_3("0.001");
+						index_4("2.1457202, 2.4371209, 2.6044849, 2.8585164, 3.1867944");
+						values("0.0984756, 0.157561, 0.196951, 0.157561, 0.0984756");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("5.23289");
+						index_3("0.001");
+						index_4("1.1945246, 1.2415892, 2.6846067, 2.7535509, 2.8001545");
+						values("5.44816, 5.41706, 5.39632, 5.41706, 5.44816");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("2.7213");
+						index_3("0.001");
+						index_4("1.2954733, 1.4403579, 1.5367570, 1.5903295, 1.6436038");
+						values("5.35564, 5.26903, 5.21129, 5.26903, 5.35564");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("1.72517");
+						index_3("0.001");
+						index_4("0.9662569, 1.0404303, 1.1429117, 1.2288767, 1.2850171");
+						values("4.53883, 3.96212, 3.57765, 3.96212, 4.53883");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("2.61645");
+						index_3("0.001");
+						index_4("0.6861452, 0.7151892, 0.7793619, 1.4382396, 1.4769769");
+						values("5.43868, 5.40188, 5.37736, 5.40188, 5.43868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("1.36065");
+						index_3("0.001");
+						index_4("0.4128545, 0.7056708, 0.7757787, 0.8326601, 0.8681525");
+						values("5.37275, 5.2964, 5.2455, 5.2964, 5.37275");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("0.862584");
+						index_3("0.001");
+						index_4("0.3072294, 0.4517359, 0.5326506, 0.5938659, 0.6271710");
+						values("5.31636, 5.20618, 5.13272, 5.20618, 5.31636");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("4.08195");
+						index_3("0.001");
+						index_4("2.1040272, 2.1682337, 2.2741018, 2.3503233, 2.4172684");
+						values("5.21477, 5.04363, 4.92954, 5.04363, 5.21477");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.140543;
+			capacitance : 0.140392;
+			fall_capacitance : 0.140242;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3606864, -0.3562182, -0.3517500, -0.3521326, -0.3525000, -0.3528826, -0.3532653");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602284, 0.3555600, 0.3508916, 0.3520574, 0.3531766, 0.3543424, 0.3555082");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133581;
+			capacitance : 0.133411;
+			fall_capacitance : 0.133241;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3609688, -0.3561999, -0.3514311, -0.3520184, -0.3525822, -0.3531695, -0.3537569");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602862, 0.3546780, 0.3490698, 0.3496305, 0.3501687, 0.3507294, 0.3512901");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr.lib
new file mode 100644
index 0000000..73ea08a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr.lib
@@ -0,0 +1,7976 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50_nointpwr") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.098300e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.1238000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.9740000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5238000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.4570000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.7512000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.1728000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7790000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2300000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.8790000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.8300000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.9600000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.3380000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7390000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2290000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.5810000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.6321000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5232000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.8750000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006731;
+			capacitance : 0.006574;
+			fall_capacitance : 0.006416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2797057, 0.2073874, 0.1686132, 0.1558140, 0.1484101, 0.1493457, 0.1594347", \
+					  "0.3380255, 0.2547905, 0.2330458, 0.2248363, 0.2098030, 0.2076869, 0.2101464", \
+					  "0.3453534, 0.2513539, 0.2341908, 0.2183314, 0.2139793, 0.1969892, 0.2004392", \
+					  "0.3272498, 0.2579696, 0.2222822, 0.1967786, 0.1929238, 0.1831488, 0.1918796", \
+					  "0.3077221, 0.2385118, 0.1966808, 0.1899552, 0.1802983, 0.1590729, 0.1661101", \
+					  "0.2951138, 0.1755906, 0.1736407, 0.1468293, 0.1423223, 0.1342576, 0.1412947", \
+					  "0.2603253, 0.1803924, 0.1500568, 0.1403721, 0.1229476, 0.1366462, 0.1376303");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820966, 0.0456109, -0.0503554, -0.1385299, -0.2114478, -0.2649321, -0.3108593", \
+					  "0.2434682, 0.1124715, 0.0027470, -0.0826316, -0.1605167, -0.2348029, -0.2992809", \
+					  "0.2401150, 0.1122483, 0.0135726, -0.0822834, -0.1573234, -0.2383244, -0.3003214", \
+					  "0.2403218, 0.1033998, 0.0031176, -0.0856102, -0.1702354, -0.2444225, -0.3033224", \
+					  "0.2207941, 0.0686133, -0.0221691, -0.0934872, -0.1836595, -0.2727508, -0.3116625", \
+					  "0.1944529, 0.0422720, -0.0277563, -0.1239040, -0.2058302, -0.2792556, -0.3576677", \
+					  "0.1596644, -0.0077754, -0.0594930, -0.1528474, -0.2376014, -0.2759750, -0.3756715");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139648, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0141210, 0.0017301", \
+					  "-0.1738101, -0.0970234, -0.0632206, -0.0529159, -0.0335661, -0.0373661, -0.0382997", \
+					  "-0.1567826, -0.1070228, -0.0771028, -0.0735835, -0.0704956, -0.0400165, -0.0485796", \
+					  "-0.1630344, -0.0951226, -0.0731150, -0.0448581, -0.0590268, -0.0357456, -0.0443183", \
+					  "-0.1404549, -0.0633878, -0.0249704, -0.0240826, -0.0208681, -0.0159349, -0.0251532", \
+					  "-0.1125877, -0.0370466, 0.0015370, 0.0003557, -0.0024108, -0.0007521, 0.0144875", \
+					  "-0.0793252, 0.0130007, 0.0286960, 0.0346973, -0.0297926, 0.0251892, 0.0419119");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1674165, -0.0339807, 0.0610238, 0.1518831, 0.2201501, 0.2732763, 0.3172938", \
+					  "-0.2303135, -0.1036538, 0.0028757, 0.0926297, 0.1705813, 0.2403439, 0.3092217", \
+					  "-0.2224412, -0.1020820, -0.0022008, 0.0923702, 0.1606989, 0.2455697, 0.3064858", \
+					  "-0.2271671, -0.0917076, 0.0009946, 0.0988995, 0.1772871, 0.2530209, 0.3101527", \
+					  "-0.2030617, -0.0584470, 0.0325438, 0.1103314, 0.1907113, 0.2828543, 0.3292229", \
+					  "-0.1782464, -0.0321060, 0.0394645, 0.1411577, 0.2164024, 0.2905625, 0.3677712", \
+					  "-0.1434579, 0.0194671, 0.0712012, 0.1659160, 0.2473170, 0.3470357, 0.3720421");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426662, 0.0420959, 0.0415257, 0.0416784, 0.0418249, 0.0419776, 0.0421303");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540832, 0.0533472, 0.0526111, 0.0530917, 0.0535531, 0.0540337, 0.0545143");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010592";
+				miller_cap_rise : "0.000882648";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90882e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87963e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88772e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89208e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.8978e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90966e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18654e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07574e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353497, 0.0656624, 0.1031316, 0.1459545, 0.2141032");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012708, 0.1316759, 0.1689743, 0.2117709, 0.2795798");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189855, 0.0300421, 0.0415633, 0.0543003, 0.0709668");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765025, 0.0933881, 0.1057908, 0.1184081, 0.1344163");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18862");
+						index_3("0.001");
+						index_4("2.0673775, 2.1760463, 2.3235424, 2.5258622, 2.6168643");
+						values("0.381847, 0.610956, 0.763694, 0.610956, 0.381847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41369");
+						index_3("0.001");
+						index_4("1.1907153, 1.2837009, 1.4357537, 1.5619640, 1.6354618");
+						values("0.459937, 0.7359, 0.919875, 0.7359, 0.459937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62684");
+						index_3("0.001");
+						index_4("0.8029656, 0.8800983, 1.0133912, 1.1133657, 1.1701055");
+						values("0.489733, 0.783573, 0.979466, 0.783573, 0.489733");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09431");
+						index_3("0.001");
+						index_4("1.0440512, 1.1001027, 1.1966417, 1.2717979, 1.3201272");
+						values("0.216828, 0.346924, 0.433655, 0.346924, 0.216828");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20685");
+						index_3("0.001");
+						index_4("0.6049622, 0.6495784, 0.7300148, 0.7884044, 0.8261674");
+						values("0.255112, 0.408179, 0.510224, 0.408179, 0.255112");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.813419");
+						index_3("0.001");
+						index_4("0.4102094, 0.4483022, 0.5006235, 0.5629844, 0.5925875");
+						values("0.271495, 0.434392, 0.542989, 0.434392, 0.271495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.62054");
+						index_3("0.001");
+						index_4("1.7765214, 1.9197560, 2.1140383, 2.3286901, 2.4331822");
+						values("0.635971, 1.01755, 1.27194, 1.01755, 0.635971");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20481");
+						index_3("0.001");
+						index_4("0.6006478, 0.6554080, 0.7556867, 0.8985473, 0.9849122");
+						values("1.44554, 1.14286, 0.941073, 1.14286, 1.44554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682814");
+						index_3("0.001");
+						index_4("0.3438965, 0.3845658, 0.4607934, 0.5607878, 0.6260253");
+						values("1.41862, 1.09979, 0.88724, 1.09979, 1.41862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452963");
+						index_3("0.001");
+						index_4("0.2298859, 0.2603223, 0.3121291, 0.4003832, 0.4499734");
+						values("1.40751, 1.08202, 0.865021, 1.08202, 1.40751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602403");
+						index_3("0.001");
+						index_4("0.3064390, 0.3356662, 0.3917423, 0.4582382, 0.5151660");
+						values("1.69277, 1.53843, 1.43554, 1.53843, 1.69277");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341407");
+						index_3("0.001");
+						index_4("0.1767181, 0.1975850, 0.2290985, 0.2888024, 0.3327108");
+						values("1.6819, 1.52104, 1.41381, 1.52104, 1.6819");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226481");
+						index_3("0.001");
+						index_4("0.1194429, 0.1359590, 0.1655903, 0.2079155, 0.2423954");
+						values("1.67848, 1.51556, 1.40695, 1.51556, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02422");
+						index_3("0.001");
+						index_4("0.5104897, 0.5715607, 0.6771830, 0.8217028, 0.9166059");
+						values("1.17314, 0.707021, 0.396276, 0.707021, 1.17314");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004656;
+			capacitance : 0.004802;
+			rise_capacitance : 0.004949;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0956636, -0.0126184, 0.0122167, 0.0253194, 0.0205315, 0.0544025, 0.0515230", \
+					  "-0.1524571, -0.0753460, -0.0398489, -0.0137196, -0.0061192, 0.0038327, 0.0013731", \
+					  "-0.1400072, -0.0905160, -0.0605432, -0.0194525, -0.0100892, -0.0079730, -0.0081985", \
+					  "-0.1432073, -0.0740381, -0.0599826, -0.0096794, -0.0350945, 0.0156763, -0.0001965", \
+					  "-0.1267314, -0.0453551, -0.0152587, 0.0119999, 0.0036369, 0.0153844, 0.0435387", \
+					  "-0.0942866, -0.0159621, 0.0183216, -0.0009296, -0.0139744, 0.0343195, 0.0143937", \
+					  "-0.0640758, 0.0325593, 0.0575196, 0.0694052, 0.0136946, 0.0238613, 0.0460526");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1536380, -0.0141442, 0.0861915, 0.1768001, 0.2559033, 0.3243688, 0.3828599", \
+					  "-0.2119573, -0.0768806, 0.0279457, 0.1268926, 0.2077274, 0.2867743, 0.3523253", \
+					  "-0.2056110, -0.0891695, 0.0301418, 0.1210414, 0.1983386, 0.2820722, 0.3526732", \
+					  "-0.2118628, -0.0726917, 0.0260368, 0.1208131, 0.2180872, 0.2967398, 0.3644465", \
+					  "-0.1862316, -0.0424828, 0.0601222, 0.1375832, 0.2242806, 0.3087943, 0.3856804", \
+					  "-0.1568385, -0.0207192, 0.0650105, 0.1647209, 0.2459376, 0.3365406, 0.4165993", \
+					  "-0.1220501, 0.0293281, 0.0967741, 0.1905210, 0.2570549, 0.3561910, 0.4115179");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2751423, 0.1953368, 0.1609839, 0.1375035, 0.1270479, 0.1264576, 0.1334948", \
+					  "0.3349880, 0.2478500, 0.2193863, 0.1971935, 0.1884407, 0.1847987, 0.1781030", \
+					  "0.3423167, 0.2440996, 0.2281245, 0.2014991, 0.1909331, 0.1843974, 0.1766754", \
+					  "0.3226865, 0.2461108, 0.2101923, 0.2014083, 0.1746133, 0.1672585, 0.1658014", \
+					  "0.3031588, 0.2294492, 0.1951994, 0.1655412, 0.1489821, 0.1377106, 0.1310149", \
+					  "0.2890245, 0.1697726, 0.1617092, 0.1407258, 0.1151796, 0.1098435, 0.1016219", \
+					  "0.2557620, 0.1700002, 0.1350172, 0.1212889, 0.1061629, 0.1084850, 0.1124305");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1698619, 0.0333999, -0.0753031, -0.1643081, -0.2433509, -0.3140641, -0.3775050", \
+					  "0.2266528, 0.0916856, -0.0217639, -0.1174522, -0.1976066, -0.2758425, -0.3406959", \
+					  "0.2399436, 0.1010475, -0.0171276, -0.1107081, -0.1909095, -0.2754465, -0.3401224", \
+					  "0.2235065, 0.0891472, -0.0251127, -0.1056249, -0.2051461, -0.2817522, -0.3418707", \
+					  "0.2070306, 0.0589384, -0.0436791, -0.1249288, -0.2065477, -0.3017425, -0.3563048", \
+					  "0.1684823, 0.0295453, -0.0555679, -0.1459988, -0.2344120, -0.3189285, -0.4003923", \
+					  "0.1459009, -0.0159242, -0.0865553, -0.1959151, -0.2441096, -0.3582945, -0.4153443");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0512305, 0.0505265, 0.0498225, 0.0503222, 0.0508019, 0.0513015, 0.0518012");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0632302, 0.0625772, 0.0619241, 0.0629310, 0.0638976, 0.0649044, 0.0659113");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00104678";
+				miller_cap_rise : "0.000875765";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.3978, 0.626133, 0.573974, 0.551564, 0.524534, 0.509262, 0.492816, 0.475198, 0.456409, 0.436454, 0.415336, 0.393059, 0.369627, 0.345044, 0.319316, 0.292445, 0.264437, 0.235297, 0.205029, 0.173638, 0.141128, 0.107504, 0.0727721, 0.0369357, -4.77919e-09, -0.0733954, -0.144065, -0.368653, -2.24515", \
+					  "3.30797, 0.443653, 0.401603, 0.394245, 0.385388, 0.380135, 0.374109, 0.367073, 0.358759, 0.348945, 0.3375, 0.324366, 0.309524, 0.292969, 0.274705, 0.254737, 0.233076, 0.20973, 0.184709, 0.158023, 0.129683, 0.0997003, 0.0680848, 0.0348477, -5.56766e-09, -0.0703454, -0.139055, -0.362129, -2.24513", \
+					  "3.25246, 0.331791, 0.264789, 0.260834, 0.256432, 0.25401, 0.251401, 0.248559, 0.245423, 0.241904, 0.237869, 0.233107, 0.227285, 0.219955, 0.210721, 0.199382, 0.185871, 0.170173, 0.152293, 0.132243, 0.110038, 0.0856934, 0.0592271, 0.0306567, -1.83793e-09, -0.0635463, -0.127357, -0.34337, -2.24251", \
+					  "3.24035, 0.321826, 0.217099, 0.213912, 0.210431, 0.208548, 0.206549, 0.204407, 0.202091, 0.199557, 0.19674, 0.193546, 0.189822, 0.18531, 0.179569, 0.172038, 0.162324, 0.150275, 0.135852, 0.119055, 0.0998957, 0.0783906, 0.0545594, 0.0284222, -1.84549e-09, -0.0598828, -0.121049, -0.333153, -2.24108", \
+					  "3.23036, 0.330307, 0.169795, 0.16727, 0.164561, 0.163118, 0.161603, 0.160004, 0.158303, 0.156478, 0.154498, 0.152321, 0.149883, 0.147083, 0.143745, 0.139534, 0.133841, 0.126008, 0.115699, 0.102821, 0.0873598, 0.0693266, 0.0487405, 0.0256237, -1.85528e-09, -0.0552877, -0.113149, -0.320409, -2.2393", \
+					  "3.22615, 0.339521, 0.146716, 0.144447, 0.142081, 0.140829, 0.139523, 0.138153, 0.136705, 0.135166, 0.133513, 0.131719, 0.12974, 0.127515, 0.124941, 0.121829, 0.117783, 0.112085, 0.104053, 0.0934017, 0.080063, 0.0640346, 0.0453327, 0.0239795, -1.86939e-09, -0.0525881, -0.108516, -0.312984, -2.23827", \
+					  "3.2225, 0.351817, 0.124803, 0.122212, 0.120166, 0.119092, 0.117976, 0.116812, 0.115591, 0.114303, 0.112933, 0.111462, 0.109862, 0.108095, 0.1061, 0.103773, 0.100908, 0.097031, 0.0912977, 0.0830337, 0.0720086, 0.05818, 0.0415544, 0.0221527, -2.1436e-09, -0.0495898, -0.103378, -0.304806, -2.23712", \
+					  "3.21947, 0.367231, 0.107289, 0.100804, 0.0990509, 0.0981402, 0.097199, 0.0962227, 0.0952055, 0.0941399, 0.0930164, 0.0918222, 0.0905401, 0.0891456, 0.0876027, 0.0858533, 0.0837941, 0.0811979, 0.0774832, 0.0716774, 0.0631474, 0.0517207, 0.037376, 0.0201276, -1.05633e-08, -0.0462684, -0.0976951, -0.295841, -2.23585", \
+					  "3.21715, 0.385817, 0.0998631, 0.0806098, 0.0790005, 0.078242, 0.0774621, 0.0766574, 0.0758241, 0.0749574, 0.0740508, 0.0730964, 0.0720832, 0.0709965, 0.0698148, 0.0685059, 0.0670164, 0.0652446, 0.0629355, 0.0593653, 0.0534458, 0.0446194, 0.0327685, 0.0178885, -2.7078e-07, -0.0425993, -0.0914272, -0.28607, -2.23446", \
+					  "3.21564, 0.407618, 0.102695, 0.0630714, 0.0603188, 0.0597011, 0.0590701, 0.0584226, 0.0577561, 0.0570674, 0.0563528, 0.0556071, 0.0548238, 0.0539942, 0.053106, 0.0521415, 0.0510732, 0.049854, 0.0483858, 0.0463763, 0.0429191, 0.0368453, 0.0277004, 0.0154134, -7.70174e-06, -0.038565, -0.0845415, -0.275492, -2.23294", \
+					  "3.21506, 0.432672, 0.112765, 0.0530833, 0.0433424, 0.0428228, 0.0423234, 0.0418147, 0.0412946, 0.0407609, 0.0402114, 0.0396432, 0.0390525, 0.0384345, 0.0377824, 0.037087, 0.0363345, 0.0355031, 0.0345535, 0.033394, 0.031683, 0.0282916, 0.0220285, 0.0125562, -0.000160028, -0.0342881, -0.0771542, -0.264294, -2.23143", \
+					  "3.21558, 0.461029, 0.128059, 0.0540936, 0.0284139, 0.0275523, 0.0271093, 0.026676, 0.0262401, 0.0257983, 0.0253488, 0.0248896, 0.0244187, 0.0239334, 0.0234303, 0.0229051, 0.0223511, 0.0217589, 0.0211128, 0.0203831, 0.0194881, 0.0180244, 0.0146138, 0.00811885, -0.00168346, -0.0310378, -0.0705868, -0.253971, -2.23113", \
+					  "3.21739, 0.49205, 0.147534, 0.0627619, 0.0160002, 0.0117698, 0.0109425, 0.0103577, 0.00981949, 0.00929814, 0.00878356, 0.00827089, 0.0077571, 0.00723984, 0.00671693, 0.00618599, 0.0056441, 0.00508734, 0.00450993, 0.00390231, 0.00324509, 0.00247274, 0.00111566, -0.00244505, -0.00921078, -0.0335298, -0.0696527, -0.249343, -2.23579", \
+					  "3.22079, 0.527385, 0.17072, 0.0754957, 0.00697762, -0.00721243, -0.0110135, -0.0123998, -0.0133849, -0.0142348, -0.0150199, -0.015768, -0.0164929, -0.0172026, -0.0179021, -0.0185951, -0.0192843, -0.0199724, -0.0206621, -0.0213566, -0.0220609, -0.0227852, -0.0235742, -0.0249577, -0.0287092, -0.0475692, -0.0799983, -0.255147, -2.24868", \
+					  "3.22614, 0.566665, 0.197386, 0.0908521, 0.00203756, -0.0247745, -0.0368637, -0.0407533, -0.0427472, -0.0442116, -0.0454488, -0.046563, -0.0476013, -0.0485885, -0.0495392, -0.050463, -0.0513661, -0.0522531, -0.0531277, -0.0539928, -0.0548512, -0.055706, -0.0565625, -0.0574522, -0.0590376, -0.0719442, -0.100155, -0.269267, -2.26786", \
+					  "3.23332, 0.609061, 0.22627, 0.107645, 0.000402299, -0.0383733, -0.0616205, -0.0711096, -0.0750591, -0.0775195, -0.0794142, -0.0810253, -0.0824691, -0.0838038, -0.0850622, -0.0862644, -0.0874239, -0.0885498, -0.0896489, -0.0907263, -0.0917864, -0.0928328, -0.0938693, -0.0949009, -0.0960046, -0.103258, -0.12652, -0.288144, -2.2905", \
+					  "3.24145, 0.652255, 0.254836, 0.123934, 6.6148e-05, -0.0494431, -0.0838513, -0.101999, -0.109393, -0.113365, -0.116156, -0.118398, -0.120334, -0.122075, -0.123685, -0.125198, -0.12664, -0.128026, -0.129367, -0.130672, -0.131948, -0.1332, -0.134434, -0.135656, -0.136875, -0.140654, -0.157624, -0.310058, -2.31517", \
+					  "3.24997, 0.694706, 0.281525, 0.138801, 8.2889e-06, -0.0590173, -0.103657, -0.13196, -0.144824, -0.151021, -0.154994, -0.15801, -0.160517, -0.162715, -0.164705, -0.166549, -0.168284, -0.169935, -0.17152, -0.173052, -0.17454, -0.175993, -0.177418, -0.178822, -0.180212, -0.183175, -0.193271, -0.334245, -2.34112", \
+					  "3.25875, 0.735828, 0.305809, 0.152141, 5.61046e-07, -0.0674282, -0.121237, -0.159658, -0.180098, -0.189536, -0.195069, -0.199031, -0.202201, -0.204906, -0.207309, -0.209502, -0.211541, -0.213463, -0.215292, -0.217047, -0.218743, -0.22039, -0.221998, -0.223575, -0.225131, -0.228237, -0.233623, -0.360298, -2.3679", \
+					  "3.26775, 0.775432, 0.327609, 0.164045, 2.3701e-08, -0.0748346, -0.136804, -0.184528, -0.21401, -0.228052, -0.235665, -0.240796, -0.244741, -0.248018, -0.250872, -0.253437, -0.255794, -0.257993, -0.260071, -0.262051, -0.263953, -0.26579, -0.267576, -0.26932, -0.271034, -0.274415, -0.278206, -0.388, -2.39522", \
+					  "3.27698, 0.813521, 0.347048, 0.174637, 2.37072e-09, -0.081353, -0.150561, -0.206586, -0.245538, -0.2658, -0.276209, -0.282802, -0.287666, -0.291592, -0.294944, -0.297911, -0.300605, -0.303095, -0.305428, -0.307637, -0.309746, -0.311774, -0.313735, -0.315643, -0.317511, -0.321174, -0.324883, -0.417262, -2.42289", \
+					  "3.28646, 0.850166, 0.364326, 0.184037, 1.6586e-09, -0.0870838, -0.162701, -0.226067, -0.274035, -0.302034, -0.316205, -0.324656, -0.330621, -0.335296, -0.339204, -0.342609, -0.345664, -0.348461, -0.35106, -0.353505, -0.355826, -0.358046, -0.360184, -0.362255, -0.364275, -0.368215, -0.372128, -0.448105, -2.45079", \
+					  "3.2962, 0.885425, 0.379654, 0.192361, 1.63169e-09, -0.0921161, -0.1734, -0.243248, -0.299318, -0.336022, -0.355184, -0.366025, -0.373332, -0.378879, -0.383413, -0.387302, -0.390747, -0.39387, -0.39675, -0.399441, -0.401981, -0.404398, -0.406715, -0.408951, -0.411122, -0.415337, -0.41949, -0.48066, -2.47881", \
+					  "3.30618, 0.919293, 0.393226, 0.199713, 1.63576e-09, -0.0965287, -0.182815, -0.258386, -0.321551, -0.367122, -0.392654, -0.406603, -0.415564, -0.42214, -0.427391, -0.431817, -0.435688, -0.439162, -0.44234, -0.445289, -0.448056, -0.450677, -0.453178, -0.455582, -0.457907, -0.462396, -0.46679, -0.515182, -2.50692", \
+					  "3.31642, 0.951687, 0.40522, 0.206188, 1.65276e-09, -0.100391, -0.191088, -0.271713, -0.341048, -0.394929, -0.428081, -0.446073, -0.457108, -0.464914, -0.47099, -0.47602, -0.48036, -0.484215, -0.487711, -0.490933, -0.493939, -0.496772, -0.499463, -0.502039, -0.504521, -0.509287, -0.513921, -0.552072, -2.53508", \
+					  "3.33756, 1.0113, 0.425082, 0.216844, 1.73744e-09, -0.106705, -0.204696, -0.293717, -0.373115, -0.440685, -0.490624, -0.520236, -0.537258, -0.548388, -0.556567, -0.563069, -0.568513, -0.57324, -0.577452, -0.581278, -0.584804, -0.588093, -0.59119, -0.59413, -0.596942, -0.602281, -0.607397, -0.633948, -2.59155", \
+					  "3.35572, 1.06199, 0.440299, 0.224929, 1.91802e-09, -0.111467, -0.215062, -0.310605, -0.397717, -0.475296, -0.539856, -0.585072, -0.611764, -0.628047, -0.639243, -0.647721, -0.654578, -0.660379, -0.665445, -0.669975, -0.674096, -0.677899, -0.681446, -0.684785, -0.687954, -0.693905, -0.699525, -0.7222, -2.64815", \
+					  "3.38312, 1.14644, 0.465822, 0.238174, 3.45601e-09, -0.1193, -0.232639, -0.339937, -0.441086, -0.535894, -0.623916, -0.703943, -0.772659, -0.824345, -0.857985, -0.879654, -0.894776, -0.906215, -0.915406, -0.923115, -0.929788, -0.935702, -0.941037, -0.945919, -0.950438, -0.958641, -0.966046, -0.987467, -2.81319", \
+					  "3.38276, 1.16682, 0.470113, 0.239816, 1.20713e-08, -0.120757, -0.237483, -0.350149, -0.458728, -0.563189, -0.66349, -0.759569, -0.851311, -0.938482, -1.02054, -1.09616, -1.1624, -1.21507, -1.253, -1.27971, -1.2993, -1.31448, -1.3268, -1.33716, -1.34613, -1.36118, -1.37364, -1.40299, -3.06735");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0403070, 0.0805763, 0.1272700, 0.1821111, 0.2682958");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1062961, 0.1462279, 0.1937819, 0.2475670, 0.3342914");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0193946, 0.0334359, 0.0481780, 0.0641486, 0.0843591");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0784238, 0.0975092, 0.1124350, 0.1284155, 0.1489769");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("5.2841");
+						index_3("0.001");
+						index_4("2.6085269, 2.7468652, 2.9483010, 3.1728306, 3.2966178");
+						values("0.375056, 0.600089, 0.750111, 0.600089, 0.375056");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("3.03828");
+						index_3("0.001");
+						index_4("1.4980082, 1.6161057, 1.8030993, 1.9681103, 2.0542694");
+						values("0.450281, 0.72045, 0.900562, 0.72045, 0.450281");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("2.04474");
+						index_3("0.001");
+						index_4("1.0095740, 1.1066290, 1.2518612, 1.3995836, 1.4683595");
+						values("0.483268, 0.77323, 0.966537, 0.77323, 0.483268");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29688");
+						index_2("2.64205");
+						index_3("0.001");
+						index_4("1.3129154, 1.3841305, 1.4859360, 1.6010300, 1.6681935");
+						values("0.21159, 0.338544, 0.42318, 0.338544, 0.21159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("1.51914");
+						index_3("0.001");
+						index_4("0.7579387, 0.8165128, 0.9178404, 0.9923511, 1.0383401");
+						values("0.250921, 0.401473, 0.501841, 0.401473, 0.250921");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49123");
+						index_2("1.02237");
+						index_3("0.001");
+						index_4("0.5117486, 0.5585003, 0.6508118, 0.7045657, 0.7426731");
+						values("0.263963, 0.42234, 0.527926, 0.42234, 0.263963");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39961");
+						index_2("4.55742");
+						index_3("0.001");
+						index_4("2.2383442, 2.4167013, 2.7084353, 2.9274027, 3.0580776");
+						values("0.627788, 1.00446, 1.25558, 1.00446, 0.627788");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("1.43919");
+						index_3("0.001");
+						index_4("0.7168557, 0.7845056, 0.9057971, 1.0701914, 1.1782966");
+						values("1.46884, 1.18014, 0.98767, 1.18014, 1.46884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.815165");
+						index_3("0.001");
+						index_4("0.4084027, 0.4555233, 0.5485801, 0.6699119, 0.7462743");
+						values("1.44026, 1.13441, 0.930514, 1.13441, 1.44026");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.540546");
+						index_3("0.001");
+						index_4("0.2725486, 0.3099407, 0.3819180, 0.4770077, 0.5393148");
+						values("1.42692, 1.11308, 0.903845, 1.11308, 1.42692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08419");
+						index_2("0.719593");
+						index_3("0.001");
+						index_4("0.3630654, 0.3983444, 0.4769490, 0.5494688, 0.6183565");
+						values("1.70089, 1.55142, 1.45177, 1.55142, 1.70089");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("0.407583");
+						index_3("0.001");
+						index_4("0.2089114, 0.2332358, 0.2731154, 0.3444691, 0.3986198");
+						values("1.69263, 1.53821, 1.43526, 1.53821, 1.69263");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31438");
+						index_2("0.270273");
+						index_3("0.001");
+						index_4("0.1403846, 0.1594977, 0.2016276, 0.2479765, 0.2909301");
+						values("1.68964, 1.53342, 1.42928, 1.53342, 1.68964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20258");
+						index_2("1.22275");
+						index_3("0.001");
+						index_4("0.6075333, 0.6802850, 0.8121845, 0.9862958, 1.0990733");
+						values("1.21154, 0.768457, 0.473072, 0.768457, 1.21154");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006308;
+			capacitance : 0.006466;
+			rise_capacitance : 0.006623;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2903870, 0.2167194, 0.1808203, 0.1725987, 0.1621431, 0.1630787, 0.1701159", \
+					  "0.3517586, 0.2625852, 0.2467908, 0.2339915, 0.2186547, 0.2198939, 0.2208276", \
+					  "0.3575614, 0.2625753, 0.2418118, 0.2259608, 0.2226899, 0.2174785, 0.2234780", \
+					  "0.3379311, 0.2691688, 0.2504172, 0.2155865, 0.2097085, 0.2162974, 0.2009380", \
+					  "0.3199293, 0.2460805, 0.2011993, 0.1991105, 0.1832588, 0.1728058, 0.1813689", \
+					  "0.3057951, 0.1849902, 0.1816046, 0.1762141, 0.1707501, 0.1534140, 0.1504500", \
+					  "0.2710067, 0.1881843, 0.1584799, 0.1505920, 0.1290511, 0.1425583, 0.1463680");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1881971, 0.0486578, -0.0519591, -0.1405817, -0.2074576, -0.2689656, -0.3167061", \
+					  "0.2465157, 0.1158852, 0.0041492, -0.0826167, -0.1627522, -0.2341162, -0.3025321", \
+					  "0.2349616, 0.1155727, 0.0154575, -0.0803943, -0.1538625, -0.2275072, -0.2976703", \
+					  "0.2403175, 0.1021466, -0.0008618, -0.0766517, -0.1672905, -0.2394902, -0.3087470", \
+					  "0.2238416, 0.0749895, -0.0233499, -0.1004942, -0.1757952, -0.2513885, -0.3287768", \
+					  "0.1868192, 0.0455965, -0.0274578, -0.1293632, -0.2061640, -0.2444446, -0.3530900", \
+					  "0.1688154, -0.0013992, -0.0576972, -0.1522858, -0.2306626, -0.3064147, -0.3588868");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1124389, -0.0370324, -0.0135520, -0.0114339, -0.0070818, -0.0125950, 0.0032560", \
+					  "-0.1753359, -0.1037286, -0.0692222, -0.0529159, -0.0380022, -0.0405197, -0.0428774", \
+					  "-0.1567825, -0.1085488, -0.0785950, -0.0811010, -0.0751202, -0.0415424, -0.0531572", \
+					  "-0.1615085, -0.0935969, -0.0731147, -0.0463695, -0.0590268, -0.0357456, -0.0459212", \
+					  "-0.1435067, -0.0618622, -0.0280285, -0.0270542, -0.0237812, -0.0159349, -0.0266130", \
+					  "-0.1156395, -0.0355209, -0.0030407, 0.0003558, -0.0024108, -0.0007527, 0.0144875", \
+					  "-0.0823769, 0.0145264, 0.0271701, 0.0346976, -0.0313320, 0.0251892, 0.0389393");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1689424, -0.0324548, 0.0623747, 0.1503575, 0.2201747, 0.2702665, 0.3189309", \
+					  "-0.2272617, -0.1036536, 0.0044016, 0.0900175, 0.1720989, 0.2433956, 0.3107109", \
+					  "-0.2209154, -0.1020824, -0.0022010, 0.0838623, 0.1653869, 0.2471653, 0.3150773", \
+					  "-0.2241153, -0.0917080, 0.0009943, 0.0988993, 0.1757612, 0.2530206, 0.3194287", \
+					  "-0.2030618, -0.0614991, 0.0356672, 0.1103316, 0.1907113, 0.2828543, 0.3250959", \
+					  "-0.1751947, -0.0336320, 0.0394645, 0.1411576, 0.2193612, 0.2954627, 0.3586159", \
+					  "-0.1388804, 0.0179414, 0.0712011, 0.1733526, 0.2444388, 0.2848722, 0.3857750");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426802, 0.0421167, 0.0415531, 0.0417052, 0.0418512, 0.0420033, 0.0421554");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539543, 0.0532218, 0.0524894, 0.0529691, 0.0534296, 0.0539093, 0.0543890");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105934";
+				miller_cap_rise : "0.000882978";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90897e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87978e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88788e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89223e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89796e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90983e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18671e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07576e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0352969, 0.0655231, 0.1028879, 0.1456022, 0.2135841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012179, 0.1315366, 0.1687247, 0.2114251, 0.2790374");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189672, 0.0299946, 0.0414811, 0.0541775, 0.0708563");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764691, 0.0933270, 0.1057129, 0.1182985, 0.1342493");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.1779");
+						index_3("0.001");
+						index_4("2.0620479, 2.1703718, 2.3170598, 2.5193980, 2.6102197");
+						values("0.381848, 0.610956, 0.763695, 0.610956, 0.381848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.40751");
+						index_3("0.001");
+						index_4("1.1876642, 1.2803916, 1.4317039, 1.5580779, 1.6312577");
+						values("0.460039, 0.736062, 0.920078, 0.736062, 0.460039");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62267");
+						index_3("0.001");
+						index_4("0.8009090, 0.8778644, 1.0105402, 1.1105243, 1.1670995");
+						values("0.489879, 0.783806, 0.979758, 0.783806, 0.489879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08895");
+						index_3("0.001");
+						index_4("1.0414090, 1.0973015, 1.1934204, 1.2685499, 1.3167395");
+						values("0.216934, 0.347095, 0.433869, 0.347095, 0.216934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20376");
+						index_3("0.001");
+						index_4("0.6034180, 0.6478973, 0.7283285, 0.7863906, 0.8240470");
+						values("0.255174, 0.408279, 0.510349, 0.408279, 0.255174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811337");
+						index_3("0.001");
+						index_4("0.4091721, 0.4471613, 0.4992892, 0.5615693, 0.5910826");
+						values("0.271598, 0.434557, 0.543196, 0.434557, 0.271598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.61127");
+						index_3("0.001");
+						index_4("1.7719474, 1.9148132, 2.1083912, 2.3227595, 2.4269738");
+						values("0.636041, 1.01766, 1.27208, 1.01766, 0.636041");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20192");
+						index_3("0.001");
+						index_4("0.5992199, 0.6538445, 0.7539387, 0.8963955, 0.9825528");
+						values("1.44543, 1.14269, 0.940865, 1.14269, 1.44543");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681179");
+						index_3("0.001");
+						index_4("0.3430819, 0.3836299, 0.4594002, 0.5594650, 0.6244336");
+						values("1.41855, 1.09968, 0.887097, 1.09968, 1.41855");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451878");
+						index_3("0.001");
+						index_4("0.2293441, 0.2596996, 0.3113658, 0.3994348, 0.4488984");
+						values("1.40745, 1.08191, 0.864893, 1.08191, 1.40745");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.60096");
+						index_3("0.001");
+						index_4("0.3057223, 0.3348752, 0.3906650, 0.4571452, 0.5139293");
+						values("1.69273, 1.53837, 1.43547, 1.53837, 1.69273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340589");
+						index_3("0.001");
+						index_4("0.1763153, 0.1971332, 0.2286381, 0.2881041, 0.3319198");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225939");
+						index_3("0.001");
+						index_4("0.1191702, 0.1356396, 0.1651558, 0.2074370, 0.2418253");
+						values("1.67848, 1.51557, 1.40697, 1.51557, 1.67848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02177");
+						index_3("0.001");
+						index_4("0.5092793, 0.5702216, 0.6753410, 0.8197380, 0.9143627");
+						values("1.17297, 0.706758, 0.395947, 0.706758, 1.17297");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028484;
+			capacitance : 0.028428;
+			fall_capacitance : 0.028373;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0546577, 0.0614792, 0.1776162, 0.3267601, 0.4699383, 0.6190822, 0.7682261");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3198161, 0.4472738, 0.5747315, 0.7260719, 0.8713586, 1.0226989, 1.1740393");
+				}
+			}*/
+			/* Copied from enable_vswitch_h of gpio_ovtv3. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032530;
+			capacitance : 0.032824;
+			rise_capacitance : 0.033119;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1512753, 0.1519221, 0.1525690, 0.1554335, 0.1581834, 0.1610478, 0.1639123");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1459064, 0.1479861, 0.1500657, 0.1550634, 0.1598612, 0.1648588, 0.1698565");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000855551";
+				miller_cap_rise : "0.000651733";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("1.90843, 0.611514, 0.562003, 0.537685, 0.509056, 0.493134, 0.476152, 0.458117, 0.43904, 0.41893, 0.397797, 0.375652, 0.352504, 0.328362, 0.303238, 0.277139, 0.250077, 0.222061, 0.193099, 0.163202, 0.132379, 0.100638, 0.0679882, 0.0344394, -1.09139e-08, -0.0684885, -0.134721, -0.338268, -1.56863", \
+					  "1.83259, 0.418022, 0.398824, 0.391015, 0.381464, 0.375715, 0.369056, 0.36124, 0.352051, 0.341353, 0.329092, 0.315256, 0.299852, 0.282897, 0.26441, 0.244413, 0.222927, 0.199973, 0.175574, 0.149751, 0.122524, 0.0939156, 0.0639453, 0.0326336, -1.09139e-08, -0.0657957, -0.130223, -0.33246, -1.56852", \
+					  "1.7826, 0.288011, 0.263787, 0.259744, 0.255223, 0.252724, 0.25002, 0.247059, 0.243771, 0.240052, 0.235742, 0.230593, 0.224229, 0.216231, 0.206326, 0.194414, 0.180484, 0.164558, 0.146665, 0.126841, 0.105122, 0.0815427, 0.0561395, 0.0289472, -7.27596e-09, -0.059761, -0.119735, -0.315686, -1.56643", \
+					  "1.76983, 0.272589, 0.216434, 0.213201, 0.209658, 0.207737, 0.205691, 0.203492, 0.201106, 0.198483, 0.195549, 0.192196, 0.188244, 0.183387, 0.177145, 0.169026, 0.158773, 0.146321, 0.13168, 0.114883, 0.0959695, 0.074982, 0.0519633, 0.0269555, -7.27596e-09, -0.0564815, -0.114045, -0.306407, -1.56529", \
+					  "1.75873, 0.280679, 0.169379, 0.166835, 0.164097, 0.162636, 0.1611, 0.159476, 0.157745, 0.155882, 0.153855, 0.151617, 0.149096, 0.146178, 0.14266, 0.138148, 0.13201, 0.123706, 0.113028, 0.0999515, 0.0845068, 0.0667392, 0.0466986, 0.0244355, -7.27596e-09, -0.0523399, -0.106885, -0.294666, -1.56388", \
+					  "1.75377, 0.290033, 0.146366, 0.144117, 0.141733, 0.14047, 0.139151, 0.137764, 0.136298, 0.134735, 0.133054, 0.131222, 0.129195, 0.126904, 0.124232, 0.120962, 0.116638, 0.110534, 0.102105, 0.0911816, 0.0777585, 0.0618759, 0.0435854, 0.0229418, -7.27596e-09, -0.049892, -0.102667, -0.287731, -1.56306", \
+					  "1.74926, 0.301994, 0.124204, 0.12197, 0.119914, 0.118832, 0.117708, 0.116534, 0.115302, 0.114, 0.112613, 0.11112, 0.109493, 0.10769, 0.105643, 0.103238, 0.100239, 0.0961059, 0.0900146, 0.0814368, 0.0702443, 0.0564515, 0.0401076, 0.0212706, -7.27596e-09, -0.0471605, -0.0979731, -0.280006, -1.56215", \
+					  "1.74525, 0.316732, 0.105175, 0.100629, 0.0988739, 0.0979592, 0.0970134, 0.0960317, 0.095008, 0.0939346, 0.0928016, 0.0915956, 0.0902986, 0.0888848, 0.0873156, 0.0855287, 0.0834098, 0.0806999, 0.076755, 0.0706499, 0.0618975, 0.0504134, 0.0362294, 0.0194037, -1.45519e-08, -0.0441188, -0.0927621, -0.271428, -1.56114", \
+					  "1.74183, 0.333833, 0.095093, 0.0804299, 0.0788828, 0.0781223, 0.0773399, 0.0765325, 0.0756959, 0.0748251, 0.0739137, 0.0729532, 0.0719326, 0.0708363, 0.0696419, 0.0683156, 0.0668004, 0.0649851, 0.0625821, 0.0588114, 0.0526612, 0.0437091, 0.0319135, 0.0173218, -2.54659e-07, -0.0407395, -0.0869908, -0.261939, -1.56005", \
+					  "1.73909, 0.353048, 0.0953258, 0.0625188, 0.0602451, 0.0596271, 0.0589951, 0.0583463, 0.0576783, 0.0569879, 0.0562711, 0.0555227, 0.054736, 0.0539022, 0.0530084, 0.0520365, 0.0509577, 0.0497225, 0.0482246, 0.0461398, 0.0425182, 0.0362898, 0.0271179, 0.0149987, -7.2032e-06, -0.0370003, -0.0806221, -0.251498, -1.55885", \
+					  "1.73714, 0.374115, 0.10268, 0.0513497, 0.043291, 0.042782, 0.0422827, 0.0417738, 0.0412533, 0.0407192, 0.0401691, 0.0396001, 0.0390083, 0.0383888, 0.0377349, 0.0370369, 0.0362808, 0.0354442, 0.034486, 0.0333084, 0.0315401, 0.0280283, 0.0216909, 0.0122884, -0.000151096, -0.0330088, -0.073755, -0.240234, -1.55764", \
+					  "1.73612, 0.396826, 0.114905, 0.0507709, 0.0283083, 0.0275416, 0.0271023, 0.0266707, 0.0262362, 0.0257957, 0.0253474, 0.0248893, 0.0244193, 0.0239349, 0.0234326, 0.0229078, 0.0223542, 0.0217619, 0.021115, 0.0203829, 0.0194803, 0.0179808, 0.0145071, 0.0080247, -0.00160952, -0.0299599, -0.0676199, -0.229517, -1.55721", \
+					  "1.73623, 0.421175, 0.130846, 0.0574215, 0.0157346, 0.0118222, 0.0110214, 0.0104443, 0.00991151, 0.00939475, 0.00888439, 0.00837573, 0.00786581, 0.00735233, 0.00683313, 0.00630585, 0.00576759, 0.00521443, 0.00464059, 0.00403652, 0.00338278, 0.00261352, 0.00125864, -0.00227103, -0.00890847, -0.0323831, -0.0667881, -0.223955, -1.56007", \
+					  "1.7377, 0.447491, 0.149892, 0.0674831, 0.00670523, -0.00687299, -0.0107257, -0.0121166, -0.0130974, -0.0139418, -0.0147212, -0.0154637, -0.016183, -0.0168872, -0.0175813, -0.0182688, -0.0189526, -0.0196353, -0.0203195, -0.0210084, -0.0217068, -0.0224245, -0.0232018, -0.024513, -0.0280793, -0.0460294, -0.0767767, -0.228261, -1.56854", \
+					  "1.74087, 0.476325, 0.171592, 0.0795282, 0.00191361, -0.023308, -0.0358754, -0.0400925, -0.0421588, -0.0436449, -0.0448891, -0.0460046, -0.0470415, -0.0480258, -0.0489728, -0.0498923, -0.0507908, -0.0516729, -0.0525424, -0.0534022, -0.0542551, -0.0551041, -0.0559538, -0.056827, -0.0582344, -0.0699742, -0.0963599, -0.240584, -1.58141", \
+					  "1.74565, 0.507353, 0.194521, 0.0925447, 0.000370566, -0.0351102, -0.058593, -0.0694993, -0.073927, -0.0765384, -0.0784966, -0.080139, -0.0815997, -0.0829435, -0.0842065, -0.0854106, -0.0865701, -0.0876947, -0.0887915, -0.0898659, -0.0909222, -0.0919643, -0.0929957, -0.0940208, -0.0950752, -0.101138, -0.122186, -0.257594, -1.59674", \
+					  "1.75129, 0.538991, 0.216304, 0.104869, 5.99583e-05, -0.0440615, -0.0775021, -0.0980912, -0.107177, -0.111699, -0.114711, -0.117063, -0.119061, -0.120841, -0.122475, -0.124005, -0.125457, -0.12685, -0.128195, -0.129503, -0.130779, -0.13203, -0.133262, -0.134479, -0.135688, -0.138862, -0.153012, -0.27774, -1.61352", \
+					  "1.75732, 0.570273, 0.235789, 0.115785, 7.41355e-06, -0.0513793, -0.0933079, -0.123837, -0.140462, -0.148199, -0.152757, -0.156051, -0.158713, -0.161005, -0.163058, -0.164945, -0.166711, -0.168385, -0.169986, -0.171529, -0.173026, -0.174484, -0.175911, -0.177315, -0.178701, -0.181533, -0.188961, -0.30041, -1.63122", \
+					  "1.76366, 0.600905, 0.252895, 0.125298, 4.96232e-07, -0.0575168, -0.10662, -0.145863, -0.171825, -0.18476, -0.191639, -0.196204, -0.199696, -0.202595, -0.205125, -0.207406, -0.209508, -0.211476, -0.213341, -0.215124, -0.21684, -0.218503, -0.220121, -0.221704, -0.223261, -0.226337, -0.230348, -0.325346, -1.64953", \
+					  "1.77029, 0.630741, 0.267851, 0.133561, 2.07681e-08, -0.0627125, -0.117892, -0.164465, -0.199646, -0.220029, -0.230432, -0.236759, -0.241314, -0.244947, -0.248029, -0.250751, -0.25322, -0.255503, -0.257645, -0.259675, -0.261615, -0.263483, -0.265291, -0.267051, -0.268774, -0.272148, -0.275607, -0.352469, -1.66825", \
+					  "1.77725, 0.659629, 0.280926, 0.140734, 1.32693e-09, -0.0671326, -0.127476, -0.18021, -0.223374, -0.252617, -0.268243, -0.277072, -0.283024, -0.287562, -0.291296, -0.294521, -0.297398, -0.300024, -0.30246, -0.30475, -0.306923, -0.309001, -0.311002, -0.312939, -0.314827, -0.318499, -0.322128, -0.381832, -1.68725", \
+					  "1.78457, 0.687368, 0.292361, 0.146962, 1.43245e-09, -0.0709056, -0.135653, -0.193598, -0.243382, -0.281381, -0.304118, -0.316543, -0.324371, -0.330053, -0.334571, -0.338379, -0.341716, -0.34472, -0.347477, -0.350044, -0.352461, -0.354759, -0.356958, -0.359077, -0.361131, -0.365102, -0.368982, -0.413597, -1.70643", \
+					  "1.79231, 0.713693, 0.302361, 0.152368, 1.40133e-09, -0.0741347, -0.142651, -0.205028, -0.260299, -0.305902, -0.337026, -0.35453, -0.364937, -0.372093, -0.377572, -0.382069, -0.385933, -0.389359, -0.392467, -0.395334, -0.398013, -0.400542, -0.402949, -0.405256, -0.407482, -0.411755, -0.415894, -0.448058, -1.72575", \
+					  "1.80052, 0.738277, 0.3111, 0.15706, 1.39817e-09, -0.0769034, -0.148652, -0.214818, -0.274684, -0.326524, -0.366066, -0.390299, -0.404281, -0.41338, -0.42006, -0.425382, -0.429858, -0.433763, -0.437262, -0.440457, -0.443418, -0.446194, -0.448821, -0.451325, -0.453729, -0.458312, -0.462714, -0.485663, -1.74517", \
+					  "1.80925, 0.760751, 0.31873, 0.161127, 1.40702e-09, -0.0792799, -0.153807, -0.223222, -0.286977, -0.343893, -0.390844, -0.423015, -0.441895, -0.453602, -0.461812, -0.468139, -0.473335, -0.477791, -0.481728, -0.485286, -0.488555, -0.491597, -0.494458, -0.49717, -0.499762, -0.504668, -0.509338, -0.526862, -1.76468", \
+					  "1.82849, 0.798147, 0.331159, 0.16769, 1.46981e-09, -0.0830712, -0.162046, -0.236665, -0.306576, -0.37117, -0.429029, -0.476595, -0.509372, -0.529334, -0.542152, -0.551302, -0.558406, -0.564251, -0.56926, -0.573678, -0.57766, -0.581309, -0.584694, -0.587869, -0.59087, -0.596475, -0.601713, -0.617284, -1.80397", \
+					  "1.85021, 0.82521, 0.340494, 0.172558, 1.61523e-09, -0.085854, -0.168123, -0.24661, -0.32107, -0.391144, -0.456147, -0.514431, -0.562173, -0.595356, -0.61624, -0.630046, -0.640058, -0.647885, -0.654341, -0.659875, -0.664751, -0.669139, -0.673151, -0.676865, -0.68034, -0.686736, -0.692605, -0.70923, -1.84356", \
+					  "1.88348, 0.865817, 0.355699, 0.180309, 2.89038e-09, -0.0902715, -0.177964, -0.262979, -0.345206, -0.42452, -0.50076, -0.573689, -0.64289, -0.707472, -0.765385, -0.812872, -0.847228, -0.870616, -0.887083, -0.899449, -0.909283, -0.917455, -0.924471, -0.930647, -0.936188, -0.945887, -0.954287, -0.975466, -1.95953", \
+					  "1.87887, 0.874781, 0.357913, 0.181172, 1.00903e-08, -0.090971, -0.180217, -0.267695, -0.353362, -0.437174, -0.519081, -0.599032, -0.676966, -0.752814, -0.826483, -0.897842, -0.966667, -1.03255, -1.09463, -1.15121, -1.1996, -1.23767, -1.26609, -1.28737, -1.30387, -1.32831, -1.34624, -1.38293, -2.14046");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0386380, 0.0774858, 0.1242609, 0.1784726, 0.2637188");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1047665, 0.1431079, 0.1903832, 0.2434017, 0.3302853");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0186925, 0.0325560, 0.0472792, 0.0635025, 0.0854210");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0775415, 0.0968992, 0.1117395, 0.1281227, 0.1499168");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("5.21972");
+						index_3("0.001");
+						index_4("2.5723522, 2.7140427, 2.9437388, 3.1454498, 3.2568107");
+						values("0.380758, 0.609213, 0.761516, 0.609213, 0.380758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("2.98718");
+						index_3("0.001");
+						index_4("1.4699309, 1.5867952, 1.7892037, 1.9368050, 2.0224461");
+						values("0.450741, 0.721186, 0.901482, 0.721186, 0.450741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("2.00397");
+						index_3("0.001");
+						index_4("0.9858201, 1.0812306, 1.2639550, 1.3707861, 1.4428887");
+						values("0.479594, 0.76735, 0.959188, 0.76735, 0.479594");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29686");
+						index_2("2.60986");
+						index_3("0.001");
+						index_4("1.2976038, 1.3670617, 1.4672662, 1.5826670, 1.6527881");
+						values("0.217104, 0.347367, 0.434208, 0.347367, 0.217104");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("1.49359");
+						index_3("0.001");
+						index_4("0.7459378, 0.8034018, 0.8896262, 0.9747554, 1.0220642");
+						values("0.255384, 0.408614, 0.510768, 0.408614, 0.255384");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49072");
+						index_2("1.00198");
+						index_3("0.001");
+						index_4("0.5010090, 0.5473467, 0.6296929, 0.6910191, 0.7309120");
+						values("0.272208, 0.435533, 0.544416, 0.435533, 0.272208");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39915");
+						index_2("4.48077");
+						index_3("0.001");
+						index_4("2.1974381, 2.3754435, 2.6377868, 2.8791292, 3.0096060");
+						values("0.628482, 1.00557, 1.25696, 1.00557, 0.628482");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.41555");
+						index_3("0.001");
+						index_4("0.7047213, 0.7701521, 0.8790189, 1.0540883, 1.1569896");
+						values("1.47838, 1.19541, 1.00676, 1.19541, 1.47838");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.800416");
+						index_3("0.001");
+						index_4("0.4002901, 0.4473567, 0.5335182, 0.6568220, 0.7340727");
+						values("1.44599, 1.14359, 0.941982, 1.14359, 1.44599");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.530178");
+						index_3("0.001");
+						index_4("0.2660415, 0.3026688, 0.3731364, 0.4677235, 0.5291988");
+						values("1.42914, 1.11662, 0.908273, 1.11662, 1.42914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.707774");
+						index_3("0.001");
+						index_4("0.3565863, 0.3909566, 0.4542554, 0.5384325, 0.6079411");
+						values("1.70091, 1.55146, 1.45182, 1.55146, 1.70091");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("0.400208");
+						index_3("0.001");
+						index_4("0.2049228, 0.2288798, 0.2835496, 0.3376822, 0.3911442");
+						values("1.69996, 1.54993, 1.44992, 1.54993, 1.69996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31389");
+						index_2("0.265089");
+						index_3("0.001");
+						index_4("0.1364989, 0.1559512, 0.1913174, 0.2433943, 0.2856520");
+						values("1.68719, 1.52951, 1.42438, 1.52951, 1.68719");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20228");
+						index_2("1.20062");
+						index_3("0.001");
+						index_4("0.5956411, 0.6667822, 0.8056963, 0.9688685, 1.0767308");
+						values("1.227, 0.793204, 0.504005, 0.793204, 1.227");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051707;
+			capacitance : 0.052126;
+			rise_capacitance : 0.052544;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0196528, -0.0197741, -0.0198954, -0.0198871, -0.0198792, -0.0198709, -0.0198626");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0202093, 0.0201009, 0.0199926, 0.0199895, 0.0199866, 0.0199836, 0.0199806");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00145923";
+				miller_cap_rise : "0.00051885";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.42798, 0.610543, 0.428185, 0.41954, 0.40904, 0.4028, 0.395651, 0.387314, 0.37743, 0.365649, 0.351872, 0.336318, 0.319232, 0.300725, 0.280821, 0.259511, 0.236775, 0.212585, 0.186913, 0.159728, 0.130999, 0.100694, 0.0687815, 0.035228, -9.24485e-09, -0.072621, -0.146786, -0.415699, -2.91428", \
+					  "3.29358, 0.466754, 0.252642, 0.248593, 0.243957, 0.241351, 0.238502, 0.235355, 0.231835, 0.227837, 0.223206, 0.217717, 0.211042, 0.202807, 0.192816, 0.181126, 0.167812, 0.152879, 0.136301, 0.118039, 0.0980543, 0.0763024, 0.0527395, 0.0273207, -6.76313e-09, -0.0577831, -0.118395, -0.348497, -2.90792", \
+					  "3.21905, 0.387586, 0.153276, 0.151001, 0.14847, 0.147084, 0.1456, 0.144, 0.142261, 0.140353, 0.138234, 0.135846, 0.133102, 0.129871, 0.125944, 0.121003, 0.114665, 0.106693, 0.0970078, 0.0855596, 0.072296, 0.0571632, 0.0401068, 0.0210713, -6.61407e-09, -0.0461677, -0.09641, -0.294969, -2.90204", \
+					  "3.19614, 0.363578, 0.122465, 0.120666, 0.118684, 0.117607, 0.116462, 0.115237, 0.113917, 0.112483, 0.110909, 0.10916, 0.107186, 0.104913, 0.102225, 0.0989336, 0.0947295, 0.0892099, 0.0820711, 0.0731764, 0.0624503, 0.0498299, 0.0352549, 0.0186652, -2.79349e-09, -0.0417222, -0.0880501, -0.274687, -2.89988", \
+					  "3.17469, 0.341572, 0.0934303, 0.092054, 0.0905538, 0.0897463, 0.0888931, 0.0879871, 0.087019, 0.0859775, 0.0848473, 0.0836084, 0.0822329, 0.0806808, 0.0788922, 0.0767716, 0.0741571, 0.0707623, 0.066151, 0.0599267, 0.0518923, 0.041952, 0.0300341, 0.0160722, -3.40932e-09, -0.0369473, -0.0791042, -0.253014, -2.89761", \
+					  "3.16463, 0.331477, 0.0797452, 0.0785569, 0.077274, 0.0765867, 0.075863, 0.0750974, 0.0742829, 0.0734108, 0.0724698, 0.071445, 0.070316, 0.0690541, 0.0676174, 0.0659401, 0.0639134, 0.0613401, 0.0578579, 0.0529705, 0.0463327, 0.037796, 0.0272756, 0.0147, -3.53603e-09, -0.0344274, -0.0743969, -0.241616, -2.89642", \
+					  "3.15507, 0.322095, 0.0667416, 0.0657048, 0.0646236, 0.0640472, 0.0634424, 0.0628052, 0.0621302, 0.0614111, 0.0606396, 0.0598047, 0.058892, 0.0578813, 0.0567435, 0.0554347, 0.0538836, 0.0519639, 0.0494274, 0.0458066, 0.0405825, 0.0334893, 0.0244131, 0.0132744, -2.72718e-09, -0.0318142, -0.0695253, -0.229817, -2.89519", \
+					  "3.14609, 0.313529, 0.0546414, 0.0535912, 0.0526957, 0.052221, 0.0517249, 0.0512044, 0.0506555, 0.0500737, 0.0494531, 0.0487859, 0.0480621, 0.0472678, 0.0463834, 0.0453802, 0.0442128, 0.0428038, 0.0410035, 0.0384806, 0.0346458, 0.029029, 0.0214431, 0.0117929, -1.13024e-08, -0.0291039, -0.0644835, -0.217595, -2.89393", \
+					  "3.13777, 0.305888, 0.0441249, 0.0423245, 0.041595, 0.0412132, 0.0408157, 0.0404004, 0.0399647, 0.0395054, 0.0390182, 0.038498, 0.037938, 0.037329, 0.0366582, 0.0359075, 0.0350488, 0.0340363, 0.0327861, 0.0311111, 0.0285448, 0.024415, 0.0183623, 0.0102531, -5.92545e-08, -0.0262927, -0.0592657, -0.204934, -2.89263", \
+					  "3.1302, 0.299272, 0.0359787, 0.0320462, 0.0314381, 0.03114, 0.0308312, 0.0305101, 0.030175, 0.0298236, 0.0294534, 0.0290608, 0.0286415, 0.0281898, 0.0276977, 0.027154, 0.0265423, 0.0258367, 0.0249921, 0.023916, 0.0223543, 0.0196547, 0.0151678, 0.00865145, -3.94392e-07, -0.0233771, -0.0538667, -0.19184, -2.89131", \
+					  "3.12346, 0.293747, 0.0300911, 0.0230656, 0.0223505, 0.0221265, 0.0218964, 0.0216585, 0.0214116, 0.0211544, 0.0208853, 0.020602, 0.0203021, 0.0199821, 0.0196375, 0.0192618, 0.0188459, 0.0183761, 0.0178295, 0.0171634, 0.0162726, 0.0147798, 0.0118559, 0.00698187, -2.71893e-06, -0.0203553, -0.0482839, -0.178373, -2.89", \
+					  "3.11768, 0.289348, 0.0261175, 0.0162068, 0.0144685, 0.0143035, 0.0141414, 0.0139752, 0.013804, 0.013627, 0.0134432, 0.0132516, 0.0130507, 0.0128386, 0.0126132, 0.0123715, 0.0121086, 0.0118176, 0.0114881, 0.0111019, 0.0106208, 0.0099178, 0.00842035, 0.00522509, -1.88618e-05, -0.0172353, -0.0425273, -0.164666, -2.8887", \
+					  "3.11299, 0.286073, 0.0238992, 0.0119615, 0.00797415, 0.00780905, 0.00769616, 0.007585, 0.00747255, 0.00735792, 0.00724048, 0.00711962, 0.00699472, 0.00686542, 0.00673296, 0.00659514, 0.0064482, 0.00628921, 0.00611408, 0.00591623, 0.00568349, 0.00538535, 0.00485903, 0.00329565, -0.000132552, -0.014096, -0.0366843, -0.150956, -2.88748", \
+					  "3.10969, 0.284263, 0.0235449, 0.0100493, 0.00323246, 0.00268649, 0.00253442, 0.00242724, 0.00233106, 0.00223959, 0.00215059, 0.0020632, 0.00197804, 0.00190027, 0.00183436, 0.00177072, 0.00170502, 0.001636, 0.00156244, 0.00148257, 0.0013935, 0.0012894, 0.00115052, 0.000763923, -0.000867302, -0.0114534, -0.0312945, -0.137907, -2.88668", \
+					  "3.10821, 0.284263, 0.0253663, 0.0103948, 0.00075921, -0.00116307, -0.00182405, -0.00210854, -0.00229851, -0.00244976, -0.00257834, -0.00268886, -0.00277818, -0.00283697, -0.00286982, -0.00289421, -0.00291658, -0.00293848, -0.00296051, -0.00298311, -0.00300677, -0.00303225, -0.00306129, -0.00310583, -0.00348496, -0.0105777, -0.0276758, -0.12688, -2.88707", \
+					  "3.10834, 0.285758, 0.0287741, 0.0122998, 9.90841e-05, -0.00360854, -0.00562641, -0.00655271, -0.00704948, -0.00738165, -0.00762852, -0.00781499, -0.00794462, -0.00801726, -0.00805153, -0.00807075, -0.00808443, -0.00809552, -0.00810518, -0.00811395, -0.00812217, -0.00813005, -0.00813784, -0.0081462, -0.00819073, -0.0118834, -0.0262072, -0.118176, -2.8888", \
+					  "3.10908, 0.287732, 0.0324215, 0.0144648, 9.06642e-06, -0.00525854, -0.00888902, -0.0110636, -0.0122593, -0.0129678, -0.0134349, -0.0137535, -0.0139574, -0.0140698, -0.0141273, -0.014161, -0.0141841, -0.0142019, -0.0142164, -0.0142289, -0.0142399, -0.0142499, -0.014259, -0.0142676, -0.0142786, -0.0154695, -0.0264744, -0.111178, -2.89144", \
+					  "3.10992, 0.289724, 0.035772, 0.0164109, 6.75767e-07, -0.00653487, -0.0115518, -0.0151252, -0.017442, -0.0188553, -0.0197269, -0.020275, -0.0206055, -0.0207879, -0.0208884, -0.0209509, -0.020995, -0.021029, -0.0210568, -0.0210805, -0.0211012, -0.0211197, -0.0211366, -0.0211521, -0.0211668, -0.0213805, -0.0282322, -0.105366, -2.89463", \
+					  "3.11073, 0.29164, 0.0387647, 0.0181018, 5.27976e-08, -0.00756754, -0.0136978, -0.0184548, -0.0219377, -0.0243183, -0.0258519, -0.0267984, -0.0273519, -0.0276594, -0.0278371, -0.0279523, -0.0280353, -0.0280998, -0.0281526, -0.0281975, -0.0282367, -0.0282717, -0.0283033, -0.0283324, -0.0283593, -0.0284274, -0.0314666, -0.100294, -2.8981", \
+					  "3.11148, 0.293455, 0.041427, 0.0195705, 1.06868e-08, -0.00841942, -0.015445, -0.0211374, -0.0255743, -0.0288631, -0.0311547, -0.032639, -0.033526, -0.0340339, -0.0343408, -0.0345467, -0.034698, -0.0348169, -0.0349147, -0.034998, -0.0350707, -0.0351355, -0.035194, -0.0352476, -0.0352971, -0.0353882, -0.0362414, -0.0954727, -2.90152", \
+					  "3.11218, 0.295148, 0.0437971, 0.0208521, 7.91304e-09, -0.00913298, -0.0168894, -0.0233232, -0.0284984, -0.0324949, -0.0354147, -0.0373919, -0.0386191, -0.0393534, -0.0398183, -0.0401411, -0.0403839, -0.0405774, -0.0407382, -0.0408761, -0.0409971, -0.0411052, -0.0412031, -0.0412929, -0.041376, -0.0415263, -0.041774, -0.0903877, -2.90453", \
+					  "3.11282, 0.296711, 0.0459112, 0.0219766, 7.72743e-09, -0.00973877, -0.0181015, -0.0251333, -0.0308852, -0.0354168, -0.0388009, -0.0411408, -0.0426257, -0.0435427, -0.0441435, -0.044572, -0.0449005, -0.0451657, -0.0453884, -0.0455807, -0.0457504, -0.0459028, -0.0460413, -0.0461688, -0.046287, -0.0465017, -0.0467071, -0.0848533, -2.90689", \
+					  "3.1134, 0.298143, 0.0478023, 0.0229686, 7.71178e-09, -0.0102592, -0.0191325, -0.0266558, -0.0328676, -0.0378114, -0.0415387, -0.0441356, -0.0458004, -0.0468487, -0.0475506, -0.0480602, -0.0484555, -0.0487778, -0.0490501, -0.0492865, -0.049496, -0.0496846, -0.0498567, -0.0500153, -0.0501628, -0.0504312, -0.0506734, -0.0793118, -2.90861", \
+					  "3.11392, 0.299451, 0.049499, 0.0238486, 7.70794e-09, -0.0107108, -0.0200198, -0.0279537, -0.0345404, -0.0398098, -0.0437977, -0.0465813, -0.0483741, -0.0495175, -0.0502944, -0.050865, -0.0513115, -0.0516776, -0.0519883, -0.0522589, -0.0524994, -0.0527163, -0.0529146, -0.0530976, -0.053268, -0.0535785, -0.0538577, -0.0745893, -2.90986", \
+					  "3.11439, 0.300642, 0.0510263, 0.0246331, 7.70533e-09, -0.0111064, -0.0207912, -0.0290733, -0.0359711, -0.0415037, -0.0456952, -0.0486189, -0.0505064, -0.0517211, -0.0525552, -0.0531731, -0.0536595, -0.05406, -0.054401, -0.0546987, -0.0549636, -0.055203, -0.055422, -0.0556244, -0.0558129, -0.0561567, -0.056466, -0.0714632, -2.91079", \
+					  "3.11518, 0.302722, 0.053655, 0.0259686, 7.70095e-09, -0.0117661, -0.0220663, -0.0309063, -0.0382907, -0.0442222, -0.0487096, -0.0518273, -0.0538444, -0.0551588, -0.0560747, -0.0567609, -0.0573055, -0.0577565, -0.0581421, -0.0584798, -0.0587809, -0.0590536, -0.0593033, -0.0595343, -0.0597497, -0.0601429, -0.0604969, -0.070431, -2.91218", \
+					  "3.11583, 0.304416, 0.0558257, 0.0270592, 7.69708e-09, -0.0122936, -0.023076, -0.0323435, -0.0400905, -0.0463097, -0.0510004, -0.0542448, -0.0563458, -0.0577264, -0.058698, -0.0594314, -0.0600166, -0.0605031, -0.0609201, -0.061286, -0.0616128, -0.061909, -0.0621806, -0.0624319, -0.0626663, -0.0630945, -0.0634801, -0.0726014, -2.91351", \
+					  "3.11717, 0.308149, 0.0604801, 0.0293699, 7.68769e-09, -0.0133847, -0.0251386, -0.0352421, -0.0436753, -0.0504157, -0.0554521, -0.0588981, -0.0611331, -0.0626243, -0.063691, -0.0645067, -0.0651635, -0.0657129, -0.066186, -0.0666024, -0.0669753, -0.0673138, -0.0676245, -0.0679123, -0.068181, -0.0686721, -0.0691146, -0.0782347, -2.917", \
+					  "3.11831, 0.311589, 0.0648492, 0.0315196, 7.67853e-09, -0.0143764, -0.0269851, -0.037799, -0.0467924, -0.0539357, -0.0592175, -0.0627949, -0.0651197, -0.0666892, -0.0678259, -0.0687033, -0.0694144, -0.070012, -0.0705283, -0.0709839, -0.0713924, -0.0717638, -0.0721051, -0.0724214, -0.0727168, -0.0732569, -0.0737437, -0.0829469, -2.92");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1031292, 0.2409167, 0.3809640, 0.5044836, 0.6644850");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1719577, 0.3094758, 0.4506616, 0.5739375, 0.7356512");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1411594, 0.2749152, 0.4367432, 0.6628533, 1.0126625");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2069896, 0.3410303, 0.5029552, 0.7286762, 1.0819313");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("16.7404");
+						index_3("0.001");
+						index_4("8.3480637, 9.0083334, 10.1955746, 11.3514845, 12.0518054");
+						values("0.473886, 0.758218, 0.947773, 0.758218, 0.473886");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("9.57571");
+						index_3("0.001");
+						index_4("4.7896056, 5.2584266, 6.2427872, 6.9711356, 7.4667711");
+						values("0.495021, 0.792034, 0.990043, 0.792034, 0.495021");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("6.44687");
+						index_3("0.001");
+						index_4("3.2350192, 3.5991025, 4.3409521, 4.9468935, 5.3382550");
+						values("0.505609, 0.808975, 1.01122, 0.808975, 0.505609");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31255");
+						index_2("8.3702");
+						index_3("0.001");
+						index_4("4.1965762, 4.5289027, 5.1215588, 5.7497713, 6.1490821");
+						values("0.242969, 0.388751, 0.485938, 0.388751, 0.242969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("4.78785");
+						index_3("0.001");
+						index_4("2.4108079, 2.6390589, 3.0165440, 3.5395166, 3.8362592");
+						values("0.251345, 0.402153, 0.502691, 0.402153, 0.251345");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50842");
+						index_2("3.22343");
+						index_3("0.001");
+						index_4("1.6333137, 1.8112053, 2.1686827, 2.5142592, 2.7595438");
+						values("0.253959, 0.406335, 0.507919, 0.406335, 0.253959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41667");
+						index_2("14.3636");
+						index_3("0.001");
+						index_4("7.2676797, 7.9873818, 9.1047273, 10.2436148, 10.9765952");
+						values("0.809235, 1.29478, 1.61847, 1.29478, 0.809235");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("20.3831");
+						index_3("0.001");
+						index_4("10.1511910, 10.7778458, 12.0946096, 13.4294696, 14.1000314");
+						values("1.46178, 1.16885, 0.973566, 1.16885, 1.46178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("11.5656");
+						index_3("0.001");
+						index_4("5.7685645, 6.2170579, 7.0813322, 8.2227724, 8.6765921");
+						values("1.43821, 1.13113, 0.926411, 1.13113, 1.43821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("7.63352");
+						index_3("0.001");
+						index_4("3.8188112, 4.1630734, 4.8423122, 5.7402400, 6.0866868");
+						values("1.42913, 1.11661, 0.908262, 1.11661, 1.42913");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1201");
+						index_2("10.1916");
+						index_3("0.001");
+						index_4("5.1602671, 5.5091905, 6.1643429, 6.7150155, 7.0903857");
+						values("1.6978, 1.54647, 1.44559, 1.54647, 1.6978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("5.78279");
+						index_3("0.001");
+						index_4("2.9588333, 3.2096058, 3.6312256, 4.0932167, 4.3654779");
+						values("1.68292, 1.52266, 1.41583, 1.52266, 1.68292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2832");
+						index_2("3.81676");
+						index_3("0.001");
+						index_4("1.9713263, 2.1628114, 2.4658847, 2.8586101, 3.0673071");
+						values("1.679, 1.51639, 1.40799, 1.51639, 1.679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20489");
+						index_2("17.3484");
+						index_3("0.001");
+						index_4("8.5123556, 9.2244472, 10.8849518, 12.2932854, 13.0147223");
+						values("1.26102, 0.847631, 0.572039, 0.847631, 1.26102");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016757;
+			capacitance : 0.016704;
+			fall_capacitance : 0.016651;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254142, 0.0264072, 0.0274003, 0.0297905, 0.0320852, 0.0344754, 0.0368656");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297630, 0.0309483, 0.0321337, 0.0346784, 0.0371214, 0.0396662, 0.0422110");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000704878";
+				miller_cap_rise : "0.000447112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.14074, 0.809172, 0.739793, 0.709237, 0.672842, 0.65247, 0.630684, 0.607493, 0.5829, 0.556908, 0.529517, 0.500727, 0.47054, 0.438957, 0.405979, 0.371608, 0.335845, 0.298694, 0.260157, 0.220236, 0.178935, 0.136258, 0.0922064, 0.0467859, -8.21555e-09, -0.0918079, -0.178371, -0.452011, -2.32319", \
+					  "3.04295, 0.626174, 0.581043, 0.565785, 0.548802, 0.539399, 0.529164, 0.517847, 0.505102, 0.490505, 0.47369, 0.454529, 0.433058, 0.409323, 0.383339, 0.355108, 0.32463, 0.291904, 0.25693, 0.219709, 0.180243, 0.138534, 0.0945874, 0.0484073, -1.76872e-09, -0.095934, -0.18663, -0.46869, -2.32801", \
+					  "2.9639, 0.473106, 0.42629, 0.415749, 0.404547, 0.398619, 0.392416, 0.385873, 0.378906, 0.371395, 0.363167, 0.353961, 0.343378, 0.330847, 0.315747, 0.297732, 0.27673, 0.25273, 0.225721, 0.195691, 0.16263, 0.126532, 0.0873941, 0.045216, -1.77892e-09, -0.0915175, -0.17968, -0.457779, -2.32635", \
+					  "2.94091, 0.438881, 0.36643, 0.357188, 0.347478, 0.342397, 0.337127, 0.33163, 0.325853, 0.319727, 0.313152, 0.305985, 0.298006, 0.288869, 0.278032, 0.26478, 0.248537, 0.229083, 0.206354, 0.18032, 0.150958, 0.118254, 0.0821962, 0.0427792, -1.78731e-09, -0.0877771, -0.173501, -0.447503, -2.32481", \
+					  "2.91769, 0.432449, 0.303672, 0.295654, 0.287321, 0.283003, 0.278561, 0.273971, 0.269202, 0.264213, 0.25895, 0.253334, 0.247251, 0.240527, 0.232879, 0.223836, 0.212665, 0.198563, 0.18107, 0.160025, 0.135365, 0.107054, 0.0750659, 0.0393852, -1.8005e-09, -0.0824581, -0.164655, -0.432608, -2.32261", \
+					  "2.90573, 0.433074, 0.271629, 0.264198, 0.256521, 0.252562, 0.248505, 0.244331, 0.240016, 0.235532, 0.230835, 0.22587, 0.220555, 0.214769, 0.20832, 0.200885, 0.19191, 0.180545, 0.16598, 0.147814, 0.12591, 0.100208, 0.0706711, 0.0372745, -1.84193e-09, -0.0791159, -0.159083, -0.423145, -2.32122", \
+					  "2.89369, 0.434072, 0.239556, 0.23259, 0.225553, 0.221942, 0.218254, 0.214477, 0.210592, 0.206577, 0.202402, 0.198026, 0.193393, 0.188418, 0.182972, 0.176845, 0.169668, 0.160777, 0.149199, 0.134138, 0.115264, 0.0924604, 0.0656716, 0.0348604, -2.67222e-09, -0.0752717, -0.152666, -0.412186, -2.31963", \
+					  "2.88171, 0.435419, 0.208928, 0.201122, 0.194714, 0.19144, 0.18811, 0.184714, 0.181237, 0.177665, 0.173974, 0.170138, 0.166116, 0.161851, 0.157259, 0.152205, 0.146459, 0.139593, 0.1308, 0.118975, 0.103385, 0.0837708, 0.0600354, 0.0321245, -2.25813e-08, -0.0708934, -0.14535, -0.39962, -2.3178", \
+					  "2.86995, 0.437468, 0.184687, 0.170173, 0.164356, 0.161414, 0.158432, 0.155403, 0.152318, 0.149165, 0.145929, 0.142591, 0.139124, 0.135491, 0.131636, 0.127476, 0.122873, 0.117576, 0.11108, 0.102378, 0.0902618, 0.0741141, 0.0537389, 0.029052, -5.0121e-07, -0.0659542, -0.13709, -0.385352, -2.31574", \
+					  "2.85861, 0.440495, 0.17168, 0.140573, 0.134899, 0.132282, 0.129641, 0.126969, 0.124261, 0.121508, 0.118701, 0.115827, 0.112869, 0.109802, 0.106593, 0.103191, 0.0995158, 0.0954286, 0.0906588, 0.0845849, 0.0759341, 0.0634837, 0.0467634, 0.0256241, -1.16347e-05, -0.0604449, -0.127861, -0.369326, -2.31343", \
+					  "2.8479, 0.444759, 0.167582, 0.115401, 0.106817, 0.104511, 0.102196, 0.0998649, 0.0975135, 0.0951371, 0.0927293, 0.0902822, 0.0877851, 0.0852237, 0.0825778, 0.0798184, 0.076901, 0.0737523, 0.0702387, 0.0660651, 0.0604265, 0.0517522, 0.0389364, 0.0216475, -0.00023487, -0.0545749, -0.117879, -0.351823, -2.31112", \
+					  "2.83807, 0.450944, 0.168834, 0.100558, 0.0805793, 0.0783825, 0.0762753, 0.0741647, 0.0720464, 0.0699171, 0.0677734, 0.0656109, 0.0634237, 0.061204, 0.0589409, 0.056619, 0.0542156, 0.0516947, 0.0489947, 0.0459928, 0.0423625, 0.0370188, 0.0281555, 0.0148911, -0.0030043, -0.0508679, -0.109859, -0.336207, -2.31147", \
+					  "2.8294, 0.459434, 0.173965, 0.0960077, 0.0565348, 0.0528129, 0.0503265, 0.0478992, 0.045483, 0.0430687, 0.0406526, 0.0382319, 0.0358037, 0.0333642, 0.0309086, 0.0284299, 0.0259182, 0.0233579, 0.020724, 0.0179708, 0.0149954, 0.0114353, 0.00585368, -0.00363471, -0.017666, -0.0593003, -0.114426, -0.335047, -2.32435", \
+					  "2.82217, 0.470764, 0.182619, 0.0973608, 0.0355436, 0.0242722, 0.0197948, 0.016219, 0.0128176, 0.009484, 0.00618631, 0.00291126, -0.000348071, -0.00359612, -0.00683639, -0.0100724, -0.0133083, -0.0165498, -0.0198055, -0.0230901, -0.0264312, -0.0299027, -0.0338903, -0.0401716, -0.0505484, -0.0860555, -0.13763, -0.354139, -2.35334", \
+					  "2.8167, 0.485508, 0.19489, 0.102304, 0.019095, -0.00515269, -0.0155885, -0.0211775, -0.0258182, -0.0301446, -0.0343191, -0.0384028, -0.0424246, -0.0464001, -0.0503387, -0.0542463, -0.0581275, -0.061986, -0.0658257, -0.0696515, -0.0734705, -0.0772968, -0.0811736, -0.0854972, -0.0923168, -0.12074, -0.167887, -0.378951, -2.3857", \
+					  "2.81332, 0.504291, 0.211095, 0.110307, 0.00793378, -0.0293639, -0.0497167, -0.0588393, -0.0649635, -0.070214, -0.0750838, -0.0797436, -0.0842693, -0.0887007, -0.0930609, -0.0973647, -0.101622, -0.105839, -0.110024, -0.114179, -0.118311, -0.122424, -0.126529, -0.130651, -0.135306, -0.155985, -0.197569, -0.401275, -2.41493", \
+					  "2.81238, 0.527762, 0.231525, 0.121468, 0.00216458, -0.046594, -0.0780839, -0.0929368, -0.101114, -0.107418, -0.112981, -0.118166, -0.123126, -0.127938, -0.132647, -0.137278, -0.141852, -0.146379, -0.15087, -0.155333, -0.159774, -0.164199, -0.168615, -0.173031, -0.177496, -0.191553, -0.22643, -0.421186, -2.44136", \
+					  "2.8141, 0.555878, 0.255252, 0.13484, 0.000392897, -0.0583074, -0.100477, -0.123225, -0.134455, -0.1422, -0.148671, -0.154529, -0.160038, -0.165327, -0.170466, -0.175498, -0.18045, -0.185342, -0.190186, -0.194994, -0.199773, -0.204532, -0.209277, -0.214018, -0.218767, -0.229497, -0.255995, -0.439948, -2.46604", \
+					  "2.81781, 0.586536, 0.279508, 0.14808, 6.74048e-05, -0.0672806, -0.119108, -0.151088, -0.166614, -0.176247, -0.183823, -0.190461, -0.196581, -0.202383, -0.20797, -0.213406, -0.21873, -0.223969, -0.229142, -0.234263, -0.239343, -0.244392, -0.24942, -0.254436, -0.259452, -0.269701, -0.287831, -0.458483, -2.48966", \
+					  "2.82223, 0.617517, 0.302418, 0.16003, 1.22604e-05, -0.0748258, -0.135167, -0.17669, -0.197903, -0.209932, -0.218799, -0.226291, -0.23305, -0.239367, -0.245391, -0.251211, -0.256881, -0.262436, -0.267903, -0.2733, -0.278642, -0.28394, -0.289208, -0.294455, -0.299695, -0.31023, -0.323192, -0.477409, -2.51259", \
+					  "2.82678, 0.648103, 0.323377, 0.170595, 2.19446e-06, -0.0813202, -0.149109, -0.199708, -0.227974, -0.243074, -0.253472, -0.261913, -0.269351, -0.276196, -0.282656, -0.288848, -0.294847, -0.300699, -0.306437, -0.312085, -0.317662, -0.323182, -0.32866, -0.334109, -0.339541, -0.350424, -0.361838, -0.497166, -2.53507", \
+					  "2.83136, 0.678129, 0.342193, 0.179893, 3.30039e-07, -0.0869414, -0.161235, -0.220019, -0.256375, -0.27542, -0.28767, -0.297194, -0.305369, -0.312769, -0.319674, -0.32624, -0.332562, -0.3387, -0.344697, -0.350581, -0.356377, -0.362101, -0.367771, -0.373401, -0.379006, -0.39021, -0.401594, -0.518101, -2.55725", \
+					  "2.83593, 0.707543, 0.358863, 0.188066, 3.22624e-08, -0.0918166, -0.171791, -0.237757, -0.282655, -0.306701, -0.321235, -0.332016, -0.341011, -0.349007, -0.356378, -0.363327, -0.369973, -0.376395, -0.382645, -0.388758, -0.394762, -0.40068, -0.40653, -0.412328, -0.418093, -0.429592, -0.441199, -0.540511, -2.57921", \
+					  "2.84049, 0.736322, 0.37351, 0.195243, 4.73118e-09, -0.0960488, -0.180988, -0.253188, -0.306447, -0.336627, -0.354008, -0.366277, -0.376199, -0.384846, -0.392713, -0.400061, -0.407041, -0.41375, -0.420252, -0.426591, -0.4328, -0.438906, -0.444928, -0.450888, -0.456802, -0.468577, -0.480426, -0.564663, -2.60103", \
+					  "2.84505, 0.764452, 0.386328, 0.201536, 4.101e-09, -0.0997241, -0.189005, -0.266607, -0.327556, -0.364877, -0.385824, -0.399878, -0.410864, -0.420234, -0.428637, -0.436407, -0.443735, -0.450739, -0.457497, -0.464063, -0.470476, -0.476767, -0.482959, -0.489075, -0.495135, -0.507172, -0.519253, -0.590809, -2.62277", \
+					  "2.85415, 0.818673, 0.407302, 0.211866, 6.61501e-09, -0.105684, -0.202081, -0.288454, -0.361999, -0.414996, -0.445795, -0.464682, -0.478363, -0.489467, -0.499105, -0.507819, -0.515904, -0.523538, -0.530834, -0.53787, -0.544701, -0.551365, -0.557897, -0.564322, -0.570666, -0.583206, -0.595723, -0.649911, -2.66615", \
+					  "2.86321, 0.869897, 0.42326, 0.219721, 1.07822e-08, -0.110157, -0.211992, -0.305033, -0.387764, -0.454972, -0.499237, -0.525437, -0.542942, -0.556337, -0.567518, -0.57736, -0.586321, -0.594664, -0.602553, -0.610097, -0.61737, -0.624427, -0.631309, -0.638051, -0.644682, -0.657722, -0.670655, -0.717642, -2.70954", \
+					  "2.89005, 0.997989, 0.450847, 0.233014, 3.70632e-08, -0.117627, -0.22902, -0.334007, -0.432269, -0.522965, -0.603478, -0.667373, -0.710134, -0.737712, -0.757302, -0.772664, -0.785562, -0.796893, -0.807154, -0.816647, -0.825564, -0.834036, -0.842155, -0.84999, -0.857593, -0.872279, -0.886524, -0.931086, -2.83763", \
+					  "2.92932, 1.07618, 0.460187, 0.23655, 1.46296e-07, -0.119708, -0.235171, -0.346332, -0.453121, -0.555446, -0.653148, -0.74588, -0.83277, -0.911515, -0.977316, -1.02612, -1.06041, -1.08555, -1.10529, -1.12169, -1.1359, -1.1486, -1.16018, -1.17094, -1.18104, -1.19978, -1.21713, -1.26559, -3.03414");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187681, 0.0318305, 0.0461040, 0.0628126, 0.0872592");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0791456, 0.0976510, 0.1125844, 0.1294277, 0.1543311");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0139886, 0.0207261, 0.0284040, 0.0371979, 0.0485362");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0616281, 0.0767803, 0.0882831, 0.0986147, 0.1099561");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("1.38517");
+						index_3("0.001");
+						index_4("0.6764619, 0.7117517, 0.7550555, 0.8221173, 0.8563486");
+						values("0.277389, 0.443823, 0.554779, 0.443823, 0.277389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.809978");
+						index_3("0.001");
+						index_4("0.3964683, 0.4266815, 0.4676335, 0.5235193, 0.5522842");
+						values("0.386316, 0.618106, 0.772632, 0.618106, 0.386316");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.548326");
+						index_3("0.001");
+						index_4("0.2696824, 0.2965163, 0.3389490, 0.3782717, 0.4045766");
+						values("0.441538, 0.706461, 0.883076, 0.706461, 0.441538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17377");
+						index_2("0.692584");
+						index_3("0.001");
+						index_4("0.3392619, 0.3605676, 0.3978346, 0.4228610, 0.4428935");
+						values("0.165152, 0.264243, 0.330304, 0.264243, 0.165152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("0.404989");
+						index_3("0.001");
+						index_4("0.2018897, 0.2178392, 0.2469241, 0.2696164, 0.2862348");
+						values("0.220178, 0.352284, 0.440355, 0.352284, 0.220178");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39316");
+						index_2("0.274163");
+						index_3("0.001");
+						index_4("0.1383363, 0.1523308, 0.1728960, 0.1949547, 0.2102382");
+						values("0.246014, 0.393623, 0.492028, 0.393623, 0.246014");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28837");
+						index_2("1.21497");
+						index_3("0.001");
+						index_4("0.5895727, 0.6341014, 0.6974591, 0.7693360, 0.8130766");
+						values("0.519945, 0.831911, 1.03989, 0.831911, 0.519945");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.810568");
+						index_3("0.001");
+						index_4("0.3965359, 0.4223816, 0.4643560, 0.5229260, 0.5606813");
+						values("1.5833, 1.36329, 1.21661, 1.36329, 1.5833");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.435424");
+						index_3("0.001");
+						index_4("0.2138976, 0.2335343, 0.2670167, 0.3142477, 0.3444667");
+						values("1.50941, 1.24506, 1.06882, 1.24506, 1.50941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.27597");
+						index_3("0.001");
+						index_4("0.1362743, 0.1522178, 0.1811945, 0.2181815, 0.2424233");
+						values("1.46891, 1.18026, 0.987829, 1.18026, 1.46891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990219");
+						index_2("0.405284");
+						index_3("0.001");
+						index_4("0.2019171, 0.2159516, 0.2397500, 0.2705378, 0.2969039");
+						values("1.7359, 1.60745, 1.52181, 1.60745, 1.7359");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.217712");
+						index_3("0.001");
+						index_4("0.1092805, 0.1195171, 0.1322871, 0.1658118, 0.1854123");
+						values("1.70064, 1.55103, 1.45129, 1.55103, 1.70064");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21857");
+						index_2("0.137985");
+						index_3("0.001");
+						index_4("0.0708324, 0.0794735, 0.0930939, 0.1141431, 0.1314051");
+						values("1.67754, 1.51407, 1.40509, 1.51407, 1.67754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10308");
+						index_2("0.653136");
+						index_3("0.001");
+						index_4("0.3158493, 0.3447028, 0.4028474, 0.4598864, 0.5026477");
+						values("1.36998, 1.02196, 0.78995, 1.02196, 1.36998");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.036384;
+			capacitance : 0.036900;
+			rise_capacitance : 0.037416;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4176429, -0.3575159, -0.3187278, -0.3105062, -0.3061541, -0.3070897, -0.3110751", \
+					  "-0.4790140, -0.4061732, -0.3831168, -0.3713695, -0.3629739, -0.3608524, -0.3678896", \
+					  "-0.4802971, -0.4091637, -0.3784376, -0.3762193, -0.3686707, -0.3741840, -0.3667904", \
+					  "-0.4621348, -0.4078074, -0.3543885, -0.3537521, -0.3582964, -0.3654038, -0.3540621", \
+					  "-0.4441330, -0.3854591, -0.3286425, -0.3446467, -0.3512454, -0.3550826, -0.3375862", \
+					  "-0.4223693, -0.3530143, -0.3210217, -0.3122019, -0.3216115, -0.3042077, -0.3158225", \
+					  "-0.3799515, -0.3228035, -0.2645746, -0.2653855, -0.2990651, -0.3091684, -0.3031069");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4420612, -0.3120531, -0.2180196, -0.1335040, -0.0635391, 0.0041898, 0.0630236", \
+					  "-0.5034325, -0.3648154, -0.2763601, -0.1918446, -0.1190680, -0.0541507, 0.0059508", \
+					  "-0.5062159, -0.3576506, -0.2806868, -0.1929691, -0.1260560, -0.0492321, 0.0048262", \
+					  "-0.4865532, -0.3626174, -0.2614320, -0.1882687, -0.1019487, -0.0220127, 0.0167265", \
+					  "-0.4670256, -0.3387105, -0.2441120, -0.1615412, -0.0869987, -0.0442926, 0.0408318", \
+					  "-0.4483137, -0.3092520, -0.2092910, -0.1306223, -0.0606574, 0.0024939, 0.0734931", \
+					  "-0.4028441, -0.2777784, -0.1774586, -0.1215839, -0.0511454, 0.0045484, 0.0870071");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498151, 0.4836818, 0.4540796, 0.4426732, 0.4368013, 0.4362110, 0.4401964", \
+					  "0.6111867, 0.5358417, 0.5153455, 0.5053925, 0.5042977, 0.4972970, 0.5017279", \
+					  "0.6154633, 0.5354061, 0.5179959, 0.5082484, 0.5069481, 0.5063578, 0.5103432", \
+					  "0.5958334, 0.5267224, 0.4999922, 0.4917706, 0.4904702, 0.4868282, 0.5060724", \
+					  "0.5763057, 0.5104070, 0.4774370, 0.4585099, 0.4648390, 0.4749299, 0.4651824", \
+					  "0.5652234, 0.5100001, 0.4336618, 0.4275910, 0.4369718, 0.4394333, 0.4373152", \
+					  "0.5273830, 0.4658108, 0.4136530, 0.3943285, 0.4067611, 0.4092225, 0.4254150");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4491129, 0.3193438, 0.2235425, 0.1390269, 0.0705879, 0.0028589, -0.0593609", \
+					  "0.5120075, 0.3712344, 0.2849378, 0.1966741, 0.1319832, 0.0585409, -0.0004249", \
+					  "0.4974323, 0.3634133, 0.2863785, 0.2000209, 0.1315819, 0.0544420, 0.0064144", \
+					  "0.5042836, 0.3703434, 0.2670965, 0.1934263, 0.1077649, 0.0457361, -0.0103932", \
+					  "0.4740747, 0.3458069, 0.2508769, 0.1670671, 0.0894728, 0.0254525, -0.0235992", \
+					  "0.4675033, 0.3157590, 0.2085744, 0.1391999, 0.0746242, 0.0039423, -0.0706417", \
+					  "0.4358332, 0.2851261, 0.1875725, 0.1301610, 0.0543804, 0.0001065, -0.0812554");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2112057, 0.3019650, 0.3927244, 0.4124578, 0.4314019, 0.4511353, 0.4708687");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5604494, 0.5991437, 0.6378380, 0.6417467, 0.6454991, 0.6494078, 0.6533165");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00213872";
+				miller_cap_rise : "0.00152684";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01163, 1.68228, 1.5424, 1.47452, 1.39546, 1.35175, 1.30524, 1.25594, 1.20386, 1.14901, 1.0914, 1.03102, 0.967902, 0.902043, 0.833456, 0.762152, 0.688141, 0.611434, 0.532044, 0.44998, 0.365256, 0.277884, 0.187875, 0.095243, -7.83903e-09, -0.189305, -0.372812, -0.950697, -3.91623", \
+					  "4.70936, 1.16004, 1.10736, 1.08606, 1.06041, 1.04515, 1.02757, 1.00695, 0.982563, 0.953944, 0.92092, 0.88345, 0.841526, 0.795159, 0.744369, 0.689181, 0.629625, 0.565732, 0.497536, 0.425071, 0.348374, 0.267481, 0.182429, 0.0932555, -7.85134e-09, -0.187801, -0.371585, -0.984563, -3.92705", \
+					  "4.50492, 0.793437, 0.734481, 0.722799, 0.709923, 0.702891, 0.695348, 0.68717, 0.678183, 0.668132, 0.656639, 0.643111, 0.626638, 0.606022, 0.58019, 0.54859, 0.511046, 0.467534, 0.418083, 0.362743, 0.301576, 0.234649, 0.162029, 0.0837885, -7.93708e-09, -0.17294, -0.346346, -0.961854, -3.927", \
+					  "4.44444, 0.73645, 0.602113, 0.592546, 0.582206, 0.576661, 0.570804, 0.564566, 0.55786, 0.550561, 0.542492, 0.533387, 0.522826, 0.510119, 0.494147, 0.473428, 0.446766, 0.413643, 0.373934, 0.327649, 0.274844, 0.215593, 0.149977, 0.0780835, -7.98978e-09, -0.163765, -0.330656, -0.939342, -3.92503", \
+					  "4.38716, 0.72502, 0.469718, 0.461999, 0.4538, 0.449472, 0.444956, 0.440218, 0.435211, 0.429876, 0.424128, 0.417849, 0.410865, 0.402901, 0.393496, 0.38183, 0.366453, 0.345493, 0.317705, 0.282692, 0.240405, 0.1909, 0.134263, 0.0705947, -8.0597e-09, -0.151683, -0.310024, -0.909308, -3.92174", \
+					  "4.36, 0.729886, 0.404711, 0.397784, 0.390552, 0.38676, 0.382827, 0.378726, 0.374426, 0.369883, 0.365042, 0.359824, 0.354115, 0.347745, 0.340439, 0.331718, 0.320672, 0.305609, 0.284427, 0.255934, 0.219821, 0.176082, 0.124795, 0.0660632, -8.10383e-09, -0.144372, -0.297566, -0.890979, -3.91953", \
+					  "4.33414, 0.740659, 0.342143, 0.335066, 0.328746, 0.325456, 0.32206, 0.318541, 0.314876, 0.311037, 0.306985, 0.302668, 0.298014, 0.292916, 0.287212, 0.280629, 0.272671, 0.262317, 0.247543, 0.226041, 0.196735, 0.159415, 0.114116, 0.060938, -8.23828e-09, -0.136112, -0.283516, -0.870129, -3.91689", \
+					  "4.30989, 0.757181, 0.288443, 0.274577, 0.269116, 0.266297, 0.263402, 0.26042, 0.257334, 0.254125, 0.250769, 0.247231, 0.243465, 0.239408, 0.234961, 0.229972, 0.22418, 0.217071, 0.207469, 0.192923, 0.170981, 0.140752, 0.102122, 0.0551651, -2.84601e-08, -0.126822, -0.267747, -0.846481, -3.91377", \
+					  "4.28765, 0.779444, 0.255866, 0.217402, 0.212509, 0.210137, 0.207714, 0.20523, 0.202677, 0.20004, 0.197305, 0.194449, 0.191446, 0.188255, 0.184822, 0.18106, 0.176834, 0.171896, 0.16572, 0.156909, 0.142479, 0.119968, 0.0887143, 0.0486899, -7.6822e-07, -0.116423, -0.250135, -0.819725, -3.91002", \
+					  "4.26789, 0.807545, 0.245098, 0.166913, 0.159902, 0.157954, 0.155975, 0.153959, 0.151897, 0.149784, 0.147608, 0.145358, 0.143016, 0.14056, 0.137959, 0.135168, 0.132117, 0.128687, 0.124657, 0.119489, 0.111461, 0.0969924, 0.0737956, 0.0414438, -2.14922e-05, -0.104857, -0.230581, -0.789542, -3.90485", \
+					  "4.25117, 0.841657, 0.249928, 0.133804, 0.112372, 0.110755, 0.109183, 0.107591, 0.105975, 0.104329, 0.102648, 0.100924, 0.0991496, 0.0973115, 0.0953937, 0.0933732, 0.0912161, 0.0888687, 0.0862386, 0.0831366, 0.0790146, 0.071686, 0.0570021, 0.033038, -0.000423495, -0.0924375, -0.209377, -0.756016, -3.89695", \
+					  "4.23817, 0.882018, 0.266602, 0.124638, 0.0710149, 0.0686853, 0.0673396, 0.0660236, 0.0647059, 0.0633786, 0.062037, 0.0606765, 0.0592924, 0.0578786, 0.0564275, 0.0549286, 0.0533669, 0.0517201, 0.0499525, 0.0479995, 0.0457153, 0.0425473, 0.0356865, 0.0205924, -0.00415606, -0.0821771, -0.189628, -0.722243, -3.89141", \
+					  "4.22973, 0.929033, 0.293548, 0.132232, 0.0374517, 0.0273155, 0.0251109, 0.0235511, 0.0221167, 0.0207301, 0.0193656, 0.0180113, 0.0166598, 0.0153058, 0.0139443, 0.0125702, 0.0111774, 0.00975759, 0.00829865, 0.00678108, 0.00516828, 0.00336601, 0.0008384, -0.00593594, -0.0215236, -0.0844125, -0.181757, -0.698436, -3.89609", \
+					  "4.22706, 0.983644, 0.330483, 0.150079, 0.0145684, -0.0167108, -0.0260582, -0.0293875, -0.0316984, -0.0336747, -0.0354911, -0.0372157, -0.0388815, -0.0405074, -0.042105, -0.0436826, -0.0452462, -0.0468014, -0.0483532, -0.049908, -0.0514744, -0.0530684, -0.0547369, -0.0569935, -0.0639983, -0.110158, -0.196293, -0.693432, -3.91658", \
+					  "4.23149, 1.0469, 0.377004, 0.175751, 0.00366073, -0.0522398, -0.0799985, -0.0893135, -0.0938493, -0.0970902, -0.0997904, -0.102202, -0.104438, -0.106554, -0.108586, -0.110555, -0.112476, -0.114358, -0.116209, -0.118037, -0.119846, -0.121642, -0.123434, -0.125248, -0.127863, -0.156278, -0.229587, -0.702021, -3.94814", \
+					  "4.24182, 1.11613, 0.428379, 0.205101, 0.000660693, -0.0773873, -0.127945, -0.150682, -0.159908, -0.165397, -0.169522, -0.172982, -0.176056, -0.178882, -0.181535, -0.184062, -0.186494, -0.18885, -0.191146, -0.193394, -0.195603, -0.197781, -0.199936, -0.202076, -0.204272, -0.217864, -0.275715, -0.717177, -3.98528", \
+					  "4.2547, 1.1863, 0.478014, 0.233085, 0.000101713, -0.0970567, -0.168975, -0.211211, -0.229178, -0.238305, -0.244477, -0.249333, -0.253471, -0.257163, -0.260555, -0.263732, -0.266748, -0.26964, -0.272433, -0.275147, -0.277796, -0.280393, -0.282949, -0.285475, -0.287986, -0.294765, -0.333059, -0.7367, -4.02519", \
+					  "4.26851, 1.25535, 0.523071, 0.258084, 1.10232e-05, -0.113534, -0.20413, -0.267286, -0.299237, -0.314109, -0.323138, -0.329776, -0.335191, -0.339878, -0.34409, -0.347969, -0.351603, -0.355049, -0.358348, -0.361529, -0.364614, -0.367622, -0.370567, -0.373463, -0.376326, -0.382227, -0.402067, -0.76134, -4.06688", \
+					  "4.28288, 1.3229, 0.563067, 0.280085, 6.06591e-07, -0.1276, -0.234319, -0.316645, -0.366821, -0.390579, -0.403641, -0.412575, -0.419531, -0.425364, -0.430487, -0.435124, -0.43941, -0.44343, -0.447244, -0.450894, -0.454411, -0.457819, -0.46114, -0.464391, -0.467592, -0.473934, -0.483653, -0.791986, -4.11039", \
+					  "4.29779, 1.38883, 0.598306, 0.299373, 2.25535e-08, -0.139678, -0.260296, -0.35922, -0.428916, -0.465558, -0.484396, -0.496345, -0.505191, -0.512364, -0.518514, -0.523982, -0.528965, -0.533589, -0.537936, -0.542064, -0.546015, -0.549823, -0.553514, -0.557111, -0.560636, -0.567559, -0.574938, -0.828997, -4.15567", \
+					  "4.31328, 1.45302, 0.629292, 0.316264, 2.35631e-09, -0.150076, -0.282686, -0.395827, -0.483683, -0.536887, -0.563999, -0.579984, -0.591194, -0.599958, -0.607284, -0.613676, -0.619418, -0.624686, -0.629593, -0.634216, -0.638613, -0.642826, -0.646889, -0.65083, -0.654676, -0.662182, -0.669672, -0.872475, -4.20255", \
+					  "4.32939, 1.51524, 0.656528, 0.331042, 1.8233e-09, -0.159043, -0.302016, -0.427354, -0.5309, -0.602458, -0.641065, -0.66255, -0.676772, -0.68746, -0.69615, -0.703584, -0.710163, -0.716128, -0.721631, -0.726776, -0.731636, -0.736266, -0.740708, -0.744997, -0.749164, -0.757248, -0.765198, -0.922494, -4.25082", \
+					  "4.34618, 1.57517, 0.680458, 0.34396, 1.94199e-09, -0.166784, -0.318724, -0.454566, -0.571362, -0.66067, -0.714102, -0.743135, -0.76127, -0.774321, -0.784621, -0.793245, -0.800757, -0.807483, -0.813628, -0.819327, -0.824675, -0.82974, -0.834573, -0.839219, -0.843712, -0.852376, -0.860827, -0.979246, -4.3003", \
+					  "4.36371, 1.63237, 0.701464, 0.355236, 2.15328e-09, -0.173471, -0.333179, -0.478097, -0.606089, -0.710992, -0.781511, -0.82076, -0.844064, -0.860071, -0.872296, -0.882297, -0.890861, -0.89843, -0.905274, -0.911568, -0.917434, -0.922955, -0.928198, -0.933213, -0.938042, -0.947295, -0.95625, -1.04314, -4.35088", \
+					  "4.38203, 1.68627, 0.719878, 0.365064, 2.46034e-09, -0.179248, -0.345689, -0.498468, -0.635989, -0.75396, -0.841843, -0.894296, -0.924495, -0.944265, -0.958829, -0.970444, -0.980208, -0.988719, -0.99633, -1.00327, -1.00969, -1.0157, -1.02137, -1.02677, -1.03195, -1.04181, -1.05127, -1.1148, -4.40244", \
+					  "4.4212, 1.78142, 0.750043, 0.381025, 3.44944e-09, -0.188536, -0.365873, -0.53139, -0.684123, -0.821954, -0.939028, -1.02392, -1.07508, -1.10608, -1.12715, -1.143, -1.15576, -1.16654, -1.17596, -1.18438, -1.19204, -1.19913, -1.20574, -1.21198, -1.21791, -1.22905, -1.23957, -1.28125, -4.50826", \
+					  "4.45937, 1.85565, 0.772849, 0.392948, 5.09775e-09, -0.19541, -0.380911, -0.556035, -0.720139, -0.87207, -1.00904, -1.1237, -1.20508, -1.25506, -1.28669, -1.30887, -1.32583, -1.33963, -1.35133, -1.36158, -1.37074, -1.37909, -1.38679, -1.39398, -1.40075, -1.41331, -1.42498, -1.46296, -4.61726", \
+					  "4.52055, 1.9678, 0.810324, 0.412074, 1.59255e-08, -0.206421, -0.405587, -0.597263, -0.781183, -0.957014, -1.12427, -1.28205, -1.42833, -1.55821, -1.66241, -1.73521, -1.78335, -1.81677, -1.84174, -1.86157, -1.87805, -1.8922, -1.90466, -1.91585, -1.92604, -1.9442, -1.96022, -2.00261, -4.94161", \
+					  "4.52107, 1.99322, 0.815887, 0.414124, 6.30387e-08, -0.208166, -0.411457, -0.609775, -0.803022, -0.991091, -1.17387, -1.35122, -1.52299, -1.68895, -1.84876, -2.00176, -2.1466, -2.28038, -2.39747, -2.49127, -2.56061, -2.61101, -2.64889, -2.67869, -2.70311, -2.74175, -2.772, -2.83827, -5.44372");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0317222, 0.0530699, 0.0792968, 0.1091437, 0.1576791");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983167, 0.1204535, 0.1466261, 0.1759831, 0.2263209");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0183664, 0.0278790, 0.0386995, 0.0511559, 0.0688609");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0742943, 0.0901396, 0.1021498, 0.1144247, 0.1318964");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.29652");
+						index_3("0.001");
+						index_4("1.6270724, 1.7176141, 1.8642152, 2.0026402, 2.0956819");
+						values("0.425722, 0.681155, 0.851444, 0.681155, 0.425722");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.89268");
+						index_3("0.001");
+						index_4("0.9354078, 1.0086004, 1.1388459, 1.2416920, 1.3034251");
+						values("0.490672, 0.785075, 0.981343, 0.785075, 0.490672");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.27038");
+						index_3("0.001");
+						index_4("0.6293090, 0.6885694, 0.7788140, 0.8794330, 0.9282573");
+						values("0.51647, 0.826352, 1.03294, 0.826352, 0.51647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.64826");
+						index_3("0.001");
+						index_4("0.8249075, 0.8702452, 0.9501908, 1.0163148, 1.0590527");
+						values("0.242683, 0.388293, 0.485366, 0.388293, 0.242683");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.946338");
+						index_3("0.001");
+						index_4("0.4772639, 0.5121085, 0.5827139, 0.6278674, 0.6610066");
+						values("0.274147, 0.438636, 0.548295, 0.438636, 0.274147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.635191");
+						index_3("0.001");
+						index_4("0.3231901, 0.3513432, 0.3931032, 0.4459413, 0.4718652");
+						values("0.288937, 0.4623, 0.577875, 0.4623, 0.288937");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.83901");
+						index_3("0.001");
+						index_4("1.3964453, 1.5087643, 1.6887996, 1.8420056, 1.9353557");
+						values("0.681365, 1.09018, 1.36273, 1.09018, 0.681365");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.09409");
+						index_3("0.001");
+						index_4("0.5457140, 0.5952255, 0.6885760, 0.8043111, 0.8732240");
+						values("1.45467, 1.15748, 0.959349, 1.15748, 1.45467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.620372");
+						index_3("0.001");
+						index_4("0.3119109, 0.3472945, 0.4258049, 0.5016221, 0.5511514");
+						values("1.42852, 1.11563, 0.907036, 1.11563, 1.42852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.411478");
+						index_3("0.001");
+						index_4("0.2083655, 0.2360794, 0.2921845, 0.3573563, 0.3966019");
+						values("1.41795, 1.09873, 0.885906, 1.09873, 1.41795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.547044");
+						index_3("0.001");
+						index_4("0.2786364, 0.3048571, 0.3542074, 0.4114711, 0.4559708");
+						values("1.69675, 1.5448, 1.4435, 1.5448, 1.69675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.310186");
+						index_3("0.001");
+						index_4("0.1605392, 0.1788324, 0.2122522, 0.2585439, 0.2927492");
+						values("1.6897, 1.53352, 1.4294, 1.53352, 1.6897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.205739");
+						index_3("0.001");
+						index_4("0.1083616, 0.1227336, 0.1508469, 0.1849896, 0.2132559");
+						values("1.68795, 1.53072, 1.4259, 1.53072, 1.68795");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.930558");
+						index_3("0.001");
+						index_4("0.4622690, 0.5181711, 0.6213466, 0.7369678, 0.8110930");
+						values("1.19058, 0.734927, 0.431158, 0.734927, 1.19058");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.012664;
+			capacitance : 0.012997;
+			rise_capacitance : 0.013330;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.0413111, 16.2959050, 23.5504980, 25.2256580, 26.8338110, 28.5089700, 30.1841300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.3676846, 15.1718310, 22.9759770, 23.4187000, 23.8437150, 24.2864380, 24.7291610");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00164854";
+				miller_cap_rise : "0.00148964";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("18.2291, 8.24311, 2.7424, 2.66667, 2.58832, 2.5383, 2.47682, 2.40101, 2.31321, 2.21851, 2.11908, 2.0153, 1.90712, 1.79436, 1.67671, 1.55378, 1.42487, 1.28878, 1.14295, 0.983635, 0.811221, 0.626831, 0.430349, 0.2215, -1.66175e-08, -0.460214, -0.961228, -5.46471, -15.2005", \
+					  "17.8577, 7.77147, 2.13477, 1.99879, 1.96333, 1.94364, 1.92194, 1.89758, 1.86968, 1.83687, 1.79695, 1.74613, 1.67954, 1.59792, 1.5071, 1.40905, 1.30348, 1.18922, 1.06371, 0.922823, 0.766576, 0.596318, 0.411977, 0.213293, -4.58534e-09, -0.44568, -0.938374, -5.46244, -15.1989", \
+					  "17.69, 7.51084, 1.81979, 1.59625, 1.57085, 1.55756, 1.54339, 1.52802, 1.51113, 1.49229, 1.4709, 1.44606, 1.41631, 1.37903, 1.32943, 1.26209, 1.17993, 1.08677, 0.981059, 0.858474, 0.718552, 0.562871, 0.391396, 0.203861, 1.39767e-09, -0.429035, -0.912175, -5.45998, -15.197", \
+					  "17.6362, 7.42871, 1.72132, 1.46418, 1.44102, 1.42921, 1.41675, 1.40336, 1.38878, 1.37269, 1.35467, 1.33412, 1.31011, 1.28107, 1.24414, 1.19396, 1.12527, 1.04163, 0.944465, 0.829815, 0.69702, 0.547761, 0.38202, 0.199524, -6.24015e-09, -0.421452, -0.900309, -5.4589, -15.1962", \
+					  "17.5818, 7.35138, 1.62994, 1.33356, 1.31218, 1.30163, 1.29062, 1.27891, 1.26625, 1.25241, 1.23708, 1.21985, 1.20008, 1.17679, 1.1483, 1.11138, 1.05992, 0.989122, 0.901949, 0.796433, 0.671857, 0.530037, 0.370977, 0.194392, -6.56314e-09, -0.412538, -0.886441, -5.45765, -15.1953", \
+					  "17.5531, 7.31412, 1.58828, 1.26926, 1.24822, 1.23822, 1.22787, 1.21689, 1.20509, 1.19223, 1.17806, 1.16221, 1.14418, 1.12316, 1.09782, 1.06572, 1.02198, 0.959451, 0.878112, 0.777693, 0.657698, 0.520038, 0.364728, 0.191479, -2.94546e-08, -0.407505, -0.878651, -5.45697, -15.1948", \
+					  "17.5229, 7.27738, 1.54806, 1.21373, 1.18463, 1.17514, 1.16539, 1.1551, 1.14408, 1.13212, 1.119, 1.10441, 1.08791, 1.06886, 1.04618, 1.01801, 0.980618, 0.926886, 0.852308, 0.757411, 0.642351, 0.509177, 0.357926, 0.188298, -4.72325e-06, -0.402046, -0.870235, -5.45624, -15.1943", \
+					  "17.4908, 7.2409, 1.50859, 1.16626, 1.12129, 1.11222, 1.10299, 1.09332, 1.08299, 1.07184, 1.05965, 1.04616, 1.03101, 1.01365, 0.993225, 0.968257, 0.935931, 0.890362, 0.8237, 0.734814, 0.62504, 0.496665, 0.349752, 0.183987, -0.000944097, -0.397333, -0.862775, -5.4581, -15.2017", \
+					  "17.4563, 7.20436, 1.46901, 1.1168, 1.05487, 1.0438, 1.03456, 1.02516, 1.01525, 1.00465, 0.993153, 0.980522, 0.966441, 0.950451, 0.931847, 0.909451, 0.881094, 0.84226, 0.784298, 0.702031, 0.597805, 0.474372, 0.331811, 0.169761, -0.0121474, -0.404534, -0.87033, -5.4823, -15.2684", \
+					  "17.4188, 7.16736, 1.42847, 1.06364, 0.989241, 0.970726, 0.960296, 0.95091, 0.941338, 0.931241, 0.920398, 0.908582, 0.89551, 0.880789, 0.863828, 0.843669, 0.818607, 0.785204, 0.735899, 0.661393, 0.56335, 0.445421, 0.307739, 0.149884, -0.0285773, -0.416463, -0.882648, -5.51217, -15.3469", \
+					  "17.3777, 7.12961, 1.38707, 1.00854, 0.925991, 0.900022, 0.885604, 0.875717, 0.866353, 0.856713, 0.846489, 0.835445, 0.823318, 0.809763, 0.794278, 0.77607, 0.753769, 0.724706, 0.682899, 0.617254, 0.526133, 0.414356, 0.282222, 0.129263, -0.0450229, -0.426913, -0.891904, -5.53757, -15.4134", \
+					  "17.3336, 7.09122, 1.34533, 0.952647, 0.864465, 0.833385, 0.813416, 0.80166, 0.792247, 0.782989, 0.773342, 0.763025, 0.751781, 0.739299, 0.725146, 0.708652, 0.688693, 0.663137, 0.627312, 0.570797, 0.487479, 0.382514, 0.256611, 0.10927, -0.0600686, -0.434317, -0.894655, -5.55637, -15.463", \
+					  "17.2861, 7.05233, 1.30373, 0.896666, 0.804413, 0.769967, 0.745725, 0.730485, 0.720442, 0.711465, 0.702367, 0.692753, 0.682354, 0.67088, 0.657948, 0.642987, 0.625051, 0.60239, 0.571257, 0.522906, 0.448354, 0.350893, 0.231915, 0.0909299, -0.0726714, -0.437572, -0.88948, -5.56723, -15.492", \
+					  "17.2351, 7.01305, 1.26257, 0.841112, 0.745847, 0.709119, 0.682255, 0.664055, 0.652579, 0.64378, 0.635269, 0.626395, 0.616851, 0.606366, 0.594596, 0.581044, 0.564899, 0.544687, 0.517296, 0.475472, 0.410101, 0.320895, 0.209551, 0.075669, -0.0813917, -0.435204, -0.876463, -5.56842, -15.4962", \
+					  "17.1802, 6.9735, 1.22211, 0.786464, 0.688965, 0.650635, 0.622222, 0.602748, 0.590309, 0.581567, 0.573675, 0.565559, 0.556859, 0.547315, 0.53662, 0.524339, 0.509764, 0.49162, 0.467235, 0.430393, 0.373305, 0.293083, 0.190031, 0.0639323, -0.0858744, -0.427157, -0.855933, -5.56132, -15.4799", \
+					  "17.1217, 6.93387, 1.18268, 0.733265, 0.634151, 0.594669, 0.56534, 0.545636, 0.533379, 0.524601, 0.517092, 0.509603, 0.501627, 0.492897, 0.483134, 0.471946, 0.458704, 0.442279, 0.420309, 0.387267, 0.336562, 0.265556, 0.171435, 0.053752, -0.0881726, -0.415911, -0.831061, -5.55114, -15.4551", \
+					  "17.0598, 6.89452, 1.14474, 0.682218, 0.582018, 0.541705, 0.511841, 0.49235, 0.480659, 0.47209, 0.464682, 0.457622, 0.45025, 0.442224, 0.43327, 0.423027, 0.410925, 0.39594, 0.375932, 0.34584, 0.299702, 0.236756, 0.152189, 0.0436202, -0.0897427, -0.403011, -0.803654, -5.54066, -15.428", \
+					  "16.9954, 6.85605, 1.10895, 0.634322, 0.533514, 0.492619, 0.462463, 0.44335, 0.43225, 0.424052, 0.416806, 0.409946, 0.403038, 0.395616, 0.387365, 0.37794, 0.36681, 0.35303, 0.334618, 0.306838, 0.263965, 0.20668, 0.131597, 0.0329153, -0.0910939, -0.388866, -0.774094, -5.53083, -15.401", \
+					  "16.9303, 6.81956, 1.07634, 0.591061, 0.490087, 0.44882, 0.418546, 0.399869, 0.389317, 0.38152, 0.374569, 0.367885, 0.361243, 0.3543, 0.346646, 0.337914, 0.327599, 0.314807, 0.297669, 0.271678, 0.231151, 0.177365, 0.109519, 0.0213498, -0.0923835, -0.373457, -0.742131, -5.52193, -15.3753", \
+					  "16.8682, 6.78688, 1.04853, 0.554664, 0.453911, 0.412457, 0.382203, 0.363989, 0.353943, 0.346536, 0.339914, 0.333494, 0.327035, 0.320382, 0.31319, 0.30502, 0.295357, 0.283339, 0.267172, 0.242503, 0.203609, 0.151924, 0.0878182, 0.00878847, -0.0936567, -0.356623, -0.707385, -5.5141, -15.3514", \
+					  "16.8157, 6.76113, 1.02797, 0.528388, 0.428107, 0.386619, 0.356502, 0.338774, 0.329198, 0.322157, 0.315856, 0.30972, 0.303486, 0.296995, 0.290073, 0.282306, 0.273122, 0.261658, 0.246156, 0.222356, 0.184479, 0.133953, 0.071457, -0.00370835, -0.0949335, -0.338261, -0.670983, -5.50746, -15.3299", \
+					  "16.7938, 6.75163, 1.02093, 0.519978, 0.419697, 0.378107, 0.348078, 0.330741, 0.321495, 0.314687, 0.308577, 0.302602, 0.296492, 0.290061, 0.28314, 0.275467, 0.266465, 0.255218, 0.239964, 0.216457, 0.178899, 0.1287, 0.0665797, -0.00807213, -0.0960012, -0.31923, -0.635515, -5.50202, -15.3108", \
+					  "16.7939, 6.75162, 1.02105, 0.520452, 0.419517, 0.377627, 0.347506, 0.330352, 0.32122, 0.314448, 0.308343, 0.302355, 0.296211, 0.28971, 0.282657, 0.274806, 0.265716, 0.254445, 0.239186, 0.215682, 0.17813, 0.127938, 0.0658247, -0.00881784, -0.0967201, -0.302886, -0.602562, -5.4977, -15.2943", \
+					  "16.7945, 6.75179, 1.02126, 0.520992, 0.419467, 0.377313, 0.347118, 0.33012, 0.321078, 0.314332, 0.308231, 0.302233, 0.296065, 0.289523, 0.282395, 0.274409, 0.265154, 0.253807, 0.238524, 0.215013, 0.17746, 0.127269, 0.0651574, -0.00948236, -0.0973801, -0.295491, -0.572951, -5.49434, -15.2802", \
+					  "16.7951, 6.7516, 1.02157, 0.521467, 0.419423, 0.377042, 0.346791, 0.32993, 0.320964, 0.31424, 0.308143, 0.30214, 0.295959, 0.289392, 0.282221, 0.27416, 0.264771, 0.253276, 0.237929, 0.214399, 0.17684, 0.126647, 0.064536, -0.0101023, -0.0979975, -0.295782, -0.551682, -5.49178, -15.2685", \
+					  "16.796, 6.75185, 1.02187, 0.522255, 0.41935, 0.376603, 0.346271, 0.32964, 0.32079, 0.314104, 0.308016, 0.30201, 0.295815, 0.289222, 0.282009, 0.273879, 0.26437, 0.252662, 0.237041, 0.213328, 0.175701, 0.125482, 0.0633611, -0.0112809, -0.0991764, -0.296961, -0.545764, -5.48965, -15.252", \
+					  "16.7968, 6.75207, 1.02198, 0.522872, 0.419294, 0.376266, 0.345885, 0.329431, 0.320668, 0.314008, 0.30793, 0.301924, 0.295723, 0.28912, 0.281889, 0.273731, 0.264177, 0.252393, 0.23663, 0.212657, 0.17471, 0.12428, 0.0620698, -0.0126126, -0.10053, -0.298346, -0.547141, -5.49026, -15.2437", \
+					  "16.7985, 6.75256, 1.02241, 0.524026, 0.419192, 0.375645, 0.345191, 0.32907, 0.320458, 0.313849, 0.307789, 0.301788, 0.295585, 0.288972, 0.281726, 0.273544, 0.263955, 0.25211, 0.236237, 0.212044, 0.173642, 0.122317, 0.0586728, -0.017974, -0.108305, -0.31079, -0.561235, -5.5006, -15.247", \
+					  "16.7997, 6.7529, 1.02267, 0.524677, 0.419149, 0.375285, 0.344781, 0.328861, 0.320337, 0.313756, 0.307707, 0.30171, 0.295508, 0.288893, 0.281643, 0.273455, 0.263856, 0.251995, 0.23609, 0.211832, 0.173299, 0.121718, 0.0575869, -0.0199585, -0.111914, -0.322431, -0.59084, -5.56118, -15.3229");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0162977, 0.0246761, 0.0336284, 0.0445239, 0.0629605");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189566, 0.0537599, 0.0709619, 0.0856617, 0.1070520");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0809506");
+						index_3("0.001");
+						index_4("0.0746002, 0.1372174, 0.4996453, 0.8620732, 0.9246904");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0779017");
+						index_3("0.001");
+						index_4("0.0705935, 0.1327359, 0.4548361, 0.7769363, 0.8390787");
+						values("2.59523, 4.15237, 5.19046, 4.15237, 2.59523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0731957");
+						index_3("0.001");
+						index_4("0.0643869, 0.1249217, 1.0682589, 2.0115961, 2.0721309");
+						values("2.59521, 4.15233, 5.19041, 4.15233, 2.59521");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0404753");
+						index_3("0.001");
+						index_4("0.0791874, 0.1447697, 0.4694070, 0.7940444, 0.8596266");
+						values("2.59522, 4.15234, 5.19043, 4.15234, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0389508");
+						index_3("0.001");
+						index_4("0.0766899, 0.1420407, 1.0570862, 1.9721316, 2.0374825");
+						values("2.59522, 4.15236, 5.19045, 4.15236, 2.59522");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16905");
+						index_2("0.0365979");
+						index_3("0.001");
+						index_4("0.0729939, 0.1383994, 0.5614711, 0.9845427, 1.0499482");
+						values("2.59524, 4.15238, 5.19048, 4.15238, 2.59524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.116853");
+						index_3("0.001");
+						index_4("0.0734769, 0.1223527, 0.4936337, 0.8649148, 0.9137906");
+						values("2.59521, 4.15234, 5.19043, 4.15234, 2.59521");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006701;
+			capacitance : 0.006861;
+			rise_capacitance : 0.007022;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3300597, 0.2623177, 0.2189672, 0.2076939, 0.1972383, 0.1951221, 0.1991075", \
+					  "0.3944831, 0.3077261, 0.2882137, 0.2779404, 0.2565743, 0.2592497, 0.2620432", \
+					  "0.3972333, 0.3019502, 0.2864255, 0.2677257, 0.2684244, 0.2637431, 0.2481796", \
+					  "0.3776039, 0.3071991, 0.2730055, 0.2445781, 0.2417519, 0.2548946, 0.2527765", \
+					  "0.3580762, 0.2860115, 0.2378269, 0.2514490, 0.2210177, 0.2572403, 0.2225676", \
+					  "0.3439419, 0.2568277, 0.2192034, 0.1926449, 0.1882536, 0.2064446, 0.2267439", \
+					  "0.3106794, 0.2332003, 0.1714332, 0.1671369, 0.1855978, 0.1772889, 0.1812744");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1820954, 0.0471345, -0.0544258, -0.1414033, -0.2125286, -0.2650952, -0.3158471", \
+					  "0.2404158, 0.1095182, 0.0008101, -0.0841194, -0.1664645, -0.2392754, -0.3037676", \
+					  "0.2522215, 0.1092516, 0.0122035, -0.0819142, -0.1579206, -0.2334262, -0.3009874", \
+					  "0.2372694, 0.1004031, 0.0017230, -0.0870044, -0.1717612, -0.2453660, -0.3031956", \
+					  "0.2116382, 0.0701943, -0.0268050, -0.1005911, -0.1851854, -0.2727508, -0.3324274", \
+					  "0.1929264, 0.0423271, -0.0307410, -0.1311440, -0.2088624, -0.2868850, -0.3515642", \
+					  "0.1581379, -0.0077203, -0.0624776, -0.1587088, -0.2390836, -0.2777405, -0.3665162");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1093872, -0.0339807, -0.0089744, -0.0068563, -0.0025042, -0.0080174, 0.0063077", \
+					  "-0.1707583, -0.0954671, -0.0611808, -0.0514637, -0.0305727, -0.0373661, -0.0367738", \
+					  "-0.1537308, -0.1085480, -0.0771029, -0.0721677, -0.0387304, -0.0384906, -0.0440019", \
+					  "-0.1599826, -0.0951219, -0.0698102, -0.0418385, 0.3455607, -0.0250645, -0.0443184", \
+					  "-0.1389290, -0.0633872, -0.0219171, -0.0225950, -0.0208678, -0.0159349, -0.0221483", \
+					  "-0.1095360, -0.0370459, 0.0030628, 0.0033914, 0.0109241, 0.0077000, 0.0279851", \
+					  "-0.0777993, 0.0130013, 0.0317478, 0.0380231, 0.0339744, 0.0534314, 0.0419139");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1643647, -0.0294029, 0.0664352, 0.1564663, 0.2262156, 0.2792643, 0.3218196", \
+					  "-0.2242099, -0.0905112, 0.0105051, 0.0971228, 0.1766806, 0.2479733, 0.3136853", \
+					  "-0.2178636, -0.0959782, 0.0075516, 0.0938961, 0.1820920, 0.2550837, 0.3164430", \
+					  "-0.2195376, -0.0886556, 0.0099252, 0.1033922, 0.1803389, 0.2530218, 0.3249540", \
+					  "-0.1984841, -0.0569209, 0.0356674, 0.1174003, 0.1968148, 0.2874320, 0.3410309", \
+					  "-0.1690910, -0.0290537, 0.0440426, 0.1483864, 0.2193611, 0.2939368, 0.3677712", \
+					  "-0.1327768, 0.0194679, 0.0757793, 0.1778018, 0.2501966, 0.2865811, 0.3827232");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427426, 0.0421909, 0.0416391, 0.0417909, 0.0419366, 0.0420884, 0.0422401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541270, 0.0533502, 0.0525735, 0.0530537, 0.0535148, 0.0539950, 0.0544752");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105891";
+				miller_cap_rise : "0.000882002";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.84941, 0.661865, 0.580803, 0.559672, 0.533787, 0.519003, 0.502979, 0.485708, 0.467189, 0.447417, 0.426392, 0.404112, 0.380576, 0.355783, 0.329732, 0.302424, 0.273857, 0.244031, 0.212946, 0.180603, 0.147, 0.112138, 0.0760175, 0.038638, -3.90883e-09, -0.0767358, -0.150398, -0.392865, -3.05885", \
+					  "3.70605, 0.483953, 0.403234, 0.396124, 0.387641, 0.382655, 0.376972, 0.370373, 0.362585, 0.353341, 0.342452, 0.32982, 0.315398, 0.299168, 0.281117, 0.261241, 0.239537, 0.216002, 0.190637, 0.163439, 0.134411, 0.103552, 0.0708632, 0.0363454, -1.87966e-09, -0.0734332, -0.145037, -0.387578, -3.05898", \
+					  "3.61521, 0.368545, 0.265391, 0.261486, 0.257153, 0.254776, 0.252221, 0.249446, 0.246395, 0.242987, 0.239102, 0.234551, 0.229032, 0.222102, 0.213304, 0.202362, 0.18916, 0.173658, 0.155836, 0.135688, 0.113211, 0.0884029, 0.0612643, 0.0317961, -1.88777e-09, -0.066096, -0.132499, -0.368743, -3.05565", \
+					  "3.59151, 0.35384, 0.217501, 0.214341, 0.210895, 0.209035, 0.207063, 0.204954, 0.202678, 0.200195, 0.197444, 0.194339, 0.190741, 0.186418, 0.180962, 0.173796, 0.164443, 0.152683, 0.138438, 0.121681, 0.102401, 0.0805935, 0.0562573, 0.0293924, -1.89211e-09, -0.0621644, -0.125762, -0.358617, -3.05385", \
+					  "3.57017, 0.355697, 0.170048, 0.167534, 0.164841, 0.163409, 0.161907, 0.160322, 0.158639, 0.156835, 0.154883, 0.152742, 0.150351, 0.147616, 0.144379, 0.140335, 0.134903, 0.127374, 0.11732, 0.104596, 0.0891542, 0.0709781, 0.0500615, 0.0264021, -1.89782e-09, -0.057255, -0.117352, -0.346111, -3.05159", \
+					  "3.56045, 0.361656, 0.146938, 0.144646, 0.142291, 0.141047, 0.139749, 0.138387, 0.136952, 0.135426, 0.13379, 0.132017, 0.130066, 0.12788, 0.125361, 0.122336, 0.118446, 0.112992, 0.10522, 0.0947609, 0.0815001, 0.0654026, 0.0464561, 0.0246556, -1.90972e-09, -0.054382, -0.112434, -0.338884, -3.05027", \
+					  "3.55147, 0.370961, 0.12519, 0.122359, 0.12032, 0.11925, 0.118139, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108339, 0.106373, 0.10409, 0.101301, 0.0975682, 0.0920548, 0.0839994, 0.0730982, 0.0592664, 0.0424781, 0.0227238, -2.18657e-09, -0.0512007, -0.106991, -0.330969, -3.04881", \
+					  "3.54333, 0.383727, 0.108399, 0.100911, 0.0991585, 0.0982501, 0.0973117, 0.0963387, 0.0953253, 0.0942644, 0.0931464, 0.0919592, 0.0906859, 0.0893029, 0.0877753, 0.0860478, 0.0840228, 0.0814903, 0.0779081, 0.07229, 0.0639106, 0.0525351, 0.0381024, 0.0205931, -1.07575e-08, -0.0476885, -0.100985, -0.322346, -3.0472", \
+					  "3.53619, 0.400076, 0.101776, 0.0807174, 0.0790722, 0.0783149, 0.0775363, 0.0767334, 0.0759021, 0.0750377, 0.0741341, 0.0731832, 0.0721745, 0.0710935, 0.0699193, 0.0686206, 0.0671463, 0.0653995, 0.0631433, 0.059691, 0.0539186, 0.0451806, 0.0333055, 0.0182501, -2.75253e-07, -0.0438229, -0.0943788, -0.313001, -3.04543", \
+					  "3.5302, 0.420091, 0.104944, 0.0633489, 0.0603637, 0.0597462, 0.0591158, 0.058469, 0.0578034, 0.0571159, 0.0564025, 0.0556585, 0.0548772, 0.0540502, 0.0531653, 0.0522053, 0.0511432, 0.0499335, 0.0484823, 0.0465157, 0.0431574, 0.0371838, 0.0280629, 0.0156761, -7.81309e-06, -0.0395896, -0.0871416, -0.302939, -3.04349", \
+					  "3.52558, 0.443826, 0.115091, 0.0537441, 0.0433732, 0.0428484, 0.0423492, 0.0418407, 0.0413208, 0.0407876, 0.0402385, 0.0396709, 0.039081, 0.0384639, 0.0378131, 0.0371193, 0.0363691, 0.0355409, 0.0345965, 0.0334476, 0.0317699, 0.0284525, 0.0222393, 0.0127275, -0.000161979, -0.0351172, -0.0793972, -0.292346, -3.04156", \
+					  "3.52259, 0.471329, 0.130404, 0.0549682, 0.0284687, 0.0275669, 0.027123, 0.0266895, 0.0262536, 0.0258119, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234447, 0.0229198, 0.0223664, 0.021775, 0.0211302, 0.0204026, 0.019513, 0.0180716, 0.0147026, 0.0082044, -0.00169981, -0.0316991, -0.0724987, -0.282732, -3.04108", \
+					  "3.52154, 0.502689, 0.149946, 0.0637238, 0.0161257, 0.0117867, 0.0109509, 0.0103648, 0.00982615, 0.00930447, 0.00878965, 0.00827678, 0.00776281, 0.00724539, 0.00672233, 0.00619124, 0.00564923, 0.00509237, 0.00451488, 0.00390723, 0.0032501, 0.0024785, 0.00112591, -0.00244245, -0.00927549, -0.0341108, -0.0713463, -0.279012, -3.04656", \
+					  "3.52289, 0.537227, 0.173322, 0.0765849, 0.00710885, -0.00719684, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150216, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.0199761, -0.020666, -0.0213609, -0.0220658, -0.022791, -0.0235829, -0.0249959, -0.0288303, -0.0481861, -0.0816509, -0.286035, -3.06182", \
+					  "3.52721, 0.577068, 0.200374, 0.0921926, 0.00210046, -0.0248791, -0.0369193, -0.0407791, -0.0427655, -0.0442273, -0.0454632, -0.0465768, -0.0476147, -0.0486017, -0.0495525, -0.0504762, -0.0513794, -0.0522665, -0.0531413, -0.0540065, -0.0548652, -0.0557204, -0.0565776, -0.0574734, -0.0591422, -0.0726198, -0.101867, -0.301652, -3.08447", \
+					  "3.53436, 0.620585, 0.229869, 0.109386, 0.000419298, -0.0386875, -0.0618698, -0.0712131, -0.0751179, -0.0775633, -0.0794512, -0.0810585, -0.0825, -0.0838332, -0.0850906, -0.0862922, -0.0874512, -0.0885767, -0.0896756, -0.0907529, -0.0918129, -0.0928594, -0.0938961, -0.0949283, -0.0960603, -0.103893, -0.128291, -0.322212, -3.11114", \
+					  "3.54294, 0.665401, 0.25921, 0.126156, 6.96324e-05, -0.050004, -0.0844426, -0.102297, -0.10954, -0.113462, -0.116232, -0.118464, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128073, -0.129413, -0.130717, -0.131993, -0.133245, -0.134479, -0.1357, -0.136925, -0.141051, -0.159371, -0.345911, -3.14015", \
+					  "3.55205, 0.709892, 0.286777, 0.141526, 8.80397e-06, -0.0598482, -0.104688, -0.132637, -0.145141, -0.151212, -0.155134, -0.158125, -0.160617, -0.162805, -0.164789, -0.166629, -0.16836, -0.170009, -0.171592, -0.173122, -0.174609, -0.176061, -0.177485, -0.178889, -0.18028, -0.183332, -0.194797, -0.371906, -3.17063", \
+					  "3.56143, 0.75335, 0.311988, 0.155367, 6.00708e-07, -0.0685407, -0.122764, -0.160905, -0.180724, -0.189879, -0.195305, -0.199216, -0.202358, -0.205045, -0.207436, -0.209621, -0.211653, -0.213569, -0.215395, -0.217148, -0.218841, -0.220486, -0.222092, -0.223669, -0.225224, -0.228346, -0.234623, -0.399721, -3.20206", \
+					  "3.57106, 0.795398, 0.334712, 0.167756, 2.55591e-08, -0.0762303, -0.13885, -0.18647, -0.215139, -0.228639, -0.236043, -0.241079, -0.244973, -0.248219, -0.251052, -0.253603, -0.255949, -0.258141, -0.260212, -0.262187, -0.264085, -0.265919, -0.267702, -0.269445, -0.271158, -0.274541, -0.27862, -0.429062, -3.2341", \
+					  "3.58094, 0.835848, 0.355034, 0.178811, 2.57296e-09, -0.0830257, -0.153131, -0.209277, -0.247396, -0.266762, -0.276789, -0.283217, -0.287996, -0.291872, -0.295191, -0.298135, -0.300812, -0.30329, -0.305613, -0.307815, -0.309918, -0.31194, -0.313897, -0.315803, -0.317668, -0.321331, -0.325097, -0.459758, -3.26654", \
+					  "3.59106, 0.87465, 0.373137, 0.188649, 1.81035e-09, -0.0890218, -0.165785, -0.229516, -0.276807, -0.303556, -0.317075, -0.325249, -0.331077, -0.335674, -0.339531, -0.342903, -0.345933, -0.348711, -0.351296, -0.35373, -0.356042, -0.358255, -0.360387, -0.362454, -0.36447, -0.368408, -0.372333, -0.49173, -3.29923", \
+					  "3.60145, 0.91183, 0.389223, 0.197381, 1.78997e-09, -0.0943039, -0.176977, -0.247436, -0.303096, -0.338335, -0.356465, -0.366858, -0.373949, -0.379378, -0.383839, -0.387678, -0.391088, -0.394185, -0.397045, -0.39972, -0.402247, -0.404654, -0.406962, -0.409192, -0.411359, -0.415569, -0.419725, -0.524979, -3.33206", \
+					  "3.6121, 0.947417, 0.403487, 0.20511, 1.80243e-09, -0.098949, -0.186858, -0.263282, -0.326342, -0.370466, -0.394513, -0.407755, -0.416389, -0.422789, -0.427934, -0.43229, -0.436112, -0.43955, -0.442701, -0.445628, -0.448378, -0.450985, -0.453475, -0.455869, -0.458188, -0.462669, -0.467065, -0.559595, -3.36498", \
+					  "3.62301, 0.981386, 0.41611, 0.211929, 1.82832e-09, -0.103025, -0.195567, -0.277277, -0.346807, -0.399484, -0.430733, -0.447656, -0.458197, -0.465747, -0.471674, -0.476607, -0.480881, -0.484687, -0.488146, -0.49134, -0.494323, -0.497138, -0.499814, -0.502378, -0.504851, -0.509606, -0.514239, -0.595767, -3.39795", \
+					  "3.64551, 1.04396, 0.437049, 0.223181, 1.93443e-09, -0.10971, -0.209943, -0.300478, -0.380608, -0.447753, -0.495626, -0.523173, -0.53913, -0.549736, -0.557625, -0.563948, -0.569274, -0.573917, -0.578067, -0.581845, -0.585334, -0.588593, -0.591666, -0.594586, -0.597381, -0.602699, -0.607809, -0.673488, -3.46403", \
+					  "3.66403, 1.09764, 0.453127, 0.231741, 2.14591e-09, -0.114769, -0.220943, -0.318371, -0.406663, -0.48453, -0.547831, -0.590328, -0.614968, -0.630204, -0.640851, -0.649009, -0.655662, -0.661323, -0.666288, -0.670742, -0.674805, -0.678561, -0.68207, -0.685379, -0.688523, -0.694439, -0.700042, -0.756098, -3.53032", \
+					  "3.69325, 1.189, 0.480187, 0.245817, 3.89718e-09, -0.123133, -0.23971, -0.349674, -0.452932, -0.549287, -0.638218, -0.718198, -0.78512, -0.833257, -0.863817, -0.883684, -0.897793, -0.908622, -0.917416, -0.924852, -0.931326, -0.93709, -0.942308, -0.947097, -0.95154, -0.95963, -0.966963, -1.00576, -3.72404", \
+					  "3.69337, 1.21149, 0.484819, 0.247587, 1.36202e-08, -0.124722, -0.245001, -0.360822, -0.472168, -0.579019, -0.681342, -0.779077, -0.872096, -0.960107, -1.04239, -1.11715, -1.18069, -1.22907, -1.26306, -1.2871, -1.30501, -1.3191, -1.33068, -1.34052, -1.3491, -1.36362, -1.37574, -1.40913, -4.02156");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0353344, 0.0656160, 0.1030595, 0.1458410, 0.2139358");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1012553, 0.1316349, 0.1689006, 0.2116700, 0.2794220");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0189804, 0.0300283, 0.0415407, 0.0542692, 0.0709178");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764922, 0.0933711, 0.1057679, 0.1183760, 0.1343663");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18545");
+						index_3("0.001");
+						index_4("2.0658022, 2.1743704, 2.3216477, 2.5239498, 2.6148987");
+						values("0.381852, 0.610964, 0.763705, 0.610964, 0.381852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("2.41186");
+						index_3("0.001");
+						index_4("1.1898114, 1.2827219, 1.4345620, 1.5608119, 1.6342176");
+						values("0.459968, 0.735949, 0.919936, 0.735949, 0.459968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62561");
+						index_3("0.001");
+						index_4("0.8023583, 0.8794378, 1.0125702, 1.1125277, 1.1692210");
+						values("0.489774, 0.783638, 0.979547, 0.783638, 0.489774");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09272");
+						index_3("0.001");
+						index_4("1.0432648, 1.0992678, 1.1957395, 1.2708285, 1.3191279");
+						values("0.216853, 0.346965, 0.433706, 0.346965, 0.216853");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("1.20593");
+						index_3("0.001");
+						index_4("0.6044990, 0.6490701, 0.7295724, 0.7878050, 0.8255335");
+						values("0.255114, 0.408182, 0.510228, 0.408182, 0.255114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.812803");
+						index_3("0.001");
+						index_4("0.4099026, 0.4479644, 0.5002286, 0.5625673, 0.5921428");
+						values("0.271527, 0.434443, 0.543054, 0.434443, 0.271527");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39989");
+						index_2("3.6178");
+						index_3("0.001");
+						index_4("1.7751677, 1.9182923, 2.1123600, 2.3269389, 2.4313488");
+						values("0.635991, 1.01759, 1.27198, 1.01759, 0.635991");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20401");
+						index_3("0.001");
+						index_4("0.6002549, 0.6549751, 0.7551825, 0.8979506, 0.9842547");
+						values("1.44551, 1.14281, 0.941012, 1.14281, 1.44551");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.682363");
+						index_3("0.001");
+						index_4("0.3436712, 0.3843044, 0.4603746, 0.5604229, 0.6255722");
+						values("1.41858, 1.09972, 0.887154, 1.09972, 1.41858");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452664");
+						index_3("0.001");
+						index_4("0.2297364, 0.2601492, 0.3119187, 0.4001209, 0.4496755");
+						values("1.40747, 1.08195, 0.864935, 1.08195, 1.40747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.602005");
+						index_3("0.001");
+						index_4("0.3062411, 0.3354463, 0.3914434, 0.4579357, 0.5148226");
+						values("1.69274, 1.53839, 1.43549, 1.53839, 1.69274");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.341182");
+						index_3("0.001");
+						index_4("0.1766096, 0.1974612, 0.2289989, 0.2886036, 0.3324891");
+						values("1.68188, 1.52101, 1.41376, 1.52101, 1.68188");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226332");
+						index_3("0.001");
+						index_4("0.1193699, 0.1358753, 0.1655061, 0.2077771, 0.2422361");
+						values("1.67847, 1.51555, 1.40693, 1.51555, 1.67847");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02354");
+						index_3("0.001");
+						index_4("0.5101547, 0.5711875, 0.6767979, 0.8211416, 0.9160089");
+						values("1.17305, 0.706874, 0.396092, 0.706874, 1.17305");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017565;
+			capacitance : 0.017619;
+			rise_capacitance : 0.017673;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1334713, 0.2267727, 0.3200742, 0.3385628, 0.3563119, 0.3748005, 0.3932890");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4547570, 0.4933850, 0.5320130, 0.5346143, 0.5371116, 0.5397129, 0.5423142");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000690271";
+				miller_cap_rise : "0.000443326";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.17667, 0.806351, 0.736681, 0.705661, 0.668864, 0.648339, 0.626428, 0.603142, 0.578485, 0.55246, 0.52507, 0.496317, 0.466202, 0.434731, 0.401904, 0.367727, 0.332201, 0.295332, 0.257124, 0.21758, 0.176705, 0.134505, 0.0909836, 0.0461468, -8.48741e-09, -0.0905978, -0.176134, -0.444347, -2.17689", \
+					  "3.09151, 0.62361, 0.579768, 0.564422, 0.547305, 0.537807, 0.527451, 0.515976, 0.503026, 0.488185, 0.471122, 0.45175, 0.430119, 0.406273, 0.380229, 0.351992, 0.321568, 0.288958, 0.254169, 0.217204, 0.178069, 0.136773, 0.0933236, 0.0477291, -1.75804e-09, -0.0946115, -0.184177, -0.46064, -2.18146", \
+					  "3.0232, 0.469605, 0.425632, 0.415073, 0.403841, 0.397894, 0.391665, 0.385091, 0.378083, 0.370519, 0.362219, 0.352913, 0.342191, 0.329476, 0.314174, 0.295986, 0.274862, 0.250801, 0.223798, 0.193849, 0.160955, 0.125116, 0.0863389, 0.0446301, -1.76872e-09, -0.0903012, -0.177366, -0.450171, -2.17986", \
+					  "3.00446, 0.435081, 0.365932, 0.356681, 0.346956, 0.341865, 0.336582, 0.331069, 0.325271, 0.319118, 0.312507, 0.305291, 0.297244, 0.288008, 0.277032, 0.263608, 0.247205, 0.227634, 0.204848, 0.178828, 0.149561, 0.117043, 0.0812742, 0.0422574, -1.77742e-09, -0.0866493, -0.171316, -0.440229, -2.17839", \
+					  "2.98563, 0.429736, 0.303313, 0.295292, 0.286953, 0.282631, 0.278183, 0.273586, 0.268808, 0.263807, 0.258528, 0.25289, 0.246778, 0.240011, 0.2323, 0.223163, 0.211862, 0.197622, 0.180024, 0.158931, 0.134294, 0.106091, 0.07431, 0.038946, -1.79125e-09, -0.081451, -0.16265, -0.425772, -2.17628", \
+					  "2.9759, 0.431061, 0.27133, 0.263899, 0.256219, 0.252258, 0.248198, 0.24402, 0.2397, 0.235207, 0.230501, 0.225522, 0.220189, 0.214377, 0.207889, 0.200396, 0.19133, 0.179846, 0.165165, 0.146926, 0.125014, 0.0993818, 0.0700088, 0.0368829, -1.83283e-09, -0.0781812, -0.157187, -0.416562, -2.17495", \
+					  "2.96609, 0.432713, 0.239298, 0.232348, 0.225311, 0.221699, 0.21801, 0.21423, 0.210342, 0.206323, 0.202143, 0.197759, 0.193115, 0.188124, 0.182656, 0.176495, 0.169265, 0.160289, 0.148605, 0.133456, 0.114545, 0.0917757, 0.0651078, 0.0345198, -2.65972e-09, -0.074417, -0.150893, -0.405871, -2.17342", \
+					  "2.95633, 0.434657, 0.208617, 0.200931, 0.194524, 0.191251, 0.187921, 0.184523, 0.181046, 0.177471, 0.173778, 0.169938, 0.16591, 0.161637, 0.157033, 0.15196, 0.146185, 0.139271, 0.1304, 0.118487, 0.10284, 0.083228, 0.0595728, 0.0318373, -2.24796e-08, -0.0701257, -0.143714, -0.393581, -2.17168", \
+					  "2.94677, 0.437247, 0.184165, 0.170024, 0.164212, 0.161271, 0.158289, 0.155261, 0.152175, 0.149022, 0.145785, 0.142446, 0.138976, 0.135339, 0.131478, 0.127309, 0.122692, 0.117372, 0.110833, 0.102062, 0.0898803, 0.0737093, 0.0533773, 0.0288193, -4.99124e-07, -0.0652791, -0.135602, -0.379583, -2.1697", \
+					  "2.93757, 0.440764, 0.17106, 0.140435, 0.134793, 0.132178, 0.129537, 0.126866, 0.124159, 0.121407, 0.1186, 0.115726, 0.112767, 0.109699, 0.106488, 0.103082, 0.0994006, 0.0953034, 0.0905152, 0.084404, 0.0756962, 0.0632068, 0.0464988, 0.0254453, -1.15911e-05, -0.0598664, -0.12653, -0.363806, -2.1675", \
+					  "2.92893, 0.445471, 0.167107, 0.11519, 0.106743, 0.104439, 0.102125, 0.0997949, 0.0974445, 0.0950689, 0.0926619, 0.0902154, 0.0877187, 0.0851573, 0.0825111, 0.0797507, 0.0768314, 0.0736793, 0.0701591, 0.0659717, 0.0603013, 0.0515864, 0.0387607, 0.0215203, -0.000234099, -0.0540938, -0.116712, -0.346511, -2.1653", \
+					  "2.92106, 0.452056, 0.16862, 0.100281, 0.0805252, 0.0783345, 0.0762285, 0.074119, 0.0720017, 0.0698735, 0.0677308, 0.0655692, 0.0633828, 0.0611637, 0.0589011, 0.0565796, 0.0541762, 0.0516548, 0.0489534, 0.045948, 0.0423083, 0.0369406, 0.0280584, 0.014815, -0.00299607, -0.0504734, -0.108847, -0.331034, -2.16576", \
+					  "2.9142, 0.460903, 0.17405, 0.0958259, 0.0564675, 0.0527784, 0.0502943, 0.0478684, 0.0454533, 0.0430402, 0.0406251, 0.0382055, 0.0357782, 0.0333397, 0.030885, 0.0284072, 0.0258963, 0.0233367, 0.0207034, 0.0179504, 0.0149746, 0.0114108, 0.00582124, -0.00365557, -0.0176297, -0.0589501, -0.113518, -0.329949, -2.17869", \
+					  "2.90861, 0.472553, 0.183011, 0.0973695, 0.0354394, 0.0242305, 0.0197681, 0.0161951, 0.0127951, 0.0094625, 0.0061657, 0.00289147, -0.000367098, -0.00361442, -0.00685401, -0.0100893, -0.0133246, -0.0165654, -0.0198205, -0.0231044, -0.0264447, -0.0299149, -0.0338981, -0.0401593, -0.0504858, -0.0857146, -0.136771, -0.349126, -2.20765", \
+					  "2.90456, 0.487584, 0.195584, 0.102552, 0.0189926, -0.00523989, -0.0156267, -0.0212048, -0.0258427, -0.030168, -0.034342, -0.0384255, -0.0424474, -0.046423, -0.0503618, -0.0542697, -0.0581512, -0.0620101, -0.0658502, -0.0696764, -0.0734958, -0.0773222, -0.0811983, -0.0855086, -0.092277, -0.12043, -0.167088, -0.374053, -2.24", \
+					  "2.90235, 0.506627, 0.21208, 0.110821, 0.00787194, -0.029515, -0.0498051, -0.0588896, -0.0650045, -0.0702524, -0.0751216, -0.0797815, -0.0843079, -0.0887402, -0.0931016, -0.0974066, -0.101665, -0.105884, -0.11007, -0.114227, -0.11836, -0.122475, -0.126581, -0.130704, -0.135332, -0.155747, -0.196859, -0.396496, -2.26926", \
+					  "2.90228, 0.530337, 0.232787, 0.122241, 0.00214285, -0.0468274, -0.0782837, -0.0930419, -0.101191, -0.107486, -0.113046, -0.118231, -0.123191, -0.128004, -0.132714, -0.137347, -0.141922, -0.146451, -0.150944, -0.155409, -0.159852, -0.164279, -0.168697, -0.173115, -0.177578, -0.191436, -0.225833, -0.416517, -2.29573", \
+					  "2.90454, 0.558677, 0.256779, 0.135843, 0.000388142, -0.0586469, -0.100847, -0.12343, -0.134588, -0.14231, -0.148772, -0.154626, -0.160134, -0.165423, -0.170563, -0.175596, -0.180549, -0.185443, -0.190289, -0.195098, -0.19988, -0.20464, -0.209389, -0.214132, -0.218883, -0.229538, -0.255542, -0.435383, -2.32047", \
+					  "2.9085, 0.589555, 0.281313, 0.149293, 6.6461e-05, -0.0677375, -0.119683, -0.151452, -0.166829, -0.176411, -0.183968, -0.190596, -0.196712, -0.202512, -0.208099, -0.213535, -0.21886, -0.2241, -0.229274, -0.234397, -0.239479, -0.24453, -0.249561, -0.25458, -0.259598, -0.269839, -0.287573, -0.454025, -2.34415", \
+					  "2.91305, 0.62078, 0.304531, 0.161441, 1.20676e-05, -0.0754008, -0.135962, -0.177283, -0.198234, -0.210167, -0.218996, -0.22647, -0.23322, -0.239532, -0.245555, -0.251373, -0.257043, -0.262599, -0.268067, -0.273465, -0.278808, -0.284109, -0.289379, -0.294629, -0.299871, -0.310412, -0.323183, -0.473071, -2.36714", \
+					  "2.91771, 0.651637, 0.325828, 0.172192, 2.15657e-06, -0.0820091, -0.150124, -0.200588, -0.228472, -0.243401, -0.253733, -0.262144, -0.269566, -0.276401, -0.282856, -0.289046, -0.295043, -0.300895, -0.306633, -0.312282, -0.31786, -0.323382, -0.328862, -0.334313, -0.339749, -0.350639, -0.362016, -0.492972, -2.38969", \
+					  "2.92239, 0.681952, 0.344997, 0.181665, 3.23885e-07, -0.0877377, -0.162464, -0.221219, -0.257102, -0.275868, -0.28801, -0.297484, -0.305634, -0.31302, -0.319916, -0.326476, -0.332795, -0.338931, -0.344927, -0.350811, -0.356607, -0.362333, -0.368004, -0.373636, -0.379245, -0.390457, -0.401845, -0.514087, -2.41192", \
+					  "2.92705, 0.711663, 0.362016, 0.19, 3.16211e-08, -0.0927127, -0.173223, -0.239282, -0.283685, -0.307309, -0.321672, -0.332377, -0.341333, -0.349307, -0.356664, -0.363604, -0.370245, -0.376663, -0.38291, -0.389022, -0.395027, -0.400945, -0.406796, -0.412596, -0.418363, -0.429871, -0.441488, -0.536724, -2.43393", \
+					  "2.93172, 0.740739, 0.376994, 0.197326, 4.63192e-09, -0.0970366, -0.182609, -0.255025, -0.307847, -0.337447, -0.354567, -0.366722, -0.376586, -0.385201, -0.393048, -0.400382, -0.407354, -0.414057, -0.420555, -0.426892, -0.4331, -0.439205, -0.445228, -0.451189, -0.457106, -0.468888, -0.480748, -0.561163, -2.4558", \
+					  "2.93637, 0.769158, 0.390113, 0.203755, 4.01097e-09, -0.100795, -0.1908, -0.268735, -0.32937, -0.365974, -0.386536, -0.400423, -0.411326, -0.42065, -0.429024, -0.436776, -0.444091, -0.451087, -0.457839, -0.464401, -0.470812, -0.477101, -0.483293, -0.48941, -0.495471, -0.507515, -0.519608, -0.587666, -2.47757", \
+					  "2.94565, 0.823944, 0.411596, 0.21432, 6.45923e-09, -0.106898, -0.204181, -0.291091, -0.364641, -0.416871, -0.446947, -0.465494, -0.479012, -0.490029, -0.499616, -0.508296, -0.516358, -0.523976, -0.531261, -0.538289, -0.545113, -0.551774, -0.558303, -0.564727, -0.571071, -0.583616, -0.596145, -0.647706, -2.52099", \
+					  "2.95489, 0.875741, 0.427951, 0.222363, 1.05151e-08, -0.111486, -0.214341, -0.308086, -0.391106, -0.45784, -0.501087, -0.52665, -0.543852, -0.55709, -0.568181, -0.577966, -0.586888, -0.595204, -0.603074, -0.610604, -0.617867, -0.624916, -0.631793, -0.638531, -0.64516, -0.658201, -0.671145, -0.716458, -2.56437", \
+					  "2.98222, 1.00613, 0.456252, 0.235998, 3.60693e-08, -0.119161, -0.231839, -0.337866, -0.436912, -0.528072, -0.608474, -0.67132, -0.712786, -0.739573, -0.758744, -0.773867, -0.786618, -0.797852, -0.808045, -0.817489, -0.826369, -0.834812, -0.842909, -0.850726, -0.858317, -0.872986, -0.887228, -0.931411, -2.69219", \
+					  "3.02218, 1.08833, 0.465889, 0.239644, 1.4232e-07, -0.121311, -0.238205, -0.350625, -0.458506, -0.561756, -0.660208, -0.753487, -0.840616, -0.919014, -0.983566, -1.03073, -1.06381, -1.0882, -1.10748, -1.12359, -1.1376, -1.15015, -1.16163, -1.1723, -1.18234, -1.20099, -1.21828, -1.26668, -2.88817");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0228926, 0.0436072, 0.0672519, 0.0940876, 0.1346420");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0856721, 0.1097166, 0.1334942, 0.1604934, 0.2009303");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0161621, 0.0273083, 0.0396062, 0.0541574, 0.0730294");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0688558, 0.0870830, 0.1014405, 0.1156623, 0.1334311");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("2.14922");
+						index_3("0.001");
+						index_4("1.0481231, 1.1046750, 1.1834837, 1.2757261, 1.3296216");
+						values("0.270624, 0.432999, 0.541249, 0.432999, 0.270624");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.25604");
+						index_3("0.001");
+						index_4("0.6140751, 0.6614192, 0.7344527, 0.8109714, 0.8563699");
+						values("0.375419, 0.60067, 0.750838, 0.60067, 0.375419");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.849788");
+						index_3("0.001");
+						index_4("0.4160569, 0.4573707, 0.5156382, 0.5868092, 0.6250592");
+						values("0.426098, 0.681757, 0.852196, 0.681757, 0.426098");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17374");
+						index_2("1.07461");
+						index_3("0.001");
+						index_4("0.5272347, 0.5580942, 0.6083196, 0.6523436, 0.6847180");
+						values("0.162721, 0.260354, 0.325442, 0.260354, 0.162721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("0.628018");
+						index_3("0.001");
+						index_4("0.3109012, 0.3366089, 0.3771298, 0.4171515, 0.4422684");
+						values("0.213747, 0.341995, 0.427493, 0.341995, 0.213747");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39294");
+						index_2("0.424894");
+						index_3("0.001");
+						index_4("0.2120493, 0.2340893, 0.2657118, 0.3012436, 0.3226189");
+						values("0.236323, 0.378116, 0.472645, 0.378116, 0.236323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28818");
+						index_2("1.88405");
+						index_3("0.001");
+						index_4("0.9125662, 0.9819434, 1.1026785, 1.1946731, 1.2599962");
+						values("0.501606, 0.80257, 1.00321, 0.80257, 0.501606");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("1.23247");
+						index_3("0.001");
+						index_4("0.6012331, 0.6417130, 0.7195259, 0.7972144, 0.8555834");
+						values("1.59766, 1.38626, 1.24532, 1.38626, 1.59766");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.662314");
+						index_3("0.001");
+						index_4("0.3240325, 0.3545086, 0.4202195, 0.4780190, 0.5248205");
+						values("1.52773, 1.27437, 1.10546, 1.27437, 1.52773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.419748");
+						index_3("0.001");
+						index_4("0.2066224, 0.2311568, 0.2695752, 0.3314323, 0.3687501");
+						values("1.49511, 1.22217, 1.04021, 1.22217, 1.49511");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.990438");
+						index_2("0.616235");
+						index_3("0.001");
+						index_4("0.3048623, 0.3267665, 0.3730316, 0.4132045, 0.4516120");
+						values("1.74427, 1.62083, 1.53853, 1.62083, 1.74427");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.331157");
+						index_3("0.001");
+						index_4("0.1663651, 0.1825138, 0.2080356, 0.2479897, 0.2796140");
+						values("1.71306, 1.5709, 1.47612, 1.5709, 1.71306");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21865");
+						index_2("0.209874");
+						index_3("0.001");
+						index_4("0.1072298, 0.1207174, 0.1407527, 0.1726917, 0.1987990");
+						values("1.69912, 1.5486, 1.44825, 1.5486, 1.69912");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10322");
+						index_2("0.993471");
+						index_3("0.001");
+						index_4("0.4796566, 0.5237412, 0.6158307, 0.7009702, 0.7656274");
+						values("1.39278, 1.05845, 0.835563, 1.05845, 1.39278");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005610;
+			capacitance : 0.005465;
+			fall_capacitance : 0.005320;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1139954, -0.0309289, -0.0028709, 0.0053507, 0.0138398, 0.0057155, 0.0228615", \
+					  "-0.1738406, -0.0937966, -0.0562932, -0.0306012, -0.0231941, -0.0148575, -0.0184633", \
+					  "-0.1522355, -0.0990845, -0.0650384, -0.0577528, -0.0302107, -0.0232318, -0.0210801", \
+					  "-0.1615390, -0.0887102, -0.0764602, -0.0506685, -0.0411523, -0.0115293, -0.0015841", \
+					  "-0.1389596, -0.0569754, -0.0358361, -0.0359728, -0.0055338, -0.0031240, -0.0066351", \
+					  "-0.1110925, -0.0291083, -0.0015148, 0.0230415, -0.0127013, 0.0181844, 0.0236717", \
+					  "-0.0778300, 0.0209392, 0.0286960, 0.0507288, -0.0032750, 0.0400010, 0.0460708");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1307486, 0.0056922, 0.1114818, 0.1969341, 0.2670591, 0.3209423, 0.3734768", \
+					  "-0.1890680, -0.0570340, 0.0471262, 0.1430570, 0.2214431, 0.2983273, 0.3675841", \
+					  "-0.1766179, -0.0675504, 0.0380752, 0.1396712, 0.2211503, 0.3054250, 0.3642760", \
+					  "-0.1798182, -0.0556502, 0.0597638, 0.1439433, 0.2444258, 0.3145321, 0.3732930", \
+					  "-0.1602905, -0.0254413, 0.0737564, 0.1622195, 0.2486947, 0.3347342, 0.3849479", \
+					  "-0.1278457, 0.0024258, 0.1032397, 0.1890698, 0.2610602, 0.3595496, 0.4257546", \
+					  "-0.0976349, 0.0509472, 0.1183072, 0.2277540, 0.2871952, 0.3989156, 0.4376548");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3056778, 0.2333136, 0.1960790, 0.1695468, 0.1590912, 0.1569751, 0.1624864", \
+					  "0.3715939, 0.2802476, 0.2574720, 0.2345677, 0.2233961, 0.2229457, 0.2208276", \
+					  "0.3774290, 0.2791041, 0.2631741, 0.2274867, 0.2226920, 0.2065046, 0.2156806", \
+					  "0.3547478, 0.2842688, 0.2579103, 0.2125347, 0.2081826, 0.1969112, 0.2131036", \
+					  "0.3352201, 0.2616408, 0.2224356, 0.1960588, 0.2011869, 0.1773835, 0.1798430", \
+					  "0.3226118, 0.2320690, 0.2044460, 0.1852560, 0.1347522, 0.1519571, 0.1489241", \
+					  "0.2878233, 0.2063855, 0.1600055, 0.1581125, 0.1351546, 0.1411014, 0.1376422");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1500057, 0.0135644, -0.0950405, -0.1846791, -0.2574487, -0.3216326, -0.3670861", \
+					  "0.2052693, 0.0717532, -0.0345619, -0.1258801, -0.2113395, -0.2879919, -0.3544288", \
+					  "0.2140232, 0.0838886, -0.0304745, -0.1201060, -0.2086993, -0.2859856, -0.3539795", \
+					  "0.1990713, 0.0689366, -0.0468665, -0.1338398, -0.2271759, -0.2946528, -0.3661689", \
+					  "0.1795436, 0.0417795, -0.0665462, -0.1455702, -0.2370653, -0.3215789, -0.3766312", \
+					  "0.1455729, 0.0108606, -0.0690693, -0.1764253, -0.2517951, -0.3402908, -0.4141252", \
+					  "0.1184139, -0.0346090, -0.1019418, -0.2119875, -0.2603963, -0.3537169, -0.4199219");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0509861, 0.0504034, 0.0498208, 0.0501465, 0.0504593, 0.0507850, 0.0511108");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0612016, 0.0602466, 0.0592917, 0.0598489, 0.0603839, 0.0609411, 0.0614984");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105361";
+				miller_cap_rise : "0.000927197";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("4.45141, 0.663676, 0.580556, 0.559376, 0.533446, 0.518643, 0.502601, 0.485317, 0.466786, 0.447006, 0.425976, 0.403695, 0.380162, 0.355376, 0.329336, 0.302043, 0.273497, 0.243697, 0.212643, 0.180335, 0.146774, 0.111959, 0.0758918, 0.0385719, -3.94795e-09, -0.0766062, -0.150153, -0.391706, -3.01796", \
+					  "4.32148, 0.487919, 0.403175, 0.396055, 0.387559, 0.382563, 0.376868, 0.370253, 0.362447, 0.353181, 0.342271, 0.329619, 0.315181, 0.298937, 0.280877, 0.260997, 0.239293, 0.215765, 0.190411, 0.163233, 0.13423, 0.103404, 0.0707561, 0.0362875, -1.88058e-09, -0.0733136, -0.144805, -0.386361, -3.01808", \
+					  "4.24239, 0.375445, 0.265369, 0.261462, 0.257126, 0.254748, 0.252191, 0.249413, 0.246359, 0.242947, 0.239057, 0.234498, 0.228968, 0.222024, 0.213209, 0.202252, 0.189038, 0.173527, 0.155703, 0.135558, 0.11309, 0.0882995, 0.0611863, 0.0317523, -1.88853e-09, -0.0659976, -0.1323, -0.367502, -3.01479", \
+					  "4.22369, 0.363232, 0.217487, 0.214325, 0.210878, 0.209017, 0.207044, 0.204934, 0.202657, 0.200171, 0.197418, 0.19431, 0.190708, 0.186377, 0.180911, 0.173732, 0.164365, 0.152593, 0.138341, 0.121582, 0.102306, 0.0805098, 0.0561925, 0.0293552, -1.89282e-09, -0.0620765, -0.12558, -0.35736, -3.013", \
+					  "4.20752, 0.36777, 0.170038, 0.167524, 0.164831, 0.163398, 0.161895, 0.16031, 0.158626, 0.156822, 0.154869, 0.152726, 0.150333, 0.147597, 0.144356, 0.140305, 0.134865, 0.127323, 0.11726, 0.10453, 0.0890867, 0.0709157, 0.0500113, 0.0263724, -1.89843e-09, -0.0571793, -0.11719, -0.34483, -3.01077", \
+					  "4.20042, 0.37484, 0.146929, 0.144639, 0.142284, 0.141039, 0.13974, 0.138379, 0.136942, 0.135416, 0.13378, 0.132006, 0.130054, 0.127866, 0.125345, 0.122317, 0.118422, 0.112959, 0.105177, 0.0947103, 0.0814462, 0.065351, 0.0464134, 0.0246299, -1.90995e-09, -0.054313, -0.112283, -0.337588, -3.00947", \
+					  "4.19406, 0.385036, 0.125169, 0.122354, 0.120314, 0.119244, 0.118133, 0.116974, 0.11576, 0.114479, 0.113119, 0.11166, 0.110076, 0.10833, 0.106363, 0.104079, 0.101286, 0.0975486, 0.092027, 0.0839636, 0.0730575, 0.0592256, 0.0424432, 0.0227021, -2.17721e-09, -0.0511388, -0.106852, -0.329656, -3.00802", \
+					  "4.18851, 0.398448, 0.108344, 0.100907, 0.0991545, 0.098246, 0.0973075, 0.0963344, 0.0953209, 0.0942597, 0.0931416, 0.0919541, 0.0906805, 0.0892971, 0.0877689, 0.0860406, 0.0840143, 0.0814796, 0.0778926, 0.0722674, 0.0638822, 0.0525046, 0.038075, 0.0205755, -1.04491e-08, -0.047634, -0.100859, -0.321013, -3.00643", \
+					  "4.18392, 0.415214, 0.101803, 0.0807107, 0.0790695, 0.0783122, 0.0775336, 0.0767305, 0.0758992, 0.0750347, 0.074131, 0.07318, 0.0721711, 0.0710899, 0.0699154, 0.0686164, 0.0671415, 0.0653938, 0.0631357, 0.0596791, 0.0539011, 0.0451596, 0.0332854, 0.0182365, -2.65781e-07, -0.0437761, -0.0942653, -0.311645, -3.00468", \
+					  "4.18043, 0.43548, 0.105298, 0.0633164, 0.0603621, 0.0597446, 0.0591142, 0.0584674, 0.0578018, 0.0571142, 0.0564008, 0.0556567, 0.0548754, 0.0540483, 0.0531633, 0.0522031, 0.0511408, 0.0499307, 0.0484789, 0.0465108, 0.0431488, 0.0371715, 0.0280496, 0.0156664, -7.55301e-06, -0.0395502, -0.0870415, -0.301558, -3.00276", \
+					  "4.1782, 0.459399, 0.11598, 0.0536802, 0.0433726, 0.042849, 0.0423499, 0.0418416, 0.0413219, 0.0407888, 0.0402399, 0.0396724, 0.0390826, 0.0384657, 0.037815, 0.0371213, 0.0363712, 0.0355431, 0.0345986, 0.0334495, 0.0317707, 0.0284508, 0.0222357, 0.0127255, -0.000157356, -0.0350808, -0.0793061, -0.290934, -3.00085", \
+					  "4.1775, 0.487142, 0.13198, 0.0550461, 0.0284701, 0.0275809, 0.0271388, 0.0267067, 0.0262721, 0.0258316, 0.0253833, 0.0249254, 0.0244558, 0.0239718, 0.0234702, 0.0229465, 0.0223942, 0.021804, 0.0211602, 0.0204337, 0.019545, 0.018104, 0.0147342, 0.00823687, -0.00166279, -0.0316362, -0.0723861, -0.281264, -3.00038", \
+					  "4.1786, 0.518931, 0.152326, 0.0641716, 0.016129, 0.0118632, 0.0110412, 0.0104609, 0.00992663, 0.00940883, 0.00889762, 0.00838819, 0.00787757, 0.00736341, 0.00684356, 0.00631565, 0.00577677, 0.00522302, 0.00464861, 0.00404402, 0.00338992, 0.00262124, 0.00127085, -0.0022959, -0.00912542, -0.0339398, -0.0711306, -0.277438, -3.00589", \
+					  "4.18192, 0.553983, 0.176614, 0.0776021, 0.00711093, -0.00708086, -0.0108005, -0.0121651, -0.0131394, -0.0139817, -0.0147606, -0.0155032, -0.0162231, -0.0169281, -0.0176232, -0.0183119, -0.0189971, -0.0196812, -0.020367, -0.0210577, -0.0217585, -0.0224796, -0.0232677, -0.0246779, -0.0285103, -0.0478538, -0.081283, -0.284373, -3.02129", \
+					  "4.18798, 0.594886, 0.204699, 0.0939334, 0.00210085, -0.0249059, -0.0366919, -0.0404465, -0.0423997, -0.0438444, -0.0450688, -0.0461736, -0.0472041, -0.0481846, -0.0491294, -0.0500477, -0.0509458, -0.051828, -0.0526981, -0.0535588, -0.0544131, -0.055264, -0.0561171, -0.057009, -0.0586759, -0.0721515, -0.101376, -0.299987, -3.04419", \
+					  "4.19664, 0.64, 0.235372, 0.111948, 0.00041927, -0.0390115, -0.061888, -0.0708994, -0.074699, -0.0771025, -0.0789672, -0.0805591, -0.0819891, -0.083313, -0.0845625, -0.0857571, -0.0869099, -0.0880297, -0.0891233, -0.0901956, -0.0912508, -0.0922928, -0.0933253, -0.0943534, -0.0954823, -0.103319, -0.12771, -0.320611, -3.07121", \
+					  "4.20664, 0.687076, 0.266064, 0.129595, 6.9603e-05, -0.0507256, -0.0849881, -0.102215, -0.109165, -0.112984, -0.115705, -0.117908, -0.119817, -0.12154, -0.123135, -0.124637, -0.126069, -0.127446, -0.12878, -0.130078, -0.131348, -0.132595, -0.133825, -0.135042, -0.136263, -0.14039, -0.158721, -0.344421, -3.10062", \
+					  "4.21715, 0.734579, 0.295146, 0.145863, 8.79657e-06, -0.061029, -0.105956, -0.133108, -0.144958, -0.150795, -0.154617, -0.157554, -0.160013, -0.162177, -0.164143, -0.165969, -0.167689, -0.169327, -0.170902, -0.172424, -0.173904, -0.17535, -0.176769, -0.178168, -0.179555, -0.182603, -0.194089, -0.370564, -3.13153", \
+					  "4.22797, 0.781841, 0.321982, 0.1606, 5.99931e-07, -0.0702135, -0.12487, -0.162273, -0.180958, -0.189628, -0.194861, -0.198675, -0.201759, -0.204408, -0.206772, -0.208935, -0.210951, -0.212854, -0.214668, -0.21641, -0.218095, -0.219732, -0.221332, -0.222903, -0.224454, -0.227569, -0.233863, -0.398551, -3.16341", \
+					  "4.23905, 0.828418, 0.346356, 0.173867, 2.55141e-08, -0.0784062, -0.141856, -0.188986, -0.216109, -0.228702, -0.23575, -0.240619, -0.244419, -0.247605, -0.250396, -0.252916, -0.255238, -0.25741, -0.259466, -0.261427, -0.263314, -0.265138, -0.266912, -0.268648, -0.270355, -0.273731, -0.277815, -0.42808, -3.19594", \
+					  "4.2504, 0.87392, 0.368283, 0.185765, 2.56723e-09, -0.0857, -0.157059, -0.21307, -0.249484, -0.267345, -0.276749, -0.282898, -0.287528, -0.291312, -0.294569, -0.297468, -0.300112, -0.302562, -0.304864, -0.307048, -0.309135, -0.311145, -0.313091, -0.314987, -0.316846, -0.320499, -0.324265, -0.458972, -3.22886", \
+					  "4.26204, 0.917998, 0.387897, 0.196404, 1.8055e-09, -0.0921786, -0.170629, -0.234629, -0.280365, -0.304945, -0.317423, -0.325147, -0.330744, -0.335204, -0.338971, -0.342279, -0.345262, -0.348004, -0.35056, -0.35297, -0.355262, -0.357458, -0.359575, -0.361631, -0.363637, -0.367564, -0.371488, -0.491142, -3.26204", \
+					  "4.27397, 0.960366, 0.405383, 0.205888, 1.78442e-09, -0.0979196, -0.182711, -0.253859, -0.308348, -0.340898, -0.357385, -0.367066, -0.373808, -0.379037, -0.38337, -0.387122, -0.390467, -0.393515, -0.396336, -0.39898, -0.401481, -0.403866, -0.406156, -0.408371, -0.410526, -0.41472, -0.418875, -0.524584, -3.29538", \
+					  "4.28619, 1.00082, 0.420931, 0.214314, 1.79611e-09, -0.102995, -0.193441, -0.270975, -0.333359, -0.374616, -0.396252, -0.408398, -0.416511, -0.422624, -0.427591, -0.431827, -0.435563, -0.438936, -0.442035, -0.444922, -0.447639, -0.450218, -0.452684, -0.45506, -0.457363, -0.461825, -0.466216, -0.559378, -3.3288", \
+					  "4.2987, 1.03923, 0.434722, 0.221775, 1.82122e-09, -0.10747, -0.202949, -0.286178, -0.355553, -0.405585, -0.43362, -0.448896, -0.45867, -0.465813, -0.471494, -0.476266, -0.480425, -0.484146, -0.48754, -0.490681, -0.493622, -0.496402, -0.499049, -0.501589, -0.504043, -0.508772, -0.513398, -0.595707, -3.36227", \
+					  "4.32448, 1.10945, 0.457673, 0.234142, 1.92566e-09, -0.114852, -0.218754, -0.311575, -0.392515, -0.458222, -0.502055, -0.526326, -0.540681, -0.550483, -0.557916, -0.563952, -0.569083, -0.573586, -0.577629, -0.581325, -0.584748, -0.587953, -0.590981, -0.593864, -0.59663, -0.601906, -0.606999, -0.673652, -3.42934", \
+					  "4.34378, 1.16961, 0.475364, 0.243599, 2.13506e-09, -0.120478, -0.230945, -0.331339, -0.421258, -0.498999, -0.559213, -0.596854, -0.618379, -0.632061, -0.641875, -0.649537, -0.655865, -0.6613, -0.666099, -0.670426, -0.674389, -0.678064, -0.681508, -0.684761, -0.68786, -0.693712, -0.699284, -0.756385, -3.49658", \
+					  "4.37739, 1.27358, 0.505328, 0.25926, 3.874e-09, -0.129867, -0.251994, -0.366387, -0.473002, -0.571636, -0.661585, -0.74057, -0.803048, -0.844731, -0.87066, -0.887946, -0.900586, -0.91051, -0.918703, -0.925715, -0.931877, -0.937401, -0.94243, -0.947067, -0.951385, -0.959287, -0.966499, -1.00612, -3.69295", \
+					  "4.37852, 1.30004, 0.510631, 0.261293, 1.35382e-08, -0.131725, -0.258187, -0.379401, -0.495383, -0.606142, -0.711668, -0.811908, -0.906699, -0.995599, -1.07742, -1.14924, -1.20641, -1.24712, -1.27525, -1.29557, -1.31114, -1.32369, -1.3342, -1.34327, -1.35126, -1.36496, -1.37654, -1.40956, -3.99419");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0390268, 0.0744387, 0.1158425, 0.1638199, 0.2406579");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1057621, 0.1409824, 0.1827031, 0.2309465, 0.3076041");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0192064, 0.0317233, 0.0447680, 0.0589268, 0.0774501");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0760389, 0.0943573, 0.1082363, 0.1224014, 0.1402771");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("4.74746");
+						index_3("0.001");
+						index_4("2.3451883, 2.4703095, 2.6937046, 2.8596870, 2.9651851");
+						values("0.381941, 0.611105, 0.763881, 0.611105, 0.381941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("2.73577");
+						index_3("0.001");
+						index_4("1.3511926, 1.4575654, 1.6172485, 1.7740496, 1.8553276");
+						values("0.458705, 0.733928, 0.917409, 0.733928, 0.458705");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("1.84396");
+						index_3("0.001");
+						index_4("0.9103644, 0.9970951, 1.1578431, 1.2618087, 1.3263384");
+						values("0.486621, 0.778593, 0.973241, 0.778593, 0.486621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29692");
+						index_2("2.37373");
+						index_3("0.001");
+						index_4("1.1827553, 1.2466217, 1.3438088, 1.4404469, 1.4953811");
+						values("0.214985, 0.343976, 0.42997, 0.343976, 0.214985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("1.36789");
+						index_3("0.001");
+						index_4("0.6854897, 0.7366534, 0.8165338, 0.8931785, 0.9384387");
+						values("0.253692, 0.405908, 0.507385, 0.405908, 0.253692");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49153");
+						index_2("0.92198");
+						index_3("0.001");
+						index_4("0.4646343, 0.5077459, 0.5655864, 0.6313863, 0.6702139");
+						values("0.26751, 0.428016, 0.535019, 0.428016, 0.26751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39987");
+						index_2("4.10366");
+						index_3("0.001");
+						index_4("2.0151981, 2.1771308, 2.4000158, 2.6394372, 2.7580227");
+						values("0.636213, 1.01794, 1.27243, 1.01794, 0.636213");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("1.31855");
+						index_3("0.001");
+						index_4("0.6572295, 0.7177996, 0.8426499, 0.9838303, 1.0791376");
+						values("1.46024, 1.16638, 0.970472, 1.16638, 1.46024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.747186");
+						index_3("0.001");
+						index_4("0.3752106, 0.4187244, 0.5113102, 0.6150679, 0.6842712");
+						values("1.43276, 1.12242, 0.915523, 1.12242, 1.43276");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.495704");
+						index_3("0.001");
+						index_4("0.2509885, 0.2852453, 0.3432237, 0.4385687, 0.4938894");
+						values("1.42252, 1.10603, 0.895042, 1.10603, 1.42252");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08523");
+						index_2("0.659274");
+						index_3("0.001");
+						index_4("0.3339764, 0.3649225, 0.4141748, 0.5027577, 0.5659715");
+						values("1.69867, 1.54788, 1.44735, 1.54788, 1.69867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("0.373593");
+						index_3("0.001");
+						index_4("0.1928007, 0.2153678, 0.2532432, 0.3161886, 0.3653091");
+						values("1.68965, 1.53344, 1.4293, 1.53344, 1.68965");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31541");
+						index_2("0.247852");
+						index_3("0.001");
+						index_4("0.1298786, 0.1476266, 0.1831662, 0.2279326, 0.2663150");
+						values("1.6868, 1.52888, 1.4236, 1.52888, 1.6868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20367");
+						index_2("1.12078");
+						index_3("0.001");
+						index_4("0.5571199, 0.6256442, 0.7484080, 0.9027555, 1.0054695");
+						values("1.1842, 0.724726, 0.418407, 0.724726, 1.1842");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005769;
+			capacitance : 0.005540;
+			fall_capacitance : 0.005310;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("1");
+				} 
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1071929, 0.1071764, 0.1071600, 0.1070779, 0.1069991, 0.1069171, 0.1068350");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1016934, -0.1011249, -0.1005564, -0.1005259, -0.1004967, -0.1004662, -0.1004358");
+				}
+			}*/
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2797057, 0.3380255, 0.3453534, 0.3272498, 0.3077221, 0.2951138, 0.2603253", \
+					  "0.2073874, 0.2547905, 0.2513539, 0.2579696, 0.2385118, 0.1755906, 0.1803924", \
+					  "0.1686132, 0.2330458, 0.2341908, 0.2222822, 0.1966808, 0.1736407, 0.1500568", \
+					  "0.1558140, 0.2248363, 0.2183314, 0.1967786, 0.1899552, 0.1468293, 0.1403721", \
+					  "0.1484101, 0.2098030, 0.2139793, 0.1929238, 0.1802983, 0.1423223, 0.1229476", \
+					  "0.1493457, 0.2076869, 0.1969892, 0.1831488, 0.1590729, 0.1342576, 0.1366462", \
+					  "0.1594347, 0.2101464, 0.2004392, 0.1918796, 0.1661101, 0.1412947, 0.1376303");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139648, -0.1738101, -0.1567826, -0.1630344, -0.1404549, -0.1125877, -0.0793252", \
+					  "-0.0370324, -0.0970234, -0.1070228, -0.0951226, -0.0633878, -0.0370466, 0.0130007", \
+					  "-0.0135520, -0.0632206, -0.0771028, -0.0731150, -0.0249704, 0.0015370, 0.0286960", \
+					  "-0.0114339, -0.0529159, -0.0735835, -0.0448581, -0.0240826, 0.0003557, 0.0346973", \
+					  "-0.0070818, -0.0335661, -0.0704956, -0.0590268, -0.0208681, -0.0024108, -0.0297926", \
+					  "-0.0141210, -0.0373661, -0.0400165, -0.0357456, -0.0159349, -0.0007521, 0.0251892", \
+					  "0.0017301, -0.0382997, -0.0485796, -0.0443183, -0.0251532, 0.0144875, 0.0419119");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4176429, -0.4790140, -0.4802971, -0.4621348, -0.4441330, -0.4223693, -0.3799515", \
+					  "-0.3575159, -0.4061732, -0.4091637, -0.4078074, -0.3854591, -0.3530143, -0.3228035", \
+					  "-0.3187278, -0.3831168, -0.3784376, -0.3543885, -0.3286425, -0.3210217, -0.2645746", \
+					  "-0.3105062, -0.3713695, -0.3762193, -0.3537521, -0.3446467, -0.3122019, -0.2653855", \
+					  "-0.3061541, -0.3629739, -0.3686707, -0.3582964, -0.3512454, -0.3216115, -0.2990651", \
+					  "-0.3070897, -0.3608524, -0.3741840, -0.3654038, -0.3550826, -0.3042077, -0.3091684", \
+					  "-0.3110751, -0.3678896, -0.3667904, -0.3540621, -0.3375862, -0.3158225, -0.3031069");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5498151, 0.6111867, 0.6154633, 0.5958334, 0.5763057, 0.5652234, 0.5273830", \
+					  "0.4836818, 0.5358417, 0.5354061, 0.5267224, 0.5104070, 0.5100001, 0.4658108", \
+					  "0.4540796, 0.5153455, 0.5179959, 0.4999922, 0.4774370, 0.4336618, 0.4136530", \
+					  "0.4426732, 0.5053925, 0.5082484, 0.4917706, 0.4585099, 0.4275910, 0.3943285", \
+					  "0.4368013, 0.5042977, 0.5069481, 0.4904702, 0.4648390, 0.4369718, 0.4067611", \
+					  "0.4362110, 0.4972970, 0.5063578, 0.4868282, 0.4749299, 0.4394333, 0.4092225", \
+					  "0.4401964, 0.5017279, 0.5103432, 0.5060724, 0.4651824, 0.4373152, 0.4254150");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3300597, 0.3944831, 0.3972333, 0.3776039, 0.3580762, 0.3439419, 0.3106794", \
+					  "0.2623177, 0.3077261, 0.3019502, 0.3071991, 0.2860115, 0.2568277, 0.2332003", \
+					  "0.2189672, 0.2882137, 0.2864255, 0.2730055, 0.2378269, 0.2192034, 0.1714332", \
+					  "0.2076939, 0.2779404, 0.2677257, 0.2445781, 0.2514490, 0.1926449, 0.1671369", \
+					  "0.1972383, 0.2565743, 0.2684244, 0.2417519, 0.2210177, 0.1882536, 0.1855978", \
+					  "0.1951221, 0.2592497, 0.2637431, 0.2548946, 0.2572403, 0.2064446, 0.1772889", \
+					  "0.1991075, 0.2620432, 0.2481796, 0.2527765, 0.2225676, 0.2267439, 0.1812744");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1093872, -0.1707583, -0.1537308, -0.1599826, -0.1389290, -0.1095360, -0.0777993", \
+					  "-0.0339807, -0.0954671, -0.1085480, -0.0951219, -0.0633872, -0.0370459, 0.0130013", \
+					  "-0.0089744, -0.0611808, -0.0771029, -0.0698102, -0.0219171, 0.0030628, 0.0317478", \
+					  "-0.0068563, -0.0514637, -0.0721677, -0.0418385, -0.0225950, 0.0033914, 0.0380231", \
+					  "-0.0025042, -0.0305727, -0.0387304, 0.3455607, -0.0208678, 0.0109241, 0.0339744", \
+					  "-0.0080174, -0.0373661, -0.0384906, -0.0250645, -0.0159349, 0.0077000, 0.0534314", \
+					  "0.0063077, -0.0367738, -0.0440019, -0.0443184, -0.0221483, 0.0279851, 0.0419139");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1139954, -0.1738406, -0.1522355, -0.1615390, -0.1389596, -0.1110925, -0.0778300", \
+					  "-0.0309289, -0.0937966, -0.0990845, -0.0887102, -0.0569754, -0.0291083, 0.0209392", \
+					  "-0.0028709, -0.0562932, -0.0650384, -0.0764602, -0.0358361, -0.0015148, 0.0286960", \
+					  "0.0053507, -0.0306012, -0.0577528, -0.0506685, -0.0359728, 0.0230415, 0.0507288", \
+					  "0.0138398, -0.0231941, -0.0302107, -0.0411523, -0.0055338, -0.0127013, -0.0032750", \
+					  "0.0057155, -0.0148575, -0.0232318, -0.0115293, -0.0031240, 0.0181844, 0.0400010", \
+					  "0.0228615, -0.0184633, -0.0210801, -0.0015841, -0.0066351, 0.0236717, 0.0460708");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3056778, 0.3715939, 0.3774290, 0.3547478, 0.3352201, 0.3226118, 0.2878233", \
+					  "0.2333136, 0.2802476, 0.2791041, 0.2842688, 0.2616408, 0.2320690, 0.2063855", \
+					  "0.1960790, 0.2574720, 0.2631741, 0.2579103, 0.2224356, 0.2044460, 0.1600055", \
+					  "0.1695468, 0.2345677, 0.2274867, 0.2125347, 0.1960588, 0.1852560, 0.1581125", \
+					  "0.1590912, 0.2233961, 0.2226920, 0.2081826, 0.2011869, 0.1347522, 0.1351546", \
+					  "0.1569751, 0.2229457, 0.2065046, 0.1969112, 0.1773835, 0.1519571, 0.1411014", \
+					  "0.1624864, 0.2208276, 0.2156806, 0.2131036, 0.1798430, 0.1489241, 0.1376422");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0956636, -0.1524571, -0.1400072, -0.1432073, -0.1267314, -0.0942866, -0.0640758", \
+					  "-0.0126184, -0.0753460, -0.0905160, -0.0740381, -0.0453551, -0.0159621, 0.0325593", \
+					  "0.0122167, -0.0398489, -0.0605432, -0.0599826, -0.0152587, 0.0183216, 0.0575196", \
+					  "0.0253194, -0.0137196, -0.0194525, -0.0096794, 0.0119999, -0.0009296, 0.0694052", \
+					  "0.0205315, -0.0061192, -0.0100892, -0.0350945, 0.0036369, -0.0139744, 0.0136946", \
+					  "0.0544025, 0.0038327, -0.0079730, 0.0156763, 0.0153844, 0.0343195, 0.0238613", \
+					  "0.0515230, 0.0013731, -0.0081985, -0.0001965, 0.0435387, 0.0143937, 0.0460526");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2751423, 0.3349880, 0.3423167, 0.3226865, 0.3031588, 0.2890245, 0.2557620", \
+					  "0.1953368, 0.2478500, 0.2440996, 0.2461108, 0.2294492, 0.1697726, 0.1700002", \
+					  "0.1609839, 0.2193863, 0.2281245, 0.2101923, 0.1951994, 0.1617092, 0.1350172", \
+					  "0.1375035, 0.1971935, 0.2014991, 0.2014083, 0.1655412, 0.1407258, 0.1212889", \
+					  "0.1270479, 0.1884407, 0.1909331, 0.1746133, 0.1489821, 0.1151796, 0.1061629", \
+					  "0.1264576, 0.1847987, 0.1843974, 0.1672585, 0.1377106, 0.1098435, 0.1084850", \
+					  "0.1334948, 0.1781030, 0.1766754, 0.1658014, 0.1310149, 0.1016219, 0.1124305");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4161244, -0.4774955, -0.4787786, -0.4606163, -0.4426145, -0.4208509, -0.3784330", \
+					  "-0.3492507, -0.4048890, -0.4046609, -0.4047781, -0.3824802, -0.3500354, -0.3198246", \
+					  "-0.3236217, -0.3845993, -0.3843217, -0.3591457, -0.3255649, -0.3054625, -0.2538937", \
+					  "-0.3074544, -0.3714145, -0.3776005, -0.3445693, -0.3461725, -0.3137918, -0.2578423", \
+					  "-0.3076800, -0.3658987, -0.3564637, -0.3445635, -0.3527419, -0.3185309, -0.2530384", \
+					  "-0.3101414, -0.3641070, -0.3741840, -0.3715031, -0.3168688, -0.1653528, -0.3061021", \
+					  "-0.3126010, -0.3680305, -0.3684383, -0.3525362, -0.3345344, -0.3112449, -0.2800285");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5635576, 0.6249293, 0.6261535, 0.6080501, 0.5885224, 0.5789658, 0.5395997", \
+					  "0.4960949, 0.5536486, 0.5508123, 0.5406739, 0.5195187, 0.5191887, 0.4768252", \
+					  "0.4664253, 0.5306043, 0.5317288, 0.5154251, 0.4961810, 0.4411878, 0.4274994", \
+					  "0.4548862, 0.5193309, 0.5250331, 0.5055688, 0.4768205, 0.4459016, 0.4034837", \
+					  "0.4520600, 0.5119271, 0.5191551, 0.5057290, 0.4816237, 0.4507048, 0.4189681", \
+					  "0.4514697, 0.5048005, 0.5167989, 0.5036129, 0.4886645, 0.4516404, 0.4214296", \
+					  "0.4539292, 0.5148031, 0.5193702, 0.5243829, 0.4957000, 0.4571517, 0.4345703");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1164387, 0.1778102, 0.1607259, 0.1670345, 0.1444551, 0.1165899, 0.0848532", \
+					  "0.0410317, 0.1026062, 0.1157435, 0.1038432, 0.0721085, 0.0457672, -0.0042800", \
+					  "0.0160254, 0.0732326, 0.0826165, 0.0755057, 0.0273456, 0.0024630, -0.0307995", \
+					  "0.0108556, 0.0569872, 0.0776058, 0.0474996, 0.0281251, 0.0036938, -0.0288965", \
+					  "0.0065035, 0.0475991, 0.0450484, 0.0452016, 0.0264411, 0.0079532, 0.0337104", \
+					  "0.0181203, 0.0428919, 0.0455424, 0.0305904, 0.0207044, -0.0222168, 0.0353822", \
+					  "0.0022692, 0.0438256, 0.0541054, 0.0514687, 0.0291581, 0.0090205, -0.0348582");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0971803, -0.1570256, -0.1399981, -0.1477758, -0.1251963, -0.0973291, -0.0655925", \
+					  "-0.0263513, -0.0888814, -0.0994931, -0.0845411, -0.0543322, -0.0264651, 0.0220563", \
+					  "0.0001809, -0.0519800, -0.0740951, -0.0632246, -0.0129105, 0.0137440, 0.0424289", \
+					  "0.0022990, -0.0306047, -0.0663983, -0.0359123, -0.0151181, 0.0064576, 0.0445203", \
+					  "0.0066511, -0.0231941, -0.0375448, -0.0367043, -0.0148830, -0.0038581, -0.0205533", \
+					  "-0.0034398, -0.0206353, -0.0278095, -0.0190734, -0.0159275, 0.0181836, -0.0293402", \
+					  "0.0153077, -0.0276186, -0.0348466, -0.0279684, -0.0066407, -0.0030174, 0.0470298");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2903870, 0.3517586, 0.3575614, 0.3379311, 0.3199293, 0.3057951, 0.2710067", \
+					  "0.2167194, 0.2625852, 0.2625753, 0.2691688, 0.2460805, 0.1849902, 0.1881843", \
+					  "0.1808203, 0.2467908, 0.2418118, 0.2504172, 0.2011993, 0.1816046, 0.1584799", \
+					  "0.1725987, 0.2339915, 0.2259608, 0.2155865, 0.1991105, 0.1762141, 0.1505920", \
+					  "0.1621431, 0.2186547, 0.2226899, 0.2097085, 0.1832588, 0.1707501, 0.1290511", \
+					  "0.1630787, 0.2198939, 0.2174785, 0.2162974, 0.1728058, 0.1534140, 0.1425583", \
+					  "0.1701159, 0.2208276, 0.2234780, 0.2009380, 0.1813689, 0.1504500, 0.1463680");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1124389, -0.1753359, -0.1567825, -0.1615085, -0.1435067, -0.1156395, -0.0823769", \
+					  "-0.0370324, -0.1037286, -0.1085488, -0.0935969, -0.0618622, -0.0355209, 0.0145264", \
+					  "-0.0135520, -0.0692222, -0.0785950, -0.0731147, -0.0280285, -0.0030407, 0.0271701", \
+					  "-0.0114339, -0.0529159, -0.0811010, -0.0463695, -0.0270542, 0.0003558, 0.0346976", \
+					  "-0.0070818, -0.0380022, -0.0751202, -0.0590268, -0.0237812, -0.0024108, -0.0313320", \
+					  "-0.0125950, -0.0405197, -0.0415424, -0.0357456, -0.0159349, -0.0007527, 0.0251892", \
+					  "0.0032560, -0.0428774, -0.0531572, -0.0459212, -0.0266130, 0.0144875, 0.0389393");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0401464, 0.0902616, 0.0801597, 0.0720220, 0.0589593, 0.0311387, -0.0005980", \
+					  "-0.0319955, 0.0328425, 0.0379332, 0.0214553, -0.0072277, -0.0366207, -0.0805644", \
+					  "-0.0508464, 0.0011243, 0.0195824, 0.0049240, -0.0468701, -0.0723050, -0.1116711", \
+					  "-0.0630536, -0.0086232, -0.0170660, -0.0343604, -0.0629655, -0.0910794, -0.1207755", \
+					  "-0.0726757, -0.0155827, -0.0225338, -0.0435874, -0.0555606, -0.0922486, -0.1242293", \
+					  "-0.0566480, -0.0178888, -0.0184135, -0.0273610, -0.0682830, -0.0792919, -0.1247429", \
+					  "-0.0727984, -0.0356707, -0.0160850, -0.0249335, -0.0749788, -0.0982683, -0.1029943");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1911957, 0.1328897, 0.1317651, 0.1482449, 0.1769279, 0.2154762, 0.2578940", \
+					  "0.2622777, 0.2039572, 0.1965283, 0.2130062, 0.2355856, 0.2649787, 0.2982412", \
+					  "0.2986574, 0.2339628, 0.2393988, 0.2608314, 0.2757939, 0.3051869, 0.3384494", \
+					  "0.3006326, 0.2484051, 0.2564661, 0.2830027, 0.3089867, 0.3383798, 0.3701165", \
+					  "0.2934695, 0.2575190, 0.2670089, 0.3455944, 0.3133627, 0.3229729, 0.3562079", \
+					  "0.3002102, 0.2756194, 0.2624624, 0.2648525, 0.3052328, 0.3236182, 0.3553617", \
+					  "0.2925064, 0.2625650, 0.2464527, 0.2654447, 0.2849724, 0.3311501, 0.3561143");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1109123, -0.1738093, -0.1552560, -0.1599819, -0.1389283, -0.1095353, -0.0777986", \
+					  "-0.0385583, -0.0969710, -0.1070890, -0.0936629, -0.0619282, -0.0355869, 0.0144603", \
+					  "-0.0120261, -0.0652548, -0.0785798, -0.0730436, -0.0265090, -0.0015148, 0.0317478", \
+					  "-0.0083822, -0.0514637, -0.0735814, -0.0449284, -0.0240668, 0.0003823, 0.0364499", \
+					  "-0.0040301, -0.0335661, -0.0705168, 0.3455767, -0.0208976, 0.0109363, 0.0325273", \
+					  "-0.0095433, -0.0388919, -0.0400165, -0.0265904, -0.0159316, -0.0007468, 0.0579320", \
+					  "0.0063077, -0.0382997, -0.0485796, -0.0443127, -0.0236529, 0.0279863, 0.0404254");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3529474, 0.4064645, 0.4159108, 0.4004915, 0.3824897, 0.3683555, 0.3335670", \
+					  "0.2778699, 0.3278714, 0.3234929, 0.3274976, 0.3063761, 0.2782602, 0.2475418", \
+					  "0.2525366, 0.3093518, 0.3105473, 0.2819817, 0.2752695, 0.2286226, 0.2156406", \
+					  "0.2321080, 0.2881486, 0.3022548, 0.2842510, 0.2514600, 0.2536408, 0.2188524", \
+					  "0.2247041, 0.2901778, 0.2856180, 0.2831144, 0.2512159, 0.2111418, 0.2017354", \
+					  "0.2225880, 0.2840833, 0.2827541, 0.2854121, 0.2857209, 0.2142007, 0.2108583", \
+					  "0.2265734, 0.2879662, 0.2749328, 0.2726129, 0.2363005, 0.2450545, 0.2048541");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "pull_up";
+				is_inverting : "true";
+				miller_cap_fall : "0.00162598";
+				miller_cap_rise : "0.00152105";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("21.4307, 9.35042, 2.72779, 2.6579, 2.57692, 2.52473, 2.4604, 2.38197, 2.29302, 2.1981, 2.09871, 1.99512, 1.88723, 1.77485, 1.6577, 1.53535, 1.40711, 1.27174, 1.12658, 0.968272, 0.797654, 0.615664, 0.422204, 0.217057, -1.95654e-08, -0.450179, -0.938422, -5.00708, -13.9069", \
+					  "21.0786, 8.9595, 2.11254, 1.99557, 1.95979, 1.93981, 1.91772, 1.89285, 1.86427, 1.83052, 1.78921, 1.73633, 1.66744, 1.58472, 1.49361, 1.39549, 1.28997, 1.17582, 1.05039, 0.909874, 0.75482, 0.586412, 0.4046, 0.209193, -8.12665e-09, -0.436214, -0.916671, -5.0049, -13.9053", \
+					  "20.9096, 8.74013, 1.81432, 1.59432, 1.56891, 1.55553, 1.54122, 1.52568, 1.50856, 1.48943, 1.46767, 1.44232, 1.41182, 1.37339, 1.322, 1.25294, 1.17011, 1.07659, 0.970519, 0.847798, 0.708554, 0.55423, 0.384818, 0.200134, -3.04021e-09, -0.420234, -0.891668, -5.00253, -13.9036", \
+					  "20.8593, 8.67089, 1.7243, 1.46252, 1.43943, 1.42756, 1.41501, 1.40149, 1.38675, 1.37046, 1.35218, 1.3313, 1.30682, 1.27708, 1.23906, 1.18714, 1.117, 1.03273, 0.935047, 0.820074, 0.687758, 0.539659, 0.375789, 0.195962, -1.05663e-08, -0.412944, -0.880322, -5.00149, -13.9029", \
+					  "20.8113, 8.60696, 1.64288, 1.33212, 1.31088, 1.3003, 1.28923, 1.27742, 1.26465, 1.25067, 1.23517, 1.2177, 1.19763, 1.17391, 1.14477, 1.10679, 1.05368, 0.981653, 0.893757, 0.787723, 0.663415, 0.522543, 0.365141, 0.191021, -1.08401e-08, -0.404367, -0.867045, -5.00029, -13.902", \
+					  "20.7871, 8.57646, 1.60679, 1.26784, 1.24704, 1.23703, 1.22663, 1.21558, 1.20367, 1.19069, 1.17637, 1.16034, 1.14206, 1.1207, 1.09485, 1.06195, 1.01684, 0.952799, 0.870576, 0.769538, 0.649701, 0.512874, 0.359109, 0.188213, -4.10679e-08, -0.39952, -0.85958, -4.99963, -13.9016", \
+					  "20.7624, 8.54653, 1.57231, 1.21189, 1.18356, 1.17407, 1.16428, 1.15393, 1.14283, 1.13077, 1.11752, 1.10278, 1.08608, 1.06675, 1.04368, 1.01489, 0.976453, 0.921161, 0.84546, 0.749835, 0.63482, 0.502364, 0.352539, 0.185145, -4.69983e-06, -0.394261, -0.851508, -4.99894, -13.9011", \
+					  "20.7368, 8.51692, 1.53861, 1.16441, 1.12032, 1.11126, 1.102, 1.09228, 1.08189, 1.07065, 1.05836, 1.04474, 1.02942, 1.01184, 0.991097, 0.965656, 0.932547, 0.885631, 0.817585, 0.727844, 0.618, 0.490225, 0.344613, 0.180958, -0.000940402, -0.389754, -0.8444, -5.00087, -13.9087", \
+					  "20.71, 8.48732, 1.50478, 1.11506, 1.05382, 1.04292, 1.03367, 1.02423, 1.01428, 1.00361, 0.992022, 0.979283, 0.965065, 0.948895, 0.930041, 0.907277, 0.878332, 0.838455, 0.778998, 0.695712, 0.591301, 0.468349, 0.326963, 0.166895, -0.0121032, -0.397124, -0.852218, -5.02557, -13.9775", \
+					  "20.6811, 8.45726, 1.46986, 1.06202, 0.987988, 0.969845, 0.959492, 0.950089, 0.940477, 0.930325, 0.919412, 0.907508, 0.894325, 0.879458, 0.862297, 0.841851, 0.816342, 0.782162, 0.731494, 0.655767, 0.557418, 0.439849, 0.303211, 0.147204, -0.0284809, -0.409233, -0.864809, -5.05612, -14.0588", \
+					  "20.6509, 8.42644, 1.43397, 1.00706, 0.924654, 0.899011, 0.884837, 0.874981, 0.865593, 0.855911, 0.845632, 0.834517, 0.822299, 0.808626, 0.792982, 0.774548, 0.751903, 0.722259, 0.679366, 0.612357, 0.520795, 0.409255, 0.278031, 0.126775, -0.04488, -0.419895, -0.874301, -5.08217, -14.1281", \
+					  "20.6189, 8.395, 1.39768, 0.951306, 0.863118, 0.832275, 0.812597, 0.800982, 0.791574, 0.782289, 0.772599, 0.762225, 0.750908, 0.738331, 0.72405, 0.707377, 0.68715, 0.661154, 0.624507, 0.56667, 0.482752, 0.377902, 0.252769, 0.106979, -0.0598852, -0.427543, -0.876987, -5.1015, -14.1801", \
+					  "20.5848, 8.36314, 1.36151, 0.89547, 0.8031, 0.768824, 0.744823, 0.729816, 0.71984, 0.710854, 0.701726, 0.692068, 0.681609, 0.670059, 0.657025, 0.641921, 0.623773, 0.600771, 0.569013, 0.519549, 0.44425, 0.34678, 0.228432, 0.0888408, -0.0724536, -0.431067, -0.872562, -5.11269, -14.2108", \
+					  "20.5486, 8.33103, 1.32572, 0.840064, 0.744592, 0.707986, 0.681327, 0.663355, 0.652027, 0.64325, 0.63472, 0.625811, 0.616221, 0.605673, 0.593821, 0.580154, 0.563841, 0.54336, 0.515483, 0.472778, 0.406631, 0.317289, 0.206434, 0.0737833, -0.0811471, -0.428994, -0.860355, -5.11396, -14.2154", \
+					  "20.5102, 8.29885, 1.29059, 0.785567, 0.687784, 0.649539, 0.621311, 0.602065, 0.589793, 0.581108, 0.573209, 0.565067, 0.556329, 0.546734, 0.535972, 0.523597, 0.508886, 0.490526, 0.465754, 0.428209, 0.37046, 0.289983, 0.187282, 0.062248, -0.0856139, -0.421267, -0.840689, -5.10674, -14.1986", \
+					  "20.4697, 8.26685, 1.25642, 0.732519, 0.633051, 0.593623, 0.56447, 0.545011, 0.532911, 0.524196, 0.516696, 0.509188, 0.501182, 0.492411, 0.482592, 0.471327, 0.457975, 0.441375, 0.41909, 0.385471, 0.334259, 0.262959, 0.169055, 0.0522687, -0.087905, -0.410367, -0.816727, -5.09638, -14.1728", \
+					  "20.4273, 8.23536, 1.2236, 0.681624, 0.581004, 0.540717, 0.511022, 0.491789, 0.480246, 0.471727, 0.464338, 0.45727, 0.449875, 0.441816, 0.432817, 0.422511, 0.410317, 0.395187, 0.37492, 0.344345, 0.297809, 0.234646, 0.15018, 0.0423396, -0.0894741, -0.397837, -0.790275, -5.08572, -14.1447", \
+					  "20.3838, 8.20488, 1.19268, 0.633876, 0.532587, 0.491691, 0.461697, 0.44285, 0.431888, 0.423733, 0.416501, 0.409644, 0.402721, 0.395273, 0.386985, 0.377507, 0.366302, 0.3524, 0.333771, 0.305579, 0.262377, 0.204977, 0.129955, 0.0318391, -0.0908294, -0.384085, -0.761713, -5.07576, -14.1167", \
+					  "20.3402, 8.1767, 1.16457, 0.590755, 0.489242, 0.447948, 0.417829, 0.39942, 0.388999, 0.381241, 0.374301, 0.367619, 0.360971, 0.354009, 0.346324, 0.337548, 0.327169, 0.314273, 0.29695, 0.270603, 0.229793, 0.175948, 0.108217, 0.0204774, -0.0921279, -0.369089, -0.730827, -5.06678, -14.0901", \
+					  "20.2991, 8.15138, 1.14064, 0.554486, 0.453139, 0.411636, 0.381531, 0.363584, 0.35366, 0.346289, 0.339678, 0.333258, 0.326793, 0.32013, 0.312913, 0.304705, 0.294986, 0.282878, 0.266547, 0.241563, 0.202418, 0.150703, 0.0867544, 0.00811328, -0.0934148, -0.352689, -0.697229, -5.05891, -14.0654", \
+					  "20.2649, 8.13171, 1.123, 0.528313, 0.427394, 0.385838, 0.355864, 0.338402, 0.328942, 0.321935, 0.315643, 0.309506, 0.303266, 0.296764, 0.289825, 0.282025, 0.272789, 0.261243, 0.245592, 0.221502, 0.183393, 0.132853, 0.0705261, -0.00423509, -0.0947106, -0.334771, -0.661896, -5.05226, -14.0432", \
+					  "20.2513, 8.12451, 1.11702, 0.51995, 0.419004, 0.377338, 0.347454, 0.330386, 0.321252, 0.314476, 0.308373, 0.302397, 0.29628, 0.289836, 0.282898, 0.275196, 0.266145, 0.254818, 0.239418, 0.215628, 0.177842, 0.127631, 0.0656821, -0.00856538, -0.095791, -0.316165, -0.627382, -5.04683, -14.0235", \
+					  "20.252, 8.12472, 1.11718, 0.520432, 0.41882, 0.376852, 0.346883, 0.330001, 0.320979, 0.314237, 0.30814, 0.30215, 0.295997, 0.289483, 0.282409, 0.274531, 0.265394, 0.254044, 0.23864, 0.214854, 0.177074, 0.12687, 0.0649286, -0.00930939, -0.0965084, -0.300222, -0.595223, -5.04254, -14.0064", \
+					  "20.2529, 8.12505, 1.1174, 0.520978, 0.418765, 0.376533, 0.346496, 0.329773, 0.320838, 0.314122, 0.308028, 0.302027, 0.295851, 0.289294, 0.282145, 0.274129, 0.264829, 0.253405, 0.237978, 0.214185, 0.176405, 0.126202, 0.0642624, -0.00997262, -0.0971668, -0.293249, -0.566318, -5.03922, -13.9919", \
+					  "20.2537, 8.12535, 1.1176, 0.521458, 0.418717, 0.376257, 0.346169, 0.329587, 0.320724, 0.31403, 0.30794, 0.301934, 0.295744, 0.289162, 0.281969, 0.273877, 0.264441, 0.252871, 0.237383, 0.213572, 0.175785, 0.125581, 0.063642, -0.0105914, -0.0977829, -0.293582, -0.545887, -5.03671, -13.9798", \
+					  "20.2551, 8.12588, 1.11793, 0.522255, 0.418638, 0.37581, 0.345651, 0.329301, 0.320553, 0.313894, 0.307813, 0.301803, 0.295599, 0.288991, 0.281756, 0.273593, 0.264036, 0.25225, 0.236488, 0.212498, 0.174646, 0.124418, 0.0624688, -0.0117678, -0.0989593, -0.294758, -0.540562, -5.03466, -13.9626", \
+					  "20.2563, 8.12632, 1.11818, 0.522879, 0.418577, 0.375467, 0.345266, 0.329096, 0.320431, 0.313799, 0.307727, 0.301717, 0.295507, 0.288889, 0.281635, 0.273445, 0.263842, 0.251978, 0.236071, 0.211819, 0.173649, 0.123214, 0.0611782, -0.0130978, -0.100311, -0.296141, -0.541939, -5.03527, -13.9538", \
+					  "20.2589, 8.12723, 1.11865, 0.524046, 0.418465, 0.374835, 0.344576, 0.328742, 0.320224, 0.31364, 0.307586, 0.301581, 0.295368, 0.288741, 0.281472, 0.273257, 0.263618, 0.251693, 0.235674, 0.211197, 0.172564, 0.121223, 0.057744, -0.0185018, -0.108126, -0.308585, -0.556002, -5.04572, -13.9567", \
+					  "20.2607, 8.12693, 1.11892, 0.524704, 0.418417, 0.374469, 0.344168, 0.328536, 0.320103, 0.313547, 0.307504, 0.301504, 0.295291, 0.288662, 0.281389, 0.273168, 0.263519, 0.251577, 0.235526, 0.210983, 0.172217, 0.120615, 0.056643, -0.0205125, -0.111779, -0.320342, -0.585754, -5.10764, -14.0334");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0159726, 0.0242777, 0.0331560, 0.0441382, 0.0629835");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0189514, 0.0532626, 0.0702823, 0.0851913, 0.1070699");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0796957");
+						index_3("0.001");
+						index_4("0.0735107, 0.1359225, 2628.6068500, 5257.0777900, 5257.1402000");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0766943");
+						index_3("0.001");
+						index_4("0.0697621, 0.1318378, 0.4570813, 0.7823249, 0.8444005");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0720435");
+						index_3("0.001");
+						index_4("0.0636548, 0.1237456, 1.0516934, 1.9796413, 2.0397320");
+						values("2.59428, 4.15085, 5.18856, 4.15085, 2.59428");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0398479");
+						index_3("0.001");
+						index_4("0.0782737, 0.1432864, 0.5312179, 0.9191494, 0.9841621");
+						values("2.59429, 4.15087, 5.18858, 4.15087, 2.59429");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0383472");
+						index_3("0.001");
+						index_4("0.0757886, 0.1408463, 4.5231952, 8.9055440, 8.9706017");
+						values("2.59426, 4.15082, 5.18852, 4.15082, 2.59426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17066");
+						index_2("0.0360218");
+						index_3("0.001");
+						index_4("0.0721336, 0.1368017, 0.4727479, 0.8086942, 0.8733622");
+						values("2.59424, 4.15079, 5.18848, 4.15079, 2.59424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.115042");
+						index_3("0.001");
+						index_4("0.0725006, 0.1208456, 4385.1090200, 8770.0971900, 8770.1455400");
+						values("2.59424, 4.15079, 5.18849, 4.15079, 2.59424");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024267;
+			capacitance : 0.025004;
+			rise_capacitance : 0.025261;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4161244, -0.3492507, -0.3236217, -0.3074544, -0.3076800, -0.3101414, -0.3126010", \
+					  "-0.4774955, -0.4048890, -0.3845993, -0.3714145, -0.3658987, -0.3641070, -0.3680305", \
+					  "-0.4787786, -0.4046609, -0.3843217, -0.3776005, -0.3564637, -0.3741840, -0.3684383", \
+					  "-0.4606163, -0.4047781, -0.3591457, -0.3445693, -0.3445635, -0.3715031, -0.3525362", \
+					  "-0.4426145, -0.3824802, -0.3255649, -0.3461725, -0.3527419, -0.3168688, -0.3345344", \
+					  "-0.4208509, -0.3500354, -0.3054625, -0.3137918, -0.3185309, -0.1653528, -0.3112449", \
+					  "-0.3784330, -0.3198246, -0.2538937, -0.2578423, -0.2530384, -0.3061021, -0.2800285");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4435548, -0.3120807, -0.2210713, -0.1350299, -0.0635391, 0.0041898, 0.0663694", \
+					  "-0.5049260, -0.3648154, -0.2810867, -0.1918446, -0.1188506, -0.0572025, 0.0059508", \
+					  "-0.5024537, -0.3591414, -0.2793200, -0.1990188, -0.1245301, -0.0490517, 0.0048791", \
+					  "-0.4895727, -0.3644734, -0.2629015, -0.1883245, -0.1034746, -0.0418492, 0.0353285", \
+					  "-0.4853038, -0.3387834, -0.2441524, -0.1630671, -0.0869987, -0.0159404, 0.0435358", \
+					  "-0.4498073, -0.3115982, -0.2106399, -0.1321481, -0.0621833, 0.0005660, 0.0279724", \
+					  "-0.4058636, -0.2768418, -0.1791466, -0.1289941, -0.0512866, 0.0017338, 0.0757380");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5635576, 0.4960949, 0.4664253, 0.4548862, 0.4520600, 0.4514697, 0.4539292", \
+					  "0.6249293, 0.5536486, 0.5306043, 0.5193309, 0.5119271, 0.5048005, 0.5148031", \
+					  "0.6261535, 0.5508123, 0.5317288, 0.5250331, 0.5191551, 0.5167989, 0.5193702", \
+					  "0.6080501, 0.5406739, 0.5154251, 0.5055688, 0.5057290, 0.5036129, 0.5243829", \
+					  "0.5885224, 0.5195187, 0.4961810, 0.4768205, 0.4816237, 0.4886645, 0.4957000", \
+					  "0.5789658, 0.5191887, 0.4411878, 0.4459016, 0.4507048, 0.4516404, 0.4571517", \
+					  "0.5395997, 0.4768252, 0.4274994, 0.4034837, 0.4189681, 0.4214296, 0.4345703");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4506049, 0.3194301, 0.2265997, 0.1420811, 0.0705903, 0.0028614, -0.0594564", \
+					  "0.5119765, 0.3748038, 0.2866930, 0.1971272, 0.1335091, 0.0627284, 0.0011010", \
+					  "0.5147269, 0.3697950, 0.2894102, 0.2060977, 0.1331077, 0.0767728, 0.0021889", \
+					  "0.4950972, 0.3737189, 0.2699703, 0.1938095, 0.1120522, 0.0439950, -0.0285854", \
+					  "0.4786213, 0.3474069, 0.2508794, 0.1685929, 0.0940504, 0.0229758, -0.0356227", \
+					  "0.4583836, 0.3185299, 0.2161686, 0.1391999, 0.0677092, 0.0076096, -0.0662840", \
+					  "0.4296987, 0.2854618, 0.1863572, 0.1359568, 0.0566963, 0.0038462, -0.0596381");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0022228";
+				miller_cap_rise : "0.00154151";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("5.01711, 1.68236, 1.54252, 1.47466, 1.39562, 1.3519, 1.3054, 1.2561, 1.20403, 1.14918, 1.09156, 1.03119, 0.968063, 0.902199, 0.833606, 0.762295, 0.688275, 0.611557, 0.532154, 0.450077, 0.365337, 0.277947, 0.187919, 0.095266, -7.17188e-09, -0.18935, -0.372899, -0.950937, -3.91994", \
+					  "4.71451, 1.1601, 1.10739, 1.08609, 1.06045, 1.04519, 1.02762, 1.00701, 0.98263, 0.954019, 0.921004, 0.88354, 0.841622, 0.795259, 0.744471, 0.689283, 0.629725, 0.565828, 0.497626, 0.425153, 0.348445, 0.267538, 0.18247, 0.0932775, -6.6988e-09, -0.187846, -0.371672, -0.984834, -3.93077", \
+					  "4.50985, 0.793562, 0.734492, 0.72281, 0.709935, 0.702904, 0.695362, 0.687186, 0.678199, 0.668151, 0.65666, 0.643135, 0.626668, 0.606058, 0.580232, 0.548639, 0.511099, 0.46759, 0.418139, 0.362798, 0.301626, 0.234691, 0.16206, 0.0838061, -6.51141e-09, -0.172979, -0.346424, -0.962222, -3.93072", \
+					  "4.4493, 0.736613, 0.60212, 0.592553, 0.582214, 0.57667, 0.570812, 0.564576, 0.55787, 0.550572, 0.542504, 0.5334, 0.522841, 0.510138, 0.49417, 0.473456, 0.446801, 0.413682, 0.373976, 0.327691, 0.274884, 0.215627, 0.150004, 0.0780986, -7.86408e-09, -0.1638, -0.330728, -0.9397, -3.92874", \
+					  "4.39195, 0.725187, 0.469722, 0.462004, 0.453805, 0.449477, 0.444962, 0.440224, 0.435217, 0.429882, 0.424134, 0.417856, 0.410873, 0.402909, 0.393506, 0.381843, 0.366469, 0.345514, 0.317731, 0.28272, 0.240434, 0.190926, 0.134284, 0.0706069, -9.29303e-09, -0.151713, -0.310089, -0.909651, -3.92546", \
+					  "4.36476, 0.730054, 0.404715, 0.397788, 0.390555, 0.386764, 0.382831, 0.37873, 0.37443, 0.369888, 0.365047, 0.359829, 0.354121, 0.347752, 0.340446, 0.331726, 0.320683, 0.305623, 0.284445, 0.255955, 0.219843, 0.176104, 0.124813, 0.0660738, -9.21533e-09, -0.1444, -0.297626, -0.891312, -3.92324", \
+					  "4.33887, 0.74083, 0.342149, 0.335069, 0.328749, 0.325459, 0.322063, 0.318544, 0.314879, 0.31104, 0.306988, 0.302671, 0.298017, 0.29292, 0.287216, 0.280634, 0.272678, 0.262325, 0.247555, 0.226056, 0.196752, 0.159432, 0.114131, 0.060947, -8.53641e-09, -0.136137, -0.283572, -0.870449, -3.9206", \
+					  "4.31459, 0.757357, 0.288459, 0.274579, 0.269118, 0.266299, 0.263404, 0.260422, 0.257336, 0.254128, 0.250771, 0.247233, 0.243468, 0.23941, 0.234963, 0.229975, 0.224183, 0.217076, 0.207475, 0.192932, 0.170992, 0.140764, 0.102133, 0.0551724, -2.65099e-08, -0.126844, -0.267798, -0.846787, -3.91748", \
+					  "4.29233, 0.77963, 0.255898, 0.217404, 0.21251, 0.210139, 0.207715, 0.205232, 0.202678, 0.200041, 0.197306, 0.194451, 0.191448, 0.188257, 0.184823, 0.181062, 0.176836, 0.171898, 0.165723, 0.156913, 0.142485, 0.119976, 0.0887225, 0.0486955, -7.65301e-07, -0.116442, -0.250181, -0.820015, -3.91373", \
+					  "4.27254, 0.807743, 0.24514, 0.166917, 0.159903, 0.157955, 0.155976, 0.153959, 0.151898, 0.149785, 0.147609, 0.145358, 0.143017, 0.140561, 0.13796, 0.135169, 0.132118, 0.128688, 0.124658, 0.119491, 0.111464, 0.0969971, 0.0738009, 0.0414477, -2.14968e-05, -0.104873, -0.230621, -0.789814, -3.90856", \
+					  "4.2558, 0.841872, 0.249977, 0.133815, 0.112373, 0.110756, 0.109183, 0.107592, 0.105975, 0.104329, 0.102648, 0.100925, 0.09915, 0.0973119, 0.0953942, 0.0933737, 0.0912166, 0.0888692, 0.0862392, 0.0831373, 0.0790156, 0.071688, 0.0570049, 0.0330404, -0.000423536, -0.0924501, -0.209411, -0.756269, -3.90066", \
+					  "4.24278, 0.882257, 0.26666, 0.124655, 0.0710158, 0.0686855, 0.0673398, 0.0660238, 0.0647061, 0.0633789, 0.0620372, 0.0606767, 0.0592926, 0.0578788, 0.0564277, 0.0549288, 0.0533671, 0.0517203, 0.0499527, 0.0479997, 0.0457156, 0.0425478, 0.0356875, 0.0205935, -0.00415638, -0.0821871, -0.189658, -0.722478, -3.8951", \
+					  "4.23432, 0.929303, 0.293615, 0.132255, 0.0374536, 0.0273158, 0.025111, 0.0235512, 0.0221168, 0.0207302, 0.0193657, 0.0180114, 0.0166599, 0.0153058, 0.0139443, 0.0125703, 0.0111775, 0.00975762, 0.00829868, 0.00678111, 0.0051683, 0.00336602, 0.000838408, -0.0059361, -0.0215248, -0.0844215, -0.181783, -0.698658, -3.8998", \
+					  "4.23165, 0.983951, 0.330564, 0.150111, 0.0145701, -0.0167115, -0.0260586, -0.0293877, -0.0316986, -0.0336748, -0.0354913, -0.0372159, -0.0388817, -0.0405076, -0.0421052, -0.0436828, -0.0452464, -0.0468015, -0.0483534, -0.0499082, -0.0514747, -0.0530686, -0.0547372, -0.056994, -0.0640002, -0.110168, -0.196319, -0.693648, -3.92031", \
+					  "4.23607, 1.04725, 0.377102, 0.175795, 0.00366139, -0.0522454, -0.0800016, -0.0893148, -0.0938502, -0.0970909, -0.0997911, -0.102203, -0.104438, -0.106555, -0.108587, -0.110556, -0.112476, -0.114358, -0.11621, -0.118037, -0.119846, -0.121643, -0.123435, -0.125248, -0.127865, -0.156289, -0.229614, -0.702237, -3.95191", \
+					  "4.24641, 1.11652, 0.428501, 0.20516, 0.000660848, -0.0774002, -0.127956, -0.150687, -0.159911, -0.165399, -0.169523, -0.172983, -0.176057, -0.178883, -0.181536, -0.184063, -0.186495, -0.188851, -0.191147, -0.193395, -0.195604, -0.197782, -0.199937, -0.202077, -0.204273, -0.217873, -0.275744, -0.717395, -3.98908", \
+					  "4.2593, 1.18672, 0.478161, 0.233159, 0.000101742, -0.097078, -0.169, -0.211226, -0.229185, -0.23831, -0.244481, -0.249336, -0.253473, -0.257165, -0.260557, -0.263734, -0.26675, -0.269642, -0.272435, -0.275149, -0.277798, -0.280395, -0.282951, -0.285477, -0.287988, -0.29477, -0.333087, -0.736921, -4.02903", \
+					  "4.27311, 1.25581, 0.523245, 0.258173, 1.10268e-05, -0.113564, -0.204171, -0.267319, -0.299253, -0.314117, -0.323144, -0.329781, -0.335195, -0.339882, -0.344094, -0.347972, -0.351606, -0.355052, -0.358351, -0.361532, -0.364617, -0.367625, -0.370569, -0.373466, -0.376329, -0.38223, -0.402089, -0.761566, -4.07077", \
+					  "4.28749, 1.32338, 0.563269, 0.280187, 6.06806e-07, -0.127638, -0.234377, -0.3167, -0.366853, -0.390595, -0.403652, -0.412584, -0.419537, -0.42537, -0.430493, -0.435129, -0.439415, -0.443435, -0.447249, -0.450898, -0.454415, -0.457823, -0.461144, -0.464395, -0.467595, -0.473938, -0.483666, -0.792218, -4.11432", \
+					  "4.3024, 1.38934, 0.598533, 0.299489, 2.25621e-08, -0.139725, -0.26037, -0.3593, -0.428974, -0.465588, -0.484414, -0.496357, -0.505201, -0.512372, -0.518522, -0.523989, -0.528972, -0.533595, -0.537942, -0.542069, -0.546021, -0.549828, -0.553519, -0.557116, -0.560642, -0.567564, -0.574945, -0.829236, -4.15964", \
+					  "4.3179, 1.45356, 0.629542, 0.316392, 2.35727e-09, -0.150131, -0.282776, -0.395931, -0.483772, -0.536938, -0.564028, -0.580004, -0.591208, -0.59997, -0.607294, -0.613685, -0.619427, -0.624694, -0.6296, -0.634224, -0.638621, -0.642833, -0.646896, -0.650837, -0.654683, -0.662188, -0.669679, -0.872719, -4.20657", \
+					  "4.33401, 1.51581, 0.6568, 0.331182, 1.82409e-09, -0.159105, -0.302121, -0.427481, -0.531023, -0.60254, -0.64111, -0.662579, -0.676793, -0.687477, -0.696165, -0.703597, -0.710175, -0.716138, -0.72164, -0.726785, -0.731645, -0.736275, -0.740716, -0.745005, -0.749172, -0.757255, -0.765206, -0.922739, -4.25488", \
+					  "4.35081, 1.57577, 0.680749, 0.344111, 1.94286e-09, -0.166852, -0.318843, -0.454715, -0.571517, -0.660791, -0.714173, -0.743177, -0.7613, -0.774344, -0.784639, -0.793261, -0.800771, -0.807496, -0.813641, -0.819339, -0.824686, -0.82975, -0.834584, -0.839229, -0.843722, -0.852385, -0.860837, -0.979483, -4.30442", \
+					  "4.36834, 1.63299, 0.701773, 0.355397, 2.15428e-09, -0.173545, -0.33331, -0.478266, -0.606273, -0.711156, -0.781617, -0.820821, -0.844105, -0.860102, -0.87232, -0.882318, -0.890879, -0.898446, -0.905289, -0.911583, -0.917447, -0.922968, -0.92821, -0.933224, -0.938053, -0.947306, -0.956261, -1.04336, -4.35504", \
+					  "4.38666, 1.68693, 0.720203, 0.365233, 2.46151e-09, -0.179328, -0.345832, -0.498655, -0.636201, -0.754164, -0.841993, -0.894385, -0.924552, -0.944306, -0.958861, -0.970471, -0.980231, -0.988739, -0.996349, -1.00329, -1.0097, -1.01571, -1.02138, -1.02678, -1.03196, -1.04182, -1.05128, -1.115, -4.40665", \
+					  "4.42582, 1.78217, 0.750395, 0.381209, 3.45117e-09, -0.188625, -0.366035, -0.531609, -0.68438, -0.822228, -0.939277, -1.02409, -1.07519, -1.10615, -1.1272, -1.14304, -1.1558, -1.16657, -1.17598, -1.1844, -1.19207, -1.19915, -1.20576, -1.212, -1.21792, -1.22907, -1.23959, -1.28137, -4.51257", \
+					  "4.46397, 1.85649, 0.773222, 0.393144, 5.10038e-09, -0.195506, -0.381088, -0.556278, -0.720433, -0.872396, -1.00937, -1.12399, -1.20527, -1.25519, -1.28677, -1.30893, -1.32588, -1.33967, -1.35137, -1.36161, -1.37077, -1.37912, -1.38682, -1.39401, -1.40077, -1.41333, -1.425, -1.46304, -4.62166", \
+					  "4.52509, 1.9688, 0.810734, 0.412289, 1.59341e-08, -0.206529, -0.405791, -0.597552, -0.781545, -0.957438, -1.12474, -1.28255, -1.42885, -1.55868, -1.66279, -1.73547, -1.78353, -1.8169, -1.84185, -1.86166, -1.87812, -1.89226, -1.90472, -1.9159, -1.92609, -1.94424, -1.96026, -2.00266, -4.94631", \
+					  "4.52562, 1.99426, 0.816305, 0.414342, 6.3073e-08, -0.208276, -0.41167, -0.610083, -0.803417, -0.991565, -1.17441, -1.35183, -1.52365, -1.68966, -1.84951, -2.00253, -2.14738, -2.28112, -2.39813, -2.49179, -2.561, -2.6113, -2.64912, -2.67887, -2.70327, -2.74187, -2.77209, -2.83834, -5.44888");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0304603, 0.0518305, 0.0776891, 0.1074331, 0.1570794");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0983785, 0.1202205, 0.1462639, 0.1754744, 0.2246294");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0172819, 0.0267097, 0.0373712, 0.0499793, 0.0671783");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0739815, 0.0893091, 0.1014146, 0.1135868, 0.1307363");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("3.22209");
+						index_3("0.001");
+						index_4("1.5909915, 1.6787157, 1.8154563, 1.9619742, 2.0449702");
+						values("0.417406, 0.66785, 0.834813, 0.66785, 0.417406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("1.84997");
+						index_3("0.001");
+						index_4("0.9135602, 0.9841852, 1.1217075, 1.2145285, 1.2730751");
+						values("0.482147, 0.771436, 0.964295, 0.771436, 0.482147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("1.24172");
+						index_3("0.001");
+						index_4("0.6152101, 0.6733525, 0.7757975, 0.8585185, 0.9069337");
+						values("0.510985, 0.817575, 1.02197, 0.817575, 0.510985");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31405");
+						index_2("1.61105");
+						index_3("0.001");
+						index_4("0.8049242, 0.8484635, 0.9381564, 0.9935651, 1.0371441");
+						values("0.236648, 0.378637, 0.473297, 0.378637, 0.236648");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("0.924983");
+						index_3("0.001");
+						index_4("0.4659618, 0.5004545, 0.5507125, 0.6105068, 0.6493366");
+						values("0.272136, 0.435418, 0.544272, 0.435418, 0.272136");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.50257");
+						index_2("0.620862");
+						index_3("0.001");
+						index_4("0.3154982, 0.3432858, 0.3910316, 0.4352390, 0.4612088");
+						values("0.285407, 0.456652, 0.570815, 0.456652, 0.285407");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41376");
+						index_2("2.77495");
+						index_3("0.001");
+						index_4("1.3643705, 1.4724073, 1.6674503, 1.8020846, 1.8916683");
+						values("0.668986, 1.07038, 1.33797, 1.07038, 0.668986");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("1.04796");
+						index_3("0.001");
+						index_4("0.5222598, 0.5700165, 0.6724899, 0.7698112, 0.8368874");
+						values("1.47168, 1.18468, 0.993353, 1.18468, 1.47168");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.594221");
+						index_3("0.001");
+						index_4("0.2981735, 0.3322131, 0.4045287, 0.4801872, 0.5278848");
+						values("1.44468, 1.14148, 0.939351, 1.14148, 1.44468");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.394134");
+						index_3("0.001");
+						index_4("0.1989923, 0.2254991, 0.2827964, 0.3422248, 0.3807349");
+						values("1.43706, 1.1293, 0.924124, 1.1293, 1.43706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07497");
+						index_2("0.523981");
+						index_3("0.001");
+						index_4("0.2659828, 0.2910641, 0.3428308, 0.3940390, 0.4376436");
+						values("1.70592, 1.55948, 1.46185, 1.55948, 1.70592");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.297111");
+						index_3("0.001");
+						index_4("0.1531702, 0.1705757, 0.2036629, 0.2460766, 0.2810545");
+						values("1.70073, 1.55118, 1.45147, 1.55118, 1.70073");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30644");
+						index_2("0.197067");
+						index_3("0.001");
+						index_4("0.1031254, 0.1167346, 0.1462145, 0.1772025, 0.2050037");
+						values("1.69987, 1.54979, 1.44974, 1.54979, 1.69987");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19384");
+						index_2("0.891332");
+						index_3("0.001");
+						index_4("0.4423186, 0.4950347, 0.5921485, 0.7066810, 0.7780227");
+						values("1.21772, 0.778357, 0.485447, 0.778357, 1.21772");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006928;
+			capacitance : 0.006769;
+			fall_capacitance : 0.006611;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1164387, 0.0410317, 0.0160254, 0.0108556, 0.0065035, 0.0181203, 0.0022692", \
+					  "0.1778102, 0.1026062, 0.0732326, 0.0569872, 0.0475991, 0.0428919, 0.0438256", \
+					  "0.1607259, 0.1157435, 0.0826165, 0.0776058, 0.0450484, 0.0455424, 0.0541054", \
+					  "0.1670345, 0.1038432, 0.0755057, 0.0474996, 0.0452016, 0.0305904, 0.0514687", \
+					  "0.1444551, 0.0721085, 0.0273456, 0.0281251, 0.0264411, 0.0207044, 0.0291581", \
+					  "0.1165899, 0.0457672, 0.0024630, 0.0036938, 0.0079532, -0.0222168, 0.0090205", \
+					  "0.0848532, -0.0042800, -0.0307995, -0.0288965, 0.0337104, 0.0353822, -0.0348582");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3758918, 0.2411418, 0.1350425, 0.0490010, -0.0257201, -0.1039516, -0.1661476", \
+					  "0.4339299, 0.2876494, 0.1949109, 0.1056294, 0.0389046, -0.0423262, -0.1131646", \
+					  "0.4414352, 0.2846328, 0.2006131, 0.1191491, 0.0356815, -0.0353155, -0.1089885", \
+					  "0.4234315, 0.2902863, 0.1886561, 0.0950760, 0.0408979, -0.0529106, -0.1262434", \
+					  "0.4069555, 0.2676531, 0.1732816, 0.0970512, 0.0081903, -0.0692420, -0.1466951", \
+					  "0.3790884, 0.2380278, 0.1273606, 0.0555149, -0.0323422, -0.0646139, -0.1673843", \
+					  "0.3595588, 0.2095374, 0.1079355, 0.0220140, -0.0594725, -0.0991985, -0.1720917");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0971803, -0.0263513, 0.0001809, 0.0022990, 0.0066511, -0.0034398, 0.0153077", \
+					  "-0.1570256, -0.0888814, -0.0519800, -0.0306047, -0.0231941, -0.0206353, -0.0276186", \
+					  "-0.1399981, -0.0994931, -0.0740951, -0.0663983, -0.0375448, -0.0278095, -0.0348466", \
+					  "-0.1477758, -0.0845411, -0.0632246, -0.0359123, -0.0367043, -0.0190734, -0.0279684", \
+					  "-0.1251963, -0.0543322, -0.0129105, -0.0151181, -0.0148830, -0.0159275, -0.0066407", \
+					  "-0.0973291, -0.0264651, 0.0137440, 0.0064576, -0.0038581, 0.0181836, -0.0030174", \
+					  "-0.0655925, 0.0220563, 0.0424289, 0.0445203, -0.0205533, -0.0293402, 0.0470298");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1735238, -0.0370327, 0.0615400, 0.1486640, 0.2214202, 0.2750083, 0.5260000", \
+					  "-0.2333689, -0.1069126, 0.0028757, 0.0884446, 0.1690766, 0.2403439, 0.3092820", \
+					  "-0.2316359, -0.1051954, -0.0036645, 0.0923702, 0.1653869, 0.2471702, 0.3074841", \
+					  "-0.2332744, -0.0948210, 0.0024598, 0.0974411, 0.1772871, 0.2569858, 0.3101857", \
+					  "-0.2106949, -0.0630865, 0.0325461, 0.1089824, 0.1937631, 0.2798026, 0.3410342", \
+					  "-0.1858795, -0.0367450, 0.0363698, 0.1382168, 0.2163876, 0.2939368, 0.3570900", \
+					  "-0.1495652, 0.0133022, 0.0681065, 0.1643706, 0.2460803, 0.2865261, 0.3811973");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425391, 0.0419784, 0.0414177, 0.0416125, 0.0417995, 0.0419943, 0.0421891");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0542222, 0.0534303, 0.0526384, 0.0532766, 0.0538892, 0.0545274, 0.0551655");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.00106108";
+				miller_cap_rise : "0.000886848";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91031e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87956e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88767e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89202e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89777e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90965e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18651e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350737, 0.0654616, 0.1027410, 0.1450915, 0.2127594");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1010410, 0.1314012, 0.1686430, 0.2113895, 0.2791158");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187521, 0.0298075, 0.0414291, 0.0542067, 0.0707932");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765266, 0.0931006, 0.1055895, 0.1181801, 0.1342002");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.17149");
+						index_3("0.001");
+						index_4("2.0590590, 2.1673015, 2.3797730, 2.5153611, 2.6060170");
+						values("0.380783, 0.609252, 0.761565, 0.609252, 0.380783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40381");
+						index_3("0.001");
+						index_4("1.1858234, 1.2782055, 1.4297597, 1.5552256, 1.6286839");
+						values("0.45867, 0.733873, 0.917341, 0.733873, 0.45867");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62017");
+						index_3("0.001");
+						index_4("0.7996104, 0.8765000, 1.0065325, 1.1086776, 1.1649543");
+						values("0.48896, 0.782335, 0.977919, 0.782335, 0.48896");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.08575");
+						index_3("0.001");
+						index_4("1.0398455, 1.0957502, 1.1857852, 1.2667720, 1.3140762");
+						values("0.216714, 0.346743, 0.433428, 0.346743, 0.216714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20191");
+						index_3("0.001");
+						index_4("0.6026064, 0.6473462, 0.7205378, 0.7859579, 0.8225236");
+						values("0.255554, 0.408887, 0.511108, 0.408887, 0.255554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.810086");
+						index_3("0.001");
+						index_4("0.4083568, 0.4461370, 0.5194575, 0.5607781, 0.5900958");
+						values("0.270321, 0.432513, 0.540642, 0.432513, 0.270321");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.60572");
+						index_3("0.001");
+						index_4("1.7694516, 1.9126245, 2.1239663, 2.3177255, 2.4221926");
+						values("0.636225, 1.01796, 1.27245, 1.01796, 0.636225");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.2001");
+						index_3("0.001");
+						index_4("0.5989375, 0.6552179, 0.7635679, 0.8940352, 0.9822799");
+						values("1.4467, 1.14471, 0.943393, 1.14471, 1.4467");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.680148");
+						index_3("0.001");
+						index_4("0.3424121, 0.3830317, 0.4609468, 0.5584630, 0.6243192");
+						values("1.41986, 1.10178, 0.88972, 1.10178, 1.41986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.451194");
+						index_3("0.001");
+						index_4("0.2288319, 0.2604873, 0.3271507, 0.3985948, 0.4485543");
+						values("1.40787, 1.0826, 0.865745, 1.0826, 1.40787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.600051");
+						index_3("0.001");
+						index_4("0.3050185, 0.3339131, 0.3827462, 0.4570060, 0.5130212");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340074");
+						index_3("0.001");
+						index_4("0.1757125, 0.1971397, 0.2403617, 0.2874011, 0.3311760");
+						values("1.67968, 1.51749, 1.40936, 1.51749, 1.67968");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.225597");
+						index_3("0.001");
+						index_4("0.1188404, 0.1355336, 0.1668261, 0.2068097, 0.2414106");
+						values("1.67873, 1.51596, 1.40746, 1.51596, 1.67873");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02022");
+						index_3("0.001");
+						index_4("0.5084140, 0.5701794, 0.6653996, 0.8184802, 0.9132013");
+						values("1.17399, 0.708378, 0.397972, 0.708378, 1.17399");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.018312;
+			capacitance : 0.019257;
+			rise_capacitance : 0.020202;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6754388, 0.8967032, 1.1179677, 1.3825258, 1.6365017, 1.9010598, 2.1656179");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.1619740, 29.7756410, 45.3893070, 45.7833310, 46.1615940, 46.5556180, 46.9496420");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00154325";
+				miller_cap_rise : "0.000815969";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("12.1771, 5.6796, 2.30777, 2.25715, 2.19128, 2.1491, 2.09729, 2.03215, 1.95278, 1.86424, 1.77048, 1.67254, 1.57058, 1.46457, 1.35443, 1.24004, 1.1213, 0.998094, 0.870297, 0.737788, 0.600438, 0.458118, 0.310696, 0.158036, -1.80068e-08, -0.32192, -0.649267, -5.54981, -15.3887", \
+					  "11.8187, 5.2342, 1.61124, 1.57708, 1.5502, 1.53479, 1.51763, 1.49826, 1.47598, 1.44975, 1.41784, 1.3773, 1.32329, 1.25281, 1.17123, 1.08286, 0.988476, 0.888056, 0.781441, 0.668438, 0.548836, 0.422418, 0.288959, 0.148232, -7.6572e-09, -0.30461, -0.620521, -5.54636, -15.3855", \
+					  "11.6551, 4.98952, 1.26485, 1.17046, 1.15324, 1.14382, 1.13362, 1.12246, 1.11011, 1.09626, 1.08046, 1.06203, 1.03987, 1.01204, 0.974919, 0.922515, 0.853954, 0.775571, 0.689412, 0.595515, 0.493633, 0.383466, 0.264693, 0.136984, -5.56146e-09, -0.284412, -0.587821, -5.5426, -15.382", \
+					  "11.6001, 4.91219, 1.16587, 1.03731, 1.02224, 1.01422, 1.00563, 0.996303, 0.986082, 0.974742, 0.961981, 0.947353, 0.930174, 0.909308, 0.882702, 0.846321, 0.793863, 0.726101, 0.648805, 0.563136, 0.468944, 0.365903, 0.253652, 0.131814, -5.2942e-09, -0.275217, -0.573072, -5.54095, -15.3805", \
+					  "11.5431, 4.83835, 1.07631, 0.905725, 0.892355, 0.88552, 0.878293, 0.870529, 0.862092, 0.852822, 0.84251, 0.83086, 0.817434, 0.801542, 0.782008, 0.756616, 0.720827, 0.668318, 0.601692, 0.525483, 0.440128, 0.345321, 0.240655, 0.125697, -5.23002e-09, -0.264411, -0.555876, -5.53905, -15.3787", \
+					  "11.5128, 4.80289, 1.03608, 0.840836, 0.82791, 0.821598, 0.81498, 0.807911, 0.800264, 0.7919, 0.782645, 0.772256, 0.760381, 0.746476, 0.729641, 0.708237, 0.678926, 0.635318, 0.575283, 0.504371, 0.423931, 0.333717, 0.233303, 0.122225, -2.33737e-08, -0.258311, -0.546237, -5.53799, -15.3778", \
+					  "11.4808, 4.76807, 0.997564, 0.784097, 0.763851, 0.758016, 0.751964, 0.745541, 0.738626, 0.731097, 0.722807, 0.713558, 0.703064, 0.690897, 0.676364, 0.658243, 0.634123, 0.598853, 0.546654, 0.48154, 0.406385, 0.32112, 0.225303, 0.118434, -4.68577e-06, -0.251694, -0.535836, -5.53687, -15.3767", \
+					  "11.4468, 4.73301, 0.960231, 0.737892, 0.70007, 0.694621, 0.689056, 0.683201, 0.676933, 0.670145, 0.66271, 0.654464, 0.645179, 0.634515, 0.621935, 0.606525, 0.586545, 0.558242, 0.514815, 0.45616, 0.386663, 0.306684, 0.215776, 0.113401, -0.00100809, -0.24581, -0.526383, -5.537, -15.3783", \
+					  "11.4103, 4.69787, 0.923807, 0.69524, 0.632196, 0.623981, 0.618085, 0.612269, 0.606226, 0.599802, 0.592868, 0.585279, 0.576842, 0.567285, 0.556191, 0.542871, 0.526063, 0.503136, 0.468289, 0.416904, 0.353245, 0.278569, 0.192424, 0.0941829, -0.0168232, -0.257359, -0.539206, -5.55299, -15.4096", \
+					  "11.3711, 4.6631, 0.888123, 0.653034, 0.5639, 0.544554, 0.536325, 0.529962, 0.523869, 0.517653, 0.511116, 0.5041, 0.49643, 0.487879, 0.478119, 0.466625, 0.452479, 0.433849, 0.406551, 0.363733, 0.306626, 0.237804, 0.156896, 0.063163, -0.0441988, -0.280776, -0.565395, -5.57736, -15.4543", \
+					  "11.3289, 4.62823, 0.853067, 0.61024, 0.498361, 0.465133, 0.450877, 0.443189, 0.436817, 0.430715, 0.424523, 0.418038, 0.411082, 0.403459, 0.394903, 0.385016, 0.373122, 0.357942, 0.336652, 0.302928, 0.253347, 0.191079, 0.116112, 0.0275957, -0.0754176, -0.306853, -0.593407, -5.60266, -15.4995", \
+					  "11.2834, 4.59335, 0.818562, 0.566796, 0.435355, 0.389196, 0.365334, 0.354908, 0.347909, 0.341789, 0.335871, 0.329856, 0.323548, 0.316763, 0.309284, 0.3008, 0.290815, 0.27843, 0.261766, 0.23627, 0.195362, 0.14039, 0.0721018, -0.0104282, -0.108298, -0.333113, -0.620019, -5.62714, -15.5424", \
+					  "11.2344, 4.55806, 0.784554, 0.522942, 0.374664, 0.317135, 0.282226, 0.266795, 0.258594, 0.252266, 0.246522, 0.240905, 0.235172, 0.229137, 0.222612, 0.215354, 0.206996, 0.196903, 0.183822, 0.164818, 0.133281, 0.086433, 0.0255966, -0.0501344, -0.142012, -0.358598, -0.640545, -5.65023, -15.5822", \
+					  "11.1816, 4.52248, 0.751017, 0.479014, 0.316205, 0.248753, 0.203182, 0.180193, 0.16984, 0.163019, 0.157321, 0.152016, 0.146779, 0.141406, 0.135723, 0.129536, 0.122569, 0.114375, 0.104114, 0.0899483, 0.0671374, 0.0293746, -0.0231963, -0.0912701, -0.176253, -0.382909, -0.656966, -5.67157, -15.6186", \
+					  "11.1249, 4.48664, 0.717978, 0.435415, 0.260047, 0.183932, 0.128783, 0.096507, 0.0825188, 0.074774, 0.0689497, 0.0638537, 0.0590276, 0.054229, 0.0492847, 0.0440285, 0.0382539, 0.0316444, 0.0236369, 0.0130794, -0.00288095, -0.0308713, -0.0743101, -0.133815, -0.210937, -0.405866, -0.670198, -5.69064, -15.6514", \
+					  "11.0643, 4.45052, 0.685566, 0.392657, 0.206433, 0.122751, 0.059147, 0.0172084, -0.00237576, -0.0116988, -0.0178856, -0.0228973, -0.0274049, -0.0317178, -0.0360241, -0.0404744, -0.0452299, -0.0505145, -0.0567051, -0.0645275, -0.0756178, -0.0944981, -0.127902, -0.177866, -0.246088, -0.427377, -0.680068, -5.70812, -15.6805", \
+					  "11.0003, 4.41471, 0.654095, 0.351425, 0.155838, 0.0655513, -0.00550361, -0.0564462, -0.0835525, -0.0954382, -0.102317, -0.1074, -0.111694, -0.115614, -0.11938, -0.123143, -0.127034, -0.131216, -0.135938, -0.141662, -0.149333, -0.161352, -0.184196, -0.22359, -0.281785, -0.447383, -0.686413, -5.72407, -15.7067", \
+					  "10.9336, 4.37996, 0.624172, 0.312692, 0.109062, 0.0130257, -0.0645926, -0.123491, -0.159297, -0.175129, -0.183167, -0.188522, -0.192724, -0.19635, -0.199677, -0.202867, -0.20604, -0.209315, -0.212863, -0.216977, -0.222219, -0.229812, -0.243374, -0.271201, -0.318144, -0.465833, -0.68903, -5.73852, -15.7299", \
+					  "10.8662, 4.34669, 0.596832, 0.277897, 0.0673846, -0.033627, -0.117014, -0.182837, -0.227448, -0.248894, -0.258758, -0.264646, -0.268902, -0.272348, -0.275342, -0.278076, -0.28067, -0.283225, -0.285857, -0.288758, -0.29228, -0.297101, -0.304743, -0.320921, -0.355312, -0.482675, -0.687593, -5.75148, -15.7504", \
+					  "10.802, 4.31691, 0.573735, 0.249194, 0.0327855, -0.0724994, -0.160935, -0.232779, -0.285466, -0.314056, -0.326672, -0.333443, -0.337933, -0.341325, -0.344103, -0.346505, -0.348667, -0.350682, -0.352638, -0.354671, -0.35702, -0.36012, -0.364747, -0.372756, -0.393458, -0.49786, -0.681901, -5.76316, -15.7685", \
+					  "10.7478, 4.29362, 0.557426, 0.229725, 0.00814532, -0.100786, -0.193615, -0.2707, -0.330392, -0.366993, -0.383545, -0.391659, -0.396602, -0.400088, -0.402779, -0.404981, -0.406859, -0.408514, -0.410027, -0.411502, -0.413125, -0.415229, -0.418376, -0.423432, -0.432802, -0.511441, -0.673758, -5.77396, -15.7846", \
+					  "10.7259, 4.2856, 0.554439, 0.227016, 0.000118859, -0.112071, -0.208838, -0.290585, -0.356318, -0.400968, -0.422829, -0.432973, -0.438728, -0.442569, -0.445408, -0.447647, -0.449495, -0.451071, -0.452464, -0.453768, -0.455146, -0.456898, -0.459533, -0.463838, -0.470909, -0.524472, -0.666285, -5.78418, -15.799", \
+					  "10.7271, 4.2869, 0.558537, 0.232469, 9.34718e-08, -0.115289, -0.215761, -0.30185, -0.373003, -0.425296, -0.453855, -0.466968, -0.474007, -0.478517, -0.48176, -0.484271, -0.486313, -0.488037, -0.489538, -0.490914, -0.49231, -0.493997, -0.496451, -0.500428, -0.50701, -0.540994, -0.661284, -5.79402, -15.8119", \
+					  "10.7287, 4.28826, 0.562531, 0.237539, 1.36237e-08, -0.118079, -0.221914, -0.311946, -0.38792, -0.447046, -0.483199, -0.500289, -0.509015, -0.514365, -0.5181, -0.520934, -0.523206, -0.525101, -0.526733, -0.5282, -0.529636, -0.531281, -0.533575, -0.537231, -0.543306, -0.568817, -0.659643, -5.80357, -15.8236", \
+					  "10.7302, 4.2895, 0.566306, 0.24214, 1.86068e-08, -0.12059, -0.227474, -0.321094, -0.401387, -0.466478, -0.510502, -0.532758, -0.543715, -0.550126, -0.554457, -0.55767, -0.560207, -0.562298, -0.56408, -0.565656, -0.567149, -0.568774, -0.570928, -0.574278, -0.579839, -0.603515, -0.666512, -5.81291, -15.8341", \
+					  "10.7327, 4.29169, 0.573233, 0.250108, 4.83137e-08, -0.124889, -0.237064, -0.336941, -0.424669, -0.499496, -0.557829, -0.593716, -0.611538, -0.621063, -0.627032, -0.631237, -0.63444, -0.637011, -0.639156, -0.641005, -0.642674, -0.644331, -0.646288, -0.649102, -0.653673, -0.67374, -0.722105, -5.8329, -15.8525", \
+					  "10.7349, 4.29355, 0.579383, 0.256675, 1.38187e-07, -0.128383, -0.244941, -0.350052, -0.443949, -0.526408, -0.595719, -0.646643, -0.675627, -0.690459, -0.699012, -0.70467, -0.708795, -0.712006, -0.714623, -0.716831, -0.718759, -0.720549, -0.722438, -0.724861, -0.728576, -0.745023, -0.786828, -5.8545, -15.8697", \
+					  "10.7396, 4.29761, 0.593671, 0.270122, 1.63417e-06, -0.135379, -0.261099, -0.377433, -0.484625, -0.582846, -0.672066, -0.7517, -0.819567, -0.870515, -0.901539, -0.918827, -0.929301, -0.936393, -0.941621, -0.945713, -0.949056, -0.951879, -0.954349, -0.956653, -0.959112, -0.967289, -0.98947, -5.91713, -15.9036", \
+					  "10.7438, 4.30139, 0.606475, 0.279587, 1.8203e-05, -0.140058, -0.272957, -0.39884, -0.517865, -0.630184, -0.735934, -0.835226, -0.928111, -1.0145, -1.09397, -1.16523, -1.22516, -1.26936, -1.29777, -1.31566, -1.32767, -1.33639, -1.3431, -1.34851, -1.35303, -1.36038, -1.36766, -6.03886, -15.9457");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0316994, 0.0646630, 0.1001519, 0.1420163, 0.2108869");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0859116, 0.1220550, 0.1574995, 0.1992861, 0.2684138");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0486724, 0.0986454, 0.1506015, 0.2044972, 0.2789506");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011118, 0.1511087, 0.2030708, 0.2568744, 0.3311447");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.57708");
+						index_3("0.001");
+						index_4("0.6797956, 0.7725763, 0.8610425, 0.9660979, 1.0603680");
+						values("0.308509, 0.493614, 0.617018, 0.493614, 0.308509");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.13557");
+						index_3("0.001");
+						index_4("0.5010688, 0.5711706, 0.6439829, 0.7400819, 0.8129757");
+						values("0.436357, 0.698172, 0.872714, 0.698172, 0.436357");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.792844");
+						index_3("0.001");
+						index_4("0.3658036, 0.4173047, 0.4872219, 0.5697140, 0.6273965");
+						values("0.664496, 1.06319, 1.32899, 1.06319, 0.664496");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.788539");
+						index_3("0.001");
+						index_4("0.3510572, 0.3978250, 0.4567759, 0.5197734, 0.5780236");
+						values("0.251247, 0.401996, 0.502494, 0.401996, 0.251247");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("0.567785");
+						index_3("0.001");
+						index_4("0.2580685, 0.2934418, 0.3450243, 0.4015334, 0.4481567");
+						values("0.321447, 0.514315, 0.642894, 0.514315, 0.321447");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21663");
+						index_2("0.396422");
+						index_3("0.001");
+						index_4("0.1861744, 0.2131907, 0.2525284, 0.3058397, 0.3461879");
+						values("0.413114, 0.660983, 0.826229, 0.660983, 0.413114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78751");
+						index_2("1.70335");
+						index_3("0.001");
+						index_4("0.7563919, 0.8539765, 0.9614985, 1.0717013, 1.1641208");
+						values("0.517783, 0.828452, 1.03557, 0.828452, 0.517783");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.58352");
+						index_3("0.001");
+						index_4("0.6555302, 0.7708117, 0.8818958, 0.9885288, 1.1036263");
+						values("5.24621, 5.09394, 4.99243, 5.09394, 5.24621");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.2522");
+						index_3("0.001");
+						index_4("0.5299328, 0.6218892, 0.6988492, 0.8093631, 0.9035159");
+						values("5.17301, 4.97681, 4.84601, 4.97681, 5.17301");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("1.02568");
+						index_3("0.001");
+						index_4("0.4473883, 0.5187549, 0.5954613, 0.6884405, 0.7677928");
+						values("5.08676, 4.83882, 4.67352, 4.83882, 5.08676");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.791759");
+						index_3("0.001");
+						index_4("0.3467616, 0.4020875, 0.4554105, 0.5396252, 0.6062598");
+						values("5.28614, 5.15782, 5.07227, 5.15782, 5.28614");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.626101");
+						index_3("0.001");
+						index_4("0.2818602, 0.3238834, 0.3668111, 0.4481485, 0.5053058");
+						values("5.24389, 5.09023, 4.98778, 5.09023, 5.24389");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.512841");
+						index_3("0.001");
+						index_4("0.2367369, 0.2720566, 0.3236647, 0.3832080, 0.4332102");
+						values("5.19721, 5.01554, 4.89443, 5.01554, 5.19721");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.8783");
+						index_3("0.001");
+						index_4("0.7865449, 0.9159386, 1.0142665, 1.1597079, 1.2892799");
+						values("5.1363, 4.91808, 4.77261, 4.91808, 5.1363");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033266;
+			capacitance : 0.033204;
+			fall_capacitance : 0.033142;
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1505141, 1.3466635, 1.5428128, 1.8090585, 2.0646545, 2.3309002, 2.5971460");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0595048, 0.1144765, 0.2884578, 0.5451894, 0.7916516, 1.0483831, 1.3051147");
+				}
+			}*/
+			/* Copied from enable_switch_h. NCX is not able to get ccs noise for this pin 10-5-2014, SIVK */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00199814";
+				miller_cap_rise : "0.00113515";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("23.209, 10.7587, 3.73472, 3.60231, 3.49156, 3.4224, 3.33945, 3.23748, 3.11302, 2.97135, 2.82009, 2.66197, 2.49753, 2.3268, 2.14971, 1.96614, 1.77596, 1.57903, 1.37522, 1.16438, 0.946391, 0.721096, 0.488359, 0.24804, -1.68363e-08, -0.502537, -1.012, -6.07706, -16.9219", \
+					  "22.6491, 9.9495, 2.81606, 2.56359, 2.51384, 2.48546, 2.45401, 2.41868, 2.3783, 2.33114, 2.27442, 2.20354, 2.11148, 1.99463, 1.86125, 1.71758, 1.56482, 1.40301, 1.23198, 1.05152, 0.861415, 0.661434, 0.451355, 0.230951, -1.17195e-08, -0.470597, -0.95675, -6.06854, -16.9139", \
+					  "22.3841, 9.50037, 2.30705, 1.9283, 1.89554, 1.87766, 1.85836, 1.8373, 1.81408, 1.78812, 1.75864, 1.72443, 1.68357, 1.6328, 1.56619, 1.47526, 1.36093, 1.23242, 1.09231, 0.940702, 0.777334, 0.6019, 0.41408, 0.213553, -5.54443e-09, -0.438742, -0.902986, -6.06071, -16.9066", \
+					  "22.2917, 9.35869, 2.14358, 1.71789, 1.68901, 1.67366, 1.65725, 1.63949, 1.62009, 1.59863, 1.57457, 1.54711, 1.51504, 1.47635, 1.42753, 1.362, 1.27111, 1.1583, 1.03156, 0.892345, 0.740508, 0.575721, 0.397619, 0.205834, -1.59886e-09, -0.424808, -0.879907, -6.05747, -16.9036", \
+					  "22.192, 9.2225, 1.98739, 1.50882, 1.48302, 1.46982, 1.4559, 1.44098, 1.42481, 1.40711, 1.38748, 1.3654, 1.34006, 1.31023, 1.27384, 1.22706, 1.16252, 1.072, 0.961251, 0.836316, 0.697756, 0.545262, 0.378422, 0.196809, -8.98248e-09, -0.408671, -0.853508, -6.05383, -16.9002", \
+					  "22.1374, 9.1565, 1.91333, 1.40583, 1.38036, 1.36812, 1.3553, 1.34166, 1.32693, 1.31088, 1.29318, 1.27339, 1.25087, 1.22463, 1.19307, 1.15331, 1.09974, 1.02283, 0.921831, 0.804916, 0.673762, 0.528139, 0.36761, 0.191717, -2.71453e-08, -0.399637, -0.83888, -6.05185, -16.8984", \
+					  "22.0786, 9.09183, 1.84092, 1.31351, 1.278, 1.26663, 1.25485, 1.24239, 1.22901, 1.21449, 1.19856, 1.18085, 1.16084, 1.13776, 1.11036, 1.07646, 1.03192, 0.968448, 0.879061, 0.770922, 0.647764, 0.509561, 0.355865, 0.186176, -4.65382e-06, -0.389876, -0.823194, -6.04976, -16.8965", \
+					  "22.0151, 9.02604, 1.76973, 1.23004, 1.17589, 1.16525, 1.1544, 1.143, 1.13084, 1.11771, 1.10338, 1.08754, 1.06978, 1.04947, 1.02564, 0.996658, 0.959467, 0.907773, 0.831765, 0.733398, 0.618853, 0.488631, 0.342277, 0.179248, -0.00100491, -0.380625, -0.808172, -6.05109, -16.9069", \
+					  "21.9459, 8.96026, 1.69951, 1.14984, 1.07101, 1.05684, 1.04599, 1.03509, 1.02368, 1.01152, 0.998373, 0.983985, 0.968006, 0.949939, 0.929026, 0.904023, 0.872687, 0.830477, 0.768212, 0.68088, 0.575381, 0.453369, 0.314386, 0.157784, -0.0171077, -0.389126, -0.816192, -6.09405, -17.0453", \
+					  "21.8704, 8.89436, 1.6301, 1.07027, 0.966275, 0.940033, 0.926798, 0.91572, 0.904739, 0.893335, 0.881218, 0.868132, 0.853772, 0.837732, 0.819416, 0.797874, 0.771456, 0.736936, 0.687293, 0.612973, 0.517537, 0.404539, 0.273618, 0.123988, -0.0451837, -0.409812, -0.83818, -6.15825, -17.2355", \
+					  "21.7876, 8.82772, 1.56136, 0.990203, 0.863517, 0.823352, 0.803863, 0.791761, 0.780947, 0.770176, 0.758998, 0.747119, 0.734259, 0.720076, 0.704096, 0.685593, 0.663351, 0.635083, 0.595877, 0.535825, 0.451836, 0.348872, 0.227001, 0.0853023, -0.0772338, -0.433005, -0.861805, -6.22472, -17.4279", \
+					  "21.6968, 8.76008, 1.49322, 0.90943, 0.76268, 0.709635, 0.68073, 0.666193, 0.655189, 0.644903, 0.634559, 0.623786, 0.612302, 0.599809, 0.585925, 0.570096, 0.551421, 0.528281, 0.497337, 0.450899, 0.380107, 0.288304, 0.176568, 0.043881, -0.110956, -0.456015, -0.882832, -6.28909, -17.6117", \
+					  "21.5968, 8.69118, 1.42561, 0.828148, 0.663759, 0.599253, 0.559748, 0.540702, 0.528972, 0.518973, 0.509345, 0.499571, 0.489341, 0.478382, 0.46638, 0.452908, 0.437303, 0.418418, 0.394, 0.35888, 0.302762, 0.22341, 0.122997, 0.000510266, -0.145447, -0.477723, -0.895271, -6.34977, -17.7833", \
+					  "21.4869, 8.6208, 1.35852, 0.746718, 0.56687, 0.492229, 0.442413, 0.416605, 0.403325, 0.393349, 0.384296, 0.375408, 0.366312, 0.356742, 0.34643, 0.335044, 0.322098, 0.306782, 0.287583, 0.261199, 0.219529, 0.154177, 0.0663987, -0.0445759, -0.180343, -0.497583, -0.903321, -6.40603, -17.9415", \
+					  "21.3659, 8.5488, 1.29201, 0.66562, 0.472284, 0.388699, 0.329455, 0.295246, 0.279197, 0.268877, 0.260229, 0.252104, 0.244025, 0.235707, 0.226911, 0.217376, 0.206742, 0.194446, 0.179477, 0.159759, 0.130173, 0.0802839, 0.00659776, -0.0914025, -0.215517, -0.515264, -0.906706, -6.4568, -18.086", \
+					  "21.2332, 8.47518, 1.22628, 0.585506, 0.380495, 0.289037, 0.221337, 0.178113, 0.157686, 0.146502, 0.138049, 0.130554, 0.123371, 0.116175, 0.108738, 0.100842, 0.0922254, 0.0824982, 0.0709936, 0.0564188, 0.035811, 0.00122623, -0.0567535, -0.140141, -0.250949, -0.530509, -0.904978, -6.50322, -18.2168", \
+					  "21.0883, 8.40021, 1.16179, 0.507321, 0.292326, 0.193971, 0.118738, 0.0667916, 0.0402527, 0.0274662, 0.0189388, 0.0119209, 0.00551201, -0.000688798, -0.00691878, -0.0133655, -0.0202259, -0.0277659, -0.036417, -0.0469752, -0.0611149, -0.0832473, -0.124128, -0.191066, -0.286682, -0.543068, -0.89765, -6.54542, -18.3354", \
+					  "20.9319, 8.32467, 1.09948, 0.432508, 0.209131, 0.104783, 0.0228673, -0.0370139, -0.071038, -0.0864487, -0.0954055, -0.102123, -0.10789, -0.113224, -0.118391, -0.123569, -0.128911, -0.134599, -0.140906, -0.148315, -0.157766, -0.171424, -0.19604, -0.24454, -0.322794, -0.552669, -0.884107, -6.58345, -18.4423", \
+					  "20.7668, 8.2507, 1.04104, 0.363381, 0.133127, 0.023636, -0.0641646, -0.131064, -0.173205, -0.192546, -0.202407, -0.209039, -0.214311, -0.218912, -0.223163, -0.227249, -0.231301, -0.235446, -0.239855, -0.244819, -0.250873, -0.259089, -0.272203, -0.300995, -0.359398, -0.558995, -0.863419, -6.61737, -18.5382", \
+					  "20.6009, 8.18175, 0.9895, 0.303742, 0.0679565, -0.0458833, -0.138821, -0.211862, -0.261956, -0.28666, -0.298067, -0.304881, -0.309826, -0.313842, -0.317334, -0.320513, -0.323505, -0.32641, -0.32934, -0.332473, -0.336133, -0.340909, -0.347921, -0.360774, -0.396638, -0.561699, -0.834905, -6.64766, -18.6239", \
+					  "20.4519, 8.12469, 0.950073, 0.25981, 0.01948, -0.0980047, -0.195395, -0.273791, -0.331121, -0.36241, -0.376201, -0.383532, -0.388345, -0.391938, -0.39484, -0.397307, -0.39948, -0.401452, -0.403308, -0.405174, -0.407276, -0.410021, -0.414074, -0.420496, -0.434714, -0.560614, -0.801394, -6.67499, -18.7006", \
+					  "20.3691, 8.09833, 0.935242, 0.245729, 0.00052368, -0.120273, -0.221708, -0.304923, -0.368686, -0.407337, -0.424644, -0.433037, -0.438073, -0.441561, -0.444202, -0.44632, -0.448089, -0.449615, -0.450982, -0.452301, -0.453772, -0.455755, -0.458847, -0.463947, -0.472295, -0.554965, -0.767406, -6.69986, -18.7689", \
+					  "20.3679, 8.10015, 0.938541, 0.251685, 6.86599e-07, -0.124437, -0.230129, -0.318241, -0.388184, -0.434828, -0.457325, -0.467803, -0.473779, -0.477778, -0.480736, -0.483069, -0.484993, -0.486634, -0.488084, -0.489449, -0.490912, -0.492805, -0.495701, -0.500475, -0.508359, -0.55582, -0.735829, -6.72262, -18.8294", \
+					  "20.372, 8.10301, 0.9422, 0.257716, 1.45144e-08, -0.127772, -0.237388, -0.330011, -0.405507, -0.459822, -0.488701, -0.50196, -0.509157, -0.513803, -0.517157, -0.51976, -0.521881, -0.523672, -0.525235, -0.526675, -0.528156, -0.529987, -0.532702, -0.537151, -0.54455, -0.575273, -0.707602, -6.74347, -18.8826", \
+					  "20.3757, 8.10561, 0.945583, 0.263216, 1.79754e-08, -0.130787, -0.24399, -0.340746, -0.421233, -0.482542, -0.518715, -0.535619, -0.544376, -0.549813, -0.553637, -0.556552, -0.558896, -0.560855, -0.562547, -0.564076, -0.565594, -0.567377, -0.569925, -0.574046, -0.580932, -0.609805, -0.694537, -6.76265, -18.9289", \
+					  "20.3822, 8.11017, 0.951609, 0.272802, 3.75147e-08, -0.135986, -0.255467, -0.359509, -0.448623, -0.521623, -0.573165, -0.600555, -0.613938, -0.621575, -0.626633, -0.630334, -0.633226, -0.635591, -0.637593, -0.639349, -0.640991, -0.642741, -0.645011, -0.648513, -0.654347, -0.679681, -0.741974, -6.80073, -19.0039", \
+					  "20.3875, 8.11402, 0.956769, 0.280765, 8.88871e-08, -0.140244, -0.264987, -0.375202, -0.471528, -0.553693, -0.618679, -0.660256, -0.681363, -0.692514, -0.699396, -0.70419, -0.70781, -0.710698, -0.713095, -0.715151, -0.716994, -0.718801, -0.7209, -0.723882, -0.728721, -0.750247, -0.805797, -6.83758, -19.0606", \
+					  "20.3989, 8.12241, 0.96814, 0.297264, 8.04388e-07, -0.148868, -0.284816, -0.408554, -0.520699, -0.621681, -0.711503, -0.788955, -0.849851, -0.888957, -0.910388, -0.922734, -0.930763, -0.936522, -0.940946, -0.944513, -0.947494, -0.950069, -0.952409, -0.954779, -0.957675, -0.969083, -1.00236, -6.92397, -19.1093", \
+					  "20.4091, 8.12968, 0.97773, 0.30909, 7.8946e-06, -0.154749, -0.299761, -0.435448, -0.562207, -0.680402, -0.790354, -0.892315, -0.986388, -1.07234, -1.14908, -1.21355, -1.26099, -1.29109, -1.3097, -1.32205, -1.33094, -1.33776, -1.34324, -1.3478, -1.35171, -1.3586, -1.36867, -7.06761, -18.9198");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0232323, 0.0423489, 0.0629052, 0.0877552, 0.1291546");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0720853, 0.0992657, 0.1199815, 0.1446388, 0.1861739");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0485379, 0.0954359, 0.1446784, 0.1959604, 0.2660359");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1003050, 0.1472880, 0.1965337, 0.2478901, 0.3182349");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.826783");
+						index_3("0.001");
+						index_4("0.3716882, 0.4218363, 0.4786380, 0.5583655, 0.6137758");
+						values("0.512323, 0.819716, 1.02465, 0.819716, 0.512323");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.627115");
+						index_3("0.001");
+						index_4("0.2904568, 0.3310910, 0.3928640, 0.4554019, 0.5029784");
+						values("0.672533, 1.07605, 1.34507, 1.07605, 0.672533");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.46891");
+						index_3("0.001");
+						index_4("0.2234912, 0.2572203, 0.3067637, 0.3706650, 0.4138935");
+						values("0.859456, 1.37513, 1.71891, 1.37513, 0.859456");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.413392");
+						index_3("0.001");
+						index_4("0.1917924, 0.2169394, 0.2473847, 0.3033984, 0.3421387");
+						values("0.356531, 0.57045, 0.713062, 0.57045, 0.356531");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.313558");
+						index_3("0.001");
+						index_4("0.1492832, 0.1702459, 0.2022763, 0.2460549, 0.2814301");
+						values("0.423229, 0.677166, 0.846457, 0.677166, 0.423229");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.09976");
+						index_2("0.234455");
+						index_3("0.001");
+						index_4("0.1151526, 0.1324867, 0.1614299, 0.1986002, 0.2316617");
+						values("0.495446, 0.792714, 0.990893, 0.792714, 0.495446");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.940673");
+						index_3("0.001");
+						index_4("0.4363743, 0.4942771, 0.5766400, 0.6648502, 0.7259591");
+						values("0.885727, 1.41716, 1.77145, 1.41716, 0.885727");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.48682");
+						index_3("0.001");
+						index_4("0.6028657, 0.7136377, 0.7860289, 0.8937043, 1.0024441");
+						values("5.33344, 5.2335, 5.16687, 5.2335, 5.33344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.17612");
+						index_3("0.001");
+						index_4("0.4860077, 0.5728198, 0.6340366, 0.7248899, 0.8137157");
+						values("5.2856, 5.15696, 5.0712, 5.15696, 5.2856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.963997");
+						index_3("0.001");
+						index_4("0.4076282, 0.4789442, 0.5331986, 0.6103628, 0.6823096");
+						values("5.22757, 5.0641, 4.95513, 5.0641, 5.22757");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.743412");
+						index_3("0.001");
+						index_4("0.3193926, 0.3731099, 0.4258421, 0.4816828, 0.5413561");
+						values("5.35002, 5.26004, 5.20005, 5.26004, 5.35002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.58806");
+						index_3("0.001");
+						index_4("0.2580646, 0.2995727, 0.3328128, 0.3964262, 0.4463148");
+						values("5.31538, 5.20461, 5.13076, 5.20461, 5.31538");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.481999");
+						index_3("0.001");
+						index_4("0.2171411, 0.2499923, 0.2814815, 0.3374802, 0.3808185");
+						values("5.27791, 5.14465, 5.05581, 5.14465, 5.27791");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.76418");
+						index_3("0.001");
+						index_4("0.7132543, 0.8467822, 0.9363647, 1.0471395, 1.1741809");
+						values("5.27275, 5.13641, 5.04551, 5.13641, 5.27275");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007164;
+			capacitance : 0.007016;
+			fall_capacitance : 0.006867;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0401464, -0.0319955, -0.0508464, -0.0630536, -0.0726757, -0.0566480, -0.0727984", \
+					  "0.0902616, 0.0328425, 0.0011243, -0.0086232, -0.0155827, -0.0178888, -0.0356707", \
+					  "0.0801597, 0.0379332, 0.0195824, -0.0170660, -0.0225338, -0.0184135, -0.0160850", \
+					  "0.0720220, 0.0214553, 0.0049240, -0.0343604, -0.0435874, -0.0273610, -0.0249335", \
+					  "0.0589593, -0.0072277, -0.0468701, -0.0629655, -0.0555606, -0.0682830, -0.0749788", \
+					  "0.0311387, -0.0366207, -0.0723050, -0.0910794, -0.0922486, -0.0792919, -0.0982683", \
+					  "-0.0005980, -0.0805644, -0.1116711, -0.1207755, -0.1242293, -0.1247429, -0.1029943");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1185473, -0.2478959, -0.3474880, -0.4373644, -0.5174178, -0.5754468, -0.5665770", \
+					  "-0.0601774, -0.1945865, -0.3022970, -0.3856196, -0.4757778, -0.5348121, -0.6055960", \
+					  "-0.0621046, -0.1943780, -0.2870327, -0.3691607, -0.4613042, -0.5473068, -0.5973486", \
+					  "-0.0785825, -0.2093298, -0.2931116, -0.3867180, -0.4844452, -0.5335889, -0.6046530", \
+					  "-0.1133690, -0.2334351, -0.3156910, -0.3829299, -0.4858240, -0.5886463, -0.6911289", \
+					  "-0.1549690, -0.2628284, -0.3535224, -0.4310965, -0.4864510, -0.5444175, -0.6601135", \
+					  "-0.1973869, -0.2945649, -0.3844501, -0.4471113, -0.5440846, -0.6351483, -0.6808472");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1911957, 0.2622777, 0.2986574, 0.3006326, 0.2934695, 0.3002102, 0.2925064", \
+					  "0.1328897, 0.2039572, 0.2339628, 0.2484051, 0.2575190, 0.2756194, 0.2625650", \
+					  "0.1317651, 0.1965283, 0.2393988, 0.2564661, 0.2670089, 0.2624624, 0.2464527", \
+					  "0.1482449, 0.2130062, 0.2608314, 0.2830027, 0.3455944, 0.2648525, 0.2654447", \
+					  "0.1769279, 0.2355856, 0.2757939, 0.3089867, 0.3133627, 0.3052328, 0.2849724", \
+					  "0.2154762, 0.2649787, 0.3051869, 0.3383798, 0.3229729, 0.3236182, 0.3311501", \
+					  "0.2578940, 0.2982412, 0.3384494, 0.3701165, 0.3562079, 0.3553617, 0.3561143");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1317050, 0.2595736, 0.3600694, 0.4445283, 0.5280430, 0.6053859, 0.5778068", \
+					  "0.0733328, 0.2041783, 0.3079092, 0.3986706, 0.4851782, 0.5444344, 0.6077775", \
+					  "0.0752600, 0.2075912, 0.3028605, 0.3840441, 0.4675598, 0.5418857, 0.6090743", \
+					  "0.0932637, 0.2225432, 0.3093873, 0.3924787, 0.4884267, 0.5319768, 0.6122867", \
+					  "0.1265243, 0.2451226, 0.3273890, 0.3917202, 0.4925593, 0.5843781, 0.6232602", \
+					  "0.1681244, 0.2745159, 0.3654228, 0.4398442, 0.4952292, 0.5534251, 0.6662523", \
+					  "0.2105422, 0.3062523, 0.3885187, 0.4559016, 0.5483282, 0.6164135, 0.6865923");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538743, 0.0532279, 0.0525816, 0.0531560, 0.0537075, 0.0542819, 0.0548563");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425163, 0.0419438, 0.0413713, 0.0415476, 0.0417168, 0.0418931, 0.0420694");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0010608";
+				miller_cap_rise : "0.000886029";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91021e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87946e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88757e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89193e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.89766e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90955e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18642e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.0757e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0350821, 0.0657941, 0.1022187, 0.1447492, 0.2126969");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1009548, 0.1311494, 0.1681711, 0.2107468, 0.2780543");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0187224, 0.0297188, 0.0412698, 0.0539439, 0.0706486");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0764808, 0.0929814, 0.1054426, 0.1179760, 0.1338955");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.14851");
+						index_3("0.001");
+						index_4("2.0478413, 2.1556098, 2.3652763, 2.5012057, 2.5916527");
+						values("0.381059, 0.609695, 0.762119, 0.609695, 0.381059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.39057");
+						index_3("0.001");
+						index_4("1.1792953, 1.2711502, 1.4212456, 1.5468995, 1.6197027");
+						values("0.458689, 0.733903, 0.917379, 0.733903, 0.458689");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.61125");
+						index_3("0.001");
+						index_4("0.7952109, 0.8716752, 1.0009855, 1.1026178, 1.1585993");
+						values("0.488951, 0.782322, 0.977902, 0.782322, 0.488951");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.07426");
+						index_3("0.001");
+						index_4("1.0341715, 1.0897499, 1.1794266, 1.2598811, 1.3068872");
+						values("0.216802, 0.346883, 0.433604, 0.346883, 0.216802");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.19528");
+						index_3("0.001");
+						index_4("0.5993252, 0.6437974, 0.7167144, 0.7817090, 0.8180361");
+						values("0.255627, 0.409004, 0.511255, 0.409004, 0.255627");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.805624");
+						index_3("0.001");
+						index_4("0.4061695, 0.4437759, 0.5163736, 0.5576870, 0.5868630");
+						values("0.270549, 0.432878, 0.541098, 0.432878, 0.270549");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.58585");
+						index_3("0.001");
+						index_4("1.7593805, 1.9016832, 2.0978316, 2.3058563, 2.4095018");
+						values("0.635312, 1.0165, 1.27062, 1.0165, 0.635312");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.19451");
+						index_3("0.001");
+						index_4("0.5961584, 0.6521914, 0.7615254, 0.8900306, 0.9780552");
+						values("1.44662, 1.14459, 0.943243, 1.14459, 1.44662");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.676981");
+						index_3("0.001");
+						index_4("0.3408502, 0.3812831, 0.4587501, 0.5558889, 0.6213966");
+						values("1.41974, 1.10158, 0.889471, 1.10158, 1.41974");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.449093");
+						index_3("0.001");
+						index_4("0.2277744, 0.2592268, 0.3267760, 0.3969427, 0.4462580");
+						values("1.40811, 1.08297, 0.866211, 1.08297, 1.40811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.597257");
+						index_3("0.001");
+						index_4("0.3036300, 0.3323696, 0.3807082, 0.4549682, 0.5107107");
+						values("1.6941, 1.54056, 1.4382, 1.54056, 1.6941");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.338491");
+						index_3("0.001");
+						index_4("0.1749450, 0.1962463, 0.2393608, 0.2860893, 0.3296606");
+						values("1.67983, 1.51773, 1.40967, 1.51773, 1.67983");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.224546");
+						index_3("0.001");
+						index_4("0.1183265, 0.1349369, 0.1660168, 0.2058748, 0.2403051");
+						values("1.67884, 1.51614, 1.40767, 1.51614, 1.67884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.01547");
+						index_3("0.001");
+						index_4("0.5060721, 0.5675516, 0.6621571, 0.8146583, 0.9089627");
+						values("1.17369, 0.707897, 0.397372, 0.707897, 1.17369");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014331;
+			capacitance : 0.014243;
+			fall_capacitance : 0.014156;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1109123, -0.0385583, -0.0120261, -0.0083822, -0.0040301, -0.0095433, 0.0063077", \
+					  "-0.1738093, -0.0969710, -0.0652548, -0.0514637, -0.0335661, -0.0388919, -0.0382997", \
+					  "-0.1552560, -0.1070890, -0.0785798, -0.0735814, -0.0705168, -0.0400165, -0.0485796", \
+					  "-0.1599819, -0.0936629, -0.0730436, -0.0449284, 0.3455767, -0.0265904, -0.0443127", \
+					  "-0.1389283, -0.0619282, -0.0265090, -0.0240668, -0.0208976, -0.0159316, -0.0236529", \
+					  "-0.1095353, -0.0355869, -0.0015148, 0.0003823, 0.0109363, -0.0007468, 0.0279863", \
+					  "-0.0777986, 0.0144603, 0.0317478, 0.0364499, 0.0325273, 0.0579320, 0.0404254");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1704713, -0.0324548, 0.0627294, 0.1531035, 0.2216715, 0.2749536, 0.3178540", \
+					  "-0.2272648, -0.0969138, 0.0044016, 0.0915676, 0.1720986, 0.2418698, 0.3107602", \
+					  "-0.2209182, -0.1006093, -0.0022186, 0.0838787, 0.1653869, 0.2455697, 0.3073723", \
+					  "-0.2256443, -0.0917610, 0.0024487, 0.1003631, 0.1803389, 0.2529242, 0.3101527", \
+					  "-0.2030648, -0.0600263, 0.0340908, 0.1131977, 0.1937631, 0.2828543, 0.3250987", \
+					  "-0.1767236, -0.0336850, 0.0409448, 0.1440534, 0.2150355, 0.2906870, 0.3647194", \
+					  "-0.1404093, 0.0163624, 0.0726815, 0.1718139, 0.2474592, 0.2848626, 0.3811973");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529474, 0.2778699, 0.2525366, 0.2321080, 0.2247041, 0.2225880, 0.2265734", \
+					  "0.4064645, 0.3278714, 0.3093518, 0.2881486, 0.2901778, 0.2840833, 0.2879662", \
+					  "0.4159108, 0.3234929, 0.3105473, 0.3022548, 0.2856180, 0.2827541, 0.2749328", \
+					  "0.4004915, 0.3274976, 0.2819817, 0.2842510, 0.2831144, 0.2854121, 0.2726129", \
+					  "0.3824897, 0.3063761, 0.2752695, 0.2514600, 0.2512159, 0.2857209, 0.2363005", \
+					  "0.3683555, 0.2782602, 0.2286226, 0.2536408, 0.2111418, 0.2142007, 0.2450545", \
+					  "0.3335670, 0.2475418, 0.2156406, 0.2188524, 0.2017354, 0.2108583, 0.2048541");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1866800, 0.0501848, -0.0521293, -0.1392266, -0.2109619, -0.2702690, -0.3149049", \
+					  "0.2419447, 0.1142799, 0.0040888, -0.0796567, -0.1615592, -0.2343908, -0.3008948", \
+					  "0.2506975, 0.1124819, 0.0138669, -0.0798087, -0.1550435, -0.2293191, -0.2973581", \
+					  "0.2418501, 0.1036336, -0.0010066, -0.0736175, -0.1748130, -0.2471694, -0.3015513", \
+					  "0.2177448, 0.0718986, -0.0203287, -0.0962982, -0.1788541, -0.2498626, -0.3291990", \
+					  "0.1975070, 0.0425058, -0.0290426, -0.1265337, -0.2017687, -0.2838333, -0.3358114", \
+					  "0.1672962, -0.0044899, -0.0607793, -0.1494329, -0.2335787, -0.2747253, -0.3573609");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540300, 0.0534522, 0.0528744, 0.0534399, 0.0539828, 0.0545483, 0.0551138");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425349, 0.0420523, 0.0415697, 0.0417382, 0.0419001, 0.0420686, 0.0422372");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00105772";
+				miller_cap_rise : "0.000879112";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("3.8469, 0.66173, 0.580793, 0.55966, 0.533773, 0.518988, 0.502963, 0.485692, 0.467172, 0.4474, 0.426375, 0.404095, 0.380559, 0.355766, 0.329716, 0.302408, 0.273842, 0.244017, 0.212934, 0.180592, 0.146991, 0.112131, 0.0760123, 0.0386353, -3.91034e-09, -0.0767304, -0.150388, -0.392817, -3.05713", \
+					  "3.70363, 0.483821, 0.403232, 0.396121, 0.387638, 0.382651, 0.376967, 0.370368, 0.36258, 0.353335, 0.342445, 0.329811, 0.315389, 0.299158, 0.281107, 0.261231, 0.239527, 0.215992, 0.190627, 0.163431, 0.134404, 0.103546, 0.0708588, 0.036343, -1.87958e-09, -0.0734282, -0.145027, -0.387527, -3.05727", \
+					  "3.61286, 0.368428, 0.265391, 0.261485, 0.257152, 0.254775, 0.25222, 0.249445, 0.246394, 0.242985, 0.2391, 0.234549, 0.229029, 0.222099, 0.2133, 0.202357, 0.189155, 0.173652, 0.15583, 0.135683, 0.113206, 0.0883986, 0.0612611, 0.0317943, -1.88771e-09, -0.066092, -0.132491, -0.368691, -3.05394", \
+					  "3.58917, 0.353731, 0.217501, 0.21434, 0.210894, 0.209035, 0.207062, 0.204953, 0.202677, 0.200194, 0.197443, 0.194338, 0.19074, 0.186416, 0.18096, 0.173794, 0.16444, 0.152679, 0.138434, 0.121677, 0.102397, 0.08059, 0.0562546, 0.0293909, -1.89205e-09, -0.0621608, -0.125754, -0.358564, -3.05214", \
+					  "3.56784, 0.355599, 0.170047, 0.167534, 0.164841, 0.163408, 0.161906, 0.160321, 0.158638, 0.156835, 0.154883, 0.152741, 0.15035, 0.147616, 0.144378, 0.140333, 0.134902, 0.127371, 0.117318, 0.104593, 0.0891514, 0.0709755, 0.0500594, 0.0264009, -1.8978e-09, -0.0572519, -0.117346, -0.346057, -3.04988", \
+					  "3.55813, 0.361564, 0.146938, 0.144646, 0.142291, 0.141047, 0.139748, 0.138387, 0.136951, 0.135425, 0.13379, 0.132016, 0.130066, 0.127879, 0.12536, 0.122335, 0.118445, 0.11299, 0.105218, 0.0947588, 0.0814978, 0.0654005, 0.0464543, 0.0246546, -1.90967e-09, -0.0543791, -0.112428, -0.338829, -3.04856", \
+					  "3.54916, 0.370874, 0.125189, 0.122359, 0.12032, 0.119249, 0.118138, 0.11698, 0.115766, 0.114486, 0.113126, 0.111667, 0.110084, 0.108338, 0.106373, 0.10409, 0.1013, 0.0975674, 0.0920537, 0.0839979, 0.0730965, 0.0592647, 0.0424767, 0.0227229, -2.18655e-09, -0.0511981, -0.106985, -0.330914, -3.0471", \
+					  "3.54103, 0.383646, 0.108396, 0.100911, 0.0991584, 0.0982499, 0.0973115, 0.0963385, 0.0953251, 0.0942642, 0.0931462, 0.091959, 0.0906857, 0.0893027, 0.087775, 0.0860475, 0.0840224, 0.0814898, 0.0779075, 0.072289, 0.0639095, 0.0525339, 0.0381013, 0.0205924, -1.07571e-08, -0.0476862, -0.10098, -0.32229, -3.04549", \
+					  "3.53389, 0.400001, 0.101771, 0.0807172, 0.0790721, 0.0783148, 0.0775362, 0.0767332, 0.075902, 0.0750376, 0.0741339, 0.0731831, 0.0721744, 0.0710933, 0.0699191, 0.0686205, 0.0671461, 0.0653993, 0.063143, 0.0596905, 0.0539179, 0.0451797, 0.0333047, 0.0182496, -2.75243e-07, -0.043821, -0.0943741, -0.312944, -3.04372", \
+					  "3.52791, 0.42002, 0.104937, 0.0633483, 0.0603637, 0.0597461, 0.0591157, 0.058469, 0.0578034, 0.0571158, 0.0564025, 0.0556584, 0.0548771, 0.0540501, 0.0531652, 0.0522052, 0.0511431, 0.0499333, 0.0484821, 0.0465155, 0.043157, 0.0371833, 0.0280624, 0.0156757, -7.81283e-06, -0.0395879, -0.0871375, -0.302881, -3.04179", \
+					  "3.52329, 0.443758, 0.115082, 0.0537424, 0.0433732, 0.0428484, 0.0423491, 0.0418407, 0.0413208, 0.0407875, 0.0402385, 0.0396709, 0.0390809, 0.0384638, 0.037813, 0.0371193, 0.036369, 0.0355409, 0.0345965, 0.0334475, 0.0317698, 0.0284523, 0.022239, 0.0127273, -0.000161975, -0.0351159, -0.0793936, -0.292287, -3.03985", \
+					  "3.5203, 0.471262, 0.130393, 0.0549654, 0.0284686, 0.0275669, 0.027123, 0.0266895, 0.0262535, 0.0258118, 0.0253624, 0.0249033, 0.0244325, 0.0239474, 0.0234446, 0.0229197, 0.0223664, 0.021775, 0.0211301, 0.0204025, 0.0195129, 0.0180716, 0.0147025, 0.00820427, -0.00169977, -0.0316981, -0.0724957, -0.282672, -3.03937", \
+					  "3.51926, 0.502622, 0.149933, 0.0637195, 0.0161254, 0.0117867, 0.0109509, 0.0103648, 0.00982614, 0.00930446, 0.00878964, 0.00827677, 0.0077628, 0.00724538, 0.00672232, 0.00619124, 0.00564922, 0.00509236, 0.00451487, 0.00390722, 0.00325009, 0.00247849, 0.0011259, -0.00244243, -0.00927534, -0.0341098, -0.0713435, -0.278949, -3.04485", \
+					  "3.5206, 0.537158, 0.173305, 0.0765786, 0.00710857, -0.00719674, -0.0110125, -0.0124003, -0.0133859, -0.0142362, -0.0150215, -0.0157699, -0.0164951, -0.017205, -0.0179048, -0.0185981, -0.0192876, -0.019976, -0.020666, -0.0213609, -0.0220658, -0.0227909, -0.0235829, -0.0249958, -0.02883, -0.0481849, -0.0816479, -0.285968, -3.0601", \
+					  "3.52492, 0.576994, 0.200354, 0.0921836, 0.00210032, -0.0248782, -0.0369189, -0.0407789, -0.0427654, -0.0442272, -0.0454631, -0.0465767, -0.0476147, -0.0486017, -0.0495524, -0.0504761, -0.0513793, -0.0522664, -0.0531412, -0.0540064, -0.0548651, -0.0557203, -0.0565775, -0.0574733, -0.0591419, -0.0726183, -0.101864, -0.301579, -3.08273", \
+					  "3.53207, 0.620503, 0.229843, 0.109374, 0.000419261, -0.0386853, -0.061868, -0.0712124, -0.0751175, -0.077563, -0.0794509, -0.0810582, -0.0824998, -0.083833, -0.0850904, -0.086292, -0.087451, -0.0885765, -0.0896754, -0.0907527, -0.0918127, -0.0928592, -0.0938959, -0.0949281, -0.09606, -0.103892, -0.128288, -0.322132, -3.10939", \
+					  "3.54065, 0.665309, 0.25918, 0.12614, 6.96249e-05, -0.0500001, -0.0844384, -0.102295, -0.109539, -0.113462, -0.116231, -0.118463, -0.120392, -0.12213, -0.123736, -0.125248, -0.126688, -0.128072, -0.129413, -0.130717, -0.131992, -0.133244, -0.134479, -0.1357, -0.136925, -0.14105, -0.159367, -0.345823, -3.13838", \
+					  "3.54975, 0.709786, 0.28674, 0.141507, 8.80287e-06, -0.0598423, -0.104681, -0.132633, -0.145139, -0.151211, -0.155133, -0.158124, -0.160617, -0.162804, -0.164789, -0.166628, -0.16836, -0.170009, -0.171591, -0.173121, -0.174608, -0.17606, -0.177485, -0.178888, -0.180279, -0.183331, -0.194793, -0.37181, -3.16884", \
+					  "3.55913, 0.753227, 0.311944, 0.155344, 6.00623e-07, -0.0685328, -0.122753, -0.160896, -0.18072, -0.189877, -0.195304, -0.199215, -0.202357, -0.205044, -0.207435, -0.20962, -0.211652, -0.213569, -0.215394, -0.217147, -0.21884, -0.220485, -0.222092, -0.223668, -0.225224, -0.228346, -0.234621, -0.399617, -3.20025", \
+					  "3.56875, 0.795257, 0.334661, 0.16773, 2.55552e-08, -0.0762204, -0.138836, -0.186457, -0.215131, -0.228635, -0.23604, -0.241077, -0.244972, -0.248218, -0.251051, -0.253602, -0.255948, -0.25814, -0.260211, -0.262186, -0.264084, -0.265918, -0.267701, -0.269444, -0.271157, -0.27454, -0.278618, -0.428949, -3.23228", \
+					  "3.57862, 0.835689, 0.354978, 0.178782, 2.57253e-09, -0.0830139, -0.153113, -0.209258, -0.247383, -0.266756, -0.276785, -0.283214, -0.287993, -0.29187, -0.295189, -0.298134, -0.300811, -0.303288, -0.305612, -0.307813, -0.309916, -0.311939, -0.313896, -0.315802, -0.317667, -0.32133, -0.325096, -0.459636, -3.2647", \
+					  "3.58874, 0.874473, 0.373074, 0.188617, 1.81003e-09, -0.089008, -0.165763, -0.229492, -0.276788, -0.303545, -0.317069, -0.325245, -0.331074, -0.335671, -0.339529, -0.342901, -0.345931, -0.348709, -0.351295, -0.353729, -0.356041, -0.358253, -0.360385, -0.362452, -0.364469, -0.368407, -0.372332, -0.4916, -3.29736", \
+					  "3.59913, 0.911637, 0.389154, 0.197345, 1.78964e-09, -0.0942884, -0.176952, -0.247407, -0.30307, -0.338319, -0.356457, -0.366852, -0.373945, -0.379374, -0.383836, -0.387675, -0.391085, -0.394183, -0.397043, -0.399718, -0.402245, -0.404652, -0.406961, -0.40919, -0.411357, -0.415567, -0.419724, -0.524843, -3.33018", \
+					  "3.60977, 0.94721, 0.403414, 0.205071, 1.80208e-09, -0.0989317, -0.18683, -0.263248, -0.326308, -0.370443, -0.3945, -0.407748, -0.416383, -0.422785, -0.42793, -0.432287, -0.436109, -0.439548, -0.442698, -0.445626, -0.448376, -0.450983, -0.453473, -0.455867, -0.458186, -0.462667, -0.467063, -0.559453, -3.36308", \
+					  "3.62068, 0.981166, 0.416032, 0.211888, 1.82795e-09, -0.103007, -0.195535, -0.277237, -0.346766, -0.399452, -0.430715, -0.447645, -0.458189, -0.465741, -0.471669, -0.476603, -0.480877, -0.484683, -0.488143, -0.491337, -0.494321, -0.497135, -0.499812, -0.502376, -0.504849, -0.509604, -0.514237, -0.595619, -3.39603", \
+					  "3.64316, 1.04372, 0.436964, 0.223135, 1.93402e-09, -0.109688, -0.209906, -0.30043, -0.380556, -0.447704, -0.495592, -0.523153, -0.539118, -0.549727, -0.557618, -0.563942, -0.569269, -0.573912, -0.578063, -0.581841, -0.585331, -0.58859, -0.591662, -0.594583, -0.597378, -0.602697, -0.607806, -0.673338, -3.46207", \
+					  "3.66168, 1.09738, 0.453035, 0.231692, 2.14544e-09, -0.114746, -0.220901, -0.318316, -0.4066, -0.484465, -0.547776, -0.590292, -0.614947, -0.63019, -0.64084, -0.649, -0.655654, -0.661316, -0.666283, -0.670737, -0.6748, -0.678557, -0.682066, -0.685375, -0.688519, -0.694435, -0.700038, -0.755952, -3.52833", \
+					  "3.69088, 1.18868, 0.480084, 0.245762, 3.89626e-09, -0.123106, -0.23966, -0.349604, -0.452847, -0.549192, -0.638117, -0.718099, -0.785035, -0.833197, -0.863778, -0.883657, -0.897773, -0.908606, -0.917402, -0.92484, -0.931316, -0.93708, -0.942299, -0.947089, -0.951532, -0.959623, -0.966956, -1.00564, -3.72193", \
+					  "3.691, 1.21116, 0.484713, 0.247532, 1.3617e-08, -0.124693, -0.244947, -0.360745, -0.472072, -0.578906, -0.681215, -0.778938, -0.871949, -0.959954, -1.04223, -1.117, -1.18056, -1.22898, -1.263, -1.28705, -1.30497, -1.31907, -1.33065, -1.3405, -1.34908, -1.3636, -1.37572, -1.40907, -4.01929");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0351589, 0.0657407, 0.1029582, 0.1461699, 0.2139529");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1011281, 0.1315191, 0.1688047, 0.2115821, 0.2793841");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0188319, 0.0298939, 0.0415029, 0.0541900, 0.0707188");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0765226, 0.0930895, 0.1056684, 0.1182703, 0.1343198");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("4.18105");
+						index_3("0.001");
+						index_4("2.0636615, 2.1720643, 2.3861157, 2.5212828, 2.6120700");
+						values("0.381132, 0.60981, 0.762263, 0.60981, 0.381132");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("2.40932");
+						index_3("0.001");
+						index_4("1.1884893, 1.2812180, 1.4337142, 1.5587600, 1.6325015");
+						values("0.459293, 0.734869, 0.918586, 0.734869, 0.459293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("1.62388");
+						index_3("0.001");
+						index_4("0.8014507, 0.8784834, 1.0099447, 1.1112400, 1.1677317");
+						values("0.489342, 0.782947, 0.978684, 0.782947, 0.489342");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29693");
+						index_2("2.09052");
+						index_3("0.001");
+						index_4("1.0422029, 1.0982436, 1.1908686, 1.2700943, 1.3173047");
+						values("0.216851, 0.346961, 0.433701, 0.346961, 0.216851");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("1.20466");
+						index_3("0.001");
+						index_4("0.6039753, 0.6487676, 0.7240098, 0.7874055, 0.8245302");
+						values("0.255642, 0.409027, 0.511283, 0.409027, 0.255642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.49154");
+						index_2("0.811942");
+						index_3("0.001");
+						index_4("0.4092828, 0.4470649, 0.5210579, 0.5621788, 0.5915178");
+						values("0.270545, 0.432873, 0.541091, 0.432873, 0.270545");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39988");
+						index_2("3.61398");
+						index_3("0.001");
+						index_4("1.7752440, 1.9168060, 2.1318016, 2.3229860, 2.4277155");
+						values("0.636978, 1.01917, 1.27396, 1.01917, 0.636978");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("1.20269");
+						index_3("0.001");
+						index_4("0.5997272, 0.6567946, 0.7721224, 0.8970489, 0.9863844");
+						values("1.44491, 1.14185, 0.939814, 1.14185, 1.44491");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.681615");
+						index_3("0.001");
+						index_4("0.3431984, 0.3839359, 0.4621048, 0.5596959, 0.6257108");
+						values("1.41934, 1.10094, 0.888679, 1.10094, 1.41934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.452167");
+						index_3("0.001");
+						index_4("0.2293100, 0.2607167, 0.3310155, 0.4000566, 0.4492468");
+						values("1.40857, 1.08371, 0.867131, 1.08371, 1.40857");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.08421");
+						index_2("0.601345");
+						index_3("0.001");
+						index_4("0.3057683, 0.3349303, 0.3874826, 0.4574514, 0.5140895");
+						values("1.69303, 1.53884, 1.43606, 1.53884, 1.69303");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("0.340807");
+						index_3("0.001");
+						index_4("0.1761879, 0.1974909, 0.2417938, 0.2880984, 0.3319271");
+						values("1.6804, 1.51863, 1.41079, 1.51863, 1.6804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31449");
+						index_2("0.226083");
+						index_3("0.001");
+						index_4("0.1191871, 0.1359437, 0.1682226, 0.2072662, 0.2419556");
+						values("1.67878, 1.51604, 1.40756, 1.51604, 1.67878");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20268");
+						index_2("1.02242");
+						index_3("0.001");
+						index_4("0.5099870, 0.5725161, 0.6803337, 0.8212983, 0.9148504");
+						values("1.16912, 0.700595, 0.388244, 0.700595, 1.16912");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.994940;
+			max_transition : 1.506563;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("2.2686592, 2.4279444, 2.9471070, 7.7861743, 8.5020622, 8.4999120, 8.4945708", \
+					  "6.2044194, 6.2053704, 6.2032293, 6.2228655, 6.2070835, 6.0595812, 6.0216970", \
+					  "6.4219901, 6.4229876, 6.4237821, 6.4250619, 6.4277620, 6.4287612, 6.2929423", \
+					  "6.6011415, 6.5751567, 6.5925390, 6.5736240, 6.6055343, 6.6076281, 6.6142187", \
+					  "6.7680883, 6.8284848, 6.7757145, 6.8018282, 6.8283235, 6.8217918, 6.6872081", \
+					  "6.9737749, 6.9720241, 6.9603021, 6.8482481, 6.9660801, 6.9643644, 6.9049150", \
+					  "7.1362551, 7.0734555, 7.1476982, 7.1088044, 7.1800274, 7.1571295, 7.1402736");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02417991, 0.0584668, 0.1413722, 0.3418367, 0.8265581, 1.99861");
+					values("4.5554573, 5.0475307, 5.8010183, 6.2674507, 6.4275180, 6.4339703, 6.4352774", \
+					  "9.1136040, 9.1136751, 9.1136864, 9.1178957, 9.1210468, 9.1219549, 9.2918850", \
+					  "9.1453321, 9.1459418, 9.1474502, 9.1515736, 9.1563241, 9.1440076, 9.1360461", \
+					  "9.1175860, 9.1160387, 9.1137748, 9.1860703, 9.1901946, 9.1901495, 9.1382821", \
+					  "9.1199626, 9.1174143, 9.1173909, 9.1176268, 9.2012196, 9.0865379, 9.1460346", \
+					  "9.1308367, 9.1313996, 9.0751616, 9.0736888, 9.0752709, 9.2462401, 9.2152761", \
+					  "9.1813319, 9.1822270, 9.1828836, 9.2741361, 9.2787904, 9.0652477, 9.2695773");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("11.6081038, 11.7494979, 11.8764994, 11.9313214, 11.9069960, 11.8873028, 11.8790889", \
+					  "47.3653030, 47.3779590, 47.3766410, 47.3754260, 47.3603620, 47.3767030, 46.6068820", \
+					  "85.9997350, 85.9946210, 86.0275320, 85.9889870, 85.9899930, 85.9634590, 85.9235250", \
+					  "123.6849900, 123.6575000, 123.6603200, 123.6672000, 123.6829500, 123.6086500, 123.5640900", \
+					  "160.9476900, 160.9192100, 160.9166800, 160.9194000, 160.9961900, 160.8950300, 160.8438000", \
+					  "197.7349300, 197.8450700, 197.7526300, 197.7536300, 197.6632100, 197.9724000, 197.6427400", \
+					  "234.4708600, 234.4815700, 234.4837900, 234.4776000, 234.1808400, 234.2500600, 234.3417100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.3009335, 10.3307185, 10.3713755, 10.4180865, 10.4588695, 10.4789495, 10.4933145", \
+					  "36.8535860, 36.8529170, 36.8531280, 36.8603970, 36.8487320, 36.8478030, 37.3836240", \
+					  "56.0528850, 56.0527010, 56.0491970, 56.0603370, 56.0632550, 56.0453840, 56.0352670", \
+					  "75.4726560, 75.4743070, 75.4966020, 75.5043460, 75.5855790, 75.4789700, 75.4565300", \
+					  "95.0098610, 94.9943870, 94.9853320, 95.0021800, 95.0605430, 95.0138580, 94.9518800", \
+					  "114.7188200, 114.6714900, 114.7317900, 114.7111900, 114.8400100, 114.7140600, 114.6655500", \
+					  "134.3410700, 134.3361100, 134.3115300, 134.4255000, 134.4540600, 134.4139800, 134.1844100");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("9.7685786, 9.9140054, 10.0454712, 10.0963477, 10.0672211, 10.0426385, 10.0046967", \
+					  "13.7340450, 13.7503940, 13.7489200, 13.7505990, 13.7414270, 13.7343700, 13.0962660", \
+					  "25.7103700, 25.6884050, 25.6840920, 25.6868550, 25.6942540, 25.6636760, 25.6276820", \
+					  "37.0157000, 37.0497520, 37.0485730, 37.0407520, 36.9477640, 37.0310280, 36.9517750", \
+					  "48.2104110, 48.1819620, 48.2676330, 48.2265170, 48.1070840, 48.2503450, 48.0925160", \
+					  "59.1720010, 59.2192680, 59.2090540, 59.1626540, 59.1100220, 59.1594760, 59.1388950", \
+					  "69.9964250, 69.9145320, 70.0299260, 69.9224080, 69.9992630, 69.9711400, 69.9626880");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("10.4265505, 10.4645445, 10.5213375, 10.5946585, 10.6513075, 10.6882675, 10.7076845", \
+					  "29.6422780, 29.6431070, 29.6466500, 29.6538870, 29.6505460, 29.6468650, 30.2187440", \
+					  "40.8281980, 40.8297770, 40.8347490, 40.8367370, 40.8377570, 40.8274980, 40.8304470", \
+					  "52.0440500, 52.0620460, 52.0760410, 52.0656920, 52.0649150, 52.0470020, 52.0155030", \
+					  "63.3582980, 63.3754230, 63.3578400, 63.3753740, 63.3453910, 63.3564100, 63.3082480", \
+					  "74.7790510, 74.7438850, 74.7872670, 74.7500260, 74.7586440, 74.7461880, 74.7143110", \
+					  "86.0841470, 86.0526030, 86.1934200, 86.0598880, 86.0833490, 86.0653150, 86.0914740");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("17.1656609, 17.5268669, 18.1875019, 18.8584129, 19.3453079, 19.5909429, 19.5915229", \
+					  "17.1704126, 17.5314856, 18.1898106, 18.8601726, 19.3411836, 19.5865476, 19.6081276", \
+					  "17.1660453, 17.5296783, 18.1882123, 18.8569803, 19.3484353, 19.5797603, 19.5987243", \
+					  "17.1628575, 17.5606285, 18.1871415, 18.8607965, 19.3417195, 19.5894565, 19.5919895", \
+					  "17.1713065, 17.5302155, 18.1794995, 18.8673595, 19.3436745, 19.5782355, 19.5900155", \
+					  "17.1645697, 17.5318997, 18.1979897, 18.8601927, 19.3453997, 19.5898507, 19.5945147", \
+					  "17.1522099, 17.5274179, 18.2044759, 18.8544469, 19.3549079, 19.5938029, 19.6082449");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.1412423, 0.3414181, 0.8252931, 1.99494");
+					values("23.3171838, 23.3684758, 23.4860798, 23.5890628, 23.7059298, 23.7698508, 23.7514038", \
+					  "23.3308157, 23.3545367, 23.4822297, 23.6037417, 23.7115287, 23.7546087, 23.8005037", \
+					  "23.3205326, 23.4049356, 23.4818916, 23.6183586, 23.6858246, 23.7165206, 23.7952316", \
+					  "23.3432064, 23.4178494, 23.5153794, 23.6449424, 23.7495354, 23.7905464, 23.7785104", \
+					  "23.3564798, 23.4253868, 23.4981118, 23.6152138, 23.7414408, 23.8237228, 23.7974538", \
+					  "23.3657526, 23.4328336, 23.5312676, 23.6968436, 23.7324296, 23.8110606, 23.8544516", \
+					  "23.4028185, 23.4513295, 23.5498485, 23.6498885, 23.8121265, 23.8696445, 23.8570575");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.9490249, 0.9567178, 0.9752326, 1.0188744, 1.1207016, 1.3655769, 1.9573831", \
+					  "2.5447549, 2.5527489, 2.5713325, 2.6144114, 2.7165905, 2.9613769, 3.5528152", \
+					  "3.8200381, 3.8280308, 3.8466150, 3.8896908, 3.9918659, 4.2366453, 4.8276192", \
+					  "4.1059018, 4.1140149, 4.1324215, 4.1754893, 4.2776538, 4.5223856, 5.1138653", \
+					  "5.7576162, 5.7638738, 5.7823488, 5.8254299, 5.9276348, 6.1723728, 6.7638517", \
+					  "7.1847892, 7.1847895, 7.1930191, 7.2361277, 7.3383819, 7.5830684, 8.1745064", \
+					  "8.2747258, 8.3286675, 8.3448883, 8.3879641, 8.4889580, 8.7348058, 9.3256523", \
+					  "9.9599733, 9.9599739, 10.0065590, 10.0496070, 10.1517560, 10.3964880, 10.9872800", \
+					  "31.3219810, 31.6686160, 31.6831790, 31.7266570, 31.8284740, 32.0732600, 32.6649570", \
+					  "45.0252490, 45.0252514, 45.0701590, 45.1275850, 45.2153410, 45.4604250, 46.0579240", \
+					  "102.0216400, 102.5135200, 102.5135232, 102.7125200, 102.7125206, 103.4684000, 104.3585400", \
+					  "308.2670300, 309.2837300, 309.4430100, 309.4430390, 309.4572800, 309.4572907, 310.2166800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0520710, 0.0611997, 0.0855264, 0.1444683, 0.2899262, 0.6433701, 1.5047014", \
+					  "0.0515268, 0.0615479, 0.0856202, 0.1444293, 0.2898377, 0.6438478, 1.5111776", \
+					  "0.0515255, 0.0615709, 0.0856008, 0.1445784, 0.2898364, 0.6438434, 1.5061026", \
+					  "0.0523043, 0.0619980, 0.0855051, 0.1449469, 0.2899686, 0.6434423, 1.4999858", \
+					  "0.0517178, 0.0612366, 0.0855827, 0.1447089, 0.2899302, 0.6436458, 1.4975222", \
+					  "0.0516452, 0.0615365, 0.0855088, 0.1447231, 0.2898302, 0.6436382, 1.4980712", \
+					  "0.0517628, 0.0613490, 0.0853895, 0.1449612, 0.2899826, 0.6440114, 1.5025001", \
+					  "0.0515933, 0.0621264, 0.0854010, 0.1449653, 0.2899797, 0.6438465, 1.5003359", \
+					  "0.0516616, 0.0613577, 0.0856135, 0.1445139, 0.2898411, 0.6438877, 1.5030438", \
+					  "0.0517577, 0.0620567, 0.0855633, 0.1446917, 0.2899853, 0.6438334, 1.4997865", \
+					  "0.0515878, 0.0619780, 0.0856073, 0.1445658, 0.2899814, 0.6437190, 1.4966981", \
+					  "0.0516777, 0.0617567, 0.0856067, 0.1449781, 0.2898304, 0.6439291, 1.4994828");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.8280914, 0.8385104, 0.8610818, 0.9076653, 0.9968219, 1.1775594, 1.5856115", \
+					  "2.1778885, 2.1882673, 2.2111337, 2.2574869, 2.3464737, 2.5272484, 2.9354832", \
+					  "3.0166170, 3.0270171, 3.0499234, 3.0962382, 3.1852672, 3.3670391, 3.7738523", \
+					  "3.1914653, 3.2018326, 3.2247622, 3.2710803, 3.3601006, 3.5407914, 3.9486525", \
+					  "4.1727359, 4.1824451, 4.2120707, 4.2610475, 4.3410089, 4.5215156, 4.9295910", \
+					  "4.9481095, 4.9583546, 4.9583548, 4.9803890, 5.1166062, 5.2966258, 5.7053873", \
+					  "5.4869629, 5.4974407, 5.5177818, 5.5657301, 5.6556657, 5.8365091, 6.2443668", \
+					  "6.1606484, 6.2295546, 6.2513252, 6.2978445, 6.3878538, 6.5687615, 6.9766064", \
+					  "14.5626620, 14.5626627, 14.5894400, 14.6332900, 14.7157560, 14.8954210, 15.3026660", \
+					  "19.2938630, 19.2938643, 19.3272400, 19.3749660, 19.3804490, 19.5615130, 19.9716490", \
+					  "38.3845130, 38.3945990, 38.4076100, 38.4539480, 38.5048790, 38.7347930, 39.1144360", \
+					  "104.8334100, 104.8641900, 104.8798000, 104.9254300, 105.0187700, 105.1928800, 105.6062600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241873, 0.0585025, 0.141502, 0.342254, 0.827819, 2.00227");
+					values("0.0621352, 0.0706408, 0.0904403, 0.1304879, 0.2156733, 0.4205142, 0.9414423", \
+					  "0.0625978, 0.0708332, 0.0904994, 0.1303880, 0.2157789, 0.4239963, 0.9493921", \
+					  "0.0625306, 0.0709595, 0.0904571, 0.1303793, 0.2173423, 0.4226323, 0.9454847", \
+					  "0.0625512, 0.0707153, 0.0904536, 0.1303758, 0.2173017, 0.4229443, 0.9449732", \
+					  "0.0622613, 0.0712072, 0.0906169, 0.1303807, 0.2173295, 0.4238255, 0.9449839", \
+					  "0.0621411, 0.0710230, 0.0906064, 0.1304434, 0.2174325, 0.4211132, 0.9439816", \
+					  "0.0621553, 0.0710976, 0.0906222, 0.1304813, 0.2174393, 0.4209281, 0.9453315", \
+					  "0.0626316, 0.0712186, 0.0904863, 0.1304013, 0.2170362, 0.4228205, 0.9460912", \
+					  "0.0622192, 0.0713731, 0.0907454, 0.1305182, 0.2173966, 0.4237702, 0.9461772", \
+					  "0.0626048, 0.0715170, 0.0904020, 0.1305430, 0.2171328, 0.4237265, 0.9465297", \
+					  "0.0624263, 0.0715253, 0.0906343, 0.1304798, 0.2172297, 0.4211598, 0.9466554", \
+					  "0.0623573, 0.0712521, 0.0903530, 0.1305874, 0.2162517, 0.4228014, 0.9464560");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6477564, 0.6557559, 0.6740473, 0.7169307, 0.8182712, 1.0619248, 1.6511325", \
+					  "1.0618364, 1.0698872, 1.0884582, 1.1310556, 1.2325276, 1.4760186, 2.0673778", \
+					  "1.2268213, 1.2349498, 1.2533599, 1.2959420, 1.3972531, 1.6407297, 2.2321924", \
+					  "1.2625143, 1.2706149, 1.2891721, 1.3317687, 1.4332249, 1.6767213, 2.2679525", \
+					  "1.4377860, 1.4493484, 1.4643808, 1.5069923, 1.6084710, 1.8519026, 2.4431324", \
+					  "1.5639318, 1.5697480, 1.5927494, 1.6315446, 1.7331657, 1.9805120, 2.5715179", \
+					  "1.6547952, 1.6580504, 1.6762290, 1.7245905, 1.8259160, 2.0689552, 2.6601172", \
+					  "1.7685120, 1.7778663, 1.7956296, 1.8386934, 1.9393045, 2.1821589, 2.7738742", \
+					  "3.0024674, 3.0159404, 3.0285601, 3.0980232, 3.1785601, 3.4164434, 4.0080721", \
+					  "3.7101431, 3.7210244, 3.7451460, 3.7820591, 3.8754977, 4.1248649, 4.7156079", \
+					  "6.4659456, 6.4681158, 6.4975718, 6.5401786, 6.6294943, 6.8826012, 7.4706632", \
+					  "15.8869060, 15.8869062, 15.8922880, 15.9393720, 16.0422400, 16.3018700, 16.8623000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0520758, 0.0610670, 0.0850124, 0.1435677, 0.2889829, 0.6401568, 1.4970705", \
+					  "0.0513720, 0.0609858, 0.0850223, 0.1445809, 0.2898066, 0.6416026, 1.5041718", \
+					  "0.0513694, 0.0609840, 0.0850053, 0.1445855, 0.2898382, 0.6415563, 1.5004060", \
+					  "0.0513494, 0.0609857, 0.0849921, 0.1446259, 0.2897919, 0.6415521, 1.4989567", \
+					  "0.0511702, 0.0610102, 0.0849403, 0.1444283, 0.2898601, 0.6413082, 1.5014663", \
+					  "0.0513761, 0.0610032, 0.0849516, 0.1446027, 0.2897876, 0.6413326, 1.4949401", \
+					  "0.0513713, 0.0609837, 0.0850178, 0.1446230, 0.2898208, 0.6415172, 1.4979995", \
+					  "0.0513674, 0.0609872, 0.0849663, 0.1445987, 0.2898041, 0.6415992, 1.4995087", \
+					  "0.0513226, 0.0610264, 0.0849764, 0.1444817, 0.2898501, 0.6415975, 1.5000574", \
+					  "0.0513389, 0.0609836, 0.0850585, 0.1446013, 0.2895000, 0.6413589, 1.4977501", \
+					  "0.0513827, 0.0610216, 0.0849963, 0.1446194, 0.2898423, 0.6415105, 1.4993834", \
+					  "0.0513516, 0.0609838, 0.0847408, 0.1445766, 0.2898087, 0.6416049, 1.4993835");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.7807773, 0.7909079, 0.8119206, 0.8555160, 0.9417512, 1.1189568, 1.5236206", \
+					  "2.1544480, 2.1641438, 2.1858002, 2.2294184, 2.3153273, 2.4926523, 2.8975671", \
+					  "3.4106056, 3.4146901, 3.4419569, 3.4855763, 3.5714856, 3.7488848, 4.1531094", \
+					  "3.6977694, 3.7112320, 3.7290704, 3.7726120, 3.8585051, 4.0361194, 4.4427875", \
+					  "5.4918079, 5.4998099, 5.5231038, 5.5666534, 5.6443117, 5.8301612, 6.2659522", \
+					  "7.0141866, 7.0254558, 7.0440711, 7.0783459, 7.1696111, 7.3524715, 7.7584454", \
+					  "8.1953502, 8.1953512, 8.2182021, 8.2605505, 8.3549931, 8.5337506, 8.9261709", \
+					  "9.8769029, 9.8917496, 9.9177173, 9.9515551, 10.0427990, 10.1970540, 10.6190580", \
+					  "34.5272610, 34.5369990, 34.5601020, 34.5925950, 34.6415410, 34.8655330, 35.2332210", \
+					  "50.9783290, 51.0018840, 51.0235910, 51.0529330, 51.1540460, 51.3006600, 51.7261110", \
+					  "124.7203300, 124.7324700, 124.7512800, 124.7953200, 124.8816500, 125.0577000, 125.4732600", \
+					  "410.3078200, 410.3623900, 410.3669700, 410.4275000, 410.4817000, 410.6892700, 411.0498300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0591228, 0.0676566, 0.0866051, 0.1260151, 0.2093909, 0.4146919, 0.9326083", \
+					  "0.0607321, 0.0681103, 0.0861243, 0.1262318, 0.2125846, 0.4197164, 0.9453995", \
+					  "0.0607210, 0.0681099, 0.0861035, 0.1262306, 0.2129626, 0.4202680, 0.9514592", \
+					  "0.0600108, 0.0679618, 0.0864518, 0.1264930, 0.2132028, 0.4199731, 0.9516460", \
+					  "0.0599907, 0.0680398, 0.0863825, 0.1265276, 0.2132747, 0.4198463, 0.9501842", \
+					  "0.0606839, 0.0681217, 0.0860993, 0.1261047, 0.2145575, 0.4202870, 0.9427779", \
+					  "0.0599661, 0.0679521, 0.0863786, 0.1272354, 0.2134506, 0.4196242, 0.9494044", \
+					  "0.0600276, 0.0680600, 0.0861144, 0.1262309, 0.2129657, 0.4202753, 0.9428116", \
+					  "0.0605842, 0.0681034, 0.0863325, 0.1265312, 0.2129582, 0.4202869, 0.9514381", \
+					  "0.0607279, 0.0680109, 0.0871125, 0.1272157, 0.2132204, 0.4195095, 0.9491570", \
+					  "0.0596993, 0.0681098, 0.0871326, 0.1265786, 0.2129150, 0.4186592, 0.9430311", \
+					  "0.0604592, 0.0680942, 0.0870562, 0.1265343, 0.2133215, 0.4203564, 0.9441194");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.6372382, 0.6451702, 0.6638251, 0.7063002, 0.8074494, 1.0509841, 1.6385761", \
+					  "0.0687560, 0.0768368, 0.0953874, 0.1379821, 0.2393759, 0.4829113, 1.0741852", \
+					  "-0.7908659, -0.7830127, -0.7642180, -0.7216089, -0.6201929, -0.3778303, 0.2146247", \
+					  "-1.0074022, -0.9992928, -0.9807627, -0.9374212, -0.8367045, -0.5932190, -0.0019071", \
+					  "-2.4044551, -2.3966007, -2.3778650, -2.3354918, -2.2337319, -1.9897330, -1.3992376", \
+					  "-3.6560277, -3.6547743, -3.6294425, -3.5891925, -3.4866421, -3.2419210, -2.6510345", \
+					  "-4.6498112, -4.6423905, -4.6229034, -4.5817257, -4.4776001, -4.2344706, -3.6432330", \
+					  "-6.0968075, -6.0886132, -6.0702878, -6.0282348, -5.9264664, -5.6839906, -5.0899422", \
+					  "-28.4134000, -28.4133996, -28.3995640, -28.3517710, -28.2401390, -27.9974040, -27.4369110", \
+					  "-43.7400270, -43.7400264, -43.7117650, -43.6691000, -43.5643770, -43.3370530, -42.7297060", \
+					  "-113.3149000, -113.3148994, -113.2940900, -113.2473900, -113.1501400, -112.9013100, -112.3119300", \
+					  "-386.0890300, -386.0654700, -386.0654449, -386.0216400, -385.9095200, -385.6589500, -385.0890100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0509649, 0.0611363, 0.0849657, 0.1441772, 0.2889995, 0.6408362, 1.4974048", \
+					  "0.0513697, 0.0609960, 0.0849704, 0.1446067, 0.2898026, 0.6416210, 1.4965390", \
+					  "0.0513580, 0.0609967, 0.0849834, 0.1446161, 0.2898268, 0.6415980, 1.4994884", \
+					  "0.0513425, 0.0609928, 0.0850048, 0.1445778, 0.2897976, 0.6415398, 1.4994032", \
+					  "0.0514200, 0.0609976, 0.0849760, 0.1445960, 0.2898456, 0.6415901, 1.4960522", \
+					  "0.0514021, 0.0610015, 0.0850069, 0.1444749, 0.2898433, 0.6420174, 1.5018804", \
+					  "0.0512214, 0.0610200, 0.0850167, 0.1444786, 0.2897401, 0.6411892, 1.4977740", \
+					  "0.0514206, 0.0610194, 0.0849810, 0.1446230, 0.2898409, 0.6414489, 1.4960485", \
+					  "0.0512381, 0.0610058, 0.0851586, 0.1446082, 0.2898613, 0.6411874, 1.4962315", \
+					  "0.0514285, 0.0609938, 0.0849704, 0.1444692, 0.2898417, 0.6420164, 1.4968399", \
+					  "0.0512803, 0.0609927, 0.0850306, 0.1444622, 0.2897212, 0.6415399, 1.4994008", \
+					  "0.0513855, 0.0610293, 0.0849537, 0.1445613, 0.2898790, 0.6416646, 1.5003428");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.8939131, 0.9039272, 0.9255737, 0.9684119, 1.0550294, 1.2322292, 1.6366837", \
+					  "2.7629090, 2.7726068, 2.7942595, 2.8378824, 2.9237925, 3.1011904, 3.5053769", \
+					  "4.5309401, 4.5406159, 4.5622714, 4.6058499, 4.6917794, 4.8689199, 5.2740492", \
+					  "4.9437716, 4.9534643, 4.9751212, 5.0187401, 5.1046496, 5.2820517, 5.6868483", \
+					  "7.4855482, 7.4953697, 7.5166584, 7.5602671, 7.6467215, 7.8240001, 8.2286791", \
+					  "9.6541912, 9.6641619, 9.6857251, 9.7336148, 9.8152961, 9.9927053, 10.3972050", \
+					  "11.3387470, 11.3465270, 11.3681880, 11.4108190, 11.4974750, 11.6751550, 12.0796740", \
+					  "13.7494840, 13.7604490, 13.7740450, 13.8271560, 13.9085700, 14.0799630, 14.4828840", \
+					  "48.9913390, 48.9998020, 49.0208190, 49.0653030, 49.1529020, 49.3281860, 49.7324320", \
+					  "72.5409710, 72.5493980, 72.5718790, 72.6154920, 72.7015820, 72.8802830, 73.2844610", \
+					  "177.9927600, 178.0004600, 178.0207300, 178.0652200, 178.1514800, 178.3270300, 178.7335700", \
+					  "586.2637500, 586.2709100, 586.2917800, 586.3356600, 586.4207000, 586.6018000, 587.0020300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024167, 0.0584042, 0.141145, 0.341105, 0.824348, 1.9922");
+					values("0.0593293, 0.0673704, 0.0860349, 0.1252192, 0.2101502, 0.4156024, 0.9326163", \
+					  "0.0607097, 0.0681088, 0.0860946, 0.1262287, 0.2129498, 0.4202722, 0.9424009", \
+					  "0.0607692, 0.0681149, 0.0860140, 0.1262451, 0.2134115, 0.4183897, 0.9403532", \
+					  "0.0607107, 0.0681090, 0.0860962, 0.1262291, 0.2129604, 0.4166314, 0.9431397", \
+					  "0.0595731, 0.0674498, 0.0870984, 0.1264889, 0.2134100, 0.4198651, 0.9409941", \
+					  "0.0606780, 0.0681027, 0.0860294, 0.1261472, 0.2129795, 0.4199426, 0.9401655", \
+					  "0.0606351, 0.0680921, 0.0859140, 0.1261806, 0.2131927, 0.4198586, 0.9402102", \
+					  "0.0606697, 0.0678338, 0.0860633, 0.1262210, 0.2129637, 0.4199228, 0.9434270", \
+					  "0.0596483, 0.0680551, 0.0859184, 0.1266814, 0.2130369, 0.4190610, 0.9401280", \
+					  "0.0604733, 0.0681117, 0.0862659, 0.1262320, 0.2117909, 0.4180838, 0.9343016", \
+					  "0.0600182, 0.0680967, 0.0861975, 0.1271057, 0.2115944, 0.4174230, 0.9370157", \
+					  "0.0599497, 0.0680838, 0.0862706, 0.1262639, 0.2137098, 0.4183066, 0.9389567");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("2.5563007, 2.5644453, 2.5828180, 2.6259046, 2.7272413, 2.9715326, 3.5642616", \
+					  "2.6906710, 2.6981961, 2.7159468, 2.7587925, 2.8613558, 3.1047167, 3.6959966", \
+					  "2.7985954, 2.8066096, 2.8250715, 2.8680609, 2.9694942, 3.2137084, 3.8061665", \
+					  "2.8856229, 2.8897320, 2.9120888, 2.9551164, 3.0564968, 3.3007095, 3.8914134", \
+					  "2.9594551, 2.9674372, 2.9859542, 3.0289173, 3.1303726, 3.3745511, 3.9665875", \
+					  "3.0248120, 3.0331577, 3.0513136, 3.0941971, 3.1956491, 3.4397831, 4.0315995", \
+					  "3.1008214, 3.1088441, 3.1272995, 3.1702876, 3.2717331, 3.5159431, 4.1085140");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0513581, 0.0610808, 0.0849709, 0.1449689, 0.2900702, 0.6411141, 1.4978198", \
+					  "0.0513619, 0.0610572, 0.0853545, 0.1448509, 0.2903857, 0.6426604, 1.5011422", \
+					  "0.0512557, 0.0611337, 0.0850044, 0.1449921, 0.2906663, 0.6414350, 1.4988255", \
+					  "0.0513441, 0.0611557, 0.0849748, 0.1450988, 0.2904127, 0.6428054, 1.5000599", \
+					  "0.0512494, 0.0611557, 0.0848920, 0.1449351, 0.2906564, 0.6405745, 1.5014381", \
+					  "0.0512346, 0.0610937, 0.0849218, 0.1450964, 0.2907739, 0.6428722, 1.4980835", \
+					  "0.0512521, 0.0611198, 0.0849254, 0.1450290, 0.2906200, 0.6417749, 1.4975888");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("1.9841424, 1.9937394, 2.0151139, 2.0586750, 2.1437385, 2.3200342, 2.7211842", \
+					  "2.0577968, 2.0673916, 2.0887647, 2.1323270, 2.2173923, 2.3936821, 2.7948539", \
+					  "2.0853022, 2.0950288, 2.1163788, 2.1599498, 2.2450088, 2.4213438, 2.8224838", \
+					  "2.1020405, 2.1117845, 2.1334949, 2.1766959, 2.2617421, 2.4380400, 2.8392004", \
+					  "2.1084068, 2.1180018, 2.1392907, 2.1828515, 2.2679174, 2.4442890, 2.8453822", \
+					  "2.1121758, 2.1217650, 2.1431548, 2.1867212, 2.2717852, 2.4480333, 2.8492155", \
+					  "2.1110889, 2.1208171, 2.1420976, 2.1856292, 2.2710289, 2.4469040, 2.8480911");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241725, 0.058431, 0.141242, 0.341418, 0.825293, 1.99494");
+					values("0.0604816, 0.0673007, 0.0847807, 0.1242252, 0.2107575, 0.4152254, 0.9378427", \
+					  "0.0600371, 0.0672891, 0.0847911, 0.1242049, 0.2108137, 0.4150727, 0.9378122", \
+					  "0.0593607, 0.0674276, 0.0846717, 0.1242621, 0.2106046, 0.4150887, 0.9378219", \
+					  "0.0593580, 0.0674180, 0.0847820, 0.1241336, 0.2106123, 0.4155839, 0.9378458", \
+					  "0.0600493, 0.0672624, 0.0847761, 0.1242072, 0.2108253, 0.4150579, 0.9378187", \
+					  "0.0603325, 0.0674243, 0.0849444, 0.1239968, 0.2110246, 0.4146724, 0.9380627", \
+					  "0.0591268, 0.0667683, 0.0848171, 0.1237745, 0.2112308, 0.4137932, 0.9383188");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.316900;
+			max_transition : 3.762950;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("8.2657759, 8.1607024, 7.8903167, 7.4960830, 6.9661263, 6.3023335, 4.8699502", \
+					  "6.1400182, 6.0065182, 5.6893604, 5.2624420, 4.6058292, 4.1095560, 2.1589037", \
+					  "6.3403540, 6.2216963, 5.9242047, 5.4948359, 5.0794226, 4.1117309, 3.5322749", \
+					  "6.5315891, 6.4160608, 6.0864907, 5.6865567, 5.2191680, 4.9740398, 2.6565835", \
+					  "6.7113836, 6.6175837, 6.2710076, 5.8871579, 5.3826639, 5.0037704, 2.6623064", \
+					  "6.8783252, 6.7553285, 6.4587927, 5.9578466, 5.6010513, 5.2698419, 3.6399408", \
+					  "7.0898591, 6.8838091, 6.6381479, 6.2964330, 5.7547443, 5.3542904, 5.0890481");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0317882, 0.101049, 0.3212164, 1.021089, 3.245859, 10.318");
+					values("5.9660070, 5.8983345, 5.8220969, 5.7096990, 5.5285262, 5.4260639, 5.3803383", \
+					  "9.0575568, 8.9565742, 8.7220118, 8.4280664, 8.2163460, 8.2684875, 8.2244116", \
+					  "9.0838795, 8.9746821, 8.7576361, 8.4335412, 8.2365339, 8.1151266, 8.2340532", \
+					  "9.1118319, 8.9818855, 8.7880345, 8.4156978, 8.2669887, 8.1219337, 8.1774292", \
+					  "9.1229004, 8.9686123, 8.7826656, 8.4140568, 8.1544918, 8.1207645, 8.0952467", \
+					  "9.0382745, 8.9558066, 8.6594395, 8.4259735, 8.3106664, 8.1262115, 8.1217186", \
+					  "9.1751242, 9.0454476, 8.8763068, 8.5748839, 8.1745843, 8.1299516, 8.1177695");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.9249407, 9.8060826, 9.5112294, 9.0242129, 8.4061622, 7.6853219, 6.0369121", \
+					  "13.6707750, 13.5382570, 13.2289120, 12.7419040, 12.2540670, 11.5696600, 9.6024412", \
+					  "25.6340630, 25.5178780, 25.1431430, 24.6906020, 24.2237190, 23.7954970, 21.6800190", \
+					  "36.9871390, 36.8932800, 36.4966630, 36.0686650, 35.5399560, 35.1561310, 34.1416440", \
+					  "48.1698080, 47.9541580, 47.7339760, 47.2477890, 46.7180150, 46.3349360, 45.9221760", \
+					  "59.1497200, 58.8968180, 58.6709010, 58.1944110, 57.7295950, 57.2679020, 56.8951830", \
+					  "69.9520030, 69.8848200, 69.5026050, 68.9904520, 68.5030990, 68.0991790, 67.7227720");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.6463395, 9.5986655, 9.6250745, 9.7708315, 9.6977995, 9.6077645, 9.5688525", \
+					  "29.5934180, 29.4853830, 29.2478820, 28.9277390, 28.6839980, 29.1204910, 29.0934190", \
+					  "40.7744670, 40.6693360, 40.5340070, 40.1113310, 39.8639280, 39.7300140, 40.2234500", \
+					  "52.0066520, 51.9021870, 51.7819990, 51.3318620, 51.1088880, 50.9112750, 51.3837760", \
+					  "63.3064780, 63.2169460, 63.0832680, 62.6722980, 62.4449170, 62.1954990, 62.3577230", \
+					  "74.6748150, 74.5769420, 74.4621930, 73.9993410, 73.7874690, 73.5724610, 73.4739840", \
+					  "86.0636910, 85.9061020, 85.7871900, 85.3987510, 85.2552330, 84.9522470, 84.7712390");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("11.7530621, 11.6308450, 11.3405991, 10.8593451, 10.2512213, 9.5313151, 7.8806375", \
+					  "47.2949630, 47.1657540, 46.8535520, 46.3755210, 45.8853610, 44.4364130, 43.1733360", \
+					  "85.9505520, 85.8111150, 85.4552960, 85.0101730, 84.5020370, 84.1120990, 81.8975930", \
+					  "123.5879200, 123.4568000, 123.1077900, 122.6383000, 122.1569700, 121.7034800, 119.7143500", \
+					  "160.8328900, 160.6832000, 160.4140300, 159.9078000, 159.4788900, 158.9812900, 158.4594300", \
+					  "197.5919700, 197.5420000, 197.2927700, 196.8011900, 196.2955900, 195.9746400, 195.3698700", \
+					  "234.4667000, 234.0913600, 233.8058400, 233.2558300, 233.0391200, 232.3116700, 231.7875200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("9.7093275, 9.6398725, 9.5996105, 9.6231655, 9.4978855, 9.3904845, 9.3440605", \
+					  "36.7946820, 36.6927740, 36.4474530, 36.1362750, 35.8847670, 36.2734110, 36.2595890", \
+					  "55.9813860, 55.8918330, 55.6460570, 55.3413810, 55.0889890, 54.9103020, 55.4288140", \
+					  "75.3363340, 75.3490260, 75.0674520, 74.7316790, 74.5329010, 74.3351010, 74.6965540", \
+					  "94.9673120, 94.8611330, 94.6066700, 94.3195170, 94.0445850, 93.9093180, 93.7351170", \
+					  "114.7657000, 114.5447300, 114.2690200, 114.1895700, 113.7154100, 113.6576700, 113.3729600", \
+					  "134.4083300, 134.2711600, 134.0047700, 133.7182900, 133.4470500, 133.2517200, 132.9611000");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("23.2331618, 23.1401998, 22.8958118, 22.5337078, 22.1199478, 21.5173318, 19.7745118", \
+					  "23.2610767, 23.1390867, 22.8960647, 22.5394397, 22.1230037, 21.4871117, 19.7336007", \
+					  "23.2671816, 23.1662036, 22.9086506, 22.5474696, 22.1258326, 21.5227666, 19.7433316", \
+					  "23.2784944, 23.1685294, 22.9222824, 22.5726144, 22.1398944, 21.5379484, 19.9180314", \
+					  "23.2898478, 23.1683908, 22.9404288, 22.5784878, 22.1526768, 21.5218108, 19.8913058", \
+					  "23.3116656, 23.1944236, 22.9508946, 22.5965216, 22.1706906, 21.5428216, 19.9519376", \
+					  "23.3088625, 23.2027285, 22.9708845, 22.6094735, 22.1712025, 21.5933905, 19.8295365");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178764, 0.1010454, 0.3211993, 1.021017, 3.24557, 10.3169");
+					values("18.6521019, 18.4776219, 18.0507859, 17.3942989, 16.5620099, 15.6754989, 15.2234419", \
+					  "18.6590726, 18.4931086, 18.0588536, 17.3988416, 16.5597906, 15.6391066, 15.1790456", \
+					  "18.6602063, 18.4717993, 18.0480603, 17.4041983, 16.5559113, 15.6675963, 15.2026683", \
+					  "18.6551035, 18.4766595, 18.0482855, 17.4028045, 16.5588135, 15.6759185, 15.2482105", \
+					  "18.6477275, 18.4701395, 18.0409335, 17.3967255, 16.5519775, 15.6748175, 15.2037605", \
+					  "18.6583337, 18.5008947, 18.0366417, 17.3999737, 16.5540737, 15.6765227, 15.2417977", \
+					  "18.6500809, 18.4753719, 18.0451669, 17.3894539, 16.5570759, 15.6743429, 15.2058989");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("1.3079935, 1.3167403, 1.3404301, 1.4012955, 1.5722940, 2.1008755, 3.7885468", \
+					  "2.9041158, 2.9126190, 2.9363283, 2.9971481, 3.1681300, 3.6988874, 5.3846354", \
+					  "4.1792405, 4.1881019, 4.2117705, 4.2726507, 4.4434687, 4.9729190, 6.6690076", \
+					  "4.4654098, 4.4740065, 4.4976995, 4.5586579, 4.7292983, 5.2581868, 6.9503101", \
+					  "6.1145462, 6.1233938, 6.1470757, 6.2079444, 6.3787682, 6.8804402, 8.5977421", \
+					  "7.5256734, 7.5340463, 7.5578521, 7.6188016, 7.7897804, 8.3676062, 10.0102960", \
+					  "8.6774614, 8.6859178, 8.7096836, 8.7705274, 8.9414973, 9.4192494, 11.1598960", \
+					  "10.3396000, 10.3475300, 10.3712540, 10.4321610, 10.6031710, 11.1134910, 12.8229640", \
+					  "32.0162140, 32.0252590, 32.0484440, 32.1099980, 32.2810330, 32.2810345, 34.5010700", \
+					  "45.4085340, 45.4182040, 45.4407320, 45.5551650, 45.7304450, 46.2127580, 47.8910070", \
+					  "102.7687900, 103.4557400, 103.7209600, 103.7209664, 103.9863900, 103.9863930, 104.9418300", \
+					  "309.6045900, 309.6045990, 309.6373900, 309.6374054, 309.9503700, 310.6335100, 311.5765700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0742272, 0.0811161, 0.1031828, 0.1720868, 0.4083431, 1.1996178, 3.7627630", \
+					  "0.0737005, 0.0822820, 0.1037083, 0.1720478, 0.4075342, 1.2045408, 3.7384384", \
+					  "0.0749599, 0.0818698, 0.1036745, 0.1718536, 0.4076627, 1.1997379, 3.7589003", \
+					  "0.0746710, 0.0822553, 0.1031731, 0.1717352, 0.4080371, 1.1992534, 3.7491983", \
+					  "0.0749705, 0.0818618, 0.1036848, 0.1718602, 0.4076930, 1.2019503, 3.7497987", \
+					  "0.0737614, 0.0822893, 0.1036773, 0.1718989, 0.4079785, 1.1993853, 3.7509107", \
+					  "0.0736112, 0.0822908, 0.1032006, 0.1719964, 0.4076181, 1.1993370, 3.7443186", \
+					  "0.0736235, 0.0822867, 0.1031976, 0.1719660, 0.4077113, 1.2035437, 3.7513535", \
+					  "0.0737652, 0.0811361, 0.1032081, 0.1718764, 0.4080644, 1.2035596, 3.7432919", \
+					  "0.0736203, 0.0822854, 0.1031969, 0.1718738, 0.4075653, 1.1981746, 3.7508130", \
+					  "0.0741007, 0.0819722, 0.1036882, 0.1717561, 0.4076875, 1.1993452, 3.7518340", \
+					  "0.0748964, 0.0822864, 0.1032812, 0.1719744, 0.4077029, 1.2029163, 3.7552343");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.9294713, 0.9377984, 0.9621138, 1.0241041, 1.1936933, 1.7064445, 3.3349794", \
+					  "2.2796127, 2.2879967, 2.3116864, 2.3739679, 2.5440390, 3.0570522, 4.6841548", \
+					  "3.1184870, 3.1268665, 3.1505609, 3.2128538, 3.3829211, 3.8958756, 5.5247584", \
+					  "3.2936123, 3.3020131, 3.3257510, 3.3879741, 3.5580430, 4.0708863, 5.6982401", \
+					  "4.2746269, 4.2827670, 4.3068944, 4.3684762, 4.5392889, 5.0515765, 6.6832704", \
+					  "5.0502029, 5.0579692, 5.0823408, 5.1438862, 5.2283085, 5.8271690, 7.4565776", \
+					  "5.5895053, 5.5979843, 5.6216054, 5.6839169, 5.8426348, 6.3646715, 7.9967704", \
+					  "6.3220362, 6.3306529, 6.3542185, 6.4166854, 6.5833023, 7.0733548, 8.7263841", \
+					  "14.6523240, 14.6601300, 14.6828660, 14.7468820, 14.9316360, 15.4445980, 17.0583420", \
+					  "19.3170720, 19.3261620, 19.3510220, 19.4115350, 19.6645030, 20.1635700, 21.7221330", \
+					  "38.4683750, 38.5064350, 38.5165610, 38.5469430, 38.7625080, 39.2409550, 40.8869880", \
+					  "104.9613900, 104.9756900, 104.9964200, 105.0595100, 105.2326000, 105.7214900, 107.3639700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.03178, 0.100997, 0.320967, 1.02003, 3.24166, 10.302");
+					values("0.0650115, 0.0711310, 0.0909639, 0.1506283, 0.3480443, 1.0076313, 3.1525964", \
+					  "0.0649496, 0.0713672, 0.0913000, 0.1498808, 0.3479249, 1.0126594, 3.1389689", \
+					  "0.0649383, 0.0713862, 0.0913596, 0.1507615, 0.3475503, 1.0133593, 3.1452823", \
+					  "0.0648807, 0.0713945, 0.0905705, 0.1507581, 0.3476208, 1.0132474, 3.1477148", \
+					  "0.0649968, 0.0711783, 0.0910509, 0.1512853, 0.3477219, 1.0118869, 3.1496215", \
+					  "0.0650052, 0.0713360, 0.0911652, 0.1506937, 0.3475609, 1.0102875, 3.1572982", \
+					  "0.0650396, 0.0713400, 0.0910911, 0.1506556, 0.3472780, 1.0117325, 3.1485237", \
+					  "0.0651194, 0.0716406, 0.0910496, 0.1509029, 0.3477554, 1.0098886, 3.1529488", \
+					  "0.0650030, 0.0713463, 0.0911500, 0.1503296, 0.3473473, 1.0134376, 3.1550620", \
+					  "0.0651152, 0.0713211, 0.0905967, 0.1505904, 0.3475851, 1.0129537, 3.1720522", \
+					  "0.0649574, 0.0713851, 0.0913595, 0.1510673, 0.3477835, 1.0127749, 3.1475322", \
+					  "0.0647182, 0.0713338, 0.0905164, 0.1509189, 0.3477887, 1.0087189, 3.1476863");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6678352, 0.6766784, 0.7012103, 0.7622305, 0.9332138, 1.4625954, 3.1453995", \
+					  "0.0994353, 0.1084424, 0.1328140, 0.1941043, 0.3650416, 0.8935111, 2.5782094", \
+					  "-0.7601395, -0.7523195, -0.7267525, -0.6654646, -0.4945256, 0.0339400, 1.7166100", \
+					  "-0.9766280, -0.9676667, -0.9432393, -0.8819576, -0.7110174, -0.1825266, 1.5015722", \
+					  "-2.3734950, -2.3649429, -2.3401123, -2.2788140, -2.1078785, -1.5793299, 0.1042817", \
+					  "-3.6250805, -3.6183088, -3.5928489, -3.5303669, -3.3594727, -2.8308778, -1.1406343", \
+					  "-4.6193058, -4.6105209, -4.5850399, -4.5231081, -4.3525321, -3.8239523, -2.1334603", \
+					  "-6.0669922, -6.0566996, -6.0307803, -5.9718368, -5.8002616, -5.2717564, -3.5886885", \
+					  "-28.3801050, -28.3801031, -28.3575970, -28.2887940, -28.1296800, -27.6011180, -25.8999100", \
+					  "-43.7049970, -43.6959660, -43.6873650, -43.6384230, -43.4378290, -42.9124430, -41.2234870", \
+					  "-113.2921300, -113.2921257, -113.2509300, -113.1887400, -113.0183400, -112.4964700, -110.8040600", \
+					  "-386.0613700, -386.0345700, -386.0345611, -385.9575200, -385.8550000, -385.2747500, -383.6050000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0768169, 0.0842433, 0.1057112, 0.1730434, 0.4089190, 1.2022950, 3.7476533", \
+					  "0.0766918, 0.0842944, 0.1058485, 0.1736454, 0.4090498, 1.2018241, 3.7416621", \
+					  "0.0766831, 0.0843011, 0.1061029, 0.1736494, 0.4090575, 1.2018398, 3.7489469", \
+					  "0.0766716, 0.0842982, 0.1060894, 0.1736432, 0.4090364, 1.2018215, 3.7398036", \
+					  "0.0767592, 0.0842935, 0.1058588, 0.1736219, 0.4089678, 1.2013250, 3.7395864", \
+					  "0.0767655, 0.0843114, 0.1058456, 0.1736199, 0.4088801, 1.2011135, 3.7510008", \
+					  "0.0766935, 0.0843160, 0.1060916, 0.1736544, 0.4089733, 1.2013395, 3.7460737", \
+					  "0.0767635, 0.0843158, 0.1058598, 0.1736220, 0.4089724, 1.2013702, 3.7487293", \
+					  "0.0767578, 0.0842849, 0.1059999, 0.1736577, 0.4088014, 1.2010383, 3.7432497", \
+					  "0.0769058, 0.0844068, 0.1060394, 0.1736725, 0.4090915, 1.2016116, 3.7545269", \
+					  "0.0770646, 0.0843404, 0.1061043, 0.1736511, 0.4090540, 1.2019010, 3.7397800", \
+					  "0.0767587, 0.0843024, 0.1061555, 0.1736221, 0.4090332, 1.2013858, 3.7408219");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6752985, 0.6839139, 0.7083397, 0.7718370, 0.9438714, 1.4569729, 3.0839289", \
+					  "2.5437326, 2.5523654, 2.5768061, 2.6402946, 2.8122968, 3.3252474, 4.9505364", \
+					  "4.3118749, 4.3205395, 4.3449968, 4.4085144, 4.5804769, 5.0936713, 6.7196479", \
+					  "4.7247606, 4.7333959, 4.7578514, 4.8212807, 4.9933169, 5.5062532, 7.1335533", \
+					  "7.2660042, 7.2747062, 7.2992212, 7.3629667, 7.5346627, 8.0476790, 9.6734283", \
+					  "9.4351202, 9.4437818, 9.4682218, 9.5334904, 9.7035997, 10.2167320, 11.8426850", \
+					  "11.1175250, 11.1262010, 11.1506400, 11.2163840, 11.3861110, 11.8991380, 13.5272900", \
+					  "13.5224620, 13.5404740, 13.5555960, 13.6276010, 13.7913940, 14.3127300, 15.9398200", \
+					  "48.7702000, 48.7809900, 48.8035110, 48.8657650, 49.0380320, 49.5524510, 51.1767300", \
+					  "72.3207380, 72.3291150, 72.3539200, 72.4191200, 72.5905170, 73.1018660, 74.7273250", \
+					  "177.7695600, 177.7782200, 177.8031600, 177.8660300, 178.0383300, 178.5506200, 180.1774200", \
+					  "586.0380300, 586.0481500, 586.0734400, 586.1391500, 586.3069000, 586.8204800, 588.4487000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0674095, 0.0742443, 0.0932676, 0.1534616, 0.3497878, 1.0098756, 3.1475778", \
+					  "0.0678200, 0.0741961, 0.0935649, 0.1536364, 0.3499019, 1.0095331, 3.1402156", \
+					  "0.0676531, 0.0740926, 0.0933829, 0.1538168, 0.3497928, 1.0095917, 3.1386518", \
+					  "0.0678092, 0.0741494, 0.0934371, 0.1532985, 0.3496353, 1.0090818, 3.1430993", \
+					  "0.0675023, 0.0742485, 0.0936018, 0.1536843, 0.3501575, 1.0077538, 3.1402541", \
+					  "0.0678273, 0.0740677, 0.0934175, 0.1535314, 0.3499062, 1.0088862, 3.1357932", \
+					  "0.0678371, 0.0741511, 0.0934150, 0.1537827, 0.3497430, 1.0084068, 3.1445078", \
+					  "0.0676710, 0.0739938, 0.0934095, 0.1533653, 0.3499022, 1.0077095, 3.1479206", \
+					  "0.0675937, 0.0740174, 0.0936361, 0.1538989, 0.3497309, 1.0077583, 3.1482830", \
+					  "0.0678905, 0.0742312, 0.0933702, 0.1536311, 0.3495362, 1.0082817, 3.1393241", \
+					  "0.0677218, 0.0743320, 0.0935024, 0.1534764, 0.3500348, 1.0075480, 3.1453813", \
+					  "0.0676234, 0.0741338, 0.0935253, 0.1534122, 0.3496227, 1.0068645, 3.1461231");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.6783241, 0.6873695, 0.7116522, 0.7727998, 0.9438887, 1.4736071, 3.1611056", \
+					  "1.0925115, 1.1015533, 1.1259148, 1.1871942, 1.3581416, 1.8865298, 3.5802976", \
+					  "1.2574167, 1.2665574, 1.2908840, 1.3519657, 1.5230517, 2.0514479, 3.7354648", \
+					  "1.2931907, 1.3016733, 1.3260500, 1.3878655, 1.5587910, 2.0872486, 3.7714090", \
+					  "1.4683448, 1.4773452, 1.4999925, 1.5630263, 1.7339118, 2.2606270, 3.9531729", \
+					  "1.5927135, 1.6036367, 1.6259807, 1.6878282, 1.8602492, 2.3912383, 4.0791122", \
+					  "1.6859650, 1.6947835, 1.7138082, 1.7722810, 1.9514044, 2.4747371, 4.1656416", \
+					  "1.8033277, 1.8086314, 1.8356696, 1.8974717, 2.0647772, 2.5938993, 4.2727621", \
+					  "3.0304079, 3.0712062, 3.0740104, 3.1542984, 3.2987317, 3.8267203, 5.5112976", \
+					  "3.7117958, 3.7603223, 3.7771208, 3.8448040, 4.0065586, 4.5319726, 6.1923280", \
+					  "6.5016872, 6.5036971, 6.5240724, 6.5963599, 6.7639108, 7.2966913, 8.9542604", \
+					  "15.8908480, 15.9123720, 15.9296010, 16.0048880, 16.1479470, 16.6841650, 18.3652760");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0765315, 0.0841980, 0.1053487, 0.1731416, 0.4087973, 1.2032443, 3.7421204", \
+					  "0.0769035, 0.0843421, 0.1060179, 0.1736792, 0.4091192, 1.2019183, 3.7461620", \
+					  "0.0766908, 0.0842983, 0.1058520, 0.1736756, 0.4090787, 1.2018101, 3.7680952", \
+					  "0.0766796, 0.0843045, 0.1061542, 0.1736534, 0.4090643, 1.2018140, 3.7592218", \
+					  "0.0767938, 0.0843657, 0.1060987, 0.1735465, 0.4084207, 1.2018982, 3.7441161", \
+					  "0.0767020, 0.0842952, 0.1058601, 0.1736544, 0.4090587, 1.2013376, 3.7473220", \
+					  "0.0767007, 0.0842810, 0.1058509, 0.1736484, 0.4090876, 1.2016647, 3.7446770", \
+					  "0.0766817, 0.0844852, 0.1060973, 0.1736627, 0.4090703, 1.2010136, 3.7540837", \
+					  "0.0766890, 0.0843129, 0.1060958, 0.1735923, 0.4090791, 1.2018383, 3.7538124", \
+					  "0.0767721, 0.0843091, 0.1060985, 0.1736317, 0.4090868, 1.2001483, 3.7452308", \
+					  "0.0766767, 0.0843308, 0.1058535, 0.1736525, 0.4090803, 1.2013005, 3.7451984", \
+					  "0.0767703, 0.0842935, 0.1060964, 0.1736506, 0.4089099, 1.2017513, 3.7518735");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.5622613, 0.5708119, 0.5953549, 0.6588194, 0.8307520, 1.3436303, 2.9710756", \
+					  "1.9355448, 1.9441802, 1.9686479, 2.0320934, 2.2041342, 2.7170328, 4.3431410", \
+					  "3.1916523, 3.2004108, 3.2247480, 3.2881962, 3.4602448, 3.9733079, 5.5992602", \
+					  "3.4786918, 3.5129408, 3.5129411, 3.5756573, 3.7476648, 4.2607033, 5.8870291", \
+					  "5.2782121, 5.2800218, 5.3061950, 5.3696967, 5.5409299, 6.0546332, 7.6834992", \
+					  "6.7960810, 6.8045325, 6.8283459, 6.8917663, 7.0623360, 7.5664142, 9.1935313", \
+					  "7.9732478, 7.9869436, 8.0097928, 8.0732159, 8.2264293, 8.7432106, 10.3759390", \
+					  "9.6575690, 9.6834132, 9.6926875, 9.7361834, 9.9270974, 10.4389470, 12.0718310", \
+					  "34.3094650, 34.3180200, 34.3413400, 34.4039960, 34.5800510, 35.0902030, 36.6292490", \
+					  "50.7709750, 50.7709752, 50.7957660, 50.8701530, 51.0277760, 51.5405250, 53.1702360", \
+					  "124.4534800, 124.5142000, 124.5509500, 124.6028800, 124.7825000, 125.2829400, 126.9297800", \
+					  "410.1047200, 410.1419800, 410.1652600, 410.2045400, 410.3790200, 410.9161900, 412.5055600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.031775, 0.100965, 0.320816, 1.01939, 3.23912, 10.2923");
+					values("0.0675790, 0.0742222, 0.0934379, 0.1532628, 0.3496689, 1.0091808, 3.1459764", \
+					  "0.0678340, 0.0741891, 0.0934818, 0.1533388, 0.3496507, 1.0101608, 3.1340877", \
+					  "0.0678378, 0.0741858, 0.0934760, 0.1533745, 0.3496565, 1.0098470, 3.1490073", \
+					  "0.0676262, 0.0741528, 0.0934490, 0.1538872, 0.3500137, 1.0098737, 3.1477236", \
+					  "0.0676308, 0.0741710, 0.0934622, 0.1538981, 0.3497701, 1.0096488, 3.1559990", \
+					  "0.0678442, 0.0741690, 0.0934728, 0.1537210, 0.3498931, 1.0097631, 3.1446885", \
+					  "0.0676052, 0.0741717, 0.0934711, 0.1537767, 0.3498186, 1.0104767, 3.1456135", \
+					  "0.0678497, 0.0740354, 0.0934691, 0.1535833, 0.3499092, 1.0098520, 3.1442038", \
+					  "0.0676871, 0.0741734, 0.0934530, 0.1537599, 0.3498785, 1.0097513, 3.1370058", \
+					  "0.0678536, 0.0741926, 0.0934580, 0.1534021, 0.3498859, 1.0105212, 3.1523792", \
+					  "0.0676298, 0.0740948, 0.0934309, 0.1537924, 0.3497208, 1.0097003, 3.1458167", \
+					  "0.0676475, 0.0740397, 0.0934560, 0.1536222, 0.3498592, 1.0097027, 3.1479361");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("2.5178382, 2.5268239, 2.5512898, 2.6125249, 2.7836393, 3.3135201, 5.0064255", \
+					  "2.6527118, 2.6618581, 2.6861178, 2.7472720, 2.9172227, 3.4484573, 5.1409477", \
+					  "2.7587381, 2.7678850, 2.7923190, 2.8534159, 3.0241174, 3.5545713, 5.2468057", \
+					  "2.8469265, 2.8559217, 2.8805198, 2.9417309, 3.1072154, 3.6431704, 5.3347819", \
+					  "2.9216427, 2.9306417, 2.9550997, 3.0163623, 3.1863574, 3.7179080, 5.4097802", \
+					  "2.9864624, 2.9954499, 3.0200080, 3.0811620, 3.2600229, 3.7827088, 5.4742708", \
+					  "3.0608331, 3.0698606, 3.0942957, 3.1555123, 3.3256080, 3.8563841, 5.5493232");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.0767708, 0.0842894, 0.1057046, 0.1734467, 0.4094770, 1.2016488, 3.7561052", \
+					  "0.0766723, 0.0842168, 0.1057152, 0.1732846, 0.4083456, 1.2017638, 3.7568810", \
+					  "0.0768055, 0.0843631, 0.1057900, 0.1734300, 0.4092000, 1.2029868, 3.7551873", \
+					  "0.0766600, 0.0842826, 0.1057913, 0.1731966, 0.4090206, 1.2043896, 3.7455030", \
+					  "0.0766847, 0.0842802, 0.1056201, 0.1734127, 0.4095393, 1.2046701, 3.7490628", \
+					  "0.0766276, 0.0842995, 0.1057594, 0.1733802, 0.4094167, 1.2043890, 3.7448227", \
+					  "0.0768025, 0.0843544, 0.1057991, 0.1733362, 0.4095833, 1.2011381, 3.7570342");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("1.9956523, 2.0066468, 2.0371287, 2.1119559, 2.2969926, 2.8154890, 4.4408317", \
+					  "2.0694149, 2.0799897, 2.1104549, 2.1856503, 2.3704205, 2.8888534, 4.5145243", \
+					  "2.0981683, 2.1074780, 2.1379938, 2.2138771, 2.3978730, 2.9163474, 4.5411128", \
+					  "2.1136879, 2.1246458, 2.1551783, 2.2298656, 2.4151556, 2.9335496, 4.5581432", \
+					  "2.1194884, 2.1303939, 2.1608486, 2.2355655, 2.4208244, 2.9392513, 4.5647726", \
+					  "2.1236362, 2.1344537, 2.1647524, 2.2397375, 2.4247069, 2.9431955, 4.5686757", \
+					  "2.1225146, 2.1331366, 2.1635229, 2.2390818, 2.4235394, 2.9423848, 4.5677968");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317876, 0.101045, 0.321199, 1.02102, 3.24557, 10.3169");
+					values("0.1354806, 0.1447282, 0.1664549, 0.2229365, 0.4008890, 1.0274827, 3.1727008", \
+					  "0.1357976, 0.1446759, 0.1664068, 0.2229879, 0.3995242, 1.0284195, 3.1600551", \
+					  "0.1354417, 0.1450493, 0.1664994, 0.2230790, 0.3998106, 1.0283074, 3.1585119", \
+					  "0.1358460, 0.1444543, 0.1663190, 0.2229222, 0.4000898, 1.0285720, 3.1583782", \
+					  "0.1354668, 0.1446800, 0.1664198, 0.2227390, 0.3995639, 1.0283681, 3.1594063", \
+					  "0.1359183, 0.1445530, 0.1666604, 0.2229864, 0.4009232, 1.0275032, 3.1737177", \
+					  "0.1359895, 0.1450497, 0.1665955, 0.2232009, 0.3997118, 1.0286354, 3.1521368");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047768;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("52.7381386, 53.0555966, 54.8498626, 64.3245296, 90.9074806, 148.8680906, 177.5881406", \
+					  "52.7075733, 53.0297943, 54.8155183, 64.2989363, 90.9331933, 148.8460063, 177.4203963", \
+					  "52.6859140, 53.0074100, 54.7934850, 64.2735650, 90.8600620, 148.7878320, 177.4040520", \
+					  "52.7120783, 53.0037023, 54.8006953, 64.2727923, 90.8177043, 148.8675433, 177.5488833", \
+					  "52.7052999, 53.0202779, 54.8287619, 64.3103259, 90.9253419, 148.8668509, 177.4448509", \
+					  "52.7667182, 53.1127982, 54.7717182, 64.4803822, 90.9007342, 148.8584522, 177.5022822", \
+					  "52.8709175, 53.1549605, 54.8125065, 64.3578185, 90.9715025, 148.8664135, 177.4932335");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.3661933, 68.4083213, 68.8195893, 69.2073693, 69.0244673, 58.1345603, -126.3398657", \
+					  "69.1243750, 69.4541730, 68.8497890, 69.7570010, 69.0078430, 59.4355350, -123.7242650", \
+					  "68.9301846, 68.9525346, 68.8821946, 69.2836856, 68.6098916, 59.4667196, -127.5037444", \
+					  "68.6866232, 69.2045002, 68.8357672, 68.1969242, 68.9922602, 59.2324562, -128.1988378", \
+					  "68.9281231, 68.9377891, 68.9242231, 68.9689241, 68.9438191, 59.0273551, -127.3992119", \
+					  "68.8878647, 68.8886207, 68.7245297, 69.0030497, 68.8135697, 58.7296917, -127.0243153", \
+					  "68.8982463, 68.8753063, 68.9327673, 69.0183023, 68.9782933, 58.7276733, -127.2749087");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.7086063, 70.7753793, 71.0835283, 71.4258793, 71.2847983, 65.8906963, -10.8447117", \
+					  "70.6907190, 70.7119800, 70.9918250, 71.3328030, 71.1575950, 64.4311290, -15.5288890", \
+					  "70.5987386, 70.6399896, 70.8509806, 71.2080566, 71.0983946, 66.1209526, -15.4336164", \
+					  "70.5364832, 70.5896212, 70.8345922, 71.3123002, 71.0687802, 64.8626052, -5.8463091", \
+					  "70.5475431, 70.6184381, 70.8751141, 71.2998301, 71.6842241, 65.2114091, -11.5603119", \
+					  "70.5445807, 70.5814337, 70.8305537, 71.3052437, 71.0313997, 65.5482307, -6.2553756", \
+					  "70.5197963, 70.5735243, 70.8295553, 71.2642973, 71.1249093, 65.0237893, -1.4588408");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.4971396, 39.5262726, 39.7239926, 40.6856646, 43.6083446, 43.3635126, 43.5669186", \
+					  "39.3486653, 39.3906243, 39.7689433, 40.5189653, 42.5199443, 43.8989213, 44.0961423", \
+					  "39.4186960, 39.4516010, 39.7156080, 40.5836080, 42.2807400, 43.1672500, 43.5163010", \
+					  "39.4708193, 39.4476223, 39.5891453, 40.4712653, 42.8849323, 41.3901833, 43.8648773", \
+					  "39.4884149, 39.5395779, 39.6581289, 40.2724509, 43.2466689, 42.2588649, 43.3686649", \
+					  "39.5047742, 39.5368492, 39.6452522, 40.5237092, 42.5452662, 43.4011852, 43.4314662", \
+					  "39.5039955, 39.5428905, 39.7401355, 40.6343445, 42.5305465, 43.4898345, 43.4161365");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7277112, 19.7610872, 19.9563142, 20.8991572, 22.8196842, 23.7699082, 23.9710632", \
+					  "21.2644276, 21.2998096, 21.5200516, 22.5427486, 24.5672006, 25.5633956, 25.7704276", \
+					  "21.2669430, 21.3058650, 21.5217150, 22.5432780, 24.5695530, 25.5639820, 25.7738970", \
+					  "21.2616945, 21.3013555, 21.5155055, 22.5412975, 24.5728565, 25.5639595, 25.7789405", \
+					  "21.2666130, 21.3013100, 21.5209000, 22.5429600, 24.5740820, 25.5679280, 25.7791960", \
+					  "21.2707254, 21.3092484, 21.5272204, 22.5494924, 24.5776934, 25.5710524, 25.7827064", \
+					  "21.2718868, 21.3050958, 21.5233158, 22.5465078, 24.5736608, 25.5707328, 25.7785928");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.4800549, 63.5608939, 63.7906819, 64.2172089, 64.0673569, 59.6540879, -22.1620181", \
+					  "63.4428306, 63.5710686, 63.7829966, 64.1306416, 64.2168926, 59.5121626, -17.5555814", \
+					  "63.4639973, 63.5879263, 63.7935533, 64.2117123, 64.1393533, 62.1665213, -17.5123877", \
+					  "63.5311027, 63.6563227, 63.8621437, 64.2243817, 64.1501707, 57.8457377, -14.9609933", \
+					  "63.5080382, 63.5805842, 63.8270262, 64.2216552, 65.6781012, 58.4714632, -8.9829419", \
+					  "63.5647076, 63.6083116, 63.8357346, 64.2488756, 65.2174826, 58.5053386, -14.5498294", \
+					  "63.5643740, 63.6286290, 63.8335830, 64.2475500, 64.2788510, 58.6103510, -14.8818330");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5037652, 14.5035152, 14.5033672, 14.5027172, 14.5022782, 14.5024462, 14.5020612", \
+					  "14.9102916, 14.9099506, 14.9074866, 14.9029526, 14.8993406, 14.8984266, 14.8980466", \
+					  "14.9201800, 14.9196560, 14.9169930, 14.9091800, 14.9030930, 14.9014850, 14.9012190", \
+					  "14.9223505, 14.9178785, 14.9198375, 14.9081745, 14.9023195, 14.9019905, 14.9007035", \
+					  "14.9225320, 14.9221280, 14.9220150, 14.9097140, 14.9022910, 14.8997200, 14.9010530", \
+					  "14.9268854, 14.9262844, 14.9112574, 14.9000734, 14.9071714, 14.8920624, 14.9048244", \
+					  "14.9242148, 14.9226218, 14.9159448, 14.9109058, 14.9036648, 14.9024608, 14.9014528");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2638789, 26.3688919, 26.4559909, 26.3273439, 24.6657579, 26.1687259, 25.6692749", \
+					  "26.2277316, 26.3256156, 26.4788246, 26.7811906, 26.4154686, 26.2782676, 25.8831946", \
+					  "26.4603513, 26.3300853, 26.1839643, 26.1148183, 27.1315973, 23.5525323, 26.2535453", \
+					  "26.3562027, 26.3598757, 26.2908247, 26.2104617, 25.7435747, 27.5650627, 26.8392517", \
+					  "26.2430572, 26.6327962, 26.5028422, 26.3878812, 29.0961172, 26.1315322, 25.6101242", \
+					  "26.3840566, 26.4218776, 26.3327976, 26.3257686, 24.2551816, 26.3772986, 25.8928126", \
+					  "26.4006090, 26.4469580, 26.3997660, 26.3926610, 26.4287710, 26.4253130, 26.2450910");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("88.0132756, 88.8017976, 95.6777416, 125.0155206, 152.4085206, 160.5060306, 165.4443006", \
+					  "88.3399693, 89.1016243, 95.5700953, 124.9916963, 152.4286663, 160.4471963, 166.1760163", \
+					  "87.9467880, 88.9679360, 95.5030280, 124.7611020, 152.3800520, 160.4074820, 165.8915820", \
+					  "87.7016003, 88.8730443, 95.5171323, 125.0662833, 152.4164333, 160.3908433, 166.1350133", \
+					  "88.0228449, 89.1021549, 95.5161699, 124.9891409, 152.4720309, 160.3848109, 166.1223509", \
+					  "87.8480512, 89.0762152, 95.0161512, 124.9948822, 152.4832222, 160.4135622, 166.2577922", \
+					  "88.0665345, 89.2448275, 95.3780195, 125.0373635, 152.5079135, 160.4220735, 166.2897835");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.4185243, 19.4190133, 19.4248303, 19.4271353, 19.4061043, 19.3999003, 19.3988563", \
+					  "20.6313530, 20.6341250, 20.6195530, 20.5742890, 20.5504470, 20.4909340, 20.4650860", \
+					  "20.6663746, 20.6563526, 20.6506876, 20.5985586, 20.5462846, 20.5024376, 20.5125066", \
+					  "20.7206392, 20.7254812, 20.6966482, 20.6543362, 20.6119132, 20.5701952, 20.5490112", \
+					  "20.7690771, 20.7492751, 20.7319751, 20.6874291, 20.6153061, 20.5873421, 20.5734041", \
+					  "20.7669387, 20.7091867, 20.6810057, 20.6506547, 20.6022247, 20.5533877, 20.5395517", \
+					  "20.6979393, 20.6780833, 20.6796573, 20.6288623, 20.5640953, 20.5310143, 20.5165753");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4923172, 14.4922562, 14.4918902, 14.4911722, 14.4907042, 14.4906602, 14.4905752", \
+					  "14.9095786, 14.9095446, 14.9075786, 14.9023036, 14.8986366, 14.8976386, 14.8972586", \
+					  "14.9188770, 14.9189520, 14.9161270, 14.9079430, 14.9025450, 14.9008650, 14.9000900", \
+					  "14.9228725, 14.9205325, 14.9188255, 14.9097115, 14.9030185, 14.9012655, 14.9015045", \
+					  "14.9256890, 14.9207490, 14.9182540, 14.9132650, 14.9019130, 14.9005160, 14.9039740", \
+					  "14.9145204, 14.9133174, 14.9225174, 14.9014334, 14.9070224, 14.9046634, 14.8922544", \
+					  "14.9195338, 14.9230968, 14.9196558, 14.9069868, 14.9035848, 14.9019698, 14.8974288");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.1175729, 26.4841929, 26.4421059, 26.3071869, 23.8441649, 26.5711419, 27.4960239", \
+					  "26.3661156, 26.3558866, 26.3512826, 26.3231036, 26.2327936, 26.4633926, 25.4065386", \
+					  "26.3373553, 26.4523623, 26.3335843, 26.4434163, 25.6940833, 26.3880753, 24.3657083", \
+					  "26.3586387, 26.3290907, 26.3794867, 26.5917157, 26.0108417, 28.3572967, 26.8990707", \
+					  "26.2272992, 26.2389232, 26.8571492, 25.9991572, 27.4988752, 26.5160852, 26.0093642", \
+					  "26.2994236, 26.3837876, 26.2075666, 26.4831916, 25.9860286, 26.2370006, 26.2908416", \
+					  "26.4338430, 26.4048610, 26.3995960, 26.3527600, 26.4376390, 26.4317320, 26.2664650");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("59.2946809, 59.2130449, 59.1663809, 58.4866749, 56.7596429, 43.9988329, -139.4478041", \
+					  "59.3343886, 59.3257286, 59.1458376, 58.8179056, 56.7777736, 44.6405726, -143.9135794", \
+					  "59.3036933, 59.2673473, 59.1714453, 58.7543623, 56.8890173, 44.5658473, -142.5154447", \
+					  "59.2616707, 59.2908837, 59.1746987, 58.5453207, 56.9916777, 43.9567677, -144.2422933", \
+					  "59.2160892, 59.5860572, 59.8101352, 58.2957632, 57.4487322, 45.3005332, -139.1813428", \
+					  "59.3321556, 59.3154266, 59.2596936, 58.8855416, 56.9174206, 44.6980596, -140.8603414", \
+					  "59.4022870, 59.3605080, 59.2847210, 58.9557280, 57.0818970, 44.5038050, -140.5751400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.3323052, 14.3325062, 14.3343162, 14.3387252, 14.3416952, 14.3424872, 14.3430312", \
+					  "14.3946306, 14.3955796, 14.3999076, 14.4107206, 14.4198256, 14.4224076, 14.4228356", \
+					  "14.3910360, 14.3920350, 14.3966780, 14.4107110, 14.4218320, 14.4250480, 14.4251630", \
+					  "14.3834395, 14.3858555, 14.3960855, 14.4052085, 14.4154105, 14.4194915, 14.4198825", \
+					  "14.3891290, 14.3894380, 14.3971430, 14.4101750, 14.4226930, 14.4258610, 14.4264980", \
+					  "14.3939084, 14.3931214, 14.3999704, 14.4130784, 14.4256554, 14.4291104, 14.4296854", \
+					  "14.3927278, 14.3952268, 14.3977418, 14.4129658, 14.4270898, 14.4300138, 14.4313498");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("48.7223126, 49.0135346, 50.5552146, 59.1460056, 102.8293306, 160.4292306, 172.7964806", \
+					  "48.7205293, 49.0890993, 50.5369243, 59.0546733, 103.3134063, 160.3858763, 172.7400563", \
+					  "48.7762770, 48.9596100, 50.5894620, 59.0149240, 103.2641020, 160.3788020, 172.6980220", \
+					  "48.7143453, 48.9799453, 50.6000693, 59.0402693, 103.3219733, 160.3258933, 172.6589633", \
+					  "48.7309069, 49.0042229, 50.6127899, 59.1237319, 103.3537409, 160.2855209, 172.6606709", \
+					  "48.7996582, 49.0672332, 50.5482152, 59.1377672, 102.9577122, 160.3636422, 172.8015022", \
+					  "48.7759675, 49.0170975, 50.5799545, 59.1394375, 103.2756435, 160.3852535, 172.7333235");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.0666933, 20.0729193, 20.0725633, 20.0702023, 20.0626343, 20.0398503, 20.0428113", \
+					  "21.2849130, 21.2607260, 21.2353030, 21.2085120, 21.1529940, 21.1092200, 21.1057890", \
+					  "21.2832266, 21.2777756, 21.2646096, 21.2189446, 21.1739166, 21.1303606, 21.0983836", \
+					  "21.3455642, 21.3364332, 21.3346762, 21.2693962, 21.1995722, 21.1472532, 21.1572502", \
+					  "21.3337291, 21.3624101, 21.3440561, 21.3152151, 21.2628611, 21.2168491, 21.2190091", \
+					  "21.2816797, 21.3194777, 21.3143397, 21.2621187, 21.1954247, 21.1758527, 21.1534767", \
+					  "21.2975883, 21.2938413, 21.2625923, 21.2236713, 21.1646443, 21.1463233, 21.1164033");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.5883393, 66.5106173, 67.4974043, 65.6250123, 60.5238573, 52.8494163, -133.2736257", \
+					  "66.2469130, 66.3065250, 66.4340750, 65.9691350, 65.8069730, 51.6722860, -137.5963950", \
+					  "66.2514176, 66.3939556, 66.3761366, 65.9017806, 64.0014526, 51.8213076, -134.3021544", \
+					  "65.8641862, 66.5808492, 65.7880592, 66.1789062, 63.6777892, 52.3497642, -138.4789878", \
+					  "66.2761961, 66.2754261, 66.2445411, 65.7813211, 63.9380041, 51.7485771, -134.0261019", \
+					  "66.2700067, 66.2887557, 66.1899877, 65.8207067, 63.9803317, 51.4773987, -133.4838353", \
+					  "66.2850173, 66.2547703, 66.1994183, 65.7926813, 64.0114603, 51.5688403, -134.0037187");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0885696, 32.3835796, 32.1946136, 32.2256366, 33.8536226, 21.1531086, 31.4452956", \
+					  "32.0613343, 32.5135283, 32.0598253, 32.2745223, 35.1325443, 32.2083313, 32.6143893", \
+					  "32.1914780, 32.1139460, 32.2085990, 31.7051580, 31.9569570, 31.9428850, 32.0675840", \
+					  "32.1334833, 32.0629533, 31.9779453, 32.4554103, 31.9541583, 32.2673003, 31.5423563", \
+					  "32.1926669, 32.2008859, 32.1879349, 31.7421799, 32.0924659, 32.1804239, 31.8917929", \
+					  "32.2162122, 32.2140962, 32.2098802, 32.1588902, 32.2540602, 32.2474542, 32.0744162", \
+					  "32.2434725, 32.2439345, 32.2550435, 32.2535935, 32.2368425, 32.2621485, 32.0429045");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("66.7100263, 66.3849533, 66.3267503, 67.0103283, 64.1036533, 52.9163553, -122.6314757", \
+					  "66.2743490, 66.3340030, 66.3691340, 66.1751230, 65.5419000, 51.6967490, -136.0819450", \
+					  "66.3470356, 66.3871276, 66.2548726, 65.8408216, 63.9966656, 52.0251196, -136.1497544", \
+					  "65.8176742, 66.0611672, 66.4444932, 65.2369932, 63.7604662, 39.4106522, -131.7947278", \
+					  "66.3004261, 66.2923361, 66.2064251, 65.8675781, 64.1187271, 51.6156971, -134.4451419", \
+					  "66.2740107, 66.2457347, 66.1757077, 65.8262647, 63.7553937, 51.5866967, -135.0988553", \
+					  "66.2706323, 66.2141083, 66.1941453, 65.8615153, 63.9808253, 51.6944723, -134.9808787");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.0939926, 32.3718706, 32.1299076, 32.2263066, 33.8604616, 32.3305876, 32.7402526", \
+					  "32.1955923, 32.2831783, 32.0419853, 32.3862343, 35.0963623, 24.7370353, 32.1133593", \
+					  "32.1929520, 32.1128880, 32.2056680, 31.6132600, 31.9362790, 32.1076630, 31.9379270", \
+					  "32.0962043, 31.9571803, 31.9742713, 31.4736643, 31.9234993, 31.9190443, 32.7383883", \
+					  "32.1932669, 32.2155169, 32.1901479, 31.6542709, 31.9538169, 32.1806909, 32.2278989", \
+					  "32.2196922, 32.2158722, 32.2043152, 32.0415572, 32.2538942, 32.2486482, 32.0667622", \
+					  "32.2340675, 32.2343245, 32.2216985, 32.2590165, 32.2365515, 32.2487885, 32.0423625");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.2088873, 70.3299363, 70.7271193, 71.0075543, 71.5182223, 65.2053003, -0.7081994", \
+					  "70.1925970, 70.1808980, 70.4874160, 71.0364290, 71.1898890, 65.4246260, -12.1796820", \
+					  "70.1513596, 70.1495356, 70.3973436, 70.7834936, 70.7461136, 65.1233746, -7.9675142", \
+					  "70.0844632, 70.1439642, 70.4158302, 70.9404522, 70.9657232, 66.7030502, -8.3182571", \
+					  "70.1000401, 70.1573201, 70.4257581, 70.8567121, 70.8724291, 65.0576841, -9.9976421", \
+					  "70.0725907, 70.1259087, 70.3796157, 70.7904957, 70.6567137, 65.1979277, -6.1777424", \
+					  "70.0799393, 70.1121433, 70.3654333, 70.8282503, 70.7983373, 64.7013323, -11.4646807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0309026, 24.0683046, 24.2860436, 25.3062656, 27.3267916, 28.3125566, 28.5198326", \
+					  "25.8697753, 25.8997563, 26.1262353, 27.1501853, 29.1689193, 30.1633873, 30.3664543", \
+					  "25.8688600, 25.9076240, 26.1242750, 27.1493950, 29.1782110, 30.1662020, 30.3746810", \
+					  "25.8710813, 25.9199853, 26.1283273, 27.1538313, 29.1919683, 30.1734123, 30.3913213", \
+					  "25.9021629, 25.9413139, 26.1575799, 27.1809089, 29.2082349, 30.1999759, 30.4061209", \
+					  "25.9266352, 25.9639352, 26.1820722, 27.2056562, 29.2308952, 30.2215302, 30.4297562", \
+					  "25.9337405, 25.9815495, 26.1900845, 27.2128515, 29.2494095, 30.2299555, 30.4493425");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7699102, 19.8028502, 19.9971392, 20.9387702, 22.8578352, 23.8073522, 24.0075152", \
+					  "21.3140826, 21.3479256, 21.5698836, 22.5883996, 24.6156446, 25.6094176, 25.8174716", \
+					  "21.3155880, 21.3549700, 21.5712070, 22.5898470, 24.6172110, 25.6124150, 25.8216970", \
+					  "21.3095785, 21.3465405, 21.5650595, 22.5862655, 24.6154755, 25.6106345, 25.8248505", \
+					  "21.3136640, 21.3548240, 21.5660470, 22.5892550, 24.6198650, 25.6140850, 25.8290920", \
+					  "21.3188574, 21.3565784, 21.5738594, 22.5926124, 24.6215864, 25.6174034, 25.8288714", \
+					  "21.3204348, 21.3543678, 21.5736138, 22.5949928, 24.6221338, 25.6175198, 25.8264638");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3794129, 85.4259309, 85.5354689, 85.3033629, 86.2116289, 79.5553559, 1.5492866", \
+					  "85.3829056, 85.3982126, 85.5325776, 85.3107346, 86.2909436, 78.7651996, 0.1806388", \
+					  "85.3848173, 85.4018033, 85.5438913, 85.3479503, 86.2990163, 79.1981893, -0.6417952", \
+					  "85.4252977, 85.4123637, 85.5499617, 85.3430027, 86.3193257, 79.7536337, 1.2385109", \
+					  "85.4660222, 85.4613872, 85.5800982, 85.3612932, 86.3705142, 79.1287792, 5.3207847", \
+					  "85.4528516, 85.4692906, 85.5920326, 85.3926776, 86.3349796, 78.8445686, 1.2810157", \
+					  "85.4653210, 85.4930490, 85.6015940, 85.3514530, 86.4316450, 79.7391030, 13.2154400");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.2699933, 95.3728493, 95.5571593, 95.7341713, 98.1965553, 93.0520593, 9.2858181", \
+					  "95.2082600, 95.2578450, 95.4442100, 95.6809720, 98.1989720, 93.4943600, 27.4563030", \
+					  "95.1130806, 95.1340146, 95.2978766, 95.5675636, 98.0437016, 94.7521816, 9.8681156", \
+					  "95.0900782, 95.1181882, 95.3395392, 95.5810472, 98.3226252, 94.7281942, 17.1228782", \
+					  "95.0994591, 95.1243351, 95.3476841, 95.5754251, 98.3542171, 93.4707691, 16.3039141", \
+					  "94.9758367, 95.1274097, 95.3449307, 95.5167717, 98.2505777, 93.6533527, 11.6569497", \
+					  "95.0568213, 95.1182863, 95.3040543, 95.5079533, 98.2135463, 94.6904403, 16.4806873");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.4134016, 60.7324516, 62.5266766, 73.2234876, 101.4822606, 160.0945406, 188.9238106", \
+					  "60.2114973, 60.6600343, 62.5841343, 73.0484093, 101.4640163, 160.0699163, 188.9034463", \
+					  "60.3535060, 60.6664990, 62.5042920, 73.1679010, 101.4294920, 160.0340120, 188.8093420", \
+					  "60.3606923, 60.6821853, 62.4497163, 73.0174013, 101.4444933, 160.0490433, 188.6590533", \
+					  "60.3853339, 60.6938579, 62.5959479, 73.0929679, 101.4005509, 160.0888709, 188.9422509", \
+					  "60.4049272, 60.6715962, 62.6068392, 73.2089422, 101.5632922, 160.1198122, 188.9325922", \
+					  "60.4111775, 60.6926185, 62.5792345, 73.1728435, 101.6115735, 160.1401835, 188.9081235");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.8822922, 14.8390072, 14.8531802, 14.8854702, 14.8848122, 14.8687902, 14.8719012", \
+					  "16.4677426, 16.5106766, 16.4824616, 16.4496836, 16.4288536, 16.3818646, 16.3375756", \
+					  "16.6826280, 16.6805820, 16.6592400, 16.6186650, 16.5682210, 16.5232620, 16.5193400", \
+					  "16.6685215, 16.6833325, 16.6715835, 16.6073115, 16.5689785, 16.5248415, 16.5200605", \
+					  "16.6809220, 16.6806760, 16.6653100, 16.6168240, 16.5641580, 16.5347390, 16.5170760", \
+					  "16.6855064, 16.6842274, 16.6679354, 16.6165334, 16.5685544, 16.5351074, 16.5217864", \
+					  "16.6675078, 16.6763298, 16.6604128, 16.5965918, 16.5636318, 16.5272408, 16.5198168");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.3338499, 44.6321389, 46.2387259, 54.6520059, 98.9608399, 155.9790159, 168.2017659", \
+					  "44.3518076, 44.6103156, 46.2415106, 54.6692176, 98.9518156, 155.9462106, 168.2438206", \
+					  "44.3594493, 44.5915553, 46.2582463, 54.6879203, 98.7925503, 155.9976353, 168.3675253", \
+					  "44.3794997, 44.6609787, 46.2792087, 54.6981377, 98.9837437, 156.0643767, 168.2692267", \
+					  "44.3649172, 44.7123472, 46.2986512, 54.7588422, 98.9360462, 156.0044372, 168.3352872", \
+					  "44.3731536, 44.6714256, 46.2524456, 54.7252406, 98.4167806, 156.0881686, 168.3879786", \
+					  "44.5017310, 44.7528840, 46.1803640, 54.7487460, 98.4667470, 156.1122100, 168.4282100");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8807312, 15.8607472, 15.8800332, 15.8761032, 15.8642562, 15.8278502, 15.8372622", \
+					  "16.5708666, 16.5701416, 16.5509366, 16.5078226, 16.4573596, 16.4165786, 16.4063386", \
+					  "16.5573890, 16.5674180, 16.4374260, 16.5180440, 16.4667500, 16.4188350, 16.4092750", \
+					  "16.5659875, 16.5710095, 16.5410245, 16.5026975, 16.4517595, 16.4115085, 16.3955295", \
+					  "16.5702760, 16.5626400, 16.5508040, 16.5046730, 16.4516400, 16.4144510, 16.4026050", \
+					  "16.5580044, 16.5533424, 16.5244544, 16.4905804, 16.4386794, 16.4030134, 16.3838514", \
+					  "16.5700848, 16.5671348, 16.5410508, 16.5045128, 16.4502508, 16.4016058, 16.3958588");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.3737469, 29.5068559, 30.1052869, 31.6936799, 35.7218739, 48.6425179, 71.4089769", \
+					  "29.4855046, 29.5144016, 30.1060086, 31.6666346, 35.7429366, 48.5371256, 71.4054896", \
+					  "29.4933833, 29.5298953, 30.0985553, 31.6787843, 35.6811863, 48.6465653, 71.4810633", \
+					  "29.4991007, 29.5842477, 30.1329057, 31.7178737, 35.7622157, 48.6891487, 71.4107447", \
+					  "29.5396512, 29.6157052, 30.1085362, 31.7348262, 35.7658962, 48.7264142, 71.4199112", \
+					  "29.5397236, 29.6207876, 30.1162276, 31.7428706, 35.8181186, 48.7471616, 71.4305266", \
+					  "29.5619170, 29.6514740, 30.1136740, 31.7762290, 35.8090730, 48.6325170, 71.4777800");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.3671129, 84.5276839, 91.1403949, 120.7061759, 148.0712159, 156.0561859, 161.7194259", \
+					  "83.3805426, 84.3986166, 91.1323696, 120.7057106, 148.0821306, 156.0656606, 161.7750606", \
+					  "83.6603283, 84.5292993, 91.1221443, 120.4334653, 148.1337153, 156.0589853, 161.8329453", \
+					  "83.3364267, 84.5211257, 91.1144627, 120.7507467, 148.0737167, 156.1081867, 161.9225067", \
+					  "83.5310842, 84.6839262, 91.2075892, 120.7644572, 148.1011172, 156.0180972, 161.9781172", \
+					  "83.6059546, 84.7798696, 91.3438206, 120.6754686, 148.1246186, 156.1186686, 161.8401786", \
+					  "83.7915210, 84.8269630, 91.0854640, 120.6880600, 148.1658300, 156.1800600, 161.9711600");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.2947722, 14.2936052, 14.2971682, 14.2926752, 14.2923372, 14.2887682, 14.2905482", \
+					  "15.8570416, 15.8639636, 15.8665966, 15.8223866, 15.7915646, 15.7458826, 15.7391146", \
+					  "16.0712880, 16.0688340, 16.0515890, 16.0097650, 15.9525570, 15.9171960, 15.9049080", \
+					  "16.0752445, 16.0782595, 16.0620465, 16.0166615, 15.9567105, 15.9215205, 15.9134385", \
+					  "16.0823260, 16.0787440, 16.0505050, 16.0171130, 15.9624240, 15.9155860, 15.9168670", \
+					  "16.0843324, 16.0964564, 16.0705954, 16.0093244, 15.9715824, 15.9288144, 15.9207744", \
+					  "16.0816968, 16.0723428, 16.0644588, 16.0102498, 15.9576208, 15.9298338, 15.9102828");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.4924852, 14.4924302, 14.4921352, 14.4914392, 14.4909852, 14.4907912, 14.4907212", \
+					  "14.9094766, 14.9095076, 14.9075616, 14.9024926, 14.8983956, 14.8974996, 14.8974136", \
+					  "14.9188840, 14.9189600, 14.9160840, 14.9078940, 14.9019340, 14.9009140, 14.9006160", \
+					  "14.9248085, 14.9229305, 14.9174435, 14.9115535, 14.9030715, 14.9010725, 14.9003425", \
+					  "14.9252760, 14.9207120, 14.9176190, 14.9132060, 14.9049900, 14.8997030, 14.9039690", \
+					  "14.9144294, 14.9129394, 14.9097704, 14.9013954, 14.8939024, 14.9047374, 14.8922754", \
+					  "14.9196368, 14.9227398, 14.9199328, 14.9069588, 14.8995278, 14.9017338, 14.8974838");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.3188019, 26.3768239, 26.4241159, 26.7210009, 26.8533029, 26.5361629, 23.6061419", \
+					  "26.3170316, 26.3277306, 26.4010846, 26.5423396, 26.1279556, 26.3814506, 25.3444216", \
+					  "26.2018423, 26.3275453, 26.2857213, 26.4589233, 26.2714893, 20.0619573, 17.2136173", \
+					  "26.3701897, 26.3389407, 26.3516337, 26.1862437, 26.4699887, 26.3655377, 25.4504237", \
+					  "26.3244402, 26.3377562, 26.0045812, 26.8501482, 28.4970932, 26.4951232, 25.9627032", \
+					  "26.3881216, 26.3827036, 26.4050156, 26.2837446, 26.0303836, 26.3350336, 26.3204516", \
+					  "26.4279870, 26.4153090, 26.4153370, 26.3496810, 26.4260960, 26.4230010, 26.2903310");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.1333223, 92.1592733, 92.2930483, 92.1266053, 92.7396563, 87.1692653, 7.2161568", \
+					  "92.0415980, 92.0644270, 92.1878150, 92.0191720, 92.6499590, 86.4891370, 7.5020060", \
+					  "91.9457946, 91.9354346, 92.1111486, 91.9050076, 92.5497906, 85.4155596, 12.6017556", \
+					  "91.9270252, 91.9752642, 92.1063122, 91.9097402, 92.5348652, 87.0265082, 9.0387546", \
+					  "91.9455511, 91.9970731, 92.1260111, 91.9170601, 92.4703811, 87.0064191, 7.5656742", \
+					  "91.9063687, 91.9272747, 92.0646237, 91.8528837, 92.4718877, 85.3078067, 6.9737752", \
+					  "91.9058763, 91.9370463, 92.0817913, 91.8380903, 92.7678743, 85.3078003, 8.2852235");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.0833206, 24.1205436, 24.3383076, 25.3573986, 27.3772216, 28.3611206, 28.5686696", \
+					  "25.9152143, 25.9529453, 26.1712563, 27.1950763, 29.2214573, 30.2119113, 30.4183403", \
+					  "25.9221420, 25.9573270, 26.1830560, 27.1950280, 29.2167040, 30.2067460, 30.4151520", \
+					  "25.9376533, 25.9702493, 26.2009063, 27.2171523, 29.2446453, 30.2347043, 30.4414723", \
+					  "25.9466629, 25.9867439, 26.2021139, 27.2271859, 29.2536609, 30.2404859, 30.4475179", \
+					  "25.9718352, 26.0098352, 26.2268662, 27.2503082, 29.2770112, 30.2693212, 30.4780812", \
+					  "25.9770445, 26.0269575, 26.2321895, 27.2542225, 29.2807695, 30.2728995, 30.4961935");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3770259, 85.3817369, 85.5728409, 85.3104189, 86.2750709, 80.3715779, 2.9014952", \
+					  "85.3734826, 85.3752036, 85.5183186, 85.3147866, 86.2321916, 80.5216136, 3.0825871", \
+					  "85.3877663, 85.4306273, 85.5432593, 85.2947983, 86.2967403, 79.0453463, 2.8537996", \
+					  "85.4613597, 85.4004287, 85.5879877, 85.3681557, 86.2846657, 80.4311257, -0.5167946", \
+					  "85.4654672, 85.4877762, 85.5830402, 85.3404632, 86.2953452, 78.8509802, 0.0249191", \
+					  "85.4418886, 85.5121236, 85.6015876, 85.3758926, 86.4106416, 80.3969586, 0.2672022", \
+					  "85.4668750, 85.4895310, 85.6154780, 85.3586210, 86.4422480, 79.0680490, 6.6920802");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.7698872, 19.8005812, 19.9974922, 20.9400812, 22.8569802, 23.8069772, 24.0079642", \
+					  "21.3138586, 21.3503646, 21.5697396, 22.5881936, 24.6154726, 25.6076806, 25.8192866", \
+					  "21.3160600, 21.3520820, 21.5703650, 22.5893230, 24.6173200, 25.6127480, 25.8223670", \
+					  "21.3094205, 21.3464465, 21.5648825, 22.5860265, 24.6158935, 25.6162495, 25.8234325", \
+					  "21.3111580, 21.3545810, 21.5688850, 22.5889500, 24.6202710, 25.6189980, 25.8269530", \
+					  "21.3187374, 21.3564974, 21.5736814, 22.5924964, 24.6215534, 25.6186574, 25.8275924", \
+					  "21.3185698, 21.3540658, 21.5731098, 22.5943188, 24.6245308, 25.6163438, 25.8296468");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5036642, 14.5034382, 14.5032792, 14.5030122, 14.5023912, 14.5019642, 14.5019472", \
+					  "14.9103456, 14.9100646, 14.9080336, 14.9032906, 14.8993786, 14.8977826, 14.8980376", \
+					  "14.9201540, 14.9196260, 14.9167590, 14.9090650, 14.9030320, 14.9016950, 14.9013890", \
+					  "14.9240615, 14.9200675, 14.9176095, 14.9115975, 14.9043875, 14.9001865, 14.9017095", \
+					  "14.9261990, 14.9219040, 14.9184140, 14.9043760, 14.9028930, 14.9038470, 14.9043160", \
+					  "14.9154564, 14.9266304, 14.9228724, 14.9006424, 14.8925754, 14.8929434, 14.8925984", \
+					  "14.9200078, 14.9230468, 14.9199638, 14.9118768, 14.9045458, 14.8982788, 14.8977878");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2562849, 26.3500929, 26.3946239, 26.3158169, 25.5839629, 26.1699059, 25.6957569", \
+					  "26.2546426, 26.2667356, 26.2258466, 26.2035726, 25.7995556, 26.2274246, 26.4421546", \
+					  "26.3353853, 26.1568173, 26.3408733, 26.1295343, 26.3269753, 21.9266283, 26.1576903", \
+					  "26.3694507, 26.2634727, 26.6693937, 26.4064597, 26.3709687, 26.5720007, 27.0363917", \
+					  "26.9268802, 26.4604442, 26.6015832, 26.4475502, 24.9460112, 26.2260792, 26.9775372", \
+					  "26.3631256, 26.3848236, 26.4270386, 26.3872076, 25.1001016, 26.4004036, 26.2899446", \
+					  "26.3852660, 26.3644680, 26.4093370, 26.3645960, 26.4350630, 26.4482670, 26.2185330");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.8835862, 15.8906152, 15.8908772, 15.8681382, 15.8772342, 15.8556742, 15.8396822", \
+					  "16.5708096, 16.5680426, 16.5530456, 16.4847456, 16.4548986, 16.4162536, 16.4044236", \
+					  "16.5711320, 16.5461880, 16.5555330, 16.5010260, 16.4421290, 16.4114940, 16.3983900", \
+					  "16.5757035, 16.5607245, 16.5599265, 16.5080755, 16.4551005, 16.4072685, 16.3869305", \
+					  "16.5711750, 16.5658740, 16.5484990, 16.5028080, 16.4605320, 16.4133250, 16.3981850", \
+					  "16.5583684, 16.5595324, 16.5396854, 16.4938274, 16.4411424, 16.4049354, 16.3854544", \
+					  "16.5676268, 16.5678098, 16.5498618, 16.5019958, 16.4484138, 16.4098798, 16.3959038");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.4180209, 29.4962399, 30.1232969, 31.6471719, 35.7233589, 48.6748529, 71.3263349", \
+					  "29.4151026, 29.5151126, 30.0757056, 31.6623536, 35.7394046, 48.6155696, 71.3946786", \
+					  "29.4563883, 29.5309533, 30.0472173, 31.6767653, 35.7387973, 48.6285213, 71.4759703", \
+					  "29.4814647, 29.5785887, 30.0748137, 31.7148487, 35.7644077, 48.6567657, 71.4022647", \
+					  "29.5612592, 29.6334582, 30.0332462, 31.7390272, 35.8695532, 48.7209192, 71.4571662", \
+					  "29.5455276, 29.6206696, 30.0996786, 31.7578386, 35.7831186, 48.7461206, 71.4343506", \
+					  "29.5554780, 29.6490630, 30.1124390, 31.7939270, 35.8265660, 48.7254630, 71.4503510");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.4973293, 92.4869423, 92.6419013, 92.4396463, 93.1385593, 86.0137243, 4.4429303", \
+					  "92.4059800, 92.4673550, 92.5401440, 92.3833390, 93.0528240, 86.3476110, 7.1924411", \
+					  "92.3395276, 92.3348446, 92.4408286, 92.2874446, 93.1272386, 87.4024356, 6.4549981", \
+					  "92.3054382, 92.3344562, 92.4697992, 92.2428702, 92.9044102, 85.7462262, 5.8247938", \
+					  "92.3096211, 92.2983251, 92.4549981, 92.2279091, 92.8433261, 87.4344171, 6.2247785", \
+					  "92.2631597, 92.3043767, 92.4237117, 92.2284927, 92.8063077, 85.6866007, 6.8568991", \
+					  "92.2755803, 92.3215993, 92.4722433, 92.2022023, 93.1347963, 85.7048163, 16.1900153");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("39.5764656, 39.5966646, 39.7596516, 40.7119526, 43.1837256, 43.4223646, 43.3944426", \
+					  "39.5204483, 39.5539353, 39.7238893, 40.6625343, 42.5584383, 44.7125103, 43.6818583", \
+					  "39.2190120, 39.6531300, 39.8963420, 40.4003110, 42.4946350, 43.3641170, 43.5105830", \
+					  "39.5906543, 39.4451213, 39.9938173, 40.5236123, 39.7313953, 45.4139713, 43.0955793", \
+					  "39.5638439, 39.5378369, 39.7609469, 40.5363159, 42.6520399, 43.3922569, 43.3398479", \
+					  "39.5037822, 39.5820982, 39.7426462, 40.5794112, 42.5670642, 43.4308902, 43.5257802", \
+					  "39.5462975, 39.5791255, 39.7679955, 40.6895535, 42.5229165, 43.5336885, 43.4610105");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.3883226, 35.4732996, 35.9406376, 37.5738246, 41.6281396, 54.5498596, 77.1998076", \
+					  "35.3368393, 35.4306463, 35.9423103, 37.5262443, 41.6040483, 54.4633773, 77.1137063", \
+					  "35.3215750, 35.4126410, 35.8757150, 37.5248950, 41.5669990, 54.6093200, 77.1993220", \
+					  "35.3232063, 35.4073373, 35.8855273, 37.5306313, 41.5671393, 54.5045853, 77.2145853", \
+					  "35.3564389, 35.4376549, 35.8966039, 37.5458469, 41.6271549, 54.5680049, 77.2609809", \
+					  "35.3706162, 35.4615992, 35.9069682, 37.5679522, 41.6136432, 54.4805902, 77.2697012", \
+					  "35.3727755, 35.4608265, 35.9233175, 37.5670755, 41.6446295, 54.4922075, 77.2853805");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.0733723, 69.0071683, 69.1500403, 68.9387023, 71.0970313, 59.3667413, -125.5936357", \
+					  "69.0253150, 69.4006190, 69.1573480, 68.6521270, 70.9837000, 61.8718570, -131.3088550", \
+					  "68.8665196, 68.3554976, 69.1278936, 69.1860276, 68.8314226, 56.1309436, -99.3967304", \
+					  "68.5084182, 69.1000072, 69.5572322, 69.5822332, 68.8920282, 58.5416822, -124.4615578", \
+					  "68.8335951, 68.8441831, 68.8387921, 68.9202341, 69.0064081, 58.8218531, -126.8062719", \
+					  "68.8034387, 68.8024677, 68.8946927, 68.9058297, 68.9437917, 58.8330257, -126.4935353", \
+					  "68.8110243, 68.7769333, 68.7997063, 68.9066003, 68.8323543, 58.5038803, -125.6113487");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.7148816, 42.8386786, 43.4967906, 46.0944706, 52.0012066, 65.7646006, 88.6624226", \
+					  "42.6936143, 42.7786403, 43.4942173, 46.0631553, 51.9588243, 65.5567223, 88.5275973", \
+					  "42.6558430, 42.7771630, 43.4746950, 46.0508250, 51.9711280, 65.8517950, 88.5106560", \
+					  "42.6631133, 42.8081293, 43.4502263, 46.0419763, 51.9534873, 65.7726893, 88.5924563", \
+					  "42.6892259, 42.8031099, 43.4683939, 46.0609899, 51.9631859, 65.7167919, 88.6361389", \
+					  "42.7187902, 42.8311792, 43.5065112, 46.0916612, 51.9817832, 65.6156552, 88.6140082", \
+					  "42.7167515, 42.8558905, 43.5101655, 46.1080565, 51.9984865, 65.7113265, 88.6727365");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.3441503, 73.3938953, 73.7472583, 74.7741043, 77.3167503, 73.9050353, -0.4514574", \
+					  "73.2370090, 73.3499950, 73.6305060, 74.5551070, 76.3815000, 75.1719950, -10.2834711", \
+					  "73.1528826, 73.1792296, 73.5608406, 74.5970396, 77.8738426, 76.0596896, -10.2287939", \
+					  "73.1759902, 73.1350852, 73.6743272, 74.3911712, 77.2402252, 72.2786872, 1.6187098", \
+					  "73.1464091, 73.2105171, 73.5812831, 74.4591081, 76.0062461, 73.0990371, -3.8915694", \
+					  "73.1032427, 73.1737277, 73.5262727, 74.3840787, 76.4353137, 74.0076097, 5.1470975", \
+					  "73.1206533, 73.1818123, 73.5105353, 74.3816093, 77.3664023, 74.7326763, 1.8606246");
+				}
+			}*/
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("56.0923819, 56.3982483, 57.8400492, 64.4127294, 78.3889912, 100.0822147, 111.9612854", \
+					  "57.0828765, 57.3065841, 58.7804228, 65.4461344, 79.8383552, 101.1659088, 113.1482745", \
+					  "57.1388810, 57.3286265, 58.7479617, 65.3533625, 79.9119709, 99.8419427, 112.2555956", \
+					  "57.1191650, 57.3575732, 58.8090144, 65.4208103, 79.8585687, 101.5621958, 113.4903530", \
+					  "57.1823082, 57.4185060, 58.8435576, 65.4686296, 80.4052188, 101.2144375, 113.3768343", \
+					  "57.1785072, 57.4342867, 58.8096961, 65.4724920, 79.5320332, 101.2394753, 113.3668753", \
+					  "57.2246663, 57.4590229, 58.7988315, 65.4745451, 79.9678610, 101.2762204, 113.4204233");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.4301119, 83.3942193, 83.6539343, 83.5613501, 82.3832559, 74.6634034, -45.2998591", \
+					  "84.2847994, 84.2461309, 84.2408226, 83.9883821, 84.0175901, 75.4578827, -46.3907766", \
+					  "84.2605567, 84.2464864, 84.3389303, 84.2538415, 84.6212700, 75.0258309, -44.6272807", \
+					  "84.3280659, 84.3330889, 84.3727248, 84.1978154, 83.7432868, 74.6404983, -46.2543844", \
+					  "84.3660579, 84.4443810, 84.4883832, 84.4174646, 84.2213488, 76.4945309, -44.0403977", \
+					  "84.3220728, 84.3438893, 84.4092335, 84.2811354, 83.9927854, 75.0784568, -45.0559125", \
+					  "84.3547013, 84.3653246, 84.4230577, 84.2929957, 83.9372696, 74.9743381, -43.5319814");
+				}
+			}*/
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.5601205, 86.9970990, 89.2465734, 100.3151772, 125.0778510, 157.8592672, 179.8083957", \
+					  "87.1535088, 87.6139678, 89.8058891, 100.8617721, 125.8999701, 159.2835319, 180.6952938", \
+					  "87.0921738, 87.4979625, 89.8457042, 100.5733287, 124.7558935, 160.1706843, 180.3892007", \
+					  "87.0958219, 87.4262426, 89.7612966, 100.7107149, 124.4086383, 160.2182023, 180.4804916", \
+					  "87.1961781, 87.5805256, 89.8459200, 100.6227193, 125.0248155, 160.0605915, 180.4581811", \
+					  "87.2086154, 87.6238846, 89.7612392, 100.8735199, 124.9380964, 160.2784572, 180.5640234", \
+					  "87.2754570, 87.6725005, 89.8650575, 100.9476962, 125.0111308, 160.3424622, 180.5484218");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("134.2309063, 134.0535448, 134.5568654, 134.6311184, 134.7353816, 122.3238174, -76.5760664", \
+					  "134.3938968, 134.5704452, 134.6578530, 134.8906682, 135.9457660, 122.9392398, -80.4969795", \
+					  "134.2743570, 134.2327154, 134.5359022, 134.7297374, 135.0997859, 122.6585137, -76.7410914", \
+					  "134.0104306, 134.3841696, 134.5828794, 134.5827284, 135.0284431, 120.4328791, -76.9645466", \
+					  "134.2649617, 134.3112380, 134.5168102, 134.6461244, 135.0193249, 122.3829015, -79.3732452", \
+					  "134.1916197, 134.2490624, 134.4249952, 134.5910984, 134.8238227, 121.9595969, -77.0343182", \
+					  "134.2031858, 134.2229091, 134.4386499, 134.5756726, 135.1562564, 122.0555098, -75.0574745");
+				}
+			}*/
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3469892, 1.3528225, 1.3572524, 1.3572525, 1.3572526, 1.3572527, 1.7029130", \
+					  "1.4074173, 1.4087872, 1.4148206, 1.4199175, 1.4199176, 1.4199177, 1.6762531", \
+					  "1.4307686, 1.4373259, 1.4373261, 1.4373262, 1.4412168, 1.4412169, 1.6278524", \
+					  "1.4414747, 1.4415921, 1.4415922, 1.4415923, 1.4415924, 1.4415926, 1.6057726", \
+					  "1.4455419, 1.4455420, 1.4466807, 1.4466808, 1.4466809, 1.4466810, 1.6134821", \
+					  "1.4476748, 1.4486949, 1.4486951, 1.4486952, 1.4486953, 1.4486954, 1.6071682", \
+					  "1.4358226, 1.4369789, 1.4369790, 1.4369792, 1.4419874, 1.4419875, 1.5813895");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2590898, 7.4408528, 8.5774854, 15.5527360, 58.4124250, 321.1066800, 1938.9006000", \
+					  "7.3331150, 7.5091950, 8.6643928, 15.6154310, 58.4589300, 321.7640500, 1938.9729000", \
+					  "7.3542159, 7.5374409, 8.6678824, 15.6537020, 58.6064530, 321.5095000, 1939.0807000", \
+					  "7.3667938, 7.5582767, 8.7022283, 15.6616210, 58.5884190, 321.8617100, 1940.7644000", \
+					  "7.3689588, 7.5575493, 8.6871292, 15.6693660, 58.5173580, 321.8559400, 1939.5600000", \
+					  "7.3869137, 7.5697342, 8.6824334, 15.6918830, 58.5228190, 321.1978000, 1938.7369000", \
+					  "7.3787478, 7.5630968, 8.7039176, 15.6774180, 58.4960700, 321.3089600, 1941.6968000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.9165230, 12.2416150, 14.4397410, 28.2405550, 113.4156400, 637.7800900, 3872.9950000", \
+					  "11.8783470, 12.2437790, 14.4427670, 28.2288860, 113.4976100, 637.9816600, 3872.9963000", \
+					  "11.8859000, 12.2289460, 14.4671610, 28.2304460, 113.4888800, 637.7689500, 3872.9381000", \
+					  "11.8653620, 12.2365710, 14.4408660, 28.1783430, 113.4786700, 638.0581700, 3872.6284000", \
+					  "11.8703810, 12.2268200, 14.4561650, 28.1698810, 113.4221500, 638.0528800, 3871.9345000", \
+					  "11.8673760, 12.2350140, 14.4435570, 28.1696440, 113.0510200, 637.3157900, 3871.5566000", \
+					  "11.8729260, 12.2211700, 14.4380990, 28.1862460, 113.4981000, 637.1572000, 3871.0241000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7199236, 1.7288538, 1.7794294, 2.0312536, 3.0073473, 5.6919078, 14.7472770", \
+					  "1.8524834, 1.8614116, 1.9119886, 2.1638003, 3.1390683, 5.8247513, 14.8807380", \
+					  "1.9497060, 1.9586281, 2.0092019, 2.2610218, 3.2370557, 5.9215672, 14.9769530", \
+					  "2.0308999, 2.0401714, 2.0907478, 2.3425812, 3.3181755, 6.0035954, 15.0581330", \
+					  "2.1012634, 2.1101167, 2.1606594, 2.4123366, 3.3882717, 6.0735950, 15.1292970", \
+					  "2.1697761, 2.1782727, 2.2291049, 2.4808613, 3.4563175, 6.1439458, 15.1968590", \
+					  "2.2331659, 2.2416786, 2.2931206, 2.5444589, 3.5214587, 6.2064869, 15.2625750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4434239, 0.4471207, 0.4768253, 0.6521141, 1.4608955, 3.3918028, 13.2035280", \
+					  "0.4434625, 0.4471351, 0.4768685, 0.6521253, 1.4630006, 3.3921630, 13.2170100", \
+					  "0.4434613, 0.4471322, 0.4768581, 0.6521238, 1.4610112, 3.3916896, 13.2047640", \
+					  "0.4443048, 0.4471056, 0.4767861, 0.6520995, 1.4592690, 3.3920222, 13.2171330", \
+					  "0.4445826, 0.4476586, 0.4779015, 0.6527163, 1.4627753, 3.3926397, 13.2146550", \
+					  "0.4423484, 0.4493805, 0.4743449, 0.6556404, 1.4587961, 3.3906415, 13.2262540", \
+					  "0.4453098, 0.4497931, 0.4763069, 0.6523998, 1.4632513, 3.3856031, 13.2056980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9282354, 2.9293528, 2.9293529, 2.9293532, 2.9293534, 2.9293536, 2.9293539", \
+					  "2.9688929, 2.9927019, 2.9927022, 2.9927024, 2.9927027, 2.9927029, 2.9927031", \
+					  "2.9997980, 3.0006098, 3.0006100, 3.0006103, 3.0006105, 3.0006108, 3.0006110", \
+					  "3.0348459, 3.0348460, 3.0348462, 3.0348465, 3.0348467, 3.0348470, 3.0348472", \
+					  "3.0330400, 3.0426006, 3.0426008, 3.0426010, 3.0426013, 3.0426015, 3.0426018", \
+					  "3.0417175, 3.0427478, 3.0427479, 3.0427481, 3.0427484, 3.0427486, 3.0427489", \
+					  "3.0374965, 3.0378526, 3.0378527, 3.0378529, 3.0378532, 3.0378534, 3.0378536");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6562652, 2.6592710, 2.6653528, 2.6653530, 2.6653532, 2.6653535, 2.7509068", \
+					  "2.7393241, 2.7495470, 2.7495472, 2.7495474, 2.7495477, 2.7495479, 2.7495481", \
+					  "2.7780216, 2.7780217, 2.7780220, 2.7780222, 2.7780225, 2.7780227, 2.7780229", \
+					  "2.7925338, 2.7936389, 2.7936391, 2.7936394, 2.7936396, 2.7936398, 2.7936401", \
+					  "2.7902884, 2.7902886, 2.7902889, 2.7902891, 2.7902893, 2.7902896, 2.7902898", \
+					  "2.7948228, 2.7948229, 2.7948231, 2.7948233, 2.7948236, 2.7948238, 2.7948241", \
+					  "2.7926743, 2.7926745, 2.7926747, 2.7926749, 2.7926752, 2.7926754, 2.7926757");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8428987, 1.8671586, 2.0152480, 2.9052813, 8.0116322, 16.2219620, 35.6784400", \
+					  "1.9118398, 1.9359161, 2.0839712, 2.9748641, 8.0789260, 16.2938050, 35.7447870", \
+					  "1.9380597, 1.9622932, 2.1103748, 3.0004563, 8.1056859, 16.3189070, 35.7680610", \
+					  "1.9500512, 1.9743005, 2.1223341, 3.0132419, 8.1171363, 16.3271920, 35.8038610", \
+					  "1.9546880, 1.9791229, 2.1272364, 3.0180840, 8.1226643, 16.3322940, 35.8042410", \
+					  "1.9544264, 1.9790238, 2.1270874, 3.0176601, 8.1222380, 16.3330880, 35.8088240", \
+					  "1.9507904, 1.9752122, 2.1232509, 3.0141327, 8.1145659, 16.3298090, 35.7979460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5083065, 1.5521144, 1.8299767, 3.5887660, 7.9236484, 10.2984470, 28.2117250", \
+					  "1.5077055, 1.5499899, 1.8299386, 3.5890244, 7.9229370, 10.3017430, 28.2160780", \
+					  "1.5036606, 1.5505105, 1.8301137, 3.5900161, 7.9235694, 10.2888670, 28.2027310", \
+					  "1.5041006, 1.5501235, 1.8300726, 3.5910963, 7.9230071, 10.3102910, 28.1937780", \
+					  "1.5050036, 1.5504347, 1.8308358, 3.5874874, 7.9223428, 10.3066540, 28.1984360", \
+					  "1.5066910, 1.5518701, 1.8302527, 3.5862815, 7.9237337, 10.3008740, 28.1919180", \
+					  "1.5063711, 1.5518933, 1.8304740, 3.5820698, 7.9215781, 10.3115740, 28.2270530");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5092288, 7.6968423, 8.8619485, 15.9368550, 59.0939240, 322.9114000, 1945.7968000", \
+					  "7.6462090, 7.8278317, 8.9871968, 16.0866480, 59.1393780, 322.4263000, 1945.3462000", \
+					  "7.7427149, 7.9343891, 9.0873717, 16.1915600, 59.2050750, 322.5975700, 1945.4412000", \
+					  "7.8206241, 8.0336777, 9.1610817, 16.2422320, 59.2122690, 322.8171900, 1945.8278000", \
+					  "7.9160714, 8.0928437, 9.2546468, 16.3091600, 59.3188500, 322.9077700, 1946.2493000", \
+					  "7.9515221, 8.1689899, 9.3036796, 16.3969920, 59.3640760, 323.1849600, 1946.0178000", \
+					  "8.0192475, 8.2353084, 9.3578976, 16.4397240, 59.3736370, 323.2155200, 1947.3198000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6965320, 12.0630060, 14.2834500, 28.0835180, 113.3125300, 639.1290400, 3887.3545000", \
+					  "11.7085990, 12.0648220, 14.2838420, 28.1070120, 113.6187500, 639.7813800, 3885.5187000", \
+					  "11.6876400, 12.0415690, 14.2800150, 28.0923590, 113.6942600, 639.6752500, 3885.4769000", \
+					  "11.6847610, 12.0489460, 14.2591720, 28.0236940, 113.3746700, 640.3765300, 3885.0008000", \
+					  "11.6987760, 12.0620300, 14.2926600, 28.0239260, 113.3964700, 639.8598800, 3885.3377000", \
+					  "11.6810600, 12.0614350, 14.2798720, 28.0577360, 113.2823800, 640.1119800, 3885.4619000", \
+					  "11.6696200, 12.0579060, 14.2764920, 28.0311530, 113.2355800, 640.1440400, 3885.4191000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9283849, 2.9297220, 2.9297222, 2.9297225, 2.9297227, 2.9297230, 2.9297232", \
+					  "2.9785623, 2.9930971, 2.9930972, 2.9930975, 2.9930977, 2.9930980, 2.9930982", \
+					  "2.9987295, 3.0006124, 3.0006127, 3.0006129, 3.0006131, 3.0006134, 3.0006136", \
+					  "3.0348450, 3.0366021, 3.0366022, 3.0366024, 3.0366027, 3.0366029, 3.0366031", \
+					  "3.0357974, 3.0386860, 3.0386862, 3.0386864, 3.0386867, 3.0386869, 3.0386872", \
+					  "3.0419387, 3.0435767, 3.0435769, 3.0435771, 3.0435774, 3.0435776, 3.0435778", \
+					  "3.0372842, 3.0397482, 3.0397484, 3.0397486, 3.0397488, 3.0397491, 3.0397493");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3518359, 1.3543897, 1.3551054, 1.3551055, 1.3551056, 1.3568551, 1.6992309", \
+					  "1.4100212, 1.4106245, 1.4166520, 1.4166521, 1.4166522, 1.4166523, 1.6590612", \
+					  "1.4322834, 1.4396818, 1.4396819, 1.4396820, 1.4396821, 1.4396822, 1.6362868", \
+					  "1.4465673, 1.4474062, 1.4474063, 1.4474302, 1.4474304, 1.4474305, 1.6204100", \
+					  "1.4458142, 1.4479912, 1.4479914, 1.4479915, 1.4479916, 1.4479917, 1.6135064", \
+					  "1.4455422, 1.4464749, 1.4492013, 1.4492015, 1.4492016, 1.4492017, 1.6055702", \
+					  "1.4373651, 1.4394499, 1.4424950, 1.4424951, 1.4424952, 1.4424953, 1.5956583");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9792721, 2.0041178, 2.1546143, 3.0764240, 7.5600539, 27.1791140, 67.5328170", \
+					  "2.1126789, 2.1373001, 2.2874584, 3.2062735, 7.6925302, 27.3120710, 67.6528420", \
+					  "2.2096258, 2.2347730, 2.3859155, 3.3058412, 7.7898141, 27.4061110, 67.7637930", \
+					  "2.2919582, 2.3162980, 2.4673896, 3.3873084, 7.8713391, 27.4887540, 67.8319050", \
+					  "2.3624425, 2.3861708, 2.5367128, 3.4556513, 7.9413685, 27.5604390, 67.9251710", \
+					  "2.4299982, 2.4549140, 2.6061919, 3.5284242, 8.0098310, 27.6293710, 67.9763520", \
+					  "2.4949254, 2.5191578, 2.6697309, 3.5927570, 8.0722753, 27.6918510, 68.0427950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5228059, 1.5686933, 1.8521636, 3.2730267, 8.5847365, 31.0370960, 38.0546790", \
+					  "1.5230422, 1.5676203, 1.8516235, 3.2718241, 8.5702165, 31.0614790, 38.0302230", \
+					  "1.5216078, 1.5690464, 1.8534412, 3.2726977, 8.5701561, 31.0444650, 38.0423800", \
+					  "1.5227921, 1.5691737, 1.8533787, 3.2727510, 8.5706935, 31.0424540, 38.0133290", \
+					  "1.5220201, 1.5697805, 1.8531013, 3.2726837, 8.5681338, 31.0715540, 38.1555270", \
+					  "1.5217674, 1.5674743, 1.8516658, 3.2708458, 8.5678478, 31.0734940, 38.1091890", \
+					  "1.5186769, 1.5652161, 1.8528872, 3.2740508, 8.5681160, 31.0657240, 38.1096400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3547160, 1.3547161, 1.3547162, 1.3616683, 1.3616685, 1.3616686, 1.7052170", \
+					  "1.4104934, 1.4148771, 1.4148772, 1.4148773, 1.4191833, 1.4191834, 1.6711692", \
+					  "1.4333759, 1.4333761, 1.4333762, 1.4338591, 1.4338592, 1.4350321, 1.6135340", \
+					  "1.4469056, 1.4474562, 1.4474564, 1.4474911, 1.4474912, 1.4474913, 1.6205575", \
+					  "1.4460632, 1.4474666, 1.4477277, 1.4477278, 1.4477279, 1.4477280, 1.6133747", \
+					  "1.4455733, 1.4466098, 1.4466100, 1.4466101, 1.4466102, 1.4466103, 1.6056566", \
+					  "1.4374670, 1.4399582, 1.4399583, 1.4399584, 1.4451937, 1.4451938, 1.5955014");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7054771, 1.7140145, 1.7653137, 2.0199660, 3.0794352, 8.6146228, 36.1075260", \
+					  "1.8371873, 1.8464557, 1.8976709, 2.1524438, 3.2107621, 8.7471559, 36.2428450", \
+					  "1.9351778, 1.9434083, 1.9944897, 2.2496511, 3.3092322, 8.8444390, 36.3414260", \
+					  "2.0160731, 2.0249140, 2.0767117, 2.3311635, 3.3907925, 8.9257304, 36.4146790", \
+					  "2.0866111, 2.0949027, 2.1464255, 2.4012270, 3.4607128, 8.9962775, 36.4930950", \
+					  "2.1549473, 2.1630655, 2.2134610, 2.4692248, 3.5290253, 9.0624521, 36.5317870", \
+					  "2.2183717, 2.2277900, 2.2787860, 2.5341329, 3.5921679, 9.1292325, 36.6241540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4478750, 0.4515369, 0.4793512, 0.6618651, 1.7590037, 8.6974801, 30.0345100", \
+					  "0.4496461, 0.4542076, 0.4796487, 0.6606012, 1.7578273, 8.6953822, 30.0289390", \
+					  "0.4502797, 0.4534930, 0.4826016, 0.6581288, 1.7593120, 8.7050260, 30.0342540", \
+					  "0.4495993, 0.4532143, 0.4823387, 0.6606048, 1.7583158, 8.7059870, 30.0626370", \
+					  "0.4485374, 0.4523020, 0.4818360, 0.6616530, 1.7590445, 8.6972438, 30.0371530", \
+					  "0.4501192, 0.4549756, 0.4800543, 0.6621706, 1.7591915, 8.7013401, 30.0328150", \
+					  "0.4478650, 0.4516225, 0.4804005, 0.6610159, 1.7604326, 8.7029042, 30.0683320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1206379, 7.3064070, 8.4274649, 15.2998450, 57.8581800, 320.4129100, 1938.0243000", \
+					  "7.2534285, 7.4329569, 8.5676293, 15.4685700, 57.9983670, 320.5367100, 1938.1330000", \
+					  "7.3502466, 7.5341129, 8.6649576, 15.5748550, 58.0932640, 320.6205300, 1938.7878000", \
+					  "7.4387017, 7.6142275, 8.7405336, 15.6570690, 58.2430530, 320.5424300, 1938.3353000", \
+					  "7.5102351, 7.6929085, 8.7970262, 15.7031380, 58.2539670, 320.6653500, 1939.0075000", \
+					  "7.5783575, 7.7425923, 8.8696650, 15.7660660, 58.3255830, 321.3431800, 1938.4800000", \
+					  "7.6219111, 7.8266202, 8.9388861, 15.8409050, 58.3719450, 320.7463500, 1938.0786000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8752830, 12.2283560, 14.4654910, 28.1885470, 113.5050700, 638.0868700, 3873.2937000", \
+					  "11.8767270, 12.2172330, 14.4543180, 28.1684360, 113.4465700, 638.0560600, 3873.2196000", \
+					  "11.8752750, 12.2335630, 14.4553610, 28.1363360, 113.4582600, 638.0444100, 3872.4634000", \
+					  "11.8757460, 12.2373610, 14.4527050, 28.1635250, 113.4841600, 637.7969700, 3873.2742000", \
+					  "11.8715490, 12.2329960, 14.4596060, 28.1746320, 113.0985800, 637.8261300, 3872.3792000", \
+					  "11.8714020, 12.2322760, 14.4603130, 28.1876820, 113.4253300, 638.1328600, 3874.2369000", \
+					  "11.8596380, 12.2330990, 14.4599990, 28.2024870, 113.5172200, 637.4164600, 3872.6866000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8866737, 1.8957869, 1.9464090, 2.1979931, 3.1741350, 5.8604743, 14.9163930", \
+					  "2.0186417, 2.0277191, 2.0785513, 2.3287958, 3.3065035, 5.9914016, 15.0472830", \
+					  "2.1169677, 2.1254136, 2.1740607, 2.4281577, 3.4036263, 6.0897452, 15.1442010", \
+					  "2.1971631, 2.2053892, 2.2569244, 2.5085549, 3.4846217, 6.1692234, 15.2262430", \
+					  "2.2680322, 2.2775291, 2.3274693, 2.5772193, 3.5559309, 6.2388903, 15.2948680", \
+					  "2.3270994, 2.3426840, 2.3972171, 2.6481835, 3.6241576, 6.3110210, 15.3666220", \
+					  "2.4012472, 2.4097893, 2.4614566, 2.7134017, 3.6887786, 6.3752177, 15.4274980");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4467424, 0.4486350, 0.4780455, 0.6530549, 1.4637396, 3.3915093, 13.2257580", \
+					  "0.4469194, 0.4505578, 0.4781011, 0.6529785, 1.4636555, 3.3913536, 13.2084710", \
+					  "0.4465281, 0.4486352, 0.4779900, 0.6525352, 1.4638538, 3.3921763, 13.2027590", \
+					  "0.4470413, 0.4506338, 0.4780810, 0.6525356, 1.4601116, 3.3852516, 13.2065990", \
+					  "0.4456029, 0.4494007, 0.4780068, 0.6522473, 1.4606205, 3.3923608, 13.1993400", \
+					  "0.4450494, 0.4484834, 0.4774686, 0.6550437, 1.4612909, 3.3862408, 13.2130790", \
+					  "0.4445003, 0.4506218, 0.4762337, 0.6561311, 1.4631125, 3.3884289, 13.2102040");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0838309, 7.2551862, 8.3791738, 15.3087340, 57.8124040, 320.4640400, 1938.2358000", \
+					  "7.1537807, 7.3412716, 8.4568397, 15.3726810, 57.8372120, 320.4120300, 1937.8513000", \
+					  "7.1814190, 7.3619573, 8.4907557, 15.4031880, 57.8814120, 320.4235700, 1939.3863000", \
+					  "7.1927886, 7.3743012, 8.4925649, 15.4142960, 57.9276610, 320.4272900, 1938.7858000", \
+					  "7.1885631, 7.3662600, 8.5080432, 15.3966550, 58.1143170, 320.4220900, 1940.2571000", \
+					  "7.1879609, 7.3844797, 8.5007095, 15.3770330, 57.9218560, 320.2598600, 1940.3476000", \
+					  "7.1974817, 7.3674715, 8.4951548, 15.4003710, 57.9260370, 320.3103600, 1940.6668000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8770050, 12.2320150, 14.4479890, 28.1456710, 113.4509100, 638.1633900, 3874.8053000", \
+					  "11.8534440, 12.2345700, 14.4470200, 28.1916110, 113.2649400, 637.9935900, 3873.2464000", \
+					  "11.8494790, 12.2362980, 14.4528660, 28.1610700, 113.4554400, 637.5353200, 3876.3351000", \
+					  "11.8587930, 12.2326220, 14.4642660, 28.1676070, 113.4869200, 637.5350900, 3873.8422000", \
+					  "11.8657640, 12.2326780, 14.4343950, 28.1875220, 113.3721000, 637.5284400, 3871.8308000", \
+					  "11.8667050, 12.2361170, 14.4374370, 28.1706230, 113.4988400, 637.4907000, 3871.3601000", \
+					  "11.8733650, 12.2212140, 14.4504660, 28.1981830, 113.4854100, 637.4552300, 3873.3215000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2269457, 7.4336461, 8.5349070, 15.4616000, 58.2451140, 321.3184000, 1944.2032000", \
+					  "7.3597448, 7.5666877, 8.6675485, 15.5936770, 58.3789190, 321.8119400, 1944.5060000", \
+					  "7.4568431, 7.6638500, 8.7647267, 15.6913110, 58.4790280, 321.6391600, 1944.6038000", \
+					  "7.5386435, 7.7449673, 8.8451415, 15.7574130, 58.5572870, 322.2971300, 1944.5586000", \
+					  "7.6087847, 7.8162419, 8.9164116, 15.8441190, 58.6258050, 321.9462000, 1948.0251000", \
+					  "7.6769595, 7.8868494, 8.9838146, 15.9119310, 58.7069490, 322.4770600, 1944.5449000", \
+					  "7.7413222, 7.9206890, 9.0610417, 15.9779640, 58.6352510, 322.2714300, 1948.0592000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7012680, 12.0638210, 14.2952090, 28.0585300, 113.6685300, 640.0939600, 3887.7183000", \
+					  "11.7015170, 12.0632770, 14.2925730, 28.0338210, 113.6659900, 639.7801300, 3886.7420000", \
+					  "11.7014110, 12.0633410, 14.2946990, 28.0578030, 113.6603600, 640.2045800, 3885.0012000", \
+					  "11.7016050, 12.0636200, 14.2947040, 28.0068110, 113.6670300, 639.1861100, 3885.1699000", \
+					  "11.6968360, 12.0633090, 14.2934110, 28.0293990, 113.6692200, 639.8870500, 3889.0857000", \
+					  "11.6944480, 12.0585460, 14.2812990, 28.0315540, 113.6418400, 639.9581000, 3886.9862000", \
+					  "11.7019460, 12.0598660, 14.2900280, 28.0783540, 113.2752700, 639.8689700, 3885.4218000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3994554, 1.4011694, 1.4011695, 1.4011696, 1.4011698, 1.4011699, 1.5692339", \
+					  "1.4505324, 1.4592050, 1.4592051, 1.4592053, 1.4651707, 1.4651709, 1.6123404", \
+					  "1.4948344, 1.4948345, 1.5023681, 1.5023682, 1.5023683, 1.5023684, 1.6080125", \
+					  "1.4854111, 1.4854112, 1.4927849, 1.4948034, 1.4948035, 1.5077839, 1.6529590", \
+					  "1.4929082, 1.4929083, 1.4929085, 1.4939154, 1.4939155, 1.4966625, 1.6244973", \
+					  "1.4985718, 1.4985719, 1.4985720, 1.4985721, 1.4985722, 1.4985723, 1.6171925", \
+					  "1.4880454, 1.4880456, 1.4956313, 1.4993189, 1.4993191, 1.5014865, 1.6281705");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6681353, 2.6681356, 2.6681358, 2.6681361, 2.6681363, 2.6681365, 2.6681368", \
+					  "2.7478437, 2.7513638, 2.7513639, 2.7513642, 2.7513644, 2.7513646, 2.7513649", \
+					  "2.7782971, 2.7782974, 2.7782976, 2.7782978, 2.7782981, 2.7782983, 2.7782985", \
+					  "2.7924627, 2.7938805, 2.7938806, 2.7938809, 2.7938811, 2.7938813, 2.7938816", \
+					  "2.7907332, 2.7913576, 2.7913577, 2.7913579, 2.7913582, 2.7913584, 2.7913586", \
+					  "2.7949205, 2.7952676, 2.7952678, 2.7952680, 2.7952682, 2.7952685, 2.7952687", \
+					  "2.7927223, 2.7928095, 2.7928097, 2.7928099, 2.7928101, 2.7928104, 2.7928106");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894926, 1.9137809, 2.0618096, 2.9532228, 8.0765679, 16.3167360, 35.7604580", \
+					  "2.0213364, 2.0453632, 2.1943036, 3.0837555, 8.2076603, 16.4471070, 35.9018170", \
+					  "2.1177287, 2.1401407, 2.2917375, 3.1788590, 8.3046459, 16.5409390, 35.9993140", \
+					  "2.2010258, 2.2243838, 2.3708611, 3.2625087, 8.3854967, 16.6228170, 36.0841420", \
+					  "2.2710844, 2.2955509, 2.4432194, 3.3335574, 8.4536582, 16.6900430, 36.1611720", \
+					  "2.3390858, 2.3573203, 2.5070988, 3.4017755, 8.5174738, 16.7571040, 36.2217990", \
+					  "2.3982156, 2.4269955, 2.5760993, 3.4672925, 8.5852365, 16.8181950, 36.2850760");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5042783, 1.5485407, 1.8287769, 3.5681633, 7.9614058, 10.2820990, 28.2121080", \
+					  "1.5043786, 1.5459898, 1.8297162, 3.5718868, 7.9641138, 10.2844120, 28.2090580", \
+					  "1.5018097, 1.5461721, 1.8296002, 3.5683423, 7.9624343, 10.3021180, 28.2091430", \
+					  "1.5015107, 1.5469606, 1.8295969, 3.5728600, 7.9632381, 10.3079230, 28.2066170", \
+					  "1.5036651, 1.5484023, 1.8291695, 3.5738470, 7.9674276, 10.3182010, 28.2166200", \
+					  "1.5040039, 1.5483198, 1.8283899, 3.5766072, 7.9773823, 10.3146530, 28.2056800", \
+					  "1.5014039, 1.5485031, 1.8293788, 3.5716026, 7.9656459, 10.3097550, 28.2068980");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5941954, 1.6040964, 1.6114887, 1.6114888, 1.6114889, 1.6125603, 1.7702370", \
+					  "1.6562560, 1.6591053, 1.6591054, 1.6832475, 1.6832476, 1.6832911, 1.7745634", \
+					  "1.6978549, 1.6980748, 1.6980749, 1.6980750, 1.6980752, 1.6980753, 1.8011639", \
+					  "1.6915073, 1.7089637, 1.7089639, 1.7089640, 1.7089641, 1.7102950, 1.8005750", \
+					  "1.6945962, 1.6994803, 1.7094760, 1.7108189, 1.7146264, 1.7201107, 1.8400695", \
+					  "1.7100840, 1.7100841, 1.7100842, 1.7159807, 1.7159808, 1.7159809, 1.8120365", \
+					  "1.7134221, 1.7134222, 1.7134223, 1.7134224, 1.7134225, 1.7161028, 1.8109327");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.0839579, 7.2556645, 8.3791818, 15.2945280, 57.8007690, 320.3049900, 1937.9920000", \
+					  "7.1518929, 7.3416255, 8.4586027, 15.3593460, 57.8441720, 320.3759600, 1937.8954000", \
+					  "7.1681598, 7.3620465, 8.4809635, 15.3996570, 57.9035660, 320.6544900, 1940.5823000", \
+					  "7.1950410, 7.3766775, 8.4961131, 15.3792930, 57.9028520, 320.5082900, 1939.3240000", \
+					  "7.1884960, 7.3856281, 8.5059151, 15.3939370, 57.8837600, 320.7354700, 1937.9675000", \
+					  "7.2017525, 7.3754509, 8.5006446, 15.3823620, 57.9221720, 320.2408400, 1938.2812000", \
+					  "7.1981243, 7.3671380, 8.4893870, 15.4089700, 57.9157950, 320.4249300, 1940.1343000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8768240, 12.2320910, 14.4406260, 28.1991440, 113.3493200, 638.0430500, 3873.6148000", \
+					  "11.8599810, 12.2351180, 14.4420200, 28.1949610, 113.2808000, 637.8896300, 3873.0132000", \
+					  "11.8723650, 12.2364020, 14.4551620, 28.1899550, 113.4364000, 637.9916000, 3873.9786000", \
+					  "11.8674850, 12.2022220, 14.4641210, 28.1882060, 113.3611100, 638.0656100, 3876.2978000", \
+					  "11.8676790, 12.2350250, 14.4435470, 28.1887740, 113.2436400, 637.7765100, 3873.2269000", \
+					  "11.8734050, 12.2111870, 14.4456020, 28.1592580, 113.4954000, 637.3322800, 3874.7353000", \
+					  "11.8741600, 12.2218430, 14.4661800, 28.1998050, 113.4935700, 638.0680000, 3873.5666000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2271167, 7.4336088, 8.5340446, 15.4618130, 58.2460590, 321.3066300, 1944.2377000", \
+					  "7.3599140, 7.5657356, 8.6675778, 15.5944400, 58.3797190, 321.5148800, 1944.9701000", \
+					  "7.4569906, 7.6637248, 8.7647782, 15.6917930, 58.4789140, 321.6100900, 1944.6049000", \
+					  "7.5640392, 7.7339477, 8.8450944, 15.7735280, 58.5582570, 321.7643900, 1944.6018000", \
+					  "7.6089215, 7.8148057, 8.9163930, 15.8449430, 58.6090860, 322.4097000, 1947.4730000", \
+					  "7.6769071, 7.8868556, 8.9839629, 15.9121800, 58.7069910, 322.4766700, 1947.3075000", \
+					  "7.7437878, 7.9232905, 9.0436938, 15.9679450, 58.6265510, 322.5797500, 1944.2007000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7014420, 12.0638660, 14.2961510, 28.0603400, 113.6688800, 640.0716200, 3887.7274000", \
+					  "11.7013070, 12.0651430, 14.2948960, 28.0594480, 113.6665300, 640.1725200, 3885.7297000", \
+					  "11.7016140, 12.0633710, 14.2948140, 28.0584640, 113.6627100, 640.1704100, 3884.1690000", \
+					  "11.6992800, 12.0618750, 14.2950180, 28.0569490, 113.6671800, 640.2641500, 3885.0148000", \
+					  "11.6979520, 12.0647960, 14.2935730, 28.0283280, 113.6930200, 639.5864000, 3887.8698000", \
+					  "11.6936940, 12.0586330, 14.2820830, 28.0326140, 113.6436700, 639.9535600, 3883.6356000", \
+					  "11.6952640, 12.0615700, 14.2702980, 28.0715420, 113.2476500, 639.6747900, 3886.2026000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8400601, 1.8643702, 2.0123880, 2.9023215, 8.0251006, 16.2613570, 35.7244720", \
+					  "1.9092115, 1.9337418, 2.0813924, 2.9717799, 8.0942497, 16.3320680, 35.7912630", \
+					  "1.9347173, 1.9594153, 2.1076474, 2.9975495, 8.1222309, 16.3546850, 35.8222040", \
+					  "1.9473454, 1.9716799, 2.1194830, 3.0100429, 8.1323419, 16.3753600, 35.8344540", \
+					  "1.9522601, 1.9764037, 2.1246473, 3.0156067, 8.1371594, 16.3714930, 35.8526930", \
+					  "1.9516009, 1.9762654, 2.1241517, 3.0149527, 8.1388150, 16.3708420, 35.8479080", \
+					  "1.9482886, 1.9724089, 2.1203437, 3.0115046, 8.1333225, 16.3678080, 35.8309040");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5072028, 1.5482461, 1.8290849, 3.5880905, 7.9624254, 10.3141720, 28.2269690", \
+					  "1.5057595, 1.5504046, 1.8291231, 3.5906074, 7.9632008, 10.3115340, 28.2086640", \
+					  "1.5056427, 1.5502567, 1.8292146, 3.5900032, 7.9649451, 10.3117350, 28.2054750", \
+					  "1.5060350, 1.5491697, 1.8291103, 3.5903476, 7.9637141, 10.2849720, 28.2067220", \
+					  "1.5035634, 1.5495811, 1.8298276, 3.5869319, 7.9641316, 10.3126230, 28.2102430", \
+					  "1.5041550, 1.5496505, 1.8293267, 3.5817340, 7.9617077, 10.3102400, 28.1964870", \
+					  "1.5061377, 1.5511032, 1.8299093, 3.5839594, 7.9636431, 10.3177680, 28.2315770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3850969, 1.3872126, 1.3954852, 1.3954853, 1.3954854, 1.3954855, 1.5682059", \
+					  "1.4545365, 1.4612395, 1.4612396, 1.4612397, 1.4612398, 1.4612399, 1.5846344", \
+					  "1.4891411, 1.4891412, 1.4891413, 1.4891415, 1.4891416, 1.4891417, 1.5931839", \
+					  "1.4708849, 1.4890043, 1.4890044, 1.4890045, 1.4890046, 1.4904514, 1.5938455", \
+					  "1.4876802, 1.4876803, 1.4890833, 1.4890834, 1.4890835, 1.4936538, 1.5931330", \
+					  "1.4931674, 1.4931675, 1.4931676, 1.4931677, 1.4931679, 1.4960528, 1.5895882", \
+					  "1.4677515, 1.4709978, 1.4709979, 1.4709980, 1.4748638, 1.4853515, 1.5706415");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8274708, 1.8409865, 1.9130282, 2.1781479, 3.1012464, 7.6770963, 25.6200870", \
+					  "1.8968342, 1.9102271, 1.9820510, 2.2473872, 3.1703198, 7.7489632, 25.7096320", \
+					  "1.9229343, 1.9363156, 2.0083191, 2.2734108, 3.1973284, 7.7748199, 25.7231040", \
+					  "1.9348902, 1.9484105, 2.0201767, 2.2852173, 3.2093435, 7.7880591, 25.7404840", \
+					  "1.9394752, 1.9530388, 2.0248916, 2.2898825, 3.2138932, 7.7908089, 25.7471150", \
+					  "1.9395601, 1.9529081, 2.0247160, 2.2898980, 3.2139316, 7.7911784, 25.7557050", \
+					  "1.9357747, 1.9488859, 2.0210702, 2.2861602, 3.2102170, 7.7865829, 25.7398870");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7593118, 0.7619307, 0.7713578, 0.7585229, 1.7324295, 7.0719057, 27.2780020", \
+					  "0.7592811, 0.7616159, 0.7713762, 0.7583367, 1.7335271, 7.0785005, 27.3503870", \
+					  "0.7594070, 0.7615411, 0.7717386, 0.7580623, 1.7326707, 7.0824879, 27.3142910", \
+					  "0.7594799, 0.7621507, 0.7717099, 0.7591710, 1.7359982, 7.0805073, 27.3469830", \
+					  "0.7595045, 0.7615576, 0.7718374, 0.7592849, 1.7351108, 7.0815700, 27.3454020", \
+					  "0.7595248, 0.7617673, 0.7720940, 0.7590071, 1.7351610, 7.0816188, 27.3548220", \
+					  "0.7593266, 0.7613738, 0.7713462, 0.7600980, 1.7380672, 7.0776194, 27.3381350");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.7355442, 1.7441285, 1.7952611, 2.0490678, 3.0249399, 5.7012088, 14.7540050", \
+					  "1.8682551, 1.8767996, 1.9277717, 2.1817628, 3.1574567, 5.8334626, 14.8866510", \
+					  "1.9654065, 1.9738611, 2.0249875, 2.2788984, 3.2547658, 5.9308660, 14.9837670", \
+					  "2.0469386, 2.0555315, 2.1066815, 2.3605955, 3.3364156, 6.0121832, 15.0652720", \
+					  "2.1169959, 2.1256030, 2.1765902, 2.4303226, 3.4065704, 6.0828165, 15.1343510", \
+					  "2.1846736, 2.1933746, 2.2452229, 2.4983819, 3.4741447, 6.1522289, 15.2034810", \
+					  "2.2484696, 2.2569067, 2.3081124, 2.5616211, 3.5376664, 6.2171512, 15.2678940");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4246750, 0.4293011, 0.4574120, 0.6419931, 1.4489108, 3.3851526, 13.2040900", \
+					  "0.4226850, 0.4291386, 0.4579237, 0.6388554, 1.4486161, 3.3852559, 13.2098000", \
+					  "0.4246624, 0.4293043, 0.4576721, 0.6419737, 1.4488420, 3.3850176, 13.1993700", \
+					  "0.4246673, 0.4293286, 0.4573892, 0.6389018, 1.4489894, 3.3849958, 13.2079570", \
+					  "0.4246786, 0.4293101, 0.4580184, 0.6398025, 1.4499097, 3.3864624, 13.2261940", \
+					  "0.4246526, 0.4288951, 0.4580379, 0.6418468, 1.4487034, 3.3821659, 13.2257160", \
+					  "0.4247489, 0.4292313, 0.4594527, 0.6397672, 1.4535693, 3.3815437, 13.2101760");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4123067, 1.4176776, 1.4176778, 1.4176779, 1.4176780, 1.4181054, 1.6037869", \
+					  "1.4878764, 1.4878765, 1.4878766, 1.4878768, 1.4878769, 1.4878770, 1.6281089", \
+					  "1.5128780, 1.5132051, 1.5179694, 1.5179695, 1.5179696, 1.5179697, 1.6373245", \
+					  "1.5301084, 1.5301086, 1.5301087, 1.5301088, 1.5301089, 1.5301090, 1.6454246", \
+					  "1.5123722, 1.5174088, 1.5174090, 1.5180158, 1.5180159, 1.5180160, 1.6338737", \
+					  "1.5113256, 1.5154579, 1.5166773, 1.5166774, 1.5166775, 1.5192753, 1.6276439", \
+					  "1.5159265, 1.5159266, 1.5159267, 1.5159268, 1.5181719, 1.5206931, 1.6289254");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4028001, 1.4028002, 1.4028003, 1.4028004, 1.4028006, 1.4028007, 1.6001241", \
+					  "1.4524848, 1.4723761, 1.4723762, 1.4723763, 1.4723765, 1.4723766, 1.6285702", \
+					  "1.4894364, 1.4894365, 1.4894366, 1.4894367, 1.4894369, 1.4973013, 1.5794581", \
+					  "1.5124797, 1.5124798, 1.5139009, 1.5139010, 1.5139011, 1.5139012, 1.6011447", \
+					  "1.4934145, 1.4934146, 1.4934148, 1.4934149, 1.4934150, 1.4982064, 1.6241719", \
+					  "1.4938885, 1.4938886, 1.4947589, 1.4986498, 1.4986499, 1.4986501, 1.6336346", \
+					  "1.4860824, 1.4860825, 1.4878735, 1.4878737, 1.4990217, 1.5013327, 1.6118752");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6754130, 2.6754131, 2.6754133, 2.6754135, 2.6754138, 2.6754140, 2.7465512", \
+					  "2.7465934, 2.7521321, 2.7521323, 2.7521326, 2.7521328, 2.7521331, 2.7521333", \
+					  "2.7800995, 2.7822782, 2.7822785, 2.7822787, 2.7822789, 2.7822792, 2.7822794", \
+					  "2.7925797, 2.7943938, 2.7943939, 2.7943942, 2.7943944, 2.7943947, 2.7943949", \
+					  "2.7914455, 2.7942899, 2.7942900, 2.7942902, 2.7942905, 2.7942907, 2.7942910", \
+					  "2.7949787, 2.7967750, 2.7967753, 2.7967755, 2.7967757, 2.7967760, 2.7967762", \
+					  "2.7925235, 2.7925236, 2.7925238, 2.7925240, 2.7925243, 2.7925245, 2.7925247");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8623585, 1.8761959, 1.9497237, 2.2181137, 3.1353073, 7.7095059, 25.6712160", \
+					  "1.9928281, 2.0081852, 2.0813320, 2.3500808, 3.2667486, 7.8417139, 25.8035030", \
+					  "2.0920223, 2.1058510, 2.1750095, 2.4475670, 3.3656702, 7.9405309, 25.9004780", \
+					  "2.1718589, 2.1866395, 2.2601381, 2.5249991, 3.4456373, 8.0206570, 25.9742340", \
+					  "2.2415119, 2.2580293, 2.3301059, 2.5983065, 3.5130976, 8.0890690, 26.0541190", \
+					  "2.3122599, 2.3258726, 2.3999089, 2.6665672, 3.5860284, 8.1613587, 26.1185260", \
+					  "2.3766238, 2.3855944, 2.4557492, 2.7324942, 3.6464283, 8.2254452, 26.1851940");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784656, 0.7816162, 0.7932876, 0.7838810, 1.7364897, 7.0819226, 27.3430810", \
+					  "0.7781895, 0.7810957, 0.7932760, 0.7854158, 1.7379602, 7.0881510, 27.3432570", \
+					  "0.7781859, 0.7812400, 0.7931102, 0.7854139, 1.7375859, 7.0866131, 27.3511080", \
+					  "0.7782988, 0.7812493, 0.7931123, 0.7854823, 1.7381943, 7.0865762, 27.3452050", \
+					  "0.7782638, 0.7810346, 0.7933168, 0.7851626, 1.7377156, 7.0867361, 27.3395670", \
+					  "0.7784592, 0.7811058, 0.7931052, 0.7854661, 1.7372266, 7.0829325, 27.3512910", \
+					  "0.7785505, 0.7811039, 0.7931851, 0.7835839, 1.7382267, 7.0855877, 27.3519150");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3733402, 7.5581710, 8.6814431, 15.6051850, 58.4345800, 322.1715900, 1944.6456000", \
+					  "7.5087785, 7.6881141, 8.8122416, 15.7356050, 58.5568150, 321.9790600, 1945.0049000", \
+					  "7.6112139, 7.7838669, 8.9109520, 15.8316100, 58.6613850, 322.3887000, 1944.5492000", \
+					  "7.6850144, 7.8654239, 8.9981116, 15.9160490, 58.7278240, 322.1109900, 1945.0274000", \
+					  "7.7562117, 7.9394491, 9.0770333, 15.9978380, 58.7902810, 322.5595700, 1944.5695000", \
+					  "7.8235045, 8.0082163, 9.1290673, 16.0586480, 58.8554800, 322.3036600, 1945.1495000", \
+					  "7.8839797, 8.0723721, 9.1970422, 16.1085990, 58.9196070, 322.6179500, 1945.4151000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6978880, 12.0643770, 14.2959730, 28.0475620, 113.5717000, 640.0254600, 3886.6533000", \
+					  "11.7036480, 12.0603810, 14.2960120, 28.0453280, 113.6065100, 639.8369100, 3886.4114000", \
+					  "11.6922390, 12.0543090, 14.2958080, 28.0640510, 113.5725600, 640.0759400, 3886.1372000", \
+					  "11.7020110, 12.0555960, 14.2837700, 28.0781570, 113.6314000, 640.4386000, 3885.0762000", \
+					  "11.6945720, 12.0631080, 14.2939210, 28.0595350, 113.6492800, 639.6000500, 3885.6924000", \
+					  "11.6981150, 12.0510500, 14.2964370, 28.0372760, 113.6579300, 640.2604500, 3886.3218000", \
+					  "11.7011430, 12.0572610, 14.2972660, 28.0323730, 113.6595300, 639.0889100, 3886.6069000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9284046, 2.9296925, 2.9296927, 2.9296929, 2.9296932, 2.9296934, 2.9296936", \
+					  "2.9762457, 3.0018363, 3.0018365, 3.0018367, 3.0018369, 3.0018372, 3.0018374", \
+					  "2.9986154, 2.9993785, 2.9993786, 2.9993789, 2.9993791, 2.9993793, 2.9993796", \
+					  "3.0352802, 3.0366354, 3.0366356, 3.0366358, 3.0366360, 3.0366363, 3.0366365", \
+					  "3.0357938, 3.0385219, 3.0385222, 3.0385224, 3.0385227, 3.0385229, 3.0385231", \
+					  "3.0419192, 3.0436569, 3.0436570, 3.0436572, 3.0436575, 3.0436577, 3.0436579", \
+					  "3.0373930, 3.0399547, 3.0399548, 3.0399551, 3.0399553, 3.0399555, 3.0399558");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3587638, 1.3587639, 1.3587640, 1.3587642, 1.3587643, 1.3592582, 1.6960786", \
+					  "1.4105873, 1.4110237, 1.4133172, 1.4133173, 1.4133174, 1.4188111, 1.6357113", \
+					  "1.4303650, 1.4340569, 1.4340570, 1.4403981, 1.4403982, 1.4403983, 1.6357725", \
+					  "1.4411140, 1.4415884, 1.4415885, 1.4415886, 1.4415887, 1.4415889, 1.6048387", \
+					  "1.4445535, 1.4462982, 1.4462984, 1.4462985, 1.4462986, 1.4462987, 1.6102679", \
+					  "1.4439197, 1.4492145, 1.4492146, 1.4492147, 1.4492148, 1.4492149, 1.6043983", \
+					  "1.4362851, 1.4372418, 1.4372419, 1.4435284, 1.4435285, 1.4468315, 1.6002608");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1490074, 2.1731823, 2.3245095, 3.2442644, 7.7286515, 27.3478090, 67.6887170", \
+					  "2.2809290, 2.3051481, 2.4564331, 3.3760486, 7.8603790, 27.4768670, 67.8384280", \
+					  "2.3786439, 2.4025792, 2.5543483, 3.4699008, 7.9574320, 27.5770000, 67.9169080", \
+					  "2.4548368, 2.4830911, 2.6339149, 3.5544541, 8.0383815, 27.6586700, 68.0212370", \
+					  "2.5225511, 2.5547890, 2.7055016, 3.6252676, 8.1100540, 27.7276340, 68.0910400", \
+					  "2.5989133, 2.6221199, 2.7748830, 3.6951220, 8.1784313, 27.7958350, 68.1565240", \
+					  "2.6553586, 2.6833562, 2.8386091, 3.7619605, 8.2338882, 27.8560230, 68.2029580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5226870, 1.5694890, 1.8532849, 3.2729157, 8.5705798, 31.0612050, 38.0291960", \
+					  "1.5226612, 1.5692591, 1.8532872, 3.2728673, 8.5700922, 31.0496300, 38.0403480", \
+					  "1.5217783, 1.5694801, 1.8524566, 3.2727152, 8.5688745, 31.0547890, 38.0312560", \
+					  "1.5229977, 1.5693802, 1.8513960, 3.2728835, 8.5726901, 31.0605550, 38.0284070", \
+					  "1.5232081, 1.5686337, 1.8535713, 3.2728575, 8.5756140, 31.0718060, 38.1499240", \
+					  "1.5234172, 1.5675110, 1.8517446, 3.2709677, 8.5774969, 31.0417430, 38.0011290", \
+					  "1.5208439, 1.5695903, 1.8511435, 3.2703411, 8.5848928, 31.0375760, 38.0215430");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4062876, 1.4062877, 1.4062878, 1.4062879, 1.4062880, 1.4062881, 1.5801207", \
+					  "1.4509406, 1.4708724, 1.4708725, 1.4708726, 1.4708728, 1.4715157, 1.6219094", \
+					  "1.4771923, 1.4894208, 1.4894209, 1.4985463, 1.4985464, 1.4985465, 1.6491238", \
+					  "1.5014567, 1.5014568, 1.5014570, 1.5014571, 1.5014572, 1.5014573, 1.6028809", \
+					  "1.4898406, 1.4899944, 1.4913233, 1.4913235, 1.4913236, 1.4934483, 1.6242732", \
+					  "1.4966720, 1.4966721, 1.4966723, 1.4966724, 1.4966725, 1.4985398, 1.6167521", \
+					  "1.4841716, 1.5060635, 1.5060636, 1.5060637, 1.5060638, 1.5060639, 1.5814772");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3609284, 1.3609285, 1.3609286, 1.3609288, 1.3609289, 1.3609290, 1.7014522", \
+					  "1.4074962, 1.4131659, 1.4177126, 1.4177127, 1.4177128, 1.4177129, 1.6620332", \
+					  "1.4305941, 1.4358205, 1.4358206, 1.4358207, 1.4358208, 1.4358209, 1.6339324", \
+					  "1.4412848, 1.4414165, 1.4414166, 1.4414167, 1.4414169, 1.4414170, 1.6049523", \
+					  "1.4427604, 1.4455952, 1.4462705, 1.4462706, 1.4462707, 1.4462708, 1.6140254", \
+					  "1.4479451, 1.4479452, 1.4479453, 1.4479455, 1.4479456, 1.4479457, 1.6062736", \
+					  "1.4362088, 1.4374241, 1.4377101, 1.4377102, 1.4377103, 1.4377104, 1.6029728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.9286795, 2.9298362, 2.9298364, 2.9298367, 2.9298369, 2.9298372, 2.9298374", \
+					  "2.9689413, 3.0010943, 3.0010945, 3.0010947, 3.0010950, 3.0010952, 3.0010955", \
+					  "2.9991998, 2.9999538, 2.9999539, 2.9999542, 2.9999544, 2.9999546, 2.9999549", \
+					  "3.0316141, 3.0316142, 3.0316145, 3.0316147, 3.0316149, 3.0316152, 3.0316154", \
+					  "3.0330436, 3.0369642, 3.0369643, 3.0369646, 3.0369648, 3.0369651, 3.0369653", \
+					  "3.0417284, 3.0433847, 3.0433850, 3.0433852, 3.0433854, 3.0433857, 3.0433859", \
+					  "3.0355314, 3.0378378, 3.0378379, 3.0378382, 3.0378384, 3.0378386, 3.0378389");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.6575762, 2.6725802, 2.6725804, 2.6725806, 2.6725809, 2.6725811, 2.7459282", \
+					  "2.7392961, 2.7392964, 2.7450147, 2.7450148, 2.7450151, 2.7450153, 2.7450156", \
+					  "2.7781342, 2.7813560, 2.7813563, 2.7813565, 2.7813567, 2.7813570, 2.7813572", \
+					  "2.7926528, 2.7946152, 2.7946154, 2.7946157, 2.7946159, 2.7946162, 2.7946164", \
+					  "2.7923039, 2.7948717, 2.7948720, 2.7948722, 2.7948725, 2.7948727, 2.7948729", \
+					  "2.7947480, 2.7963787, 2.7963788, 2.7963790, 2.7963793, 2.7963795, 2.7963797", \
+					  "2.7925358, 2.7925360, 2.8059808, 2.8059809, 2.8059811, 2.8059813, 2.8059816");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8128771, 1.8266378, 1.9005124, 2.1687363, 3.0865968, 7.6620328, 25.6236670", \
+					  "1.8821675, 1.8959694, 1.9693625, 2.2378115, 3.1558860, 7.7304838, 25.6911360", \
+					  "1.9079796, 1.9219195, 1.9953372, 2.2637106, 3.1816672, 7.7566487, 25.7159560", \
+					  "1.9201742, 1.9342996, 2.0076572, 2.2757394, 3.1931544, 7.7677571, 25.7281930", \
+					  "1.9250719, 1.9389386, 2.0124853, 2.2804831, 3.1987810, 7.7728917, 25.7337010", \
+					  "1.9243824, 1.9382653, 2.0120867, 2.2804989, 3.1979892, 7.7741609, 25.7313940", \
+					  "1.9212485, 1.9346216, 2.0086814, 2.2766264, 3.1948049, 7.7678850, 25.7274360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7785584, 0.7816680, 0.7931645, 0.7854794, 1.7339006, 7.0802253, 27.3406790", \
+					  "0.7785035, 0.7815885, 0.7930755, 0.7854207, 1.7357691, 7.0874515, 27.3401390", \
+					  "0.7784558, 0.7815705, 0.7930326, 0.7855782, 1.7344629, 7.0876371, 27.3542470", \
+					  "0.7782852, 0.7810603, 0.7932267, 0.7852766, 1.7328818, 7.0833135, 27.3521720", \
+					  "0.7785738, 0.7811318, 0.7930930, 0.7852463, 1.7359029, 7.0847519, 27.3415600", \
+					  "0.7788464, 0.7811182, 0.7930069, 0.7845753, 1.7348291, 7.0887332, 27.3385150", \
+					  "0.7783305, 0.7807306, 0.7932921, 0.7843499, 1.7388958, 7.0862289, 27.3434480");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.6260993, 1.6430560, 1.6430561, 1.6430563, 1.6430564, 1.6430565, 1.7912555", \
+					  "1.6975262, 1.6975263, 1.6975264, 1.6999703, 1.6999704, 1.7002231, 1.8341967", \
+					  "1.6998206, 1.7228210, 1.7228211, 1.7228212, 1.7256198, 1.7272912, 1.8476444", \
+					  "1.7343551, 1.7343552, 1.7343553, 1.7343554, 1.7359425, 1.7397189, 1.8545606", \
+					  "1.7524621, 1.7524623, 1.7524624, 1.7571055, 1.7571056, 1.7571057, 1.8657775", \
+					  "1.7363743, 1.7384616, 1.7410066, 1.7464499, 1.7464501, 1.7464502, 1.8550114", \
+					  "1.7420945, 1.7420946, 1.7420947, 1.7420949, 1.7420950, 1.7420951, 1.8426850");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8620553, 1.8761648, 1.9498472, 2.2179658, 3.1358687, 7.7096587, 25.6702290", \
+					  "1.9928037, 2.0075746, 2.0812729, 2.3495559, 3.2679575, 7.8422158, 25.8016350", \
+					  "2.0918862, 2.1052338, 2.1795017, 2.4475268, 3.3654162, 7.9406623, 25.9013110", \
+					  "2.1715811, 2.1860471, 2.2600848, 2.5268854, 3.4429950, 8.0205051, 25.9821270", \
+					  "2.2440452, 2.2579072, 2.3315245, 2.5927802, 3.5155745, 8.0905380, 26.0546890", \
+					  "2.3103794, 2.3257718, 2.3994748, 2.6677587, 3.5847789, 8.1617291, 26.1183150", \
+					  "2.3765987, 2.3841014, 2.4529616, 2.7249036, 3.6463004, 8.2203231, 26.1827640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7784332, 0.7812155, 0.7933298, 0.7854480, 1.7354660, 7.0848150, 27.3473880", \
+					  "0.7784091, 0.7814592, 0.7931257, 0.7853058, 1.7380777, 7.0805397, 27.3480260", \
+					  "0.7784839, 0.7815964, 0.7931210, 0.7850218, 1.7356798, 7.0884396, 27.3545150", \
+					  "0.7782158, 0.7812120, 0.7932881, 0.7854493, 1.7370986, 7.0808510, 27.3482520", \
+					  "0.7782419, 0.7812456, 0.7931738, 0.7850724, 1.7380407, 7.0890369, 27.3372190", \
+					  "0.7784705, 0.7812955, 0.7930914, 0.7851361, 1.7371372, 7.0797861, 27.3557890", \
+					  "0.7786316, 0.7811580, 0.7931655, 0.7836964, 1.7376379, 7.0860833, 27.3486180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8867214, 1.8957147, 1.9461537, 2.1982716, 3.1748510, 5.8597185, 14.9155230", \
+					  "2.0186430, 2.0281217, 2.0785507, 2.3307039, 3.3065719, 5.9915704, 15.0481350", \
+					  "2.1128943, 2.1254283, 2.1760278, 2.4284139, 3.4004524, 6.0890684, 15.1452770", \
+					  "2.1976752, 2.2049296, 2.2567712, 2.5071687, 3.4845449, 6.1712022, 15.2230960", \
+					  "2.2679323, 2.2774853, 2.3277273, 2.5795670, 3.5560510, 6.2416703, 15.2955340", \
+					  "2.3364377, 2.3445064, 2.3965980, 2.6454412, 3.6189423, 6.3096128, 15.3685720", \
+					  "2.3975644, 2.4055427, 2.4541131, 2.7122957, 3.6888548, 6.3719674, 15.4289360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4449251, 0.4486117, 0.4784777, 0.6534934, 1.4611755, 3.3842373, 13.2131910", \
+					  "0.4451146, 0.4485649, 0.4779068, 0.6535315, 1.4606485, 3.3837200, 13.2275460", \
+					  "0.4452229, 0.4486041, 0.4778938, 0.6526198, 1.4610088, 3.3853731, 13.2136040", \
+					  "0.4451054, 0.4487259, 0.4788903, 0.6526130, 1.4598993, 3.3889873, 13.2134160", \
+					  "0.4456177, 0.4491783, 0.4781008, 0.6528623, 1.4593211, 3.3915351, 13.2173290", \
+					  "0.4466150, 0.4510916, 0.4786511, 0.6552083, 1.4609371, 3.3922056, 13.2170240", \
+					  "0.4443444, 0.4492721, 0.4782673, 0.6531857, 1.4611845, 3.3924872, 13.2315580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8894790, 1.9137651, 2.0617759, 2.9531466, 8.0765510, 16.3198100, 35.7689100", \
+					  "2.0212767, 2.0461521, 2.1924942, 3.0846292, 8.2082678, 16.4435720, 35.9064490", \
+					  "2.1169517, 2.1434852, 2.2914466, 3.1823384, 8.3027736, 16.5405220, 36.0010010", \
+					  "2.1999480, 2.2252202, 2.3715681, 3.2616350, 8.3854879, 16.6233910, 36.0895530", \
+					  "2.2709918, 2.2941753, 2.4372688, 3.3333503, 8.4536707, 16.6836770, 36.1619890", \
+					  "2.3390763, 2.3638975, 2.5114180, 3.3985346, 8.5239549, 16.7579850, 36.2206730", \
+					  "2.3971212, 2.4279663, 2.5760678, 3.4666612, 8.5825365, 16.8211450, 36.2869130");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5044002, 1.5486706, 1.8291243, 3.5665177, 7.9613747, 10.2925140, 28.2291110", \
+					  "1.5045051, 1.5469069, 1.8267172, 3.5724985, 7.9612086, 10.2771580, 28.2194550", \
+					  "1.5043924, 1.5465601, 1.8296931, 3.5733152, 7.9603870, 10.3135050, 28.2076440", \
+					  "1.5040733, 1.5473116, 1.8297194, 3.5690693, 7.9626101, 10.3119260, 28.2106840", \
+					  "1.5038869, 1.5496726, 1.8292916, 3.5741574, 7.9674178, 10.3269220, 28.2066850", \
+					  "1.5024363, 1.5489955, 1.8277387, 3.5688192, 7.9623232, 10.3170680, 28.2065220", \
+					  "1.5014189, 1.5483488, 1.8294531, 3.5714365, 7.9648839, 10.3222320, 28.1986400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1599826, 2.1837687, 2.3345279, 3.2587646, 8.4143004, 16.3855150, 31.8838890", \
+					  "2.2916513, 2.3145663, 2.4669471, 3.3902543, 8.5465768, 16.5161480, 32.0167080", \
+					  "2.3859681, 2.4131908, 2.5650750, 3.4842700, 8.6441840, 16.6145710, 32.1139700", \
+					  "2.4698394, 2.4933902, 2.6445373, 3.5658045, 8.7251060, 16.6930270, 32.1953950", \
+					  "2.5410569, 2.5617086, 2.7136966, 3.6410892, 8.7941752, 16.7659110, 32.2660010", \
+					  "2.6107243, 2.6343097, 2.7856726, 3.7070569, 8.8649372, 16.8306770, 32.3329420", \
+					  "2.6737390, 2.6997486, 2.8498757, 3.7738817, 8.9289571, 16.9037370, 32.3918560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5191024, 1.5642918, 1.8531222, 3.6350205, 8.7151886, 9.4374375, 19.4425900", \
+					  "1.5191055, 1.5644088, 1.8526883, 3.6336998, 8.7175493, 9.4392769, 19.4350670", \
+					  "1.5167929, 1.5636986, 1.8533606, 3.6342906, 8.7151921, 9.4392405, 19.4704320", \
+					  "1.5167319, 1.5633266, 1.8531046, 3.6453034, 8.7149674, 9.4390362, 19.4308820", \
+					  "1.5191601, 1.5639806, 1.8526600, 3.6373835, 8.7161887, 9.4411199, 19.4705980", \
+					  "1.5184844, 1.5628824, 1.8507775, 3.6453138, 8.7182901, 9.4360217, 19.4687060", \
+					  "1.5141409, 1.5636528, 1.8489267, 3.6388692, 8.7173957, 9.4352979, 19.4664120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.4013850, 1.4013851, 1.4014299, 1.4029121, 1.4029122, 1.4029124, 1.5884261", \
+					  "1.4666349, 1.4666350, 1.4678078, 1.4726291, 1.4726292, 1.4726293, 1.6274962", \
+					  "1.4917915, 1.4969777, 1.5003602, 1.5003604, 1.5003605, 1.5003606, 1.6234941", \
+					  "1.5097810, 1.5097811, 1.5116387, 1.5116388, 1.5116389, 1.5116390, 1.6228981", \
+					  "1.4910034, 1.4914298, 1.4914299, 1.4914300, 1.4914302, 1.4945388, 1.6236710", \
+					  "1.4844745, 1.4844746, 1.4945176, 1.4964883, 1.4964884, 1.4964886, 1.6127217", \
+					  "1.4993393, 1.4993395, 1.4993396, 1.5060110, 1.5060111, 1.5060112, 1.5814434");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.3526742, 1.3526744, 1.3599077, 1.3599079, 1.3599080, 1.3599081, 1.7075340", \
+					  "1.4103606, 1.4106671, 1.4108523, 1.4118365, 1.4129866, 1.4205473, 1.6593499", \
+					  "1.4325000, 1.4339097, 1.4339098, 1.4347056, 1.4347057, 1.4347058, 1.6345386", \
+					  "1.4475906, 1.4476026, 1.4476027, 1.4477064, 1.4477065, 1.4477066, 1.6207888", \
+					  "1.4474744, 1.4481162, 1.4481163, 1.4481164, 1.4481165, 1.4481167, 1.6135213", \
+					  "1.4466898, 1.4497366, 1.4497367, 1.4497368, 1.4497369, 1.4497371, 1.6083821", \
+					  "1.4441746, 1.4441748, 1.4441749, 1.4441750, 1.4441751, 1.4441752, 1.5953610");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2525256, 1.2525257, 1.2525258, 1.2575558, 1.2575560, 1.2575561, 1.6630410", \
+					  "1.3684938, 1.3684939, 1.3700376, 1.3700377, 1.3700378, 1.3700379, 1.6128551", \
+					  "1.4583480, 1.4589490, 1.4590572, 1.4590573, 1.4590574, 1.4590576, 1.6495927", \
+					  "1.5357803, 1.5366844, 1.5366845, 1.5366846, 1.5366847, 1.5366849, 1.6945318", \
+					  "1.6049095, 1.6050488, 1.6050489, 1.6050490, 1.6050491, 1.6050492, 1.7519106", \
+					  "1.6713911, 1.6720164, 1.6720165, 1.6720166, 1.6720167, 1.6720168, 1.8161047", \
+					  "1.7350427, 1.7357000, 1.7357001, 1.7357002, 1.7357004, 1.7357005, 1.8799080");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8731192, 1.8819026, 1.9337387, 2.1880904, 3.2478042, 8.7829015, 36.2785250", \
+					  "2.0053101, 2.0141229, 2.0655158, 2.3200692, 3.3800216, 8.9150962, 36.4116560", \
+					  "2.1027085, 2.1111866, 2.1595294, 2.4171776, 3.4774235, 9.0112406, 36.5068970", \
+					  "2.1839732, 2.1921445, 2.2442677, 2.4978313, 3.5552796, 9.0939114, 36.5896790", \
+					  "2.2537423, 2.2601565, 2.3111830, 2.5694513, 3.6285737, 9.1577954, 36.6552510", \
+					  "2.3241824, 2.3297504, 2.3833739, 2.6339451, 3.6974899, 9.2326822, 36.7147980", \
+					  "2.3867248, 2.3964324, 2.4483859, 2.7024272, 3.7610994, 9.2892867, 36.7898070");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.4498067, 0.4533270, 0.4824080, 0.6579264, 1.7588353, 8.7046317, 30.1164180", \
+					  "0.4497698, 0.4532826, 0.4823773, 0.6579349, 1.7586277, 8.7085770, 30.0248320", \
+					  "0.4498045, 0.4542698, 0.4818044, 0.6588046, 1.7613400, 8.7163814, 30.0191230", \
+					  "0.4497573, 0.4532678, 0.4829005, 0.6586069, 1.7591675, 8.6933456, 30.0141740", \
+					  "0.4479960, 0.4544434, 0.4825095, 0.6626074, 1.7602465, 8.6992848, 30.0324460", \
+					  "0.4481942, 0.4522210, 0.4823763, 0.6628892, 1.7598232, 8.7175538, 29.9825230", \
+					  "0.4499795, 0.4542583, 0.4796565, 0.6591944, 1.7590204, 8.7092618, 30.0599550");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.2520106, 1.2520107, 1.2524565, 1.2527143, 1.2555544, 1.2555545, 1.6523570", \
+					  "1.3692685, 1.3692686, 1.3692688, 1.3692689, 1.3692690, 1.3692691, 1.5945827", \
+					  "1.4606543, 1.4606544, 1.4606545, 1.4606546, 1.4606547, 1.4606548, 1.6442949", \
+					  "1.5362281, 1.5366258, 1.5366259, 1.5366260, 1.5366261, 1.5366262, 1.6946827", \
+					  "1.6054205, 1.6054206, 1.6054207, 1.6054208, 1.6054209, 1.6054211, 1.7519202", \
+					  "1.6722161, 1.6722162, 1.6722163, 1.6722164, 1.6722165, 1.6722166, 1.8164287", \
+					  "1.7358984, 1.7358985, 1.7358986, 1.7358987, 1.7358988, 1.7358990, 1.8772335");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1189938, 7.2995832, 8.4176728, 15.3040780, 57.8736350, 320.4868300, 1938.4959000", \
+					  "7.2617707, 7.4330679, 8.5610434, 15.4405080, 58.0109930, 320.5457200, 1938.1978000", \
+					  "7.3557449, 7.5291640, 8.6472740, 15.5865790, 58.0452380, 320.6157300, 1938.2344000", \
+					  "7.4383593, 7.6057889, 8.7441565, 15.6642180, 58.1542490, 320.7049100, 1938.3333000", \
+					  "7.5022983, 7.6916997, 8.8055954, 15.6955360, 58.2473730, 320.6137400, 1938.1903000", \
+					  "7.5767366, 7.7614466, 8.8745846, 15.7982870, 58.3201330, 320.6139600, 1939.4702000", \
+					  "7.6279294, 7.8152924, 8.9352969, 15.8200370, 58.3824650, 320.7067300, 1938.0345000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8676760, 12.2274960, 14.4411250, 28.1765580, 113.0666100, 638.8702300, 3874.3055000", \
+					  "11.8750870, 12.2165630, 14.4492200, 28.1893640, 113.1197500, 638.0876700, 3873.7517000", \
+					  "11.8686780, 12.2274400, 14.4410970, 28.1510580, 113.4723200, 637.9256100, 3873.1919000", \
+					  "11.8751850, 12.2372150, 14.4601150, 28.1435320, 113.3602200, 638.0820400, 3873.3610000", \
+					  "11.8710610, 12.2329100, 14.4640420, 28.1870280, 113.4243100, 637.4261300, 3874.1737000", \
+					  "11.8704840, 12.2328290, 14.4550450, 28.1371510, 113.5168500, 637.3747400, 3872.1291000", \
+					  "11.8721760, 12.2328200, 14.4624650, 28.1644060, 113.5174400, 637.3856500, 3872.1982000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3769293, 7.5562898, 8.6746628, 15.6077640, 58.3009230, 322.1759400, 1944.8207000", \
+					  "7.5066353, 7.6866522, 8.8267811, 15.7469490, 58.4249410, 322.2490600, 1944.7673000", \
+					  "7.6336804, 7.8157329, 8.9102716, 15.8400470, 58.6610010, 322.0188200, 1945.1561000", \
+					  "7.6864026, 7.8915887, 8.9933563, 15.9192940, 58.6049720, 321.7461400, 1944.9894000", \
+					  "7.7577624, 7.9586178, 9.0625658, 15.9931750, 58.7936240, 322.5601100, 1946.4981000", \
+					  "7.8230764, 8.0222167, 9.1562056, 16.0917080, 58.8333470, 322.5274300, 1947.4362000", \
+					  "7.8850153, 8.0945810, 9.1965644, 16.1241300, 58.8165110, 322.1645100, 1944.7893000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7034790, 12.0609770, 14.2618250, 28.0786130, 113.5456900, 639.9190500, 3884.5355000", \
+					  "11.6829020, 12.0606460, 14.2904200, 28.0858220, 113.4947700, 639.1478000, 3886.6401000", \
+					  "11.6982160, 12.0575860, 14.2959770, 28.0696230, 113.5802800, 640.4184800, 3886.8557000", \
+					  "11.7025780, 12.0654300, 14.2974340, 28.0757890, 113.6085400, 640.1354000, 3885.9275000", \
+					  "11.7031480, 12.0601310, 14.2785870, 28.0401600, 113.6250300, 639.6567200, 3883.3041000", \
+					  "11.6980960, 12.0649110, 14.3008100, 28.0836310, 113.6807400, 638.9888700, 3885.4901000", \
+					  "11.7004540, 12.0665660, 14.2969240, 28.0813400, 113.3983900, 639.8629500, 3888.0953000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8121006, 1.8256895, 1.8985842, 2.1661224, 3.0858309, 7.6712006, 25.6441570", \
+					  "1.8807162, 1.8946567, 1.9672175, 2.2350182, 3.1545095, 7.7401623, 25.7123190", \
+					  "1.9072008, 1.9209314, 1.9937636, 2.2613171, 3.1810292, 7.7670821, 25.7390540", \
+					  "1.9191770, 1.9328938, 2.0054076, 2.2733497, 3.1930540, 7.7772606, 25.7511110", \
+					  "1.9240023, 1.9374626, 2.0102384, 2.2778228, 3.1973588, 7.7818001, 25.7523070", \
+					  "1.9239730, 1.9372648, 2.0101094, 2.2777757, 3.1979972, 7.7840117, 25.7531310", \
+					  "1.9201458, 1.9336062, 2.0064166, 2.2741802, 3.1939813, 7.7770208, 25.7374990");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7734202, 0.7768170, 0.7882450, 0.7814910, 1.7395616, 7.0982580, 27.3498980", \
+					  "0.7733055, 0.7764114, 0.7882084, 0.7818011, 1.7390790, 7.1006845, 27.3465570", \
+					  "0.7733908, 0.7763650, 0.7882205, 0.7818597, 1.7419597, 7.0949322, 27.3443560", \
+					  "0.7738124, 0.7762041, 0.7883163, 0.7801252, 1.7378915, 7.0988686, 27.3431680", \
+					  "0.7736242, 0.7760578, 0.7880117, 0.7815326, 1.7381026, 7.0958230, 27.3428690", \
+					  "0.7733784, 0.7763961, 0.7881984, 0.7809174, 1.7398679, 7.1006228, 27.3282530", \
+					  "0.7734465, 0.7759373, 0.7879202, 0.7806988, 1.7434667, 7.0978312, 27.3183180");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.5083229, 7.6977669, 8.8639940, 15.9299670, 59.0940420, 322.8873600, 1945.6902000", \
+					  "7.6476381, 7.8325328, 9.0003853, 16.1063730, 59.1260370, 322.6007300, 1945.3025000", \
+					  "7.7328375, 7.9479809, 9.0803756, 16.1530910, 59.2994030, 322.4667500, 1945.4142000", \
+					  "7.8168449, 8.0096569, 9.1750856, 16.2478210, 59.3595080, 322.7897000, 1945.9103000", \
+					  "7.8828855, 8.1049736, 9.2346149, 16.3080910, 59.4878670, 323.3139700, 1945.2898000", \
+					  "7.9506570, 8.1416722, 9.2964498, 16.3944180, 59.3272170, 322.9761200, 1946.2541000", \
+					  "8.0188665, 8.2343004, 9.3599315, 16.4376780, 59.4286040, 323.2107400, 1946.8571000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.6907920, 12.0596410, 14.2871910, 28.0419400, 113.3350900, 639.0514500, 3885.8066000", \
+					  "11.6914520, 12.0595030, 14.2695780, 28.0804850, 113.6917300, 639.8393000, 3885.4100000", \
+					  "11.7076360, 12.0630730, 14.2874940, 28.0800500, 113.6117300, 639.7207200, 3885.5196000", \
+					  "11.6687080, 12.0495870, 14.2929240, 28.0738860, 113.6445700, 640.3632300, 3885.8839000", \
+					  "11.6788220, 12.0551350, 14.2762590, 28.0257380, 113.2073000, 639.1790600, 3884.1686000", \
+					  "11.6822510, 12.0374120, 14.2759350, 28.0364160, 113.2033600, 639.8743700, 3886.0794000", \
+					  "11.6670640, 12.0567090, 14.2701600, 28.0276100, 113.2879100, 640.1495500, 3886.0171000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8895172, 1.9137998, 2.0618312, 2.9529255, 8.0761406, 16.3188840, 35.7744840", \
+					  "2.0210653, 2.0453002, 2.1939561, 3.0850669, 8.2059879, 16.4453230, 35.9004030", \
+					  "2.1151594, 2.1434141, 2.2907012, 3.1825493, 8.3054932, 16.5402360, 36.0027530", \
+					  "2.1994389, 2.2246391, 2.3727271, 3.2607683, 8.3862544, 16.6229950, 36.0817080", \
+					  "2.2712812, 2.2952815, 2.4419597, 3.3316725, 8.4538412, 16.6932100, 36.1608700", \
+					  "2.3391551, 2.3578541, 2.5117108, 3.4029661, 8.5197982, 16.7580950, 36.2322120", \
+					  "2.3998386, 2.4286626, 2.5749967, 3.4668100, 8.5896881, 16.8188060, 36.2789120");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5043041, 1.5485302, 1.8290242, 3.5742136, 7.9733908, 10.2898040, 28.2051290", \
+					  "1.5038658, 1.5460219, 1.8267514, 3.5684344, 7.9632860, 10.2856420, 28.1761870", \
+					  "1.5042350, 1.5484789, 1.8290566, 3.5738366, 7.9643510, 10.3117890, 28.2076580", \
+					  "1.5035703, 1.5462024, 1.8296108, 3.5736374, 7.9611162, 10.3148960, 28.2215210", \
+					  "1.5037254, 1.5485381, 1.8291703, 3.5701770, 7.9674027, 10.3125760, 28.2183720", \
+					  "1.5039793, 1.5483165, 1.8285912, 3.5726075, 7.9773592, 10.3159670, 28.2135100", \
+					  "1.5015786, 1.5483839, 1.8293878, 3.5711540, 7.9665252, 10.3103410, 28.2105630");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3760735, 7.5576789, 8.6810710, 15.6073790, 58.2963080, 322.1704800, 1944.9644000", \
+					  "7.5359239, 7.6884179, 8.8130729, 15.7480590, 58.5565130, 322.3065100, 1944.9508000", \
+					  "7.6308266, 7.7867766, 8.9072438, 15.8310020, 58.6626780, 321.9651600, 1944.7850000", \
+					  "7.6863165, 7.8694455, 8.9938812, 15.9162050, 58.7254940, 322.4708400, 1945.0854000", \
+					  "7.7795725, 7.9396498, 9.0640340, 15.9751500, 58.8001930, 322.5573300, 1946.8750000", \
+					  "7.8234565, 8.0087439, 9.1290389, 16.0894210, 58.8205110, 322.6388400, 1944.3410000", \
+					  "7.8896187, 8.0677980, 9.1985823, 16.1138320, 58.8249240, 322.6863600, 1945.4792000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7030510, 12.0636870, 14.2954260, 28.0725330, 113.5303600, 640.0385900, 3885.3764000", \
+					  "11.6968660, 12.0636960, 14.2952750, 28.0801680, 113.6082400, 640.0094900, 3886.6729000", \
+					  "11.6941030, 12.0627780, 14.2942510, 28.0625640, 113.6102900, 640.4520100, 3885.7165000", \
+					  "11.7021140, 12.0642590, 14.2972510, 28.0725510, 113.6302400, 639.8776100, 3886.6378000", \
+					  "11.6980190, 12.0629220, 14.2847240, 28.0183930, 113.6099900, 640.0365500, 3886.9975000", \
+					  "11.7013930, 12.0640410, 14.2970650, 28.0838520, 113.6984800, 639.9868700, 3885.8725000", \
+					  "11.7017010, 12.0464310, 14.2949300, 28.0213220, 113.4368400, 640.0313200, 3885.9575000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1202271, 7.3065074, 8.4276390, 15.3001680, 57.8414120, 320.4124500, 1938.1050000", \
+					  "7.2526611, 7.4381217, 8.5674561, 15.4442240, 57.9786740, 320.4979500, 1938.1712000", \
+					  "7.3578552, 7.5361450, 8.6644727, 15.5396440, 58.0935050, 320.5369400, 1939.4886000", \
+					  "7.4320758, 7.6127380, 8.7461549, 15.6556260, 58.1620510, 320.3736300, 1938.4986000", \
+					  "7.5106774, 7.6929751, 8.7920986, 15.7038220, 58.2173490, 321.1050300, 1938.4058000", \
+					  "7.5779365, 7.7392626, 8.8682031, 15.7874090, 58.3021990, 320.6369600, 1941.9294000", \
+					  "7.6311562, 7.8261309, 8.9291081, 15.8245350, 58.3742050, 320.7124200, 1939.2676000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8739760, 12.2277020, 14.4661080, 28.1905950, 113.3720000, 638.0864800, 3875.4124000", \
+					  "11.8757940, 12.2275820, 14.4564630, 28.1869990, 112.9953700, 638.0376500, 3873.3101000", \
+					  "11.8715390, 12.2275430, 14.4558120, 28.1829370, 113.4567500, 637.4871800, 3873.1805000", \
+					  "11.8768130, 12.2418940, 14.4559020, 28.1771920, 113.5061000, 637.7184100, 3874.8426000", \
+					  "11.8721020, 12.2330460, 14.4604420, 28.1836620, 113.3739900, 638.4012200, 3872.9536000", \
+					  "11.8712420, 12.2322220, 14.4608540, 28.2015580, 113.4426200, 637.7815100, 3872.7353000", \
+					  "11.8516880, 12.2330700, 14.4467190, 28.1638690, 113.5169100, 637.4205000, 3875.0195000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.1600239, 2.1837678, 2.3348645, 3.2554099, 8.4149256, 16.3868460, 31.8840670", \
+					  "2.2924871, 2.3157896, 2.4671165, 3.3898813, 8.5472305, 16.5139260, 32.0160860", \
+					  "2.3898590, 2.4133897, 2.5649596, 3.4840704, 8.6425933, 16.6121460, 32.1134270", \
+					  "2.4669680, 2.4952973, 2.6452915, 3.5662091, 8.7252712, 16.6932330, 32.1918460", \
+					  "2.5398204, 2.5645310, 2.7179270, 3.6379983, 8.7891898, 16.7654620, 32.2660190", \
+					  "2.6098430, 2.6345164, 2.7784874, 3.7121923, 8.8640828, 16.8384650, 32.3315490", \
+					  "2.6697315, 2.6960714, 2.8509983, 3.7721968, 8.9273264, 16.9028120, 32.3972880");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5189895, 1.5632993, 1.8530077, 3.6434802, 8.7148049, 9.4531174, 19.4279280", \
+					  "1.5179531, 1.5622467, 1.8533181, 3.6396521, 8.7156904, 9.4376587, 19.4530810", \
+					  "1.5169377, 1.5626307, 1.8531230, 3.6427581, 8.7148848, 9.4374073, 19.4792860", \
+					  "1.5167647, 1.5601258, 1.8533750, 3.6452066, 8.7150429, 9.4396739, 19.3914080", \
+					  "1.5146989, 1.5600322, 1.8504697, 3.6432910, 8.7149905, 9.4384704, 19.4030460", \
+					  "1.5141580, 1.5626830, 1.8529638, 3.6455730, 8.7162517, 9.4376231, 19.4690380", \
+					  "1.5165026, 1.5648164, 1.8516984, 3.6453598, 8.7151051, 9.4376169, 19.4193910");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8624546, 1.8762532, 1.9497177, 2.2178310, 3.1359080, 7.7105682, 25.6710970", \
+					  "1.9938535, 2.0085933, 2.0817523, 2.3499520, 3.2683107, 7.8430755, 25.8051130", \
+					  "2.0887279, 2.1058593, 2.1793376, 2.4461939, 3.3656553, 7.9402076, 25.8987040", \
+					  "2.1730213, 2.1839779, 2.2601407, 2.5283449, 3.4451453, 8.0185405, 25.9814930", \
+					  "2.2440816, 2.2565325, 2.3281508, 2.5953191, 3.5177838, 8.0887697, 26.0490030", \
+					  "2.3124065, 2.3259006, 2.3995836, 2.6674036, 3.5829846, 8.1606464, 26.1205970", \
+					  "2.3759281, 2.3799891, 2.4630085, 2.7325171, 3.6507413, 8.2253964, 26.2002190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("0.7782653, 0.7811575, 0.7932751, 0.7853883, 1.7353367, 7.0883455, 27.3425350", \
+					  "0.7784411, 0.7812095, 0.7931006, 0.7855417, 1.7381035, 7.0867179, 27.3374510", \
+					  "0.7784209, 0.7810901, 0.7931112, 0.7854187, 1.7374918, 7.0883730, 27.3537830", \
+					  "0.7784700, 0.7810813, 0.7932788, 0.7855413, 1.7375749, 7.0872099, 27.3423240", \
+					  "0.7782136, 0.7810527, 0.7931385, 0.7851680, 1.7372842, 7.0852506, 27.3349720", \
+					  "0.7784106, 0.7811075, 0.7939320, 0.7856111, 1.7374938, 7.0866606, 27.3457220", \
+					  "0.7784833, 0.7810820, 0.7931286, 0.7836010, 1.7378913, 7.0855806, 27.3395510");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.1297883, 7.3000139, 8.4142036, 15.3249310, 57.8702920, 320.3730000, 1939.2739000", \
+					  "7.2618351, 7.4246493, 8.5491312, 15.4407580, 57.9914180, 320.7371700, 1939.1205000", \
+					  "7.3568120, 7.5287518, 8.6569480, 15.5717220, 58.0773190, 320.8495200, 1938.6066000", \
+					  "7.4372033, 7.6122362, 8.7356122, 15.6623760, 58.1722400, 320.6941400, 1938.2321000", \
+					  "7.5001960, 7.6937354, 8.8122878, 15.6918320, 58.2438670, 320.7090100, 1939.2178000", \
+					  "7.5752382, 7.7492555, 8.8749363, 15.7590150, 58.3426110, 320.6410500, 1938.1159000", \
+					  "7.6332460, 7.8267224, 8.9340917, 15.8166550, 58.3788520, 320.7316300, 1938.5795000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8759970, 12.2293950, 14.4568880, 28.1946590, 113.0286500, 638.1288800, 3872.6071000", \
+					  "11.8754660, 12.2416440, 14.4532290, 28.1702570, 113.5073900, 637.7166000, 3874.6393000", \
+					  "11.8631200, 12.2361930, 14.4536840, 28.1772540, 113.4371400, 637.4313000, 3874.6821000", \
+					  "11.8576350, 12.2231700, 14.4510110, 28.1617750, 113.4351100, 638.0471400, 3873.2192000", \
+					  "11.8507070, 12.2330140, 14.4416090, 28.1872520, 113.4540100, 638.0273600, 3873.3751000", \
+					  "11.8606260, 12.2326310, 14.4603470, 28.1726070, 113.4751900, 637.3607600, 3874.1032000", \
+					  "11.8563860, 12.2331640, 14.4587690, 28.1632570, 113.5176300, 637.4342000, 3874.1546000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.3772242, 7.5563378, 8.6727274, 15.6001820, 58.3002910, 322.1943500, 1944.7318000", \
+					  "7.5068920, 7.6906224, 8.8153245, 15.7465100, 58.5305920, 322.0472700, 1945.0436000", \
+					  "7.6072304, 7.7857605, 8.9045198, 15.8370760, 58.6311480, 322.0236800, 1945.1170000", \
+					  "7.6855970, 7.8679854, 9.0077483, 15.9068600, 58.7338140, 321.7780000, 1945.1163000", \
+					  "7.7569924, 7.9377767, 9.0627372, 15.9842890, 58.6688460, 322.5273400, 1945.5053000", \
+					  "7.8530862, 8.0049611, 9.1247365, 16.0522420, 58.8322600, 322.5214000, 1945.2466000", \
+					  "7.8867277, 8.0729485, 9.2036974, 16.1279810, 58.8895120, 322.4769800, 1944.7070000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.7033050, 12.0611720, 14.2583280, 28.0694440, 113.5410100, 639.8539700, 3886.6347000", \
+					  "11.7012130, 12.0650380, 14.2738480, 28.0846530, 113.6601900, 639.9164400, 3884.7370000", \
+					  "11.7008860, 12.0629290, 14.2654870, 28.0681490, 113.6660800, 640.4076200, 3889.0917000", \
+					  "11.7020040, 12.0631110, 14.2932680, 28.0412760, 113.6166100, 639.7471600, 3886.1917000", \
+					  "11.6933550, 12.0603880, 14.2963770, 28.0739770, 113.4293400, 639.5499100, 3886.4964000", \
+					  "11.6958020, 12.0604950, 14.2587060, 28.0095080, 113.6809700, 638.9955200, 3890.8374000", \
+					  "11.6984010, 12.0588320, 14.2946620, 28.0816100, 113.7044500, 640.1641000, 3887.2868000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.8652233, 1.8897823, 2.0396917, 2.9395950, 8.0827256, 16.2959370, 35.7364980", \
+					  "1.9343067, 1.9589015, 2.1086430, 3.0087541, 8.1521039, 16.3642880, 35.7878630", \
+					  "1.9605435, 1.9852970, 2.1349946, 3.0352394, 8.1755260, 16.3912470, 35.8145870", \
+					  "1.9724928, 1.9971911, 2.1469788, 3.0476310, 8.1880245, 16.3925620, 35.8601860", \
+					  "1.9771642, 2.0017463, 2.1514959, 3.0509899, 8.1944398, 16.4006640, 35.8598060", \
+					  "1.9770408, 2.0016000, 2.1513842, 3.0512979, 8.1920515, 16.4054320, 35.8581250", \
+					  "1.9734838, 1.9978945, 2.1479611, 3.0476091, 8.1890494, 16.4009360, 35.8516270");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5032332, 1.5485965, 1.8288745, 3.5870515, 7.9143092, 10.2133590, 28.1952580", \
+					  "1.5058939, 1.5488513, 1.8296918, 3.5806813, 7.9157747, 10.2321280, 28.1496360", \
+					  "1.5061457, 1.5483022, 1.8305215, 3.5815135, 7.9213907, 10.2747840, 28.1501520", \
+					  "1.5050979, 1.5493679, 1.8292464, 3.5887060, 7.9312965, 10.2941040, 28.1848380", \
+					  "1.5038558, 1.5486179, 1.8287124, 3.5821621, 7.9174267, 10.2780400, 28.1816400", \
+					  "1.5049462, 1.5504201, 1.8289673, 3.5875454, 7.9181133, 10.2556430, 28.2226300", \
+					  "1.5041758, 1.5511223, 1.8292436, 3.5852807, 7.9309670, 10.2567080, 28.2028780");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("2.0347981, 2.0605990, 2.2163202, 3.1553147, 8.3358920, 16.2388560, 31.7245470", \
+					  "2.1673270, 2.1925759, 2.3478897, 3.2866154, 8.4690823, 16.3716720, 31.8559860", \
+					  "2.2647548, 2.2900797, 2.4455145, 3.3880563, 8.5665377, 16.4686080, 31.9523860", \
+					  "2.3460756, 2.3718150, 2.5274254, 3.4688576, 8.6470413, 16.5499760, 32.0357360", \
+					  "2.4167710, 2.4419871, 2.5976516, 3.5393841, 8.7177288, 16.6192230, 32.1047720", \
+					  "2.4844469, 2.5089641, 2.6644436, 3.6098995, 8.7850367, 16.6903650, 32.1704330", \
+					  "2.5488287, 2.5753113, 2.7305245, 3.6746550, 8.8496108, 16.7509380, 32.2411220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5132391, 1.5629588, 1.8476195, 3.6439787, 8.6605292, 9.4107016, 19.3909810", \
+					  "1.5164604, 1.5653736, 1.8531455, 3.6447989, 8.6607823, 9.4117105, 19.3787080", \
+					  "1.5151882, 1.5646358, 1.8529410, 3.6466752, 8.6618126, 9.4241788, 19.3720670", \
+					  "1.5125857, 1.5643692, 1.8484575, 3.6424499, 8.6609635, 9.4103534, 19.4012180", \
+					  "1.5178568, 1.5617568, 1.8486062, 3.6399179, 8.6601197, 9.4128501, 19.4106300", \
+					  "1.5176322, 1.5646331, 1.8495249, 3.6444912, 8.6649390, 9.4275938, 19.3878900", \
+					  "1.5178998, 1.5622392, 1.8521683, 3.6439989, 8.6642444, 9.4105053, 19.3950740");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("7.2596658, 7.4388824, 8.5736227, 15.5810390, 58.4414970, 321.1420700, 1941.2651000", \
+					  "7.3283681, 7.5159345, 8.6492919, 15.6189510, 58.4471810, 321.2165000, 1938.9927000", \
+					  "7.3676110, 7.5369009, 8.6691667, 15.6487530, 58.5125330, 321.5401700, 1939.0063000", \
+					  "7.3700535, 7.5585840, 8.6799679, 15.6997770, 58.4965600, 321.9464900, 1939.3199000", \
+					  "7.3693416, 7.5697413, 8.6877572, 15.6497110, 58.5108710, 321.5637900, 1938.5332000", \
+					  "7.3785404, 7.5616295, 8.6966239, 15.6685010, 58.4922400, 321.3755500, 1938.7896000", \
+					  "7.3789246, 7.5633846, 8.6848138, 15.6688300, 58.5111690, 321.2467200, 1943.1388000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("11.8622920, 12.2126660, 14.5381070, 28.1292620, 113.4570000, 637.7951500, 3872.4647000", \
+					  "11.8849130, 12.2432660, 14.4606530, 28.1810560, 113.3038600, 637.7576900, 3873.0063000", \
+					  "11.8742070, 12.2298630, 14.4859980, 28.1963080, 113.4458500, 637.4555100, 3873.0032000", \
+					  "11.8539340, 12.2349300, 14.4543990, 28.1575190, 113.4389000, 637.5859700, 3873.1291000", \
+					  "11.8717520, 12.2306210, 14.4428860, 28.1897190, 113.4976500, 638.1465600, 3872.0177000", \
+					  "11.8690810, 12.2000850, 14.4497380, 28.1689920, 113.4752500, 637.5233900, 3874.1782000", \
+					  "11.8725840, 12.2229790, 14.4519310, 28.1824240, 113.4290000, 637.4448800, 3872.8444000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.9951640, 2.0203774, 2.1720348, 3.0904552, 8.2490459, 16.2187650, 31.7153770", \
+					  "2.1277666, 2.1529565, 2.3023587, 3.2231213, 8.3816305, 16.3474430, 31.8479290", \
+					  "2.2250535, 2.2503071, 2.4017290, 3.3203362, 8.4788390, 16.4455240, 31.9451240", \
+					  "2.3063009, 2.3316298, 2.4832241, 3.4011489, 8.5601881, 16.5311910, 32.0252020", \
+					  "2.3769990, 2.4009925, 2.5523268, 3.4769234, 8.6293195, 16.5955520, 32.0961710", \
+					  "2.4453315, 2.4696469, 2.6209765, 3.5462218, 8.6975804, 16.6679290, 32.1659320", \
+					  "2.5082776, 2.5336684, 2.6862386, 3.6046142, 8.7623491, 16.7293270, 32.2259840");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05777, 1.10944, 1.42806, 3.39298, 15.5102, 90.2349, 551.048");
+					values("1.5167686, 1.5652896, 1.8493629, 3.6440817, 8.7127328, 9.4386428, 19.3999610", \
+					  "1.5170086, 1.5653493, 1.8534861, 3.6437418, 8.7123428, 9.4357011, 19.4023110", \
+					  "1.5171393, 1.5656108, 1.8498020, 3.6437440, 8.7123420, 9.4515924, 19.4039260", \
+					  "1.5157781, 1.5650060, 1.8511177, 3.6452261, 8.7123126, 9.4430872, 19.4175730", \
+					  "1.5189611, 1.5660307, 1.8503145, 3.6411694, 8.7133190, 9.4361541, 19.4256630", \
+					  "1.5174976, 1.5650847, 1.8524501, 3.6457803, 8.7146370, 9.4359480, 19.3976530", \
+					  "1.5153659, 1.5646449, 1.8503439, 3.6441563, 8.7172651, 9.4362571, 19.4153050");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.3513895, 9.4226411, 9.4938927, 9.4832083, 9.4729514, 9.4622671, 9.4515827");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.0664759, -9.1418917, -9.2173075, -9.2123390, -9.2075692, -9.2026007, -9.1976322");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.483766";
+				miller_cap_rise : "0.0244361";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("117.724, 47.6637, 6.77422, 3.48214, 3.44385, 3.42195, 3.39757, 3.36998, 3.33809, 3.30015, 3.25314, 3.19137, 3.1034, 2.97396, 2.80802, 2.61844, 2.40833, 2.17802, 1.92751, 1.65673, 1.36567, 1.05436, 0.722885, 0.371366, -2.66242e-06, -0.778457, -1.60229, -17.103, -47.5312", \
+					  "117.697, 47.6149, 6.71564, 3.36119, 3.32488, 3.30423, 3.28136, 3.25564, 3.22613, 3.19139, 3.14896, 3.09435, 3.01849, 2.9055, 2.75124, 2.57005, 2.36742, 2.14404, 1.89994, 1.63507, 1.3494, 1.04295, 0.715798, 0.368084, -2.64665e-06, -0.77295, -1.59367, -17.1004, -47.5282", \
+					  "117.684, 47.5758, 6.66749, 3.2614, 3.22662, 3.20695, 3.18524, 3.16093, 3.13321, 3.10083, 3.06172, 3.01216, 2.94473, 2.84495, 2.70196, 2.52827, 2.33211, 2.11471, 1.87614, 1.61637, 1.33534, 1.03309, 0.709679, 0.365252, -2.44202e-07, -0.768203, -1.58625, -17.0983, -47.5256", \
+					  "117.68, 47.5126, 6.56861, 3.05275, 3.02092, 3.00312, 2.98361, 2.96197, 2.93757, 2.90948, 2.87622, 2.83525, 2.78174, 2.70563, 2.59017, 2.43486, 2.25332, 2.04925, 1.82303, 1.57462, 1.30396, 1.01107, 0.696013, 0.358921, -2.65846e-06, -0.757632, -1.56983, -17.0935, -47.5199", \
+					  "117.727, 47.48, 6.463, 2.66608, 2.63878, 2.62394, 2.6079, 2.59036, 2.57093, 2.54906, 2.52392, 2.49419, 2.45757, 2.40968, 2.34102, 2.23424, 2.08648, 1.9108, 1.71062, 1.48621, 1.23749, 0.964428, 0.667059, 0.345512, -2.65494e-06, -0.735373, -1.53558, -17.0836, -47.5083", \
+					  "117.768, 47.4842, 6.45786, 2.49507, 2.44698, 2.43343, 2.41888, 2.40308, 2.38572, 2.36635, 2.34436, 2.31878, 2.28797, 2.24901, 2.19578, 2.11475, 1.99034, 1.83146, 1.6462, 1.43551, 1.19936, 0.937659, 0.650441, 0.337819, -1.01391e-07, -0.722688, -1.51626, -17.078, -47.5018", \
+					  "117.816, 47.4929, 6.48285, 2.47119, 2.25519, 2.24281, 2.22963, 2.2154, 2.19988, 2.18272, 2.16345, 2.14133, 2.11522, 2.0831, 2.04103, 1.98026, 1.88313, 1.74418, 1.57539, 1.37976, 1.15739, 0.908198, 0.632151, 0.329349, -1.73013e-08, -0.708792, -1.49529, -17.072, -47.4949", \
+					  "117.866, 47.5059, 6.53297, 2.57756, 2.06282, 2.05116, 2.03916, 2.0272, 2.01383, 1.99863, 1.98172, 1.96256, 1.94031, 1.91358, 1.87977, 1.83346, 1.76183, 1.64759, 1.49731, 1.31826, 1.11108, 0.875665, 0.61195, 0.319995, -3.19419e-08, -0.693536, -1.47247, -17.0656, -47.4875", \
+					  "117.915, 47.5362, 6.59992, 2.73072, 1.84238, 1.77632, 1.76157, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74888, 1.74171, 1.71421, 1.67819, 1.6258, 1.53951, 1.4109, 1.2502, 1.05979, 0.839617, 0.589559, 0.309627, -3.18892e-08, -0.67673, -1.44759, -17.0586, -47.4794", \
+					  "117.961, 47.5725, 6.67129, 2.86139, 1.62172, 1.35795, 1.30163, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.28114, 1.17462, 1.00278, 0.799523, 0.564643, 0.298089, -2.61518e-08, -0.658156, -1.42039, -17.0511, -47.4708", \
+					  "118, 47.6049, 6.73593, 2.95719, 1.41545, 0.940422, 0.736981, 0.685053, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.666287, 0.536798, 0.285189, -3.17744e-08, -0.637548, -1.39057, -17.0429, -47.4615", \
+					  "118.033, 47.6293, 6.79152, 3.02248, 1.22136, 0.565701, 0.167354, 0.0141882, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.0333916, -0.61459, -1.3577, -17.0339, -47.4514", \
+					  "118.062, 47.6456, 6.83749, 3.06279, 1.03782, 0.229606, -0.346727, -0.669187, -0.782507, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -0.792879, -1.32088, -17.0242, -47.4405", \
+					  "118.084, 47.655, 6.8744, 3.08312, 0.863965, -0.0738448, -0.802852, -1.30125, -1.54567, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -1.61731, -17.0135, -47.4287", \
+					  "118.1, 47.6577, 6.9035, 3.08796, 0.699596, -0.349102, -1.20879, -1.86153, -2.2744, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -2.4439, -17.0014, -47.4157", \
+					  "118.109, 47.6551, 6.9277, 3.08148, 0.545148, -0.599032, -1.57112, -2.35633, -2.92922, -3.24435, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -3.31053, -17.0058, -47.4015", \
+					  "118.11, 47.649, 6.9435, 3.06786, 0.401795, -0.825021, -1.89427, -2.79361, -3.50403, -3.98301, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -4.17213, -17.9768, -49.019", \
+					  "118.105, 47.641, 6.95794, 3.05166, 0.271665, -1.02693, -2.18053, -3.17872, -4.00667, -4.63431, -4.98382, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -5.03672, -19.0116, -51.5491", \
+					  "118.098, 47.6333, 6.97272, 3.03839, 0.158257, -1.20283, -2.4299, -3.51407, -4.44332, -5.19653, -5.71179, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -5.89236, -19.9748, -53.7508", \
+					  "118.092, 47.6294, 6.99242, 3.03509, 0.066873, -1.34867, -2.63985, -3.79902, -4.81619, -5.67567, -6.33521, -6.67773, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -6.73191, -20.8502, -55.6158", \
+					  "118.096, 47.6359, 7.02596, 3.05436, 0.00831328, -1.45472, -2.80189, -4.02658, -5.12035, -6.0709, -6.84921, -7.35609, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -7.53584, -21.6371, -57.168", \
+					  "118.135, 47.6671, 7.09016, 3.11723, 2.25763e-05, -1.50521, -2.90202, -4.18469, -5.34598, -6.37594, -7.25345, -7.90314, -8.23778, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -8.29965, -22.3497, -58.447", \
+					  "118.178, 47.7003, 7.15575, 3.18133, 2.51383e-08, -1.54283, -2.98387, -4.31814, -5.53941, -6.63942, -7.60195, -8.36955, -8.86123, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -9.04797, -23.0067, -59.4994", \
+					  "118.216, 47.7304, 7.21594, 3.23854, 2.22415e-08, -1.5762, -3.05657, -4.43675, -5.71135, -6.87338, -7.90984, -8.7752, -9.40434, -9.74193, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -9.81566, -23.6234, -60.3673", \
+					  "118.252, 47.7572, 7.2712, 3.28958, 3.67056e-08, -1.60584, -3.12122, -4.54233, -5.86447, -7.08165, -8.18307, -9.13118, -9.87379, -10.3628, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -10.5612, -24.2114, -61.0866", \
+					  "118.312, 47.8039, 7.36897, 3.37558, 1.09459e-07, -1.65556, -3.22997, -4.72027, -6.12286, -7.43322, -8.64337, -9.72517, -10.6406, -11.3704, -11.8629, -12.076, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -12.0858, -25.3496, -62.1873", \
+					  "118.361, 47.8416, 7.44992, 3.44353, 3.02705e-07, -1.69471, -3.31602, -4.86166, -6.3288, -7.71395, -9.01083, -10.1965, -11.2393, -12.1332, -12.8574, -13.3573, -13.5876, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -13.6134, -26.4801, -62.9818", \
+					  "118.457, 47.9137, 7.60826, 3.56803, 3.08208e-06, -1.76681, -3.47728, -5.13034, -6.72462, -8.25832, -9.7277, -11.1167, -12.4013, -13.582, -14.6632, -15.6421, -16.5082, -17.2354, -17.7715, -18.0657, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -18.1511, -29.9055, -64.4252", \
+					  "118.505, 47.9467, 7.67695, 3.61559, 3.23425e-05, -1.79798, -3.55663, -5.27571, -6.95481, -8.59329, -10.1889, -11.7294, -13.1948, -14.5854, -15.9094, -17.1705, -18.3703, -19.509, -20.5857, -21.5975, -22.5394, -23.4016, -24.165, -24.7939, -25.2501, -25.7559, -25.924, -35.88, -66.9989");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1782298, 0.2302742, 0.2670847, 0.3077915, 0.3768907");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2272209, 0.2793827, 0.3161413, 0.3568387, 0.4258885");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1010664, 0.1299910, 0.1499011, 0.1691111, 0.2002647");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1503854, 0.1801974, 0.2001564, 0.2192818, 0.2506266");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("5.23633");
+						index_3("0.001");
+						index_4("2.3104377, 2.6125523, 2.7759810, 3.0538438, 3.3964088");
+						values("0.0593577, 0.0949723, 0.118715, 0.0949723, 0.0593577");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("3.26439");
+						index_3("0.001");
+						index_4("1.4840027, 1.6608319, 1.7772298, 1.9853343, 2.2145282");
+						values("0.0943812, 0.15101, 0.188762, 0.15101, 0.0943812");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("2.28378");
+						index_3("0.001");
+						index_4("1.0817071, 1.1889228, 1.3167936, 1.4430735, 1.6066684");
+						values("0.14228, 0.227648, 0.28456, 0.227648, 0.14228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("2.61817");
+						index_3("0.001");
+						index_4("1.2523955, 1.3577087, 1.4558798, 1.6473129, 1.8373248");
+						values("0.0558977, 0.0894364, 0.111795, 0.0894364, 0.0558977");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("1.63219");
+						index_3("0.001");
+						index_4("0.8260413, 0.8811486, 0.9620001, 1.1039569, 1.2412737");
+						values("0.0842002, 0.13472, 0.1684, 0.13472, 0.0842002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.32651");
+						index_2("1.14189");
+						index_3("0.001");
+						index_4("0.6024743, 0.6465852, 0.7100643, 0.8273783, 0.9351961");
+						values("0.115959, 0.185534, 0.231918, 0.185534, 0.115959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.90399");
+						index_2("4.89658");
+						index_3("0.001");
+						index_4("2.1457202, 2.4371209, 2.6044849, 2.8585164, 3.1867944");
+						values("0.0984756, 0.157561, 0.196951, 0.157561, 0.0984756");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("5.23289");
+						index_3("0.001");
+						index_4("1.1945246, 1.2415892, 2.6846067, 2.7535509, 2.8001545");
+						values("5.44816, 5.41706, 5.39632, 5.41706, 5.44816");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("2.7213");
+						index_3("0.001");
+						index_4("1.2954733, 1.4403579, 1.5367570, 1.5903295, 1.6436038");
+						values("5.35564, 5.26903, 5.21129, 5.26903, 5.35564");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("1.72517");
+						index_3("0.001");
+						index_4("0.9662569, 1.0404303, 1.1429117, 1.2288767, 1.2850171");
+						values("4.53883, 3.96212, 3.57765, 3.96212, 4.53883");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.63966");
+						index_2("2.61645");
+						index_3("0.001");
+						index_4("0.6861452, 0.7151892, 0.7793619, 1.4382396, 1.4769769");
+						values("5.43868, 5.40188, 5.37736, 5.40188, 5.43868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("1.36065");
+						index_3("0.001");
+						index_4("0.4128545, 0.7056708, 0.7757787, 0.8326601, 0.8681525");
+						values("5.37275, 5.2964, 5.2455, 5.2964, 5.37275");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.31665");
+						index_2("0.862584");
+						index_3("0.001");
+						index_4("0.3072294, 0.4517359, 0.5326506, 0.5938659, 0.6271710");
+						values("5.31636, 5.20618, 5.13272, 5.20618, 5.31636");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.97989");
+						index_2("4.08195");
+						index_3("0.001");
+						index_4("2.1040272, 2.1682337, 2.2741018, 2.3503233, 2.4172684");
+						values("5.21477, 5.04363, 4.92954, 5.04363, 5.21477");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.140543;
+			capacitance : 0.140392;
+			fall_capacitance : 0.140242;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3606864, -0.3562182, -0.3517500, -0.3521326, -0.3525000, -0.3528826, -0.3532653");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602284, 0.3555600, 0.3508916, 0.3520574, 0.3531766, 0.3543424, 0.3555082");
+				}
+			}*/
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133581;
+			capacitance : 0.133411;
+			fall_capacitance : 0.133241;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3609688, -0.3561999, -0.3514311, -0.3520184, -0.3525822, -0.3531695, -0.3537569");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3602862, 0.3546780, 0.3490698, 0.3496305, 0.3501687, 0.3507294, 0.3512901");
+				}
+			}*/
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v65_1v65.lib
new file mode 100644
index 0000000..50e2d07
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v65_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ss_100C_1v65_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.650000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.650000);
+	voltage_map("VCCHIB",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.495; 
+			 vih : 1.155; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+	 operating_conditions ("ff_ss_1p65v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.650000;
+		tree_type : "balanced_tree";
+	}	
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.281610e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.7560000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "142.8690000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.6220000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.5780000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "228.1610000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.0390000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.0410000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.2170000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.5800000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "208.4410000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "223.5090000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "210.9440000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "211.2730000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "210.4580000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "208.5340000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.8120000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "227.4830000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "226.9970000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006717;
+			capacitance : 0.006561;
+			fall_capacitance : 0.006405;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2546418, 4.1562512, 4.0993180, 4.0666824, 4.0379160, 4.0144375, 4.0153714", \
+					  "4.6001916, 4.4992723, 4.4463937, 4.4137581, 4.3834659, 4.3584614, 4.3517659", \
+					  "4.9729414, 4.8725076, 4.8228531, 4.7712486, 4.7627358, 4.7377926, 4.7275670", \
+					  "5.2569569, 5.1562015, 5.1046849, 5.0689975, 5.0402312, 5.0167526, 5.0146348", \
+					  "5.4889158, 5.3895224, 5.3366438, 5.3009564, 5.2721901, 5.2487115, 5.2404901", \
+					  "5.6661558, 5.5667624, 5.5123575, 5.4675152, 5.4555336, 5.4259515, 5.4226857", \
+					  "5.8602437, 5.7608938, 5.7079717, 5.6677066, 5.6378416, 5.6322754, 5.6209733");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8976025, 3.7766948, 3.6760624, 3.6202706, 3.5344748, 3.4732813, 3.4657224", \
+					  "4.2416340, 4.1222526, 4.0320208, 3.9701645, 3.8851525, 3.8297963, 3.8016255", \
+					  "4.6158844, 4.5023903, 4.3976971, 4.3322224, 4.2690654, 4.1899620, 4.1858328", \
+					  "4.8998968, 4.7789890, 4.6938753, 4.6433282, 4.5488775, 4.4754865, 4.4429250", \
+					  "5.1318517, 5.0109444, 4.9120417, 4.8800517, 4.7764955, 4.7080209, 4.6522056", \
+					  "5.3319360, 5.2251024, 5.1294531, 5.0813094, 4.9959026, 4.9202778, 4.9021327", \
+					  "5.5181959, 5.3964800, 5.3064076, 5.2432878, 5.1631901, 5.0947453, 5.0528522");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6006166, -3.5277485, -3.4697078, -3.4553826, -3.4318374, -3.4021365, -3.3854687", \
+					  "-3.9476717, -3.8788188, -3.8182885, -3.8253260, -3.7651066, -3.7589236, -3.7379057", \
+					  "-4.3220247, -4.2546515, -4.1880643, -4.1767906, -4.1493109, -4.1361401, -4.1025918", \
+					  "-4.6060513, -4.5360730, -4.4751422, -4.4837056, -4.4305250, -4.3937522, -4.3923542", \
+					  "-4.8380248, -4.7691719, -4.7101680, -4.6760064, -4.6680137, -4.6489854, -4.6296102", \
+					  "-5.0536404, -4.9862023, -4.9419004, -4.9319857, -4.8725354, -4.8565842, -4.8425790", \
+					  "-5.2392865, -5.1553545, -5.0931190, -5.0971042, -5.0505175, -5.0390751, -5.0152759");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7577987, -3.5980443, -3.5281833, -3.4569085, -3.4096407, -3.3234899, -3.3128126", \
+					  "-4.1048540, -3.9488756, -3.8320242, -3.7902305, -3.7265242, -3.6826970, -3.6238170", \
+					  "-4.4792070, -4.3232286, -4.2402981, -4.1737389, -4.1250138, -4.0540146, -3.9738459", \
+					  "-4.7632335, -4.5900276, -4.4934538, -4.4470842, -4.4063794, -4.3290693, -4.3149992", \
+					  "-4.9952071, -4.8254962, -4.7437371, -4.6592141, -4.6164416, -4.5782615, -4.5328409", \
+					  "-5.2108226, -5.0548447, -4.9794606, -4.8702213, -4.8407014, -4.7918782, -4.7343136", \
+					  "-5.3827358, -5.2369693, -5.1516446, -5.0498021, -5.0276536, -4.9503488, -4.8714372");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312122, 0.0307458, 0.0302794, 0.0306285, 0.0309637, 0.0313129, 0.0316620");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0392885, 0.0388393, 0.0383900, 0.0389835, 0.0395533, 0.0401469, 0.0407404");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004926;
+			capacitance : 0.004786;
+			fall_capacitance : 0.004646;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7578080, -3.6595375, -3.6048259, -3.5682978, -3.5364797, -3.5073302, -3.4980469", \
+					  "-4.1048624, -4.0065921, -3.9434102, -3.9123004, -3.8652239, -3.8559708, -3.8462066", \
+					  "-4.4792172, -4.3809469, -4.3177650, -4.2958106, -4.2563634, -4.2287606, -4.2157004", \
+					  "-4.7632442, -4.6649735, -4.6094210, -4.5752595, -4.5396635, -4.5130310, -4.5037540", \
+					  "-4.9952182, -4.8952812, -4.8398696, -4.8118116, -4.7693122, -4.7472632, -4.7375621", \
+					  "-5.2108328, -5.1125626, -5.0585360, -5.0197968, -4.9879787, -4.9568711, -4.9476500", \
+					  "-5.3682385, -5.2688837, -5.2161517, -5.1932722, -5.1537447, -5.1207720, -5.1109141");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6571050, -3.5624234, -3.4533334, -3.3912959, -3.3195881, -3.2585449, -3.2122036", \
+					  "-4.0041598, -3.9080749, -3.8003882, -3.7246505, -3.6601000, -3.6101408, -3.5603919", \
+					  "-4.3785133, -4.2839520, -4.1763261, -4.1157555, -4.0542935, -4.0072596, -3.8834862", \
+					  "-4.6640653, -4.5660446, -4.4602936, -4.3917249, -4.3336776, -4.2810481, -4.2176242", \
+					  "-4.8945134, -4.7984285, -4.7058315, -4.6302302, -4.5684400, -4.5029493, -4.3942878", \
+					  "-5.1101289, -5.0155695, -4.9079445, -4.8351644, -4.7856223, -4.7051000, -4.6671313", \
+					  "-5.2866198, -5.1783242, -5.0868462, -5.0223361, -4.9372379, -4.8947621, -4.8203412");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2607698, 4.1587221, 4.0962663, 4.0666824, 4.0139210, 4.0133100, 4.0022206", \
+					  "4.6047946, 4.5019457, 4.4433424, 4.4122326, 4.3636302, 4.3588584, 4.3493540", \
+					  "4.9790676, 4.8779476, 4.8145637, 4.7788762, 4.7330897, 4.7238069, 4.7195792", \
+					  "5.2630831, 5.1586809, 5.1001050, 5.0689953, 5.0162237, 5.0156317, 4.9917442", \
+					  "5.4950416, 5.3921927, 5.3250704, 5.3009537, 5.2481893, 5.2475476, 5.2256668", \
+					  "5.6936396, 5.5938425, 5.5398169, 5.5089918, 5.4674699, 5.4375649, 5.4408960", \
+					  "5.8709413, 5.7696215, 5.7198560, 5.6783794, 5.6372989, 5.6200141, 5.5996028");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8686168, 3.7367481, 3.6312505, 3.5625390, 3.5247867, 3.4288344, 3.3909294", \
+					  "4.2146125, 4.0751969, 3.9739574, 3.9104798, 3.8892003, 3.7766868, 3.6792962", \
+					  "4.5838256, 4.4459359, 4.3495046, 4.2804682, 4.2232172, 4.1429027, 4.0778241", \
+					  "4.8693097, 4.7478641, 4.6288882, 4.5610087, 4.5209061, 4.4342146, 4.3248381", \
+					  "5.0881693, 4.9543994, 4.8608924, 4.7990188, 4.7763813, 4.6420310, 4.5676545", \
+					  "5.2890854, 5.1481444, 5.0466589, 4.9925911, 4.9708822, 4.8480072, 4.7531790", \
+					  "5.4793879, 5.3432537, 5.2508827, 5.1844527, 5.1237386, 5.0519742, 4.9880183");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0370968, 0.0368296, 0.0365625, 0.0368443, 0.0371149, 0.0373968, 0.0376786");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0453915, 0.0451070, 0.0448225, 0.0453673, 0.0458903, 0.0464350, 0.0469798");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006608;
+			capacitance : 0.006452;
+			fall_capacitance : 0.006295;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2805824, 4.1828370, 4.1298354, 4.0941480, 4.0653816, 4.0464808, 4.0382593", \
+					  "4.6261433, 4.5267379, 4.4738704, 4.4412348, 4.4109425, 4.3905158, 4.3853461", \
+					  "4.9988576, 4.9012010, 4.8481106, 4.8032678, 4.7884299, 4.7601787, 4.7534828", \
+					  "5.2843945, 5.1850717, 5.1275440, 5.0903306, 5.0676679, 5.0441898, 5.0466491", \
+					  "5.5163481, 5.4169427, 5.3656011, 5.3283878, 5.2996215, 5.2761429, 5.2743266", \
+					  "5.7289878, 5.6311740, 5.5771430, 5.5478669, 5.5089473, 5.4940672, 5.4916052", \
+					  "5.9027266, 5.8017953, 5.7474019, 5.7165191, 5.6804981, 5.6703933, 5.6604035");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8838682, 3.7472175, 3.6446018, 3.5890780, 3.5470500, 3.4395243, 3.3925543", \
+					  "4.2518485, 4.1151973, 4.0195792, 3.9664039, 3.8973321, 3.8076833, 3.8185116", \
+					  "4.6065767, 4.4684001, 4.3673543, 4.3163644, 4.2604438, 4.1713236, 4.1145601", \
+					  "4.8878455, 4.7469453, 4.6683433, 4.6104150, 4.5352973, 4.4586479, 4.4372739", \
+					  "5.1148985, 4.9770404, 4.8968614, 4.8313227, 4.7573752, 4.6921283, 4.6427340", \
+					  "5.3013578, 5.1631808, 5.0804075, 5.0026967, 4.9476070, 4.8483669, 4.8340317", \
+					  "5.4932777, 5.3601912, 5.2642191, 5.2032350, 5.1398604, 5.0536467, 4.9981018");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7379457, -3.6568859, -3.5966123, -3.5881342, -3.5395315, -3.5227056, -3.5126710", \
+					  "-4.0865255, -4.0039400, -3.9312027, -3.9184036, -3.8797589, -3.8790453, -3.8582077", \
+					  "-4.4593562, -4.3782966, -4.3055596, -4.3069905, -4.2655201, -4.2440271, -4.2277508", \
+					  "-4.7449091, -4.6623236, -4.5880608, -4.5493215, -4.5466761, -4.5299068, -4.5279893", \
+					  "-4.9768840, -4.8938695, -4.8200352, -4.8163910, -4.7815216, -4.7624511, -4.7519244", \
+					  "-5.1924973, -5.1099118, -5.0356485, -5.0320048, -5.0117130, -4.9731563, -4.9715989", \
+					  "-5.3499078, -5.2707640, -5.1961108, -5.2062392, -5.1680444, -5.1411899, -5.1405109");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6998153, -3.5692533, -3.4839466, -3.4116982, -3.3856000, -3.3218081, -3.2777702", \
+					  "-4.0468706, -3.9183583, -3.8152399, -3.7719203, -3.6820495, -3.6584055, -3.6097889", \
+					  "-4.4212236, -4.2927290, -4.1962453, -4.1331522, -4.0589045, -3.9989898, -3.9738459", \
+					  "-4.7052497, -4.5769377, -4.4781940, -4.4287735, -4.3445631, -4.3191732, -4.2863435", \
+					  "-4.9372237, -4.8087114, -4.7129427, -4.6435995, -4.5797785, -4.5243588, -4.4886212", \
+					  "-5.1528392, -5.0243487, -4.9241359, -4.8702271, -4.7888295, -4.7329400, -4.7107022", \
+					  "-5.3262783, -5.1947142, -5.1253883, -5.0467503, -4.9687487, -4.9129231, -4.8507768");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312321, 0.0307652, 0.0302984, 0.0306477, 0.0309830, 0.0313324, 0.0316817");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0391935, 0.0387459, 0.0382982, 0.0388911, 0.0394602, 0.0400530, 0.0406459");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026795;
+			capacitance : 0.026877;
+			fall_capacitance : 0.026960;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034072, -0.0038311, -0.0042551, -0.0044038, -0.0045465, -0.0046952, -0.0048438");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0266161, 0.0270477, 0.0274792, 0.0273188, 0.0271648, 0.0270044, 0.0268440");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.033173;
+			capacitance : 0.032889;
+			fall_capacitance : 0.032605;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0822904, 0.0864640, 0.0906376, 0.0935858, 0.0964160, 0.0993641, 0.1023122");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0982811, 0.1027228, 0.1071646, 0.1101479, 0.1130120, 0.1159954, 0.1189787");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052431;
+			capacitance : 0.052048;
+			fall_capacitance : 0.051666;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0140870, -0.0144238, -0.0147607, -0.0147712, -0.0147814, -0.0147920, -0.0148025");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0145681, 0.0146888, 0.0148094, 0.0148276, 0.0148450, 0.0148631, 0.0148812");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016804;
+			capacitance : 0.016758;
+			fall_capacitance : 0.016712;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0187132, 0.0198624, 0.0210116, 0.0236126, 0.0261095, 0.0287105, 0.0313114");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0219897, 0.0230849, 0.0241801, 0.0265822, 0.0288882, 0.0312903, 0.0336924");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037396;
+			capacitance : 0.036898;
+			fall_capacitance : 0.036401;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0217560, -3.9499952, -3.9064553, -3.8802563, -3.8684036, -3.8471690, -3.8404730", \
+					  "-4.3703372, -4.2892434, -4.2565024, -4.2314194, -4.2103065, -4.1957497, -4.1860024", \
+					  "-4.7431643, -4.6698776, -4.6338850, -4.6072463, -4.5844259, -4.5701027, -4.5786661", \
+					  "-5.0317681, -4.9554296, -4.9181567, -4.8853074, -4.8717263, -4.8571811, -4.8509585", \
+					  "-5.2622162, -5.1874036, -5.1422965, -5.1203288, -5.1019519, -5.0845774, -5.0810384", \
+					  "-5.4747799, -5.4014932, -5.3655007, -5.3373348, -5.3114643, -5.3052244, -5.3057041", \
+					  "-5.6436413, -5.5655917, -5.5398901, -5.5035023, -5.4867068, -5.4721056, -5.4669360");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6957700, -2.6051267, -2.5557063, -2.5093378, -2.4775199, -2.4144046, -2.3720601", \
+					  "-3.0397728, -2.9582849, -2.9027611, -2.8640219, -2.8245745, -2.7690525, -2.7125137", \
+					  "-4.8438761, -3.3204326, -3.2755900, -3.2307472, -3.1958775, -3.1373039, -3.0878835", \
+					  "-3.6996801, -3.6181921, -4.9084933, -4.8361850, -4.7756427, -3.4167528, -3.3660467", \
+					  "-3.9331802, -3.8472569, -3.7931167, -3.7442837, -3.7122607, -3.6517788, -3.6023584", \
+					  "-4.1426913, -4.0596777, -4.0093952, -3.9562591, -3.9305445, -3.8716254, -3.8146168", \
+					  "-4.3191776, -4.2383596, -4.1826699, -4.1373227, -4.1055050, -4.0351426, -3.9975109");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4484255, 4.3552995, 4.3071651, 4.2836075, 4.2606943, 4.2402675, 4.2274684", \
+					  "4.7985618, 4.6993319, 4.6527069, 4.6263676, 4.6063187, 4.5858258, 4.5745526", \
+					  "5.1682319, 5.0735796, 5.0254004, 5.0004283, 4.9791569, 4.9622047, 4.9442226", \
+					  "5.4537697, 5.3606433, 5.3094225, 5.2859169, 5.2629863, 5.2425595, 5.2312863", \
+					  "5.6887759, 5.5911647, 5.5450256, 5.5148635, 5.4949412, 5.4745144, 5.4617149", \
+					  "5.9014098, 5.8021803, 5.7540864, 5.7305114, 5.7077679, 5.6871484, 5.6728234", \
+					  "6.0720773, 5.9774255, 5.9262709, 5.8997960, 5.8767354, 5.8647090, 5.8480681");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1753004, 4.0424164, 3.9513044, 3.8899544, 3.8151347, 3.7539490, 3.7186845", \
+					  "4.5229152, 4.3930831, 4.3034969, 4.2327144, 4.1642754, 4.1139246, 4.0745920", \
+					  "4.8921181, 4.7638118, 4.6726994, 4.6006255, 4.5350832, 4.4855855, 4.4483722", \
+					  "5.1776035, 5.0477714, 4.9585303, 4.8874027, 4.8189637, 4.7665576, 4.7295894", \
+					  "5.4037106, 5.2693008, 5.1797147, 5.1089322, 5.0450708, 4.9988616, 4.9508516", \
+					  "5.5860452, 5.4592649, 5.3666270, 5.2958440, 5.2319827, 5.1818090, 5.1305349", \
+					  "5.7796699, 5.6493679, 5.5602516, 5.4926328, 5.4256078, 5.3746636, 5.3298209");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1487386, 0.1791407, 0.2095427, 0.2333694, 0.2562431, 0.2800698, 0.3038965");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2076119, 0.2430872, 0.2785624, 0.2855924, 0.2923411, 0.2993710, 0.3064009");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010669;
+			capacitance : 0.010478;
+			fall_capacitance : 0.010287;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0055917, 0.0169493, 0.0394903, 0.2686730, 0.4886883, 0.7178709, 0.9470535");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0400024, 0.0583468, 0.0766913, 0.2052250, 0.3286173, 0.4571510, 0.5856847");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007007;
+			capacitance : 0.006852;
+			fall_capacitance : 0.006696;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4255406, 4.3322442, 4.2763196, 4.2421580, 4.2133921, 4.1990689, 4.1862698", \
+					  "4.7695708, 4.6778060, 4.6218760, 4.5739815, 4.5528446, 4.5507489, 4.5372082", \
+					  "5.1438261, 5.0505296, 4.9946050, 4.9589175, 4.9301517, 4.9158285, 4.9081248", \
+					  "5.4278389, 5.3345424, 5.2801441, 5.2444567, 5.2156904, 5.2013672, 5.1900940", \
+					  "5.6597947, 5.5684060, 5.5120995, 5.4748861, 5.4375800, 5.4333229, 5.4220493", \
+					  "5.8400509, 5.7452286, 5.7076146, 5.6569530, 5.6248506, 5.6474774, 5.6236680", \
+					  "6.0326018, 5.9388377, 5.8879588, 5.8537973, 5.8230343, 5.8122754, 5.7933310");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8564039, 3.7309114, 3.6588861, 3.5928829, 3.4845400, 3.4278576, 3.4141692", \
+					  "4.2004451, 4.0862294, 3.9964941, 3.9377011, 3.8418884, 3.7794104, 3.7624697", \
+					  "4.5746667, 4.4491742, 4.3613609, 4.2968138, 4.2174102, 4.1490680, 4.1372317", \
+					  "4.8586751, 4.7331826, 4.6578744, 4.5871949, 4.4982887, 4.4332074, 4.4123534", \
+					  "5.0921519, 4.9651335, 4.8864906, 4.8248301, 4.7288269, 4.6845885, 4.6521913", \
+					  "5.3032807, 5.1777882, 5.1052156, 5.0394491, 4.9460825, 4.9134455, 4.8882727", \
+					  "5.4694558, 5.3598173, 5.2639269, 5.2113494, 5.1295495, 5.0530893, 5.0089118");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7760925, -3.6874032, -3.6329768, -3.6064447, -3.5822562, -3.5651366, -3.5433493", \
+					  "-4.1231478, -4.0367325, -3.9815579, -3.9397669, -3.9328933, -3.9162453, -3.8970113", \
+					  "-4.4975008, -4.4088113, -4.3543850, -4.3202235, -4.3036643, -4.2864531, -4.2680070", \
+					  "-4.7830532, -4.6943637, -4.6384112, -4.6224484, -4.5831132, -4.5722654, -4.5567853", \
+					  "-5.0150268, -4.9249340, -4.8703852, -4.8392754, -4.8196644, -4.8061317, -4.7928463", \
+					  "-5.2291164, -5.1404274, -5.0848185, -5.0564168, -5.0325039, -5.0144362, -5.0005282", \
+					  "-5.4025555, -5.3119120, -5.2636715, -5.2357103, -5.2136763, -5.1916557, -5.1861743");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7150742, -3.5680194, -3.4734588, -3.4125675, -3.3855978, -3.3218017, -3.2809979", \
+					  "-4.0621290, -3.9259877, -3.8030318, -3.7566614, -3.6805343, -3.6569600, -2.9763307", \
+					  "-4.4364825, -4.3003412, -4.1854233, -4.1386309, -4.0801369, -3.9989862, -3.9738459", \
+					  "-4.7220345, -4.5720234, -3.9140652, -4.4116175, -4.3640462, -4.3290688, -4.2895573", \
+					  "-4.9524826, -4.8163413, -4.7056947, -4.0431398, -4.5888939, -4.5243620, -4.4742665", \
+					  "-5.1680981, -5.0319568, -4.9149238, -4.8587163, -4.8044459, -4.7329387, -4.7107022", \
+					  "-5.3415367, -5.1947146, -5.1099491, -5.0304624, -4.9801791, -4.9129214, -4.8524448");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312937, 0.0308263, 0.0303588, 0.0307075, 0.0310423, 0.0313910, 0.0317397");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0392350, 0.0388034, 0.0383719, 0.0389238, 0.0394536, 0.0400055, 0.0405573");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017728;
+			capacitance : 0.017680;
+			fall_capacitance : 0.017633;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0920951, 0.1236655, 0.1552358, 0.1781792, 0.2002049, 0.2231483, 0.2460917");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1279057, 0.1645094, 0.2011131, 0.2069756, 0.2126036, 0.2184661, 0.2243286");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005587;
+			capacitance : 0.005448;
+			fall_capacitance : 0.005309;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7745804, -3.6752945, -3.6329768, -3.5896601, -3.5395315, -3.5335245, -3.5140024", \
+					  "-4.1216352, -4.0259931, -3.9678249, -3.9321375, -3.9050165, -3.8790408, -3.8627441", \
+					  "-4.4959882, -4.3967023, -4.3543850, -4.3140067, -4.2655175, -4.2547621, -4.2326264", \
+					  "-4.7815407, -4.6807289, -4.6368857, -4.6091999, -4.5550916, -4.5423262, -4.5239050", \
+					  "-5.0135147, -4.9114283, -4.8566521, -4.8240165, -4.7815176, -4.7685768, -4.7545205", \
+					  "-5.2291302, -5.1283184, -5.0782642, -5.0396320, -5.0112427, -4.9843762, -4.9691115", \
+					  "-5.4010429, -5.3012783, -5.2556321, -5.2246769, -5.1902737, -5.1621913, -5.1488073");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6677485, -3.5742551, -3.4453107, -3.4047367, -3.3497633, -3.2840294, -3.2122686", \
+					  "-4.0148051, -3.9330563, -3.7923675, -3.7475109, -3.6908138, -3.6557887, -3.5625715", \
+					  "-4.3891510, -4.3074022, -4.1866925, -4.1260854, -4.0678360, -4.0126193, -3.9364780", \
+					  "-4.6747026, -4.5854962, -4.4507386, -4.4053381, -4.3852754, -4.3022578, -4.2176806", \
+					  "-4.9051489, -4.8233996, -4.6936297, -4.6454806, -4.5872259, -4.5300339, -4.4354784", \
+					  "-5.1207689, -5.0390196, -4.9175936, -4.8563968, -4.7683730, -4.6942314, -4.6702031", \
+					  "-5.3110274, -5.2109514, -5.0863822, -5.0242789, -4.9778135, -4.9140294, -4.8322699");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3935103, 4.2941871, 4.2305433, 4.1929125, 4.1615118, 4.1446347, 4.1252342", \
+					  "4.7390770, 4.6399260, 4.5761100, 4.5388966, 4.4963977, 4.4851263, 4.4708013", \
+					  "5.1132998, 5.0124512, 4.9488070, 4.9115936, 4.8690947, 4.8517197, 4.8419724", \
+					  "5.3914325, 5.2921097, 5.2328158, 5.2017056, 5.1546290, 5.1456371, 5.1275067", \
+					  "5.6176266, 5.5337062, 5.4662926, 5.4321310, 5.3871541, 5.3827985, 5.3441991", \
+					  "5.8323447, 5.7410643, 5.6464898, 5.6463104, 5.5896654, 5.5818588, 5.5579655", \
+					  "6.0080930, 5.9079655, 5.8512073, 5.8090264, 5.7791241, 5.7412864, 5.7527399");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8731745, 3.7544686, 3.6308703, 3.6116259, 3.5088568, 3.4221453, 3.3853907", \
+					  "4.2176385, 4.0989326, 3.9831301, 3.9222304, 3.8643615, 3.7633397, 3.7435213", \
+					  "4.5914297, 4.4727238, 4.3521776, 4.3042830, 4.2497168, 4.1371211, 4.0895645", \
+					  "4.8738740, 4.7503715, 4.6529321, 4.5929374, 4.5377025, 4.4259403, 4.3997599", \
+					  "5.0953302, 4.9750984, 4.8658209, 4.8117828, 4.7373574, 4.6468534, 4.5742577", \
+					  "5.2906069, 5.1703751, 5.0677043, 5.0152622, 4.9167104, 4.8479632, 4.8345624", \
+					  "5.4850975, 5.3630472, 5.2463188, 5.2015008, 5.1222789, 5.0400131, 4.9722416");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0368267, 0.0365129, 0.0361992, 0.0365155, 0.0368193, 0.0371356, 0.0374520");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0440223, 0.0436889, 0.0433554, 0.0438861, 0.0443955, 0.0449261, 0.0454568");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005174;
+			capacitance : 0.004952;
+			fall_capacitance : 0.004731;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0081042, -0.0080631, -0.0080220, -0.0079751, -0.0079301, -0.0078832, -0.0078363");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0081144, 0.0080929, 0.0080714, 0.0080810, 0.0080902, 0.0080998, 0.0081094");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2546418, 4.6001916, 4.9729414, 5.2569569, 5.4889158, 5.6661558, 5.8602437", \
+					  "4.1562512, 4.4992723, 4.8725076, 5.1562015, 5.3895224, 5.5667624, 5.7608938", \
+					  "4.0993180, 4.4463937, 4.8228531, 5.1046849, 5.3366438, 5.5123575, 5.7079717", \
+					  "4.0666824, 4.4137581, 4.7712486, 5.0689975, 5.3009564, 5.4675152, 5.6677066", \
+					  "4.0379160, 4.3834659, 4.7627358, 5.0402312, 5.2721901, 5.4555336, 5.6378416", \
+					  "4.0144375, 4.3584614, 4.7377926, 5.0167526, 5.2487115, 5.4259515, 5.6322754", \
+					  "4.0153714, 4.3517659, 4.7275670, 5.0146348, 5.2404901, 5.4226857, 5.6209733");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6006166, -3.9476717, -4.3220247, -4.6060513, -4.8380248, -5.0536404, -5.2392865", \
+					  "-3.5277485, -3.8788188, -4.2546515, -4.5360730, -4.7691719, -4.9862023, -5.1553545", \
+					  "-3.4697078, -3.8182885, -4.1880643, -4.4751422, -4.7101680, -4.9419004, -5.0931190", \
+					  "-3.4553826, -3.8253260, -4.1767906, -4.4837056, -4.6760064, -4.9319857, -5.0971042", \
+					  "-3.4318374, -3.7651066, -4.1493109, -4.4305250, -4.6680137, -4.8725354, -5.0505175", \
+					  "-3.4021365, -3.7589236, -4.1361401, -4.3937522, -4.6489854, -4.8565842, -5.0390751", \
+					  "-3.3854687, -3.7379057, -4.1025918, -4.3923542, -4.6296102, -4.8425790, -5.0152759");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.0217560, -4.3703372, -4.7431643, -5.0317681, -5.2622162, -5.4747799, -5.6436413", \
+					  "-3.9499952, -4.2892434, -4.6698776, -4.9554296, -5.1874036, -5.4014932, -5.5655917", \
+					  "-3.9064553, -4.2565024, -4.6338850, -4.9181567, -5.1422965, -5.3655007, -5.5398901", \
+					  "-3.8802563, -4.2314194, -4.6072463, -4.8853074, -5.1203288, -5.3373348, -5.5035023", \
+					  "-3.8684036, -4.2103065, -4.5844259, -4.8717263, -5.1019519, -5.3114643, -5.4867068", \
+					  "-3.8471690, -4.1957497, -4.5701027, -4.8571811, -5.0845774, -5.3052244, -5.4721056", \
+					  "-3.8404730, -4.1860024, -4.5786661, -4.8509585, -5.0810384, -5.3057041, -5.4669360");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4484255, 4.7985618, 5.1682319, 5.4537697, 5.6887759, 5.9014098, 6.0720773", \
+					  "4.3552995, 4.6993319, 5.0735796, 5.3606433, 5.5911647, 5.8021803, 5.9774255", \
+					  "4.3071651, 4.6527069, 5.0254004, 5.3094225, 5.5450256, 5.7540864, 5.9262709", \
+					  "4.2836075, 4.6263676, 5.0004283, 5.2859169, 5.5148635, 5.7305114, 5.8997960", \
+					  "4.2606943, 4.6063187, 4.9791569, 5.2629863, 5.4949412, 5.7077679, 5.8767354", \
+					  "4.2402675, 4.5858258, 4.9622047, 5.2425595, 5.4745144, 5.6871484, 5.8647090", \
+					  "4.2274684, 4.5745526, 4.9442226, 5.2312863, 5.4617149, 5.6728234, 5.8480681");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4255406, 4.7695708, 5.1438261, 5.4278389, 5.6597947, 5.8400509, 6.0326018", \
+					  "4.3322442, 4.6778060, 5.0505296, 5.3345424, 5.5684060, 5.7452286, 5.9388377", \
+					  "4.2763196, 4.6218760, 4.9946050, 5.2801441, 5.5120995, 5.7076146, 5.8879588", \
+					  "4.2421580, 4.5739815, 4.9589175, 5.2444567, 5.4748861, 5.6569530, 5.8537973", \
+					  "4.2133921, 4.5528446, 4.9301517, 5.2156904, 5.4375800, 5.6248506, 5.8230343", \
+					  "4.1990689, 4.5507489, 4.9158285, 5.2013672, 5.4333229, 5.6474774, 5.8122754", \
+					  "4.1862698, 4.5372082, 4.9081248, 5.1900940, 5.4220493, 5.6236680, 5.7933310");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7760925, -4.1231478, -4.4975008, -4.7830532, -5.0150268, -5.2291164, -5.4025555", \
+					  "-3.6874032, -4.0367325, -4.4088113, -4.6943637, -4.9249340, -5.1404274, -5.3119120", \
+					  "-3.6329768, -3.9815579, -4.3543850, -4.6384112, -4.8703852, -5.0848185, -5.2636715", \
+					  "-3.6064447, -3.9397669, -4.3202235, -4.6224484, -4.8392754, -5.0564168, -5.2357103", \
+					  "-3.5822562, -3.9328933, -4.3036643, -4.5831132, -4.8196644, -5.0325039, -5.2136763", \
+					  "-3.5651366, -3.9162453, -4.2864531, -4.5722654, -4.8061317, -5.0144362, -5.1916557", \
+					  "-3.5433493, -3.8970113, -4.2680070, -4.5567853, -4.7928463, -5.0005282, -5.1861743");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7745804, -4.1216352, -4.4959882, -4.7815407, -5.0135147, -5.2291302, -5.4010429", \
+					  "-3.6752945, -4.0259931, -4.3967023, -4.6807289, -4.9114283, -5.1283184, -5.3012783", \
+					  "-3.6329768, -3.9678249, -4.3543850, -4.6368857, -4.8566521, -5.0782642, -5.2556321", \
+					  "-3.5896601, -3.9321375, -4.3140067, -4.6091999, -4.8240165, -5.0396320, -5.2246769", \
+					  "-3.5395315, -3.9050165, -4.2655175, -4.5550916, -4.7815176, -5.0112427, -5.1902737", \
+					  "-3.5335245, -3.8790408, -4.2547621, -4.5423262, -4.7685768, -4.9843762, -5.1621913", \
+					  "-3.5140024, -3.8627441, -4.2326264, -4.5239050, -4.7545205, -4.9691115, -5.1488073");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3935103, 4.7390770, 5.1132998, 5.3914325, 5.6176266, 5.8323447, 6.0080930", \
+					  "4.2941871, 4.6399260, 5.0124512, 5.2921097, 5.5337062, 5.7410643, 5.9079655", \
+					  "4.2305433, 4.5761100, 4.9488070, 5.2328158, 5.4662926, 5.6464898, 5.8512073", \
+					  "4.1929125, 4.5388966, 4.9115936, 5.2017056, 5.4321310, 5.6463104, 5.8090264", \
+					  "4.1615118, 4.4963977, 4.8690947, 5.1546290, 5.3871541, 5.5896654, 5.7791241", \
+					  "4.1446347, 4.4851263, 4.8517197, 5.1456371, 5.3827985, 5.5818588, 5.7412864", \
+					  "4.1252342, 4.4708013, 4.8419724, 5.1275067, 5.3441991, 5.5579655, 5.7527399");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7578080, -4.1048624, -4.4792172, -4.7632442, -4.9952182, -5.2108328, -5.3682385", \
+					  "-3.6595375, -4.0065921, -4.3809469, -4.6649735, -4.8952812, -5.1125626, -5.2688837", \
+					  "-3.6048259, -3.9434102, -4.3177650, -4.6094210, -4.8398696, -5.0585360, -5.2161517", \
+					  "-3.5682978, -3.9123004, -4.2958106, -4.5752595, -4.8118116, -5.0197968, -5.1932722", \
+					  "-3.5364797, -3.8652239, -4.2563634, -4.5396635, -4.7693122, -4.9879787, -5.1537447", \
+					  "-3.5073302, -3.8559708, -4.2287606, -4.5130310, -4.7472632, -4.9568711, -5.1207720", \
+					  "-3.4980469, -3.8462066, -4.2157004, -4.5037540, -4.7375621, -4.9476500, -5.1109141");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2607698, 4.6047946, 4.9790676, 5.2630831, 5.4950416, 5.6936396, 5.8709413", \
+					  "4.1587221, 4.5019457, 4.8779476, 5.1586809, 5.3921927, 5.5938425, 5.7696215", \
+					  "4.0962663, 4.4433424, 4.8145637, 5.1001050, 5.3250704, 5.5398169, 5.7198560", \
+					  "4.0666824, 4.4122326, 4.7788762, 5.0689953, 5.3009537, 5.5089918, 5.6783794", \
+					  "4.0139210, 4.3636302, 4.7330897, 5.0162237, 5.2481893, 5.4674699, 5.6372989", \
+					  "4.0133100, 4.3588584, 4.7238069, 5.0156317, 5.2475476, 5.4375649, 5.6200141", \
+					  "4.0022206, 4.3493540, 4.7195792, 4.9917442, 5.2256668, 5.4408960, 5.5996028");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.0645236, -4.4131043, -4.7889832, -5.0760615, -5.3065096, -5.5190734, -5.6848830", \
+					  "-3.9940484, -4.3550232, -4.7154562, -5.0010087, -5.2388891, -5.4470723, -5.6205717", \
+					  "-3.9579892, -4.3050441, -4.6862875, -4.9649495, -5.1938717, -5.4110131, -5.5814000", \
+					  "-3.9329830, -4.2730270, -4.6489196, -4.9353656, -5.1613648, -5.3774844, -5.5574147", \
+					  "-3.9184648, -4.2683365, -4.6414243, -4.9311463, -5.1544180, -5.3730049, -5.5383091", \
+					  "-3.9208167, -4.2663257, -4.6407093, -4.9277646, -5.1459661, -5.3678446, -5.5255118", \
+					  "-3.9005179, -4.2502643, -4.6153123, -4.8934534, -5.1300224, -5.3592639, -5.5188158");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5079765, 4.8520066, 5.2277887, 5.5133274, 5.7513867, 5.9624885, 6.1104631", \
+					  "4.4145978, 4.7647311, 5.1328837, 5.4125747, 5.6488528, 5.8367398, 6.0382289", \
+					  "4.3625484, 4.7088505, 5.0808400, 5.3605292, 5.6006016, 5.7976440, 5.9823837", \
+					  "4.3373419, 4.6813915, 5.0512335, 5.3322518, 5.5725436, 5.7503458, 5.9457135", \
+					  "4.3177198, 4.6632865, 5.0360181, 5.3229348, 5.5519787, 5.7337866, 5.9292742", \
+					  "4.2997768, 4.6453324, 5.0211145, 5.2994816, 5.5193892, 5.7466794, 5.9053152", \
+					  "4.2901148, 4.6447404, 5.0144189, 5.2941103, 5.5113046, 5.7399639, 5.9011936");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7861907, 4.1378454, 4.5044864, 4.7885014, 5.0219853, 5.2083722, 5.4009315", \
+					  "3.6959010, 4.0397066, 4.4141968, 4.6982119, 4.9316962, 5.1135050, 5.3026639", \
+					  "3.6415542, 3.9886315, 4.3583242, 4.6438651, 4.8657756, 5.0773037, 5.2684952", \
+					  "3.6012891, 3.9468405, 4.3284179, 4.6256974, 4.8255105, 5.0481755, 5.2300003", \
+					  "3.5908335, 3.9333330, 4.3032061, 4.5916185, 4.8203990, 5.0385299, 5.2082825", \
+					  "3.5632913, 3.9248665, 4.2902582, 4.5779387, 4.8132940, 4.9823344, 5.1956926", \
+					  "3.5615040, 3.9056037, 4.2714834, 4.5653617, 4.7823996, 4.9931777, 5.1903586");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6463860, -3.9934409, -4.3677943, -4.6533463, -4.8837944, -5.0994100, -5.2697972", \
+					  "-3.5325264, -3.8802905, -4.2546941, -4.5408541, -4.7706436, -4.9862818, -5.1613640", \
+					  "-3.5017513, -3.8350733, -4.2094263, -4.4949788, -4.7269528, -4.9446662, -5.1129556", \
+					  "-3.4462275, -3.8009118, -4.1645840, -4.4577654, -4.6760064, -4.8931478, -5.0818453", \
+					  "-3.4411833, -3.7767231, -4.1545955, -4.4320509, -4.6785768, -4.8923627, -5.0535147", \
+					  "-3.4130875, -3.7652203, -4.1451253, -4.4277286, -4.6609500, -4.8715784, -5.0456396", \
+					  "-3.3986631, -3.7488503, -4.1185634, -4.4249282, -4.6511272, -4.8532575, -5.0274831");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2805824, 4.6261433, 4.9988576, 5.2843945, 5.5163481, 5.7289878, 5.9027266", \
+					  "4.1828370, 4.5267379, 4.9012010, 5.1850717, 5.4169427, 5.6311740, 5.8017953", \
+					  "4.1298354, 4.4738704, 4.8481106, 5.1275440, 5.3656011, 5.5771430, 5.7474019", \
+					  "4.0941480, 4.4412348, 4.8032678, 5.0903306, 5.3283878, 5.5478669, 5.7165191", \
+					  "4.0653816, 4.4109425, 4.7884299, 5.0676679, 5.2996215, 5.5089473, 5.6804981", \
+					  "4.0464808, 4.3905158, 4.7601787, 5.0441898, 5.2761429, 5.4940672, 5.6703933", \
+					  "4.0382593, 4.3853461, 4.7534828, 5.0466491, 5.2743266, 5.4916052, 5.6604035");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7379457, -4.0865255, -4.4593562, -4.7449091, -4.9768840, -5.1924973, -5.3499078", \
+					  "-3.6568859, -4.0039400, -4.3782966, -4.6623236, -4.8938695, -5.1099118, -5.2707640", \
+					  "-3.5966123, -3.9312027, -4.3055596, -4.5880608, -4.8200352, -5.0356485, -5.1961108", \
+					  "-3.5881342, -3.9184036, -4.3069905, -4.5493215, -4.8163910, -5.0320048, -5.2062392", \
+					  "-3.5395315, -3.8797589, -4.2655201, -4.5466761, -4.7815216, -5.0117130, -5.1680444", \
+					  "-3.5227056, -3.8790453, -4.2440271, -4.5299068, -4.7624511, -4.9731563, -5.1411899", \
+					  "-3.5126710, -3.8582077, -4.2277508, -4.5279893, -4.7519244, -4.9715989, -5.1405109");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1912200, 0.5382980, 0.8945660, 1.1670416, 1.3367988, 1.5551355, 1.7872408", \
+					  "0.0974990, 0.4489598, 0.8024016, 1.0301219, 1.2521651, 1.5109835, 1.7117320", \
+					  "0.0389031, 0.4134000, 0.7141933, 0.9766279, 1.2451092, 1.4673068, 1.6672172", \
+					  "0.0077933, 0.3789500, 0.7163120, 0.9602411, 1.1538662, 1.3775558, 1.5999349", \
+					  "-0.0113947, 0.3492961, 0.6885277, 0.9609098, 1.1707481, 1.3496039, 1.5954207", \
+					  "-0.0249191, 0.3354309, 0.6768825, 0.9564351, 1.1747301, 1.3687256, 1.5351023", \
+					  "-0.0389400, 0.3234032, 0.6618363, 0.9336732, 1.1794882, 1.3824509, 1.5540185");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2797608, -0.0826186, -0.3917556, -0.6696186, -0.8318767, -1.0645407, -1.2940195", \
+					  "0.3763362, 0.0074046, -0.3159954, -0.5450947, -0.7369615, -0.9899274, -1.1740181", \
+					  "0.4259130, 0.0623850, -0.2622259, -0.5219154, -0.7516261, -0.9385534, -1.0979386", \
+					  "0.4548563, 0.0796448, -0.2261341, -0.4908223, -0.7214330, -0.9024333, -1.1172248", \
+					  "0.4723405, 0.1205544, -0.2088421, -0.4773149, -0.7019279, -0.9057434, -1.0823764", \
+					  "0.4858439, 0.1442699, -0.1877204, -0.4557409, -0.6860788, -0.8992790, -1.0783484", \
+					  "0.4973700, 0.1533050, -0.1698854, -0.4441474, -0.6780817, -0.8891895, -1.0838906");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7715104, -4.1185657, -4.4929187, -4.7784707, -5.0104447, -5.2260602, -5.3979734", \
+					  "-3.6827927, -4.0306325, -4.4042007, -4.6897532, -4.9203789, -5.1373427, -5.3073343", \
+					  "-3.6222958, -3.9708767, -4.3452297, -4.6292563, -4.8642816, -5.0824049, -5.2504007", \
+					  "-3.6064447, -3.9367151, -4.3202235, -4.6046519, -4.8377495, -5.0533650, -5.2327739", \
+					  "-3.5792044, -3.9194618, -4.3006125, -4.5831132, -4.8166126, -5.0315327, -5.2107489", \
+					  "-3.5542442, -3.9086722, -4.2753363, -4.5615375, -4.7937061, -5.0090924, -5.1867015", \
+					  "-3.5405680, -3.8925274, -4.2628103, -4.5521868, -4.7854056, -4.9947404, -5.1785626");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3171989, 4.6612272, 5.0354883, 5.3195020, 5.5514582, 5.7656087, 5.9377657", \
+					  "4.2224484, 4.5679028, 4.9391121, 5.2246516, 5.4566079, 5.6707584, 5.8413894", \
+					  "4.1711748, 4.5089588, 4.8862717, 5.1718112, 5.4007161, 5.6163921, 5.7885495", \
+					  "4.1246658, 4.4793749, 4.8475324, 5.1223912, 5.3619769, 5.5791787, 5.7482843", \
+					  "4.1004771, 4.4475573, 4.8187665, 5.1043061, 5.3347365, 5.5488869, 5.7184731", \
+					  "4.0858737, 4.4301823, 4.8029174, 5.0899829, 5.3204137, 5.5383323, 5.7084202", \
+					  "4.0861592, 4.4234863, 4.7931697, 5.0886582, 5.3203840, 5.5248160, 5.6975662");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024276;
+			capacitance : 0.024988;
+			rise_capacitance : 0.025237;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0645236, -3.9940484, -3.9579892, -3.9329830, -3.9184648, -3.9208167, -3.9005179", \
+					  "-4.4131043, -4.3550232, -4.3050441, -4.2730270, -4.2683365, -4.2663257, -4.2502643", \
+					  "-4.7889832, -4.7154562, -4.6862875, -4.6489196, -4.6414243, -4.6407093, -4.6153123", \
+					  "-5.0760615, -5.0010087, -4.9649495, -4.9353656, -4.9311463, -4.9277646, -4.8934534", \
+					  "-5.3065096, -5.2388891, -5.1938717, -5.1613648, -5.1544180, -5.1459661, -5.1300224", \
+					  "-5.5190734, -5.4470723, -5.4110131, -5.3774844, -5.3730049, -5.3678446, -5.3592639", \
+					  "-5.6848830, -5.6205717, -5.5814000, -5.5574147, -5.5383091, -5.5255118, -5.5188158");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6744120, -2.5623334, -2.4946713, -2.5001825, -2.4363211, -2.3899544, -2.2917061", \
+					  "-3.0184150, -2.9093880, -2.8433202, -2.8319784, -2.7803239, -2.6744482, -2.7318392", \
+					  "-4.8621913, -3.2791651, -3.2146234, -3.2048073, -3.1333165, -3.0671134, -3.0665212", \
+					  "-3.6710192, -3.5621330, -3.4943301, -4.8502444, -4.7728543, -3.3133194, -3.2837355", \
+					  "-3.8972845, -3.8107830, -3.7147898, -3.6938776, -3.6698464, -3.6082492, -3.5923981", \
+					  "-4.0891869, -3.9832117, -3.9035470, -3.9007115, -3.8465184, -3.8116276, -3.7520580", \
+					  "-4.2466956, -4.1769936, -4.1157830, -4.0800956, -4.0681140, -4.0098118, -3.9906378");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5079765, 4.4145978, 4.3625484, 4.3373419, 4.3177198, 4.2997768, 4.2901148", \
+					  "4.8520066, 4.7647311, 4.7088505, 4.6813915, 4.6632865, 4.6453324, 4.6447404", \
+					  "5.2277887, 5.1328837, 5.0808400, 5.0512335, 5.0360181, 5.0211145, 5.0144189", \
+					  "5.5133274, 5.4125747, 5.3605292, 5.3322518, 5.3229348, 5.2994816, 5.2941103", \
+					  "5.7513867, 5.6488528, 5.6006016, 5.5725436, 5.5519787, 5.5193892, 5.5113046", \
+					  "5.9624885, 5.8367398, 5.7976440, 5.7503458, 5.7337866, 5.7466794, 5.7399639", \
+					  "6.1104631, 6.0382289, 5.9823837, 5.9457135, 5.9292742, 5.9053152, 5.9011936");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1920847, 4.0632003, 3.9711441, 3.9018871, 3.8290374, 3.7909616, 3.7413844", \
+					  "4.5376368, 4.4102782, 4.3284472, 4.2489650, 4.1745881, 4.1384570, 4.0906201", \
+					  "4.9119049, 4.7799687, 4.7079348, 4.6217073, 4.5395357, 4.5175830, 4.4666367", \
+					  "5.1989717, 5.0670351, 4.9902378, 4.8955385, 4.8266021, 4.7954949, 4.7492541", \
+					  "5.4294034, 5.2843648, 5.2222185, 5.1427145, 5.0562912, 5.0350815, 4.9830360", \
+					  "5.6420222, 5.4807979, 5.4526555, 5.3438989, 5.2470888, 5.2111584, 5.2126950", \
+					  "5.7976631, 5.6712984, 5.5964027, 5.5234433, 5.4390268, 5.4338585, 5.3554466");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006593;
+			capacitance : 0.006751;
+			rise_capacitance : 0.006908;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7861907, 3.6959010, 3.6415542, 3.6012891, 3.5908335, 3.5632913, 3.5615040", \
+					  "4.1378454, 4.0397066, 3.9886315, 3.9468405, 3.9333330, 3.9248665, 3.9056037", \
+					  "4.5044864, 4.4141968, 4.3583242, 4.3284179, 4.3032061, 4.2902582, 4.2714834", \
+					  "4.7885014, 4.6982119, 4.6438651, 4.6256974, 4.5916185, 4.5779387, 4.5653617", \
+					  "5.0219853, 4.9316962, 4.8657756, 4.8255105, 4.8203990, 4.8132940, 4.7823996", \
+					  "5.2083722, 5.1135050, 5.0773037, 5.0481755, 5.0385299, 4.9823344, 4.9931777", \
+					  "5.4009315, 5.3026639, 5.2684952, 5.2300003, 5.2082825, 5.1956926, 5.1903586");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4774347, 4.3638518, 4.2595490, 4.2067255, 4.1257631, 4.0449526, 4.0097195", \
+					  "4.8280014, 4.7098408, 4.6024756, 4.5554945, 4.4716900, 4.3878894, 4.3323654", \
+					  "5.1987413, 5.0805807, 4.9748150, 4.9085303, 4.8440752, 4.7680791, 4.7061575", \
+					  "5.4826994, 5.3645389, 5.2587000, 5.2090264, 5.1264839, 5.0441138, 5.0094163", \
+					  "5.7071999, 5.5890393, 5.4832004, 5.4331098, 5.3584064, 5.2701401, 5.2199892", \
+					  "5.8963512, 5.7827683, 5.6770076, 5.6107179, 5.5401904, 5.5293337, 5.4323020", \
+					  "6.0928074, 5.9731205, 5.8828287, 5.7888634, 5.7264423, 5.6763148, 5.6221435");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6463860, -3.5325264, -3.5017513, -3.4462275, -3.4411833, -3.4130875, -3.3986631", \
+					  "-3.9934409, -3.8802905, -3.8350733, -3.8009118, -3.7767231, -3.7652203, -3.7488503", \
+					  "-4.3677943, -4.2546941, -4.2094263, -4.1645840, -4.1545955, -4.1451253, -4.1185634", \
+					  "-4.6533463, -4.5408541, -4.4949788, -4.4577654, -4.4320509, -4.4277286, -4.4249282", \
+					  "-4.8837944, -4.7706436, -4.7269528, -4.6760064, -4.6785768, -4.6609500, -4.6511272", \
+					  "-5.0994100, -4.9862818, -4.9446662, -4.8931478, -4.8923627, -4.8715784, -4.8532575", \
+					  "-5.2697972, -5.1613640, -5.1129556, -5.0818453, -5.0535147, -5.0456396, -5.0274831");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8585039, -3.7253602, -3.6329768, -3.5561178, -3.4956553, -3.4222273, -3.4058125", \
+					  "-4.2055605, -4.0671663, -3.9783307, -3.9458372, -3.8422227, -3.7916649, -3.7639906", \
+					  "-4.5799069, -4.4467636, -4.3421748, -4.2990098, -4.2182077, -4.1481229, -4.1331091", \
+					  "-4.8639325, -4.7323147, -4.6470620, -4.5807857, -4.4987891, -4.4352506, -4.4165620", \
+					  "-5.0959048, -4.9627615, -4.8618000, -4.8087505, -4.7222872, -4.6851387, -4.6410171", \
+					  "-5.3115247, -5.1783810, -5.0824777, -5.0258959, -4.9612227, -4.9144153, -4.8584440", \
+					  "-5.4834548, -5.3470234, -5.2589472, -5.2025066, -5.1455295, -5.0532904, -5.0059922");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0310748, 0.0305701, 0.0300655, 0.0304044, 0.0307297, 0.0310686, 0.0314075");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0394066, 0.0389810, 0.0385554, 0.0391292, 0.0396801, 0.0402539, 0.0408277");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.016712;
+			capacitance : 0.016060;
+			fall_capacitance : 0.015409;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0131551, -0.0039013, 0.0053525, 0.0189146, 0.0319343, 0.0454964, 0.0590586");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502782, 0.1210713, 0.1918644, 0.5496113, 0.8930482, 1.2507951, 1.6085420");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031651;
+			capacitance : 0.031662;
+			fall_capacitance : 0.031672;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0048141, -0.0047138, -0.0046134, -0.0048294, -0.0050368, -0.0052528, -0.0054688");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0603549, 0.0807416, 0.1011284, 0.1010285, 0.1009327, 0.1008329, 0.1007331");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007149;
+			capacitance : 0.006995;
+			fall_capacitance : 0.006842;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1912200, 0.0974990, 0.0389031, 0.0077933, -0.0113947, -0.0249191, -0.0389400", \
+					  "0.5382980, 0.4489598, 0.4134000, 0.3789500, 0.3492961, 0.3354309, 0.3234032", \
+					  "0.8945660, 0.8024016, 0.7141933, 0.7163120, 0.6885277, 0.6768825, 0.6618363", \
+					  "1.1670416, 1.0301219, 0.9766279, 0.9602411, 0.9609098, 0.9564351, 0.9336732", \
+					  "1.3367988, 1.2521651, 1.2451092, 1.1538662, 1.1707481, 1.1747301, 1.1794882", \
+					  "1.5551355, 1.5109835, 1.4673068, 1.3775558, 1.3496039, 1.3687256, 1.3824509", \
+					  "1.7872408, 1.7117320, 1.6672172, 1.5999349, 1.5954207, 1.5351023, 1.5540185");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1155273, -0.2454443, -0.3365188, -0.4176425, -0.4833141, -0.5400308, -0.5953478", \
+					  "0.2313869, 0.1157271, 0.0161880, -0.0528804, -0.1192999, -0.1916337, -0.2323846", \
+					  "0.5515488, 0.4385128, 0.3573067, 0.2824183, 0.2175053, 0.1404627, 0.1120888", \
+					  "0.8035153, 0.6600917, 0.5899704, 0.5451763, 0.5047153, 0.4435302, 0.3714604", \
+					  "0.9901064, 0.8827164, 0.8300433, 0.7860495, 0.7061795, 0.6458283, 0.6131927", \
+					  "1.2072218, 1.1216918, 1.0166400, 0.9617319, 0.9023906, 0.8544724, 0.7958968", \
+					  "1.4436378, 1.3071464, 1.1729930, 1.1863008, 1.0823055, 1.0437444, 1.0006714");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2797608, 0.3763362, 0.4259130, 0.4548563, 0.4723405, 0.4858439, 0.4973700", \
+					  "-0.0826186, 0.0074046, 0.0623850, 0.0796448, 0.1205544, 0.1442699, 0.1533050", \
+					  "-0.3917556, -0.3159954, -0.2622259, -0.2261341, -0.2088421, -0.1877204, -0.1698854", \
+					  "-0.6696186, -0.5450947, -0.5219154, -0.4908223, -0.4773149, -0.4557409, -0.4441474", \
+					  "-0.8318767, -0.7369615, -0.7516261, -0.7214330, -0.7019279, -0.6860788, -0.6780817", \
+					  "-1.0645407, -0.9899274, -0.9385534, -0.9024333, -0.9057434, -0.8992790, -0.8891895", \
+					  "-1.2940195, -1.1740181, -1.0979386, -1.1172248, -1.0823764, -1.0783484, -1.0838906");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2156611, 0.3349201, 0.4304941, 0.4895889, 0.5723904, 0.6420188, 0.6746545", \
+					  "-0.1361744, -0.0250449, 0.0745920, 0.1424137, 0.2189244, 0.2884810, 0.3236353", \
+					  "-0.4556670, -0.3350210, -0.2460847, -0.1792362, -0.1116833, -0.0511531, -0.0050604", \
+					  "-0.1093436, -0.5990425, -0.5111683, -0.4516619, -0.3872881, -0.3166793, -0.2582118", \
+					  "-0.2290780, -0.8253068, -0.7329217, -0.6895130, -0.6119929, -0.5426462, -0.4908208", \
+					  "-1.1625566, -1.0156824, -0.9264035, -0.8865013, -0.7986562, -0.7672827, -0.6957664", \
+					  "-1.3551599, -1.2021822, -1.1144292, -1.0909151, -1.0165179, -0.9391522, -0.9338805");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0311518, 0.0306332, 0.0301145, 0.0304529, 0.0307778, 0.0311162, 0.0314546");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0390901, 0.0386521, 0.0382142, 0.0387915, 0.0393458, 0.0399231, 0.0405004");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014299;
+			capacitance : 0.014218;
+			fall_capacitance : 0.014138;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7715104, -3.6827927, -3.6222958, -3.6064447, -3.5792044, -3.5542442, -3.5405680", \
+					  "-4.1185657, -4.0306325, -3.9708767, -3.9367151, -3.9194618, -3.9086722, -3.8925274", \
+					  "-4.4929187, -4.4042007, -4.3452297, -4.3202235, -4.3006125, -4.2753363, -4.2628103", \
+					  "-4.7784707, -4.6897532, -4.6292563, -4.6046519, -4.5831132, -4.5615375, -4.5521868", \
+					  "-5.0104447, -4.9203789, -4.8642816, -4.8377495, -4.8166126, -4.7937061, -4.7854056", \
+					  "-5.2260602, -5.1373427, -5.0824049, -5.0533650, -5.0315327, -5.0090924, -4.9947404", \
+					  "-5.3979734, -5.3073343, -5.2504007, -5.2327739, -5.2107489, -5.1867015, -5.1785626");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7349086, -3.5692342, -3.4544889, -3.4092313, -3.3872451, -3.3172276, -3.2793992", \
+					  "-4.0819638, -3.9274750, -3.8015441, -3.7459801, -3.7110572, -3.6626213, -3.6096214", \
+					  "-4.4563168, -4.3018280, -4.1994210, -4.1353021, -4.1231833, -3.9988028, -3.9738667", \
+					  "-4.7403434, -4.5689063, -4.4614496, -4.3871015, -4.4028769, -4.3271615, -4.2863581", \
+					  "-4.9723170, -4.8178285, -4.7236474, -4.6482664, -4.5995964, -4.5353727, -4.4870549", \
+					  "-5.1879325, -5.0334441, -4.9272472, -4.8275439, -4.8397350, -4.7329791, -4.7107360", \
+					  "-5.3613715, -5.1992532, -5.0930062, -5.0272530, -5.0059292, -4.9276687, -4.8507893");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3171989, 4.2224484, 4.1711748, 4.1246658, 4.1004771, 4.0858737, 4.0861592", \
+					  "4.6612272, 4.5679028, 4.5089588, 4.4793749, 4.4475573, 4.4301823, 4.4234863", \
+					  "5.0354883, 4.9391121, 4.8862717, 4.8475324, 4.8187665, 4.8029174, 4.7931697", \
+					  "5.3195020, 5.2246516, 5.1718112, 5.1223912, 5.1043061, 5.0899829, 5.0886582", \
+					  "5.5514582, 5.4566079, 5.4007161, 5.3619769, 5.3347365, 5.3204137, 5.3203840", \
+					  "5.7656087, 5.6707584, 5.6163921, 5.5791787, 5.5488869, 5.5383323, 5.5248160", \
+					  "5.9377657, 5.8413894, 5.7885495, 5.7482843, 5.7184731, 5.7084202, 5.6975662");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8991271, 3.7642216, 3.6816470, 3.6075752, 3.5324273, 3.4441910, 3.4581984", \
+					  "4.2435476, 4.1086421, 3.9997417, 3.9487585, 3.9028476, 3.8022903, 3.7805322", \
+					  "4.6142985, 4.4793931, 4.3735742, 4.3027251, 4.2749646, 4.1654292, 4.1063111", \
+					  "4.8997826, 4.7482718, 4.6544407, 4.6068205, 4.5701241, 4.4492981, 4.4543722", \
+					  "5.1242515, 4.9893461, 4.9063569, 4.8245761, 4.7505944, 4.7034357, 4.6525662", \
+					  "5.3163552, 5.1814498, 5.0832061, 5.0200402, 4.9747933, 4.8724654, 4.8752995", \
+					  "5.5130161, 5.3773269, 5.2863099, 5.2383038, 5.1478469, 5.0720750, 5.0086928");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0312410, 0.0307510, 0.0302609, 0.0305913, 0.0309085, 0.0312390, 0.0315694");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0392859, 0.0388401, 0.0383944, 0.0389736, 0.0395296, 0.0401088, 0.0406881");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.536260;
+			max_transition : 1.502461;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02313793, 0.05353638, 0.1238721, 0.2866144, 0.6631665, 1.53443");
+					values("0.6156190, 0.6247978, 0.6420145, 0.6670836, 0.6986236, 0.7510003, 0.9319413", \
+					  "1.7192364, 1.7197342, 1.7208545, 1.7227156, 1.7301065, 1.8379647, 2.0239392", \
+					  "1.9480966, 1.9489375, 1.9500087, 1.9519529, 1.9540795, 1.9556301, 1.9566237", \
+					  "2.0021012, 2.0026628, 2.0037787, 2.0057916, 2.0079140, 2.0094516, 2.0104426", \
+					  "2.0071128, 2.0079004, 2.0091108, 2.0109977, 2.0130232, 2.0208884, 2.0155574", \
+					  "2.0145944, 2.0139084, 2.0152469, 2.0172150, 2.0195388, 2.0232243, 2.0219709", \
+					  "2.0217606, 2.0181070, 2.0202121, 2.0214000, 2.0254694, 2.0274238, 2.0271582");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02313793, 0.05353638, 0.1238721, 0.2866144, 0.6631665, 1.53443");
+					values("0.4784772, 0.4854264, 0.5002083, 0.5233915, 0.5610091, 0.6147994, 0.8842192", \
+					  "-0.4983646, -0.4961736, -0.4984361, -0.4981146, -0.5071910, -0.4560140, -0.1871097", \
+					  "-0.2483279, -0.2478283, -0.2483014, -0.2466521, -0.2453203, -0.2455909, -0.1304729", \
+					  "0.0097153, 0.0102203, 0.0014869, 0.0101073, 0.0128886, 0.0060401, 0.0073504", \
+					  "0.0545836, 0.0546213, 0.0465416, 0.0540863, 0.0565562, 0.0504166, 0.0611901", \
+					  "0.0972246, 0.0972452, 0.0892685, 0.0915677, 0.0991212, 0.0940895, 0.1031494", \
+					  "0.1378549, 0.1386692, 0.1307933, 0.1317683, 0.1418325, 0.1353061, 0.1459887");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.5892229, 0.5910074, 0.5969807, 0.6129362, 0.6916187, 0.8657378, 0.8676602", \
+					  "-0.3499212, -0.3467804, -0.3408194, -0.3269236, -0.2473293, -0.0734798, -0.0689503", \
+					  "-0.0797377, -0.0790801, -0.0788660, -0.0788555, -0.0293320, 0.1090132, 0.1032527", \
+					  "0.3584054, 0.3588576, 0.3593619, 0.3594353, 0.3589375, 0.3614304, 0.2640956", \
+					  "0.5119693, 0.5124383, 0.5153158, 0.5153805, 0.5105735, 0.5172085, 0.5033330", \
+					  "0.6585050, 0.6586984, 0.6616127, 0.6613730, 0.6622602, 0.6627466, 0.6576488", \
+					  "0.7974336, 0.7980952, 0.7993522, 0.7999367, 0.7939185, 0.8054741, 0.7998398");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.9088905, 0.9161186, 0.9300188, 0.9564158, 1.0089792, 1.0856614, 1.1230553", \
+					  "1.9588664, 1.9662969, 1.9804111, 2.0063333, 2.0585523, 2.1353219, 2.1747805", \
+					  "1.8968750, 1.9062534, 1.9244847, 1.9595527, 2.0290846, 2.1178111, 2.1596956", \
+					  "2.0571485, 2.0579859, 2.0612743, 2.0615701, 2.0638706, 2.0706698, 2.1380185", \
+					  "2.0802394, 2.0881825, 2.0856606, 2.0856641, 2.0944010, 2.1008935, 2.1012007", \
+					  "2.0826080, 2.0891552, 2.0948152, 2.0856567, 2.0921407, 2.1002098, 2.1009991", \
+					  "2.0850119, 2.0875135, 2.0945893, 2.0930081, 2.0942625, 2.1063962, 2.1055213");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.5317304, 0.5346853, 0.5411312, 0.5557460, 0.6364136, 0.8084423, 0.8100336", \
+					  "-0.5688419, -0.5659592, -0.5602571, -0.5455926, -0.4673572, -0.2916158, -0.2910568", \
+					  "-0.3038353, -0.3041328, -0.3029824, -0.3117945, -0.3029854, -0.2593302, -0.2807034", \
+					  "-0.1831174, -0.1833223, -0.1818074, -0.1908085, -0.1798185, -0.1795971, -0.2092641", \
+					  "-0.1725155, -0.1719226, -0.1722766, -0.1821091, -0.1709276, -0.1691687, -0.1688018", \
+					  "-0.1616490, -0.1603219, -0.1641275, -0.1727795, -0.1627231, -0.1690279, -0.1672751", \
+					  "-0.1513022, -0.1536214, -0.1614455, -0.1617489, -0.1481223, -0.1609113, -0.1582008");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.8341026, 0.8407323, 0.8575392, 0.8867875, 0.9434273, 1.0288969, 1.0765639", \
+					  "1.8823957, 1.8884020, 1.9073497, 1.9319648, 1.9910690, 2.0774925, 2.1228030", \
+					  "1.8772627, 1.8855644, 1.9014085, 1.9299003, 1.9871923, 2.0732925, 2.1214155", \
+					  "1.8126672, 1.8217248, 1.8397493, 1.8738468, 1.9424798, 2.0577910, 2.1181559", \
+					  "2.0179763, 2.0197995, 2.0199916, 2.0221734, 2.0242740, 2.0259317, 2.0842759", \
+					  "2.0491519, 2.0504379, 2.0516199, 2.0533484, 2.0545995, 2.0560637, 2.0562814", \
+					  "2.0575651, 2.0613914, 2.0577459, 2.0590751, 2.0640050, 2.0655871, 2.0658625");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("1.5847311, 1.5897320, 1.5906404, 1.5958842, 1.6041015, 1.6071397, 1.5992520", \
+					  "1.5840088, 1.5841944, 1.5895958, 1.5953069, 1.6033325, 1.6054882, 1.5943318", \
+					  "1.5862531, 1.5890614, 1.5905880, 1.5955544, 1.6039781, 1.6068818, 1.5985304", \
+					  "1.5841978, 1.5886597, 1.5895375, 1.5969224, 1.6037064, 1.6070881, 1.5980191", \
+					  "1.5840901, 1.5894716, 1.5906804, 1.5969451, 1.6033681, 1.6067486, 1.5989689", \
+					  "1.5864472, 1.5871467, 1.5897935, 1.5961378, 1.6033074, 1.6078304, 1.5970407", \
+					  "1.5853997, 1.5835697, 1.5900059, 1.5944098, 1.6031425, 1.6073929, 1.5938369");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02314253, 0.05355766, 0.1239459, 0.2868423, 0.6638255, 1.53626");
+					values("0.7582762, 0.7636966, 0.7728849, 0.7869164, 0.8042088, 0.8421331, 0.9775540", \
+					  "0.7601945, 0.7626410, 0.7719846, 0.7856893, 0.8037534, 0.8407982, 0.9799489", \
+					  "0.7583853, 0.7626866, 0.7737476, 0.7857836, 0.8037451, 0.8408371, 0.9798877", \
+					  "0.7559400, 0.7628157, 0.7721252, 0.7859248, 0.8034715, 0.8408690, 0.9805390", \
+					  "0.7594316, 0.7619857, 0.7719004, 0.7854227, 0.8032160, 0.8420117, 0.9799563", \
+					  "0.7581706, 0.7615044, 0.7723811, 0.7851185, 0.8039613, 0.8399888, 0.9801173", \
+					  "0.7605570, 0.7624676, 0.7696489, 0.7854349, 0.8035524, 0.8405891, 0.9796218");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("1.6193976, 1.6297350, 1.6521240, 1.7005153, 1.8073174, 2.0501689, 2.6101381", \
+					  "3.6558043, 3.6662700, 3.6887275, 3.7371541, 3.8438279, 4.0872754, 4.6462203", \
+					  "5.2117426, 5.2221507, 5.2435407, 5.2929701, 5.3999614, 5.6416334, 6.2025483", \
+					  "5.5491043, 5.5594129, 5.5816645, 5.6304246, 5.7375082, 5.9813750, 6.5401640", \
+					  "7.5145925, 7.5249948, 7.5519546, 7.5993611, 7.7028037, 7.9503133, 8.5053786", \
+					  "9.0974019, 9.1078087, 9.1335544, 9.1862269, 9.2857011, 9.5355972, 10.0883850", \
+					  "10.3032200, 10.3058380, 10.3216000, 10.3815800, 10.4915110, 10.7301550, 11.2937000", \
+					  "11.9881090, 11.9971770, 12.0091970, 12.0578010, 12.1776750, 12.4041900, 12.9628870", \
+					  "33.1780650, 33.1780682, 33.1780720, 33.2787980, 33.3669340, 33.6065820, 34.1922830", \
+					  "45.5546710, 45.5818490, 45.6054640, 45.6054669, 45.6458590, 46.0288340, 46.4485340", \
+					  "95.5013700, 95.8517460, 95.8517495, 95.8517571, 95.8517647, 96.2285260, 96.4910290", \
+					  "263.9290800, 264.7873600, 264.7873688, 264.7873993, 264.7874299, 265.2446100, 265.7080200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("0.0737173, 0.0852288, 0.1119170, 0.1754879, 0.3254212, 0.6784813, 1.4995672", \
+					  "0.0736695, 0.0852104, 0.1120377, 0.1754916, 0.3258603, 0.6779540, 1.4996023", \
+					  "0.0736802, 0.0853156, 0.1118713, 0.1752640, 0.3252449, 0.6785887, 1.4996445", \
+					  "0.0735778, 0.0851167, 0.1119929, 0.1754736, 0.3260297, 0.6783191, 1.4993793", \
+					  "0.0736805, 0.0853189, 0.1119432, 0.1754486, 0.3252379, 0.6788065, 1.5011784", \
+					  "0.0737461, 0.0851845, 0.1120455, 0.1749913, 0.3255821, 0.6787931, 1.4986278", \
+					  "0.0737367, 0.0853194, 0.1119748, 0.1755191, 0.3263202, 0.6788715, 1.4992839", \
+					  "0.0736791, 0.0852446, 0.1118696, 0.1754701, 0.3263312, 0.6792938, 1.4996157", \
+					  "0.0736779, 0.0853228, 0.1120191, 0.1755444, 0.3256849, 0.6789616, 1.4995625", \
+					  "0.0736543, 0.0851647, 0.1121214, 0.1753457, 0.3258627, 0.6790396, 1.4997831", \
+					  "0.0736794, 0.0852672, 0.1119725, 0.1753461, 0.3256380, 0.6789299, 1.4995931", \
+					  "0.0737356, 0.0851714, 0.1119643, 0.1753659, 0.3257129, 0.6781919, 1.4998557");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("1.3835338, 1.3990969, 1.4313644, 1.4919925, 1.6033013, 1.8176907, 2.2709195", \
+					  "3.2391725, 3.2546583, 3.2868075, 3.3474796, 3.4588887, 3.6734766, 4.1267438", \
+					  "4.5013331, 4.5169131, 4.5489990, 4.6095696, 4.7210502, 4.9350937, 5.3886491", \
+					  "4.7650786, 4.7806190, 4.8126618, 4.8733528, 4.9848210, 5.1993214, 5.6525660", \
+					  "6.2140968, 6.2296333, 6.2616965, 6.3224066, 6.4338690, 6.6458230, 7.1016402", \
+					  "7.3027997, 7.3044686, 7.3365221, 7.3971091, 7.5086000, 7.7440685, 8.1763787", \
+					  "8.0868547, 8.1005815, 8.1326643, 8.1914830, 8.2988585, 8.5199519, 8.9677208", \
+					  "9.1194625, 9.1275254, 9.1624068, 9.2227834, 9.3318846, 9.5417017, 10.0143190", \
+					  "20.2469740, 20.2599800, 20.2935430, 20.3463890, 20.4622970, 20.6460110, 21.1301860", \
+					  "26.0119870, 26.0268840, 26.0822000, 26.1206360, 26.2589190, 26.4715310, 26.9228570", \
+					  "47.7016120, 47.7365100, 47.7691100, 47.8098770, 47.9282850, 48.1433380, 48.5828160", \
+					  "117.0712400, 117.0956900, 117.1320200, 117.1895900, 117.3046100, 117.4995400, 117.9692400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231379, 0.0535364, 0.123872, 0.286614, 0.663166, 1.53443");
+					values("0.1024868, 0.1149360, 0.1400448, 0.1895491, 0.2945894, 0.5380341, 1.1248231", \
+					  "0.1026029, 0.1151857, 0.1409400, 0.1912846, 0.2972054, 0.5386228, 1.1256663", \
+					  "0.1026331, 0.1150478, 0.1406961, 0.1913139, 0.2963333, 0.5398648, 1.1257830", \
+					  "0.1025656, 0.1151748, 0.1407779, 0.1912762, 0.2971983, 0.5399072, 1.1260857", \
+					  "0.1025996, 0.1151137, 0.1409498, 0.1912918, 0.2971889, 0.5395268, 1.1260700", \
+					  "0.1024421, 0.1149327, 0.1407468, 0.1913078, 0.2969107, 0.5400624, 1.1257797", \
+					  "0.1025303, 0.1148954, 0.1407365, 0.1913510, 0.2971349, 0.5393309, 1.1258093", \
+					  "0.1025863, 0.1151464, 0.1407570, 0.1913480, 0.2972190, 0.5386816, 1.1260159", \
+					  "0.1024478, 0.1149116, 0.1407793, 0.1909323, 0.2968462, 0.5396189, 1.1261707", \
+					  "0.1027106, 0.1150108, 0.1407675, 0.1913542, 0.2970524, 0.5391364, 1.1258062", \
+					  "0.1027851, 0.1149828, 0.1408376, 0.1915576, 0.2968663, 0.5395068, 1.1257872", \
+					  "0.1027905, 0.1151969, 0.1409510, 0.1915101, 0.2973588, 0.5391389, 1.1257519");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("3.9014085, 3.9115129, 3.9318331, 3.9819512, 4.0887747, 4.3328332, 4.8934425", \
+					  "5.9799805, 5.9902621, 6.0124474, 6.0604899, 6.1676055, 6.4107897, 6.9720296", \
+					  "7.5514048, 7.5615754, 7.5839290, 7.6321056, 7.7389446, 7.9832825, 8.5433234", \
+					  "7.8957374, 7.9058715, 7.9285307, 7.9764551, 8.0833455, 8.3264933, 8.8862917", \
+					  "9.9035882, 9.9134256, 9.9376543, 9.9837116, 10.0906260, 10.3349940, 10.8946310", \
+					  "11.5298190, 11.5388460, 11.5669080, 11.6126360, 11.7140140, 11.9589360, 12.5253450", \
+					  "12.7497040, 12.7596410, 12.7882640, 12.8313810, 12.9333090, 13.1894220, 13.7446530", \
+					  "14.4717970, 14.4821890, 14.5372460, 14.5920980, 14.6717380, 14.8928210, 15.4624370", \
+					  "42.2280130, 42.2280140, 42.3202950, 42.3202954, 42.4024050, 42.6228190, 43.1429110", \
+					  "60.6618540, 60.6724980, 60.7745750, 60.9145730, 60.9145756, 61.1518370, 61.6519880", \
+					  "131.8784100, 131.8883700, 131.9141500, 131.9592800, 132.2410000, 132.3098400, 132.8690800", \
+					  "373.9658000, 373.9658051, 373.9658356, 374.6292000, 374.6292267, 375.0458900, 375.0459137");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0726232, 0.0841320, 0.1114455, 0.1747809, 0.3250682, 0.6791689, 1.5003762", \
+					  "0.0728089, 0.0843381, 0.1110965, 0.1748480, 0.3255711, 0.6798051, 1.5002988", \
+					  "0.0727194, 0.0843188, 0.1111292, 0.1745143, 0.3248928, 0.6797478, 1.4997298", \
+					  "0.0727097, 0.0842853, 0.1111820, 0.1745455, 0.3252772, 0.6796602, 1.5000825", \
+					  "0.0727412, 0.0842519, 0.1112567, 0.1746711, 0.3257692, 0.6792145, 1.4997397", \
+					  "0.0727189, 0.0842265, 0.1111276, 0.1745097, 0.3254586, 0.6812070, 1.4996256", \
+					  "0.0727196, 0.0843170, 0.1111186, 0.1743819, 0.3249241, 0.6795921, 1.5002702", \
+					  "0.0727589, 0.0843346, 0.1110891, 0.1747327, 0.3254999, 0.6792662, 1.4989269", \
+					  "0.0728307, 0.0841836, 0.1111328, 0.1746765, 0.3251324, 0.6792225, 1.4992764", \
+					  "0.0727020, 0.0841822, 0.1111610, 0.1745731, 0.3257232, 0.6792225, 1.5000260", \
+					  "0.0727647, 0.0841828, 0.1111136, 0.1746311, 0.3257772, 0.6791897, 1.5002759", \
+					  "0.0726904, 0.0841936, 0.1109669, 0.1742592, 0.3252454, 0.6796412, 1.5002729");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("3.8728385, 3.8876045, 3.9189203, 3.9742964, 4.0826262, 4.2927564, 4.7446918", \
+					  "6.0986802, 6.1132281, 6.1432575, 6.2008443, 6.3086638, 6.5188748, 6.9709558", \
+					  "8.2038909, 8.2100309, 8.2483469, 8.3061229, 8.4135658, 8.6239007, 9.0756851", \
+					  "8.6375698, 8.6375700, 8.6822505, 8.7398986, 8.8473993, 9.0578807, 9.5095656", \
+					  "11.1015840, 11.1028950, 11.1455090, 11.2039440, 11.3117210, 11.5222190, 11.9739130", \
+					  "12.9628250, 12.9707090, 13.0025380, 13.0739470, 13.1815210, 13.3891120, 13.8364160", \
+					  "14.2980630, 14.3055750, 14.3881870, 14.4051730, 14.5197090, 14.7211510, 15.1536650", \
+					  "16.1181680, 16.1181689, 16.1565930, 16.1910860, 16.3251780, 16.5199850, 16.9624170", \
+					  "36.3082330, 36.3228520, 36.3551180, 36.4181540, 36.5111000, 36.7246750, 37.1767040", \
+					  "47.2730730, 47.2847970, 47.3286620, 47.3913000, 47.4782770, 47.6986520, 48.1627500", \
+					  "89.3656140, 89.3656197, 89.4064480, 89.4679180, 89.5471150, 89.7858570, 90.2375490", \
+					  "226.3468600, 226.3468705, 226.4290500, 226.4950200, 226.6152300, 226.7621300, 227.2406600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0954441, 0.1076078, 0.1318189, 0.1809857, 0.2863143, 0.5323673, 1.1243512", \
+					  "0.0958628, 0.1078218, 0.1323783, 0.1822978, 0.2882330, 0.5330811, 1.1239603", \
+					  "0.0955972, 0.1077738, 0.1325570, 0.1827806, 0.2886611, 0.5330433, 1.1244569", \
+					  "0.0956885, 0.1077194, 0.1325284, 0.1826883, 0.2884245, 0.5328128, 1.1243808", \
+					  "0.0958504, 0.1076296, 0.1325268, 0.1819557, 0.2884306, 0.5327020, 1.1243928", \
+					  "0.0958011, 0.1078815, 0.1324653, 0.1823346, 0.2884198, 0.5328129, 1.1242938", \
+					  "0.0959022, 0.1076604, 0.1325205, 0.1827226, 0.2871253, 0.5323474, 1.1244821", \
+					  "0.0958235, 0.1077743, 0.1324607, 0.1823712, 0.2884456, 0.5330192, 1.1243696", \
+					  "0.0958722, 0.1077877, 0.1325600, 0.1826940, 0.2881423, 0.5330086, 1.1244020", \
+					  "0.0958181, 0.1077828, 0.1326588, 0.1828045, 0.2885971, 0.5327728, 1.1240850", \
+					  "0.0959773, 0.1077451, 0.1324738, 0.1827797, 0.2882774, 0.5328931, 1.1243245", \
+					  "0.0956970, 0.1078050, 0.1325346, 0.1821356, 0.2884477, 0.5331883, 1.1241403");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("3.8739389, 3.8841237, 3.9088737, 3.9547134, 4.0611523, 4.3049408, 4.8656608", \
+					  "5.6421228, 5.6523297, 5.6746052, 5.7227281, 5.8296137, 6.0723946, 6.6328032", \
+					  "6.6832637, 6.6934716, 6.7143491, 6.7639929, 6.8698740, 7.1126673, 7.6728801", \
+					  "6.8913990, 6.9016104, 6.9204305, 6.9720842, 7.0788735, 7.3241271, 7.8825568", \
+					  "7.9803852, 7.9913676, 8.0124396, 8.0611278, 8.1650908, 8.4120702, 8.9715178", \
+					  "8.7483114, 8.7592769, 8.7773806, 8.8293977, 8.9324947, 9.1780965, 9.7402788", \
+					  "9.2760590, 9.2904919, 9.3087111, 9.3594563, 9.4627932, 9.7103081, 10.2709290", \
+					  "9.9646122, 9.9776098, 9.9995461, 10.0502440, 10.1523790, 10.3969100, 10.9590180", \
+					  "18.2957100, 18.3102350, 18.3102351, 18.3795080, 18.5068190, 18.7378100, 19.3272560", \
+					  "25.2270400, 25.2270403, 25.3149090, 25.3149100, 25.4020400, 25.6460560, 26.2719770", \
+					  "54.6077030, 54.7104730, 54.7104741, 54.7809410, 54.7956030, 55.0391700, 55.5990630", \
+					  "133.8424500, 133.8486200, 133.8989200, 133.9430800, 134.3159800, 134.7894200, 134.7894211");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0727509, 0.0841026, 0.1110917, 0.1749350, 0.3250016, 0.6787819, 1.4991709", \
+					  "0.0727524, 0.0842613, 0.1112250, 0.1747572, 0.3251589, 0.6787897, 1.4998461", \
+					  "0.0727007, 0.0842406, 0.1111228, 0.1743522, 0.3258721, 0.6797207, 1.5001906", \
+					  "0.0727568, 0.0841738, 0.1111247, 0.1745075, 0.3257811, 0.6791565, 1.5002644", \
+					  "0.0727250, 0.0843835, 0.1111355, 0.1745306, 0.3250895, 0.6785151, 1.4987792", \
+					  "0.0727845, 0.0844062, 0.1111611, 0.1744306, 0.3248914, 0.6797297, 1.5000491", \
+					  "0.0727469, 0.0841695, 0.1110315, 0.1748033, 0.3256567, 0.6796795, 1.4997205", \
+					  "0.0727578, 0.0842274, 0.1111096, 0.1747080, 0.3252558, 0.6786436, 1.4992804", \
+					  "0.0728102, 0.0842494, 0.1111059, 0.1745281, 0.3257411, 0.6792461, 1.4999502", \
+					  "0.0727107, 0.0843841, 0.1109602, 0.1746841, 0.3256397, 0.6794050, 1.4990214", \
+					  "0.0727631, 0.0841868, 0.1111016, 0.1746512, 0.3257822, 0.6788091, 1.4990440", \
+					  "0.0728339, 0.0842270, 0.1111110, 0.1747032, 0.3258099, 0.6794081, 1.5002705");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("5.4905209, 5.5027498, 5.5335572, 5.5931295, 5.7007368, 5.9107572, 6.3631069", \
+					  "7.3713959, 7.3860646, 7.4160771, 7.4737700, 7.5812245, 7.7917059, 8.2434966", \
+					  "9.8384740, 9.8531476, 9.8831441, 9.9408748, 10.0479660, 10.2587920, 10.7103400", \
+					  "10.3588900, 10.3735300, 10.4035560, 10.4613390, 10.5687010, 10.7790800, 11.2319610", \
+					  "13.2795220, 13.2941570, 13.3246090, 13.3818210, 13.4854690, 13.6997710, 14.1708950", \
+					  "15.4817420, 15.4961430, 15.5246540, 15.5919380, 15.6812110, 15.9455700, 16.3807740", \
+					  "17.0476110, 17.1503360, 17.1503363, 17.1752730, 17.3485510, 17.4975310, 17.9466770", \
+					  "19.2243680, 19.2431100, 19.2880960, 19.3468330, 19.4439270, 19.6659150, 20.1041600", \
+					  "43.6713140, 43.6875530, 43.7574210, 43.8465230, 43.9276530, 44.1267720, 44.4889490", \
+					  "57.2160310, 57.2341710, 57.2694430, 57.3217460, 57.4376740, 57.6454870, 58.0949440", \
+					  "109.4736600, 109.4883200, 109.5211200, 109.5762600, 109.6869900, 109.8945700, 110.3819500", \
+					  "277.6458200, 277.6716000, 277.7045700, 277.7563900, 277.8542700, 278.0896300, 278.5307900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0231448, 0.0535683, 0.123983, 0.286957, 0.664157, 1.53718");
+					values("0.0956539, 0.1076505, 0.1319014, 0.1814316, 0.2862469, 0.5323117, 1.1243801", \
+					  "0.0957723, 0.1077158, 0.1325298, 0.1826103, 0.2885124, 0.5329616, 1.1242571", \
+					  "0.0959562, 0.1077281, 0.1325219, 0.1827117, 0.2882914, 0.5327106, 1.1229251", \
+					  "0.0959705, 0.1078972, 0.1324648, 0.1828155, 0.2886447, 0.5330029, 1.1243649", \
+					  "0.0958445, 0.1077758, 0.1326512, 0.1821373, 0.2886540, 0.5328588, 1.1241736", \
+					  "0.0957447, 0.1077215, 0.1326925, 0.1826772, 0.2884441, 0.5329588, 1.1227984", \
+					  "0.0959483, 0.1077557, 0.1325226, 0.1826603, 0.2884564, 0.5330592, 1.1241870", \
+					  "0.0959303, 0.1078422, 0.1325290, 0.1827030, 0.2885199, 0.5323214, 1.1243131", \
+					  "0.0959724, 0.1079167, 0.1325179, 0.1827942, 0.2883588, 0.5328168, 1.1242766", \
+					  "0.0959241, 0.1076510, 0.1325165, 0.1822966, 0.2885336, 0.5329641, 1.1242358", \
+					  "0.0959622, 0.1078003, 0.1326336, 0.1825353, 0.2882431, 0.5330646, 1.1232425", \
+					  "0.0959438, 0.1079103, 0.1325153, 0.1827042, 0.2884447, 0.5330212, 1.1243145");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("21.6705680, 21.6806500, 21.7028970, 21.7530510, 21.8595990, 22.1021120, 22.6626290", \
+					  "21.7998990, 21.8103240, 21.8322980, 21.8803360, 21.9872940, 22.2312890, 22.7904880", \
+					  "21.9018150, 21.9125840, 21.9341490, 21.9821960, 22.0896510, 22.3340460, 22.8928020", \
+					  "21.9777880, 21.9883990, 22.0101270, 22.0581790, 22.1654660, 22.4098500, 22.9686140", \
+					  "22.0416400, 22.0530260, 22.0739730, 22.1220220, 22.2300950, 22.4731860, 23.0332340", \
+					  "22.1005700, 22.1114180, 22.1329140, 22.1809770, 22.2884860, 22.5320920, 23.0916250", \
+					  "22.1586660, 22.1694840, 22.1910100, 22.2390820, 22.3465730, 22.5901820, 23.1489010");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("0.0728063, 0.0841824, 0.1112313, 0.1747226, 0.3254898, 0.6798968, 1.5022149", \
+					  "0.0727294, 0.0841828, 0.1112169, 0.1747349, 0.3255180, 0.6801765, 1.5023912", \
+					  "0.0728081, 0.0841857, 0.1112367, 0.1747231, 0.3255229, 0.6798828, 1.5022258", \
+					  "0.0728066, 0.0841859, 0.1112328, 0.1747206, 0.3255219, 0.6798934, 1.5022315", \
+					  "0.0728066, 0.0841837, 0.1112327, 0.1747205, 0.3255273, 0.6798883, 1.5022047", \
+					  "0.0728074, 0.0841870, 0.1112317, 0.1747182, 0.3255198, 0.6799545, 1.5022457", \
+					  "0.0728079, 0.0841890, 0.1112336, 0.1747183, 0.3254899, 0.6799840, 1.5024615");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("13.1583980, 13.1729060, 13.2028500, 13.2604250, 13.3676050, 13.5776190, 14.0293910", \
+					  "13.2485330, 13.2630180, 13.2929870, 13.3504470, 13.4578200, 13.6677110, 14.1201890", \
+					  "13.2931340, 13.3076160, 13.3375860, 13.3950660, 13.5024130, 13.7146280, 14.1662910", \
+					  "13.3279980, 13.3425220, 13.3725070, 13.4299630, 13.5371800, 13.7469790, 14.1988020", \
+					  "13.3522050, 13.3667950, 13.3967410, 13.4542300, 13.5614620, 13.7723540, 14.2244780", \
+					  "13.3676970, 13.3823070, 13.4137090, 13.4697280, 13.5769640, 13.7885100, 14.2401890", \
+					  "13.3837080, 13.3982050, 13.4287030, 13.4855980, 13.5928060, 13.8034150, 14.2553160");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0231425, 0.0535577, 0.123946, 0.286842, 0.663825, 1.53626");
+					values("0.0956384, 0.1075473, 0.1319902, 0.1823101, 0.2880326, 0.5316271, 1.1231918", \
+					  "0.0956191, 0.1074731, 0.1322826, 0.1815821, 0.2877801, 0.5323729, 1.1239382", \
+					  "0.0956451, 0.1074788, 0.1322239, 0.1817236, 0.2876651, 0.5320970, 1.1232557", \
+					  "0.0955849, 0.1074402, 0.1320989, 0.1821968, 0.2877895, 0.5322870, 1.1231532", \
+					  "0.0954113, 0.1073254, 0.1321199, 0.1822173, 0.2879232, 0.5326569, 1.1228787", \
+					  "0.0955392, 0.1072643, 0.1321110, 0.1814686, 0.2878568, 0.5321608, 1.1232356", \
+					  "0.0955247, 0.1074231, 0.1322822, 0.1815599, 0.2876058, 0.5326368, 1.1231378");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.492320;
+			max_transition : 3.763092;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301466, 0.05296746, 0.1219028, 0.2805552, 0.6456884, 1.48603");
+					values("0.8891158, 0.8903916, 0.8928678, 0.8982963, 0.9029838, 0.9057002, 0.8990805", \
+					  "-0.1826945, -0.1804504, -0.1770162, -0.1719039, -0.1667269, -0.1662056, -0.1729187", \
+					  "-0.1214085, -0.1312210, -0.1185843, -0.1166199, -0.1135193, -0.1068462, -0.1291329", \
+					  "0.0108328, 0.0022989, 0.0122920, 0.0127423, -0.0014524, -0.0623358, -0.0708324", \
+					  "0.0538417, 0.0477010, 0.0565949, 0.0587856, 0.0635842, 0.0574915, -0.0203472", \
+					  "0.0971899, 0.0874980, 0.0973276, 0.0991002, 0.1010191, 0.0961070, 0.0270594", \
+					  "0.1390474, 0.1310468, 0.1381938, 0.1419822, 0.1462823, 0.1397989, 0.1354864");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301466, 0.05296746, 0.1219028, 0.2805552, 0.6456884, 1.48603");
+					values("0.9407203, 0.9451841, 0.9520569, 0.9663740, 0.9824944, 0.9928103, 0.9974991", \
+					  "2.0327004, 2.0377044, 2.0413306, 2.0587804, 2.0751519, 2.0854765, 2.0905612", \
+					  "1.9662555, 1.9755783, 2.0031012, 2.0202115, 2.0629364, 2.0777624, 2.0861446", \
+					  "2.0023540, 2.0028525, 2.0157563, 2.0084279, 2.0124375, 2.0158521, 2.0996161", \
+					  "2.0073043, 2.0093677, 2.0239921, 2.0162058, 2.0181333, 2.0225004, 2.0379078", \
+					  "2.0136026, 2.0122029, 2.0308980, 2.0215923, 2.0242779, 2.0294124, 2.0444575", \
+					  "2.0181281, 2.0199983, 2.0384438, 2.0353406, 2.0293866, 2.0407296, 2.0514415");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.8124796, 0.8140100, 0.8163493, 0.8224648, 0.8277926, 0.8289847, 0.8157377", \
+					  "-0.2902013, -0.2882356, -0.2829035, -0.2801997, -0.2730670, -0.2737551, -0.2849930", \
+					  "-0.2524402, -0.2558587, -0.2647460, -0.2658759, -0.2662222, -0.2628152, -0.2848174", \
+					  "-0.1831816, -0.1811563, -0.1806517, -0.1776891, -0.1774114, -0.2504899, -0.2584183", \
+					  "-0.1735315, -0.1713573, -0.1718328, -0.1694916, -0.1770083, -0.1731902, -0.2562811", \
+					  "-0.1725528, -0.1606101, -0.1608930, -0.1681541, -0.1660838, -0.1637950, -0.1700751", \
+					  "-0.1604995, -0.1487417, -0.1587342, -0.1587265, -0.1523409, -0.1491906, -0.1484676");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0130431, 1.0199076, 1.0301997, 1.0472017, 1.0682862, 1.0852256, 1.0942873", \
+					  "2.0652382, 2.0663464, 2.0775272, 2.0949889, 2.1152210, 2.1278840, 2.1440913", \
+					  "2.0590042, 2.0645985, 2.0740139, 2.0929374, 2.1145301, 2.1311243, 2.1399982", \
+					  "2.0400279, 2.0464570, 2.0637814, 2.0842319, 2.1085364, 2.1315434, 2.1398645", \
+					  "2.0178987, 2.0172290, 2.0207833, 2.0220347, 2.0584884, 2.1284877, 2.1392337", \
+					  "2.0495688, 2.0473586, 2.0514716, 2.0545438, 2.0577481, 2.0731971, 2.1368007", \
+					  "2.0558217, 2.0629867, 2.0631843, 2.0676672, 2.0673285, 2.0854327, 2.0912046");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.8687290, 0.8698476, 0.8740308, 0.8792540, 0.8842104, 0.8865897, 0.8850395", \
+					  "-0.0693505, -0.0665567, -0.0635775, -0.0581744, -0.0534263, -0.0533470, -0.0649009", \
+					  "0.1122508, 0.1140488, 0.1162354, 0.1196756, 0.1225773, 0.1260753, 0.1074856", \
+					  "0.3568855, 0.3587824, 0.3589165, 0.3600698, 0.3264001, 0.2910899, 0.2740643", \
+					  "0.5142171, 0.5147614, 0.5124537, 0.5169507, 0.5209704, 0.4899319, 0.4397659", \
+					  "0.6571218, 0.6569231, 0.6625628, 0.6652166, 0.6645192, 0.6621650, 0.5761838", \
+					  "0.7974770, 0.7987578, 0.8028827, 0.8046711, 0.8033941, 0.8015933, 0.7866160");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0706285, 1.0759806, 1.0854825, 1.1003500, 1.1188692, 1.1322205, 1.1391275", \
+					  "2.1217761, 2.1266513, 2.1353217, 2.1506514, 2.1685824, 2.1860359, 2.1909443", \
+					  "2.1027782, 2.1088368, 2.1189617, 2.1346980, 2.1543219, 2.1681780, 2.1757507", \
+					  "2.0575943, 2.0582798, 2.0593687, 2.0676243, 2.1078369, 2.1605552, 2.1709314", \
+					  "2.0807243, 2.0823460, 2.0859662, 2.0999205, 2.0934803, 2.0961999, 2.1649726", \
+					  "2.0872527, 2.0847731, 2.0854115, 2.0998689, 2.0926806, 2.0955627, 2.1068783", \
+					  "2.0845144, 2.0898615, 2.0890403, 2.1059860, 2.0960462, 2.1040314, 2.0990620");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.3036770, 1.3361761, 1.5486588, 1.5950821, 1.6083312, 1.6190649, 1.6184765", \
+					  "1.3011170, 1.3355976, 1.5475343, 1.5928174, 1.6093500, 1.6182394, 1.6177431", \
+					  "1.3009898, 1.3367030, 1.5484066, 1.5951278, 1.6099522, 1.6185403, 1.6178601", \
+					  "1.2996393, 1.3353805, 1.5473568, 1.5948497, 1.6095990, 1.6185509, 1.6175632", \
+					  "1.2997142, 1.3354376, 1.5473498, 1.5942835, 1.6094998, 1.6185051, 1.6173640", \
+					  "1.3034564, 1.3344203, 1.5470837, 1.5932729, 1.6092116, 1.6178154, 1.6169809", \
+					  "1.3006664, 1.3366148, 1.5470854, 1.5929995, 1.6091993, 1.6180736, 1.6173870");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0955999, 1.1021453, 1.1096304, 1.1286368, 1.1500098, 1.1728979, 1.1869797", \
+					  "1.0985764, 1.1066351, 1.1113914, 1.1287893, 1.1494227, 1.1722361, 1.1845427", \
+					  "1.0960029, 1.1014544, 1.1116207, 1.1215673, 1.1474716, 1.1741589, 1.1794548", \
+					  "1.0940902, 1.1071313, 1.1097875, 1.1252042, 1.1489768, 1.1724139, 1.1797837", \
+					  "1.1023440, 1.1028208, 1.1054910, 1.1285447, 1.1481682, 1.1643544, 1.1918612", \
+					  "1.0913161, 1.1086641, 1.1141214, 1.1305986, 1.1510074, 1.1765742, 1.1859981", \
+					  "1.1022817, 1.1005832, 1.1107809, 1.1344750, 1.1492416, 1.1666357, 1.1841646");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("4.6453286, 4.6892144, 4.7800781, 4.9586188, 5.2958011, 5.9617977, 7.3799744", \
+					  "6.6839334, 6.7270491, 6.8159705, 6.9939339, 7.3337856, 7.9998843, 9.4183159", \
+					  "8.2403560, 8.2815577, 8.3715914, 8.5507166, 8.8893639, 9.5540189, 10.9751770", \
+					  "8.5776088, 8.6188336, 8.7087022, 8.8880778, 9.2270245, 9.8919619, 11.3083510", \
+					  "10.5430400, 10.5861100, 10.6774010, 10.8549290, 11.1969330, 11.8577010, 13.2781310", \
+					  "12.1237650, 12.1656680, 12.2662550, 12.4415310, 12.7805210, 13.4389730, 14.8658370", \
+					  "13.3248260, 13.3745900, 13.4606330, 13.6380840, 13.9780010, 14.6451500, 16.0640070", \
+					  "14.9990620, 15.0555480, 15.1446680, 15.3161790, 15.6559460, 16.3326860, 17.7342670", \
+					  "36.1994490, 36.2497910, 36.3563580, 36.3834010, 36.8753600, 37.5209550, 38.9566640", \
+					  "48.4078590, 48.6274880, 48.6274891, 48.9516130, 49.0180520, 49.6771090, 51.2066190", \
+					  "98.8690160, 98.8690224, 98.8690300, 99.0278080, 99.3772460, 99.6925210, 101.1061400", \
+					  "267.7917600, 267.7917634, 268.0064600, 268.0064850, 268.0065156, 268.0940600, 270.1280300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("0.4891455, 0.5247682, 0.5933456, 0.7457780, 1.0774238, 1.8572919, 3.7514720", \
+					  "0.4879358, 0.5209292, 0.5922790, 0.7403345, 1.0771982, 1.8542832, 3.7545491", \
+					  "0.4835092, 0.5249020, 0.5945130, 0.7447149, 1.0734927, 1.8594926, 3.7295043", \
+					  "0.4838486, 0.5248563, 0.5941384, 0.7456901, 1.0797540, 1.8505975, 3.7339074", \
+					  "0.4845874, 0.5217197, 0.5946552, 0.7457405, 1.0798078, 1.8594827, 3.7523549", \
+					  "0.4873271, 0.5188578, 0.5915601, 0.7418213, 1.0809558, 1.8644180, 3.7559134", \
+					  "0.4838716, 0.5210604, 0.5946703, 0.7435231, 1.0761010, 1.8533177, 3.7547867", \
+					  "0.4845240, 0.5173746, 0.5945629, 0.7436549, 1.0785769, 1.8593721, 3.7354995", \
+					  "0.4840608, 0.5217777, 0.5946899, 0.7449185, 1.0783567, 1.8594809, 3.7445878", \
+					  "0.4844626, 0.5215158, 0.5945623, 0.7440871, 1.0758699, 1.8594805, 3.7525041", \
+					  "0.4847490, 0.5218476, 0.5946929, 0.7443445, 1.0789811, 1.8593252, 3.7504133", \
+					  "0.4890145, 0.5215471, 0.5928535, 0.7440864, 1.0779143, 1.8594193, 3.7419285");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("4.4014743, 4.4362496, 4.5084154, 4.6586113, 4.9304845, 5.4078222, 6.3251400", \
+					  "6.2548557, 6.2897474, 6.3640662, 6.5142629, 6.7852484, 7.2635742, 8.1817610", \
+					  "7.5175981, 7.5524360, 7.6265421, 7.7762730, 8.0569142, 8.5244558, 9.4407406", \
+					  "7.7820594, 7.8166922, 7.8908684, 8.0407965, 8.3041884, 8.7897885, 9.7062367", \
+					  "9.2289989, 9.2628989, 9.3374188, 9.4873904, 9.7684705, 10.2384550, 11.1550270", \
+					  "10.3055110, 10.3401150, 10.4275970, 10.5634540, 10.8444360, 11.3135370, 12.2504100", \
+					  "11.0984800, 11.1251530, 11.2083910, 11.3565780, 11.6332490, 12.1088110, 13.0253210", \
+					  "12.1415850, 12.1724570, 12.2454690, 12.3958520, 12.6360520, 13.1420480, 14.0572930", \
+					  "23.2530210, 23.2746910, 23.3434270, 23.5153160, 23.7875510, 24.2656450, 25.1866810", \
+					  "29.0213290, 29.0742990, 29.1483350, 29.2818360, 29.5904920, 30.0330210, 30.9751940", \
+					  "50.7111690, 50.7458040, 50.8184960, 50.9689780, 51.2489430, 51.7195280, 52.6366080", \
+					  "120.0930800, 120.1020900, 120.2155600, 120.3449900, 120.5827300, 121.0987200, 121.9905100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.023022, 0.0530014, 0.12202, 0.280915, 0.646724, 1.48889");
+					values("0.3821663, 0.4113864, 0.4749308, 0.5950486, 0.8206181, 1.2770927, 2.3513214", \
+					  "0.3843500, 0.4128481, 0.4738999, 0.5950532, 0.8207278, 1.2759983, 2.3500153", \
+					  "0.3842598, 0.4117264, 0.4717752, 0.5939409, 0.8200623, 1.2749773, 2.3469304", \
+					  "0.3848136, 0.4128265, 0.4739942, 0.5940095, 0.8187739, 1.2791708, 2.3486282", \
+					  "0.3846528, 0.4131689, 0.4744885, 0.5957847, 0.8206904, 1.2785334, 2.3517921", \
+					  "0.3828725, 0.4124954, 0.4735488, 0.5949621, 0.8207673, 1.2778067, 2.3514182", \
+					  "0.3819605, 0.4133349, 0.4748387, 0.5952780, 0.8204382, 1.2789537, 2.3497853", \
+					  "0.3847925, 0.4122291, 0.4749367, 0.5951350, 0.8195160, 1.2788953, 2.3524303", \
+					  "0.3827741, 0.4125544, 0.4728444, 0.5950652, 0.8209717, 1.2773147, 2.3505979", \
+					  "0.3841767, 0.4131203, 0.4750816, 0.5953042, 0.8202287, 1.2788540, 2.3495499", \
+					  "0.3825226, 0.4124326, 0.4733647, 0.5950816, 0.8195268, 1.2786114, 2.3462325", \
+					  "0.3842736, 0.4134569, 0.4749183, 0.5951163, 0.8212472, 1.2781523, 2.3472153");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0761386, 5.1186451, 5.2084475, 5.3865059, 5.7229377, 6.3857803, 7.8036759", \
+					  "6.8422805, 6.8854771, 6.9748460, 7.1527468, 7.4908320, 8.1542604, 9.5704547", \
+					  "7.8858999, 7.9271096, 8.0172908, 8.1937177, 8.5312468, 9.1941335, 10.6134660", \
+					  "8.0933678, 8.1368867, 8.2251255, 8.4020630, 8.7405656, 9.4038137, 10.8182160", \
+					  "9.1791703, 9.2217896, 9.3116688, 9.4870964, 9.8256843, 10.4900620, 11.9061660", \
+					  "9.9508117, 9.9938928, 10.0827510, 10.2580660, 10.5968580, 11.2595110, 12.6756920", \
+					  "10.4794430, 10.5249480, 10.6126750, 10.7888800, 11.1251900, 11.7905540, 13.2074350", \
+					  "11.1718230, 11.2115400, 11.3015570, 11.4746260, 11.8130470, 12.4764690, 13.8955410", \
+					  "19.5038310, 19.5734100, 19.6569980, 19.8418990, 20.1728310, 20.8526320, 22.2513280", \
+					  "26.4128810, 26.4776430, 26.5727320, 26.7273490, 27.0644060, 27.7601120, 29.1530660", \
+					  "55.8107520, 55.9463660, 56.0348000, 56.1190030, 56.4566100, 57.1189570, 58.4500870", \
+					  "135.4070100, 135.4070206, 135.4070359, 135.6839500, 136.2044600, 136.3491200, 138.2786600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4855378, 0.5169769, 0.5880853, 0.7395831, 1.0736483, 1.8541385, 3.7462606", \
+					  "0.4815714, 0.5185426, 0.5871153, 0.7393143, 1.0737070, 1.8542148, 3.7434296", \
+					  "0.4829228, 0.5183958, 0.5883802, 0.7374448, 1.0689799, 1.8530997, 3.7400092", \
+					  "0.4856769, 0.5178077, 0.5861779, 0.7375241, 1.0742434, 1.8537594, 3.7314880", \
+					  "0.4831215, 0.5155057, 0.5845615, 0.7380274, 1.0737606, 1.8577088, 3.7499972", \
+					  "0.4838556, 0.5155039, 0.5882281, 0.7383736, 1.0730324, 1.8506582, 3.7436529", \
+					  "0.4856096, 0.5150689, 0.5883998, 0.7386137, 1.0747304, 1.8545872, 3.7351402", \
+					  "0.4838582, 0.5176314, 0.5883694, 0.7374822, 1.0740888, 1.8540317, 3.7467704", \
+					  "0.4855585, 0.5177035, 0.5847396, 0.7357942, 1.0731772, 1.8560425, 3.7425880", \
+					  "0.4854944, 0.5196037, 0.5876477, 0.7403880, 1.0726111, 1.8540688, 3.7490442", \
+					  "0.4842473, 0.5165970, 0.5880966, 0.7361056, 1.0728044, 1.8531049, 3.7503662", \
+					  "0.4845824, 0.5161332, 0.5883211, 0.7357410, 1.0742304, 1.8535423, 3.7489416");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3365655, 6.3737993, 6.4518968, 6.6090693, 6.8948842, 7.3892963, 8.3223481", \
+					  "8.2165341, 8.2528535, 8.3310274, 8.4886915, 8.7736778, 9.2684376, 10.2022570", \
+					  "10.6827690, 10.7193860, 10.7972180, 10.9548730, 11.2375600, 11.7346500, 12.6678290", \
+					  "11.2032300, 11.2395480, 11.3177980, 11.4756080, 11.7631440, 12.2553170, 13.1867890", \
+					  "14.1236970, 14.1607500, 14.2381190, 14.3958550, 14.6731440, 15.1754860, 16.1055420", \
+					  "16.3230780, 16.3607300, 16.4482540, 16.6058370, 16.8797560, 17.3778750, 18.3168250", \
+					  "17.9231190, 17.9580990, 18.0309330, 18.1987510, 18.4775980, 18.9387030, 19.9083360", \
+					  "20.0356710, 20.1241160, 20.1869880, 20.3611230, 20.6021870, 21.1194740, 22.0728630", \
+					  "44.5614460, 44.6112340, 44.6814670, 44.8234800, 45.0252810, 45.6021990, 46.4577350", \
+					  "58.0680640, 58.1068850, 58.1793490, 58.3079330, 58.6606780, 59.1531270, 60.0572960", \
+					  "110.3201200, 110.3596600, 110.4347200, 110.6122300, 110.9091300, 111.3725200, 112.3080500", \
+					  "278.5107000, 278.5380700, 278.6009600, 278.7722300, 279.0611100, 279.5497900, 280.5011800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3943250, 0.4266539, 0.4925026, 0.6193553, 0.8514337, 1.3123250, 2.3780315", \
+					  "0.3949756, 0.4258566, 0.4922622, 0.6204087, 0.8520366, 1.3126539, 2.3741131", \
+					  "0.3946671, 0.4266947, 0.4912639, 0.6205044, 0.8514071, 1.3062291, 2.3703099", \
+					  "0.3945268, 0.4254508, 0.4919695, 0.6199715, 0.8518594, 1.3105532, 2.3755533", \
+					  "0.3943368, 0.4265247, 0.4908891, 0.6201425, 0.8511965, 1.3129242, 2.3685229", \
+					  "0.3947819, 0.4264351, 0.4921586, 0.6204605, 0.8510970, 1.3127660, 2.3733759", \
+					  "0.3944210, 0.4258470, 0.4908712, 0.6201820, 0.8509807, 1.3129183, 2.3769582", \
+					  "0.3941430, 0.4251304, 0.4913912, 0.6202095, 0.8503774, 1.3117334, 2.3758822", \
+					  "0.3945235, 0.4249737, 0.4906714, 0.6202809, 0.8513877, 1.3131654, 2.3742637", \
+					  "0.3950221, 0.4252089, 0.4923876, 0.6195862, 0.8514048, 1.3119832, 2.3773981", \
+					  "0.3947822, 0.4267900, 0.4923193, 0.6206558, 0.8520364, 1.3118971, 2.3770206", \
+					  "0.3953644, 0.4262001, 0.4917272, 0.6213254, 0.8523611, 1.3139096, 2.3766602");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.1007740, 5.1437898, 5.2332734, 5.4140092, 5.7499054, 6.4135439, 7.8292723", \
+					  "7.1813862, 7.2242554, 7.3138358, 7.4931714, 7.8292679, 8.4926572, 9.9086321", \
+					  "8.7526981, 8.7953458, 8.8845358, 9.0609884, 9.3969774, 10.0623010, 11.4802860", \
+					  "9.0983248, 9.1414893, 9.2303729, 9.4064632, 9.7439674, 10.4068140, 11.8231310", \
+					  "11.1058410, 11.1483600, 11.2387130, 11.4139200, 11.7512340, 12.4145170, 13.8303580", \
+					  "12.7283060, 12.7715830, 12.8593630, 13.0367120, 13.3757870, 14.0395160, 15.4599230", \
+					  "13.9486800, 13.9924340, 14.0795860, 14.2568880, 14.5930240, 15.2619780, 16.6872760", \
+					  "15.6728820, 15.7335350, 15.8263270, 15.9950350, 16.3214760, 16.9765750, 18.3985080", \
+					  "43.3681460, 43.4318000, 43.4775100, 43.6433820, 43.9918110, 44.6507440, 45.9501020", \
+					  "61.8569230, 62.1457090, 62.1698750, 62.2408720, 62.5655470, 63.2259190, 64.6637590", \
+					  "133.0803300, 133.1226700, 133.1226731, 133.3887800, 133.7316200, 134.3905800, 135.8097400", \
+					  "375.3718500, 375.3718720, 375.3719025, 375.4669500, 375.6770200, 376.8010700, 377.6549100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4839164, 0.5147536, 0.5839597, 0.7411090, 1.0747437, 1.8533362, 3.7417500", \
+					  "0.4817433, 0.5183628, 0.5862759, 0.7408569, 1.0748333, 1.8556590, 3.7418246", \
+					  "0.4833425, 0.5131827, 0.5833138, 0.7350617, 1.0723390, 1.8586509, 3.7367776", \
+					  "0.4842345, 0.5150212, 0.5883635, 0.7384936, 1.0695871, 1.8535049, 3.7344936", \
+					  "0.4862055, 0.5173836, 0.5874159, 0.7402313, 1.0722502, 1.8552833, 3.7509040", \
+					  "0.4855649, 0.5174302, 0.5878638, 0.7384263, 1.0706367, 1.8519306, 3.7506291", \
+					  "0.4848511, 0.5177226, 0.5883889, 0.7353271, 1.0701002, 1.8538118, 3.7427599", \
+					  "0.4857971, 0.5176888, 0.5870550, 0.7360211, 1.0741840, 1.8522640, 3.7357526", \
+					  "0.4857149, 0.5177399, 0.5877472, 0.7361504, 1.0726067, 1.8557615, 3.7500967", \
+					  "0.4855302, 0.5169582, 0.5877426, 0.7369628, 1.0741510, 1.8545503, 3.7469228", \
+					  "0.4855518, 0.5178161, 0.5873659, 0.7366445, 1.0741752, 1.8563489, 3.7487728", \
+					  "0.4846599, 0.5150597, 0.5876656, 0.7385192, 1.0705981, 1.8545436, 3.7488537");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7184270, 4.7545665, 4.8330917, 4.9904845, 5.2757150, 5.7705773, 6.7031447", \
+					  "6.9435155, 6.9799535, 7.0581434, 7.2160060, 7.5009048, 7.9956299, 8.9280814", \
+					  "9.0480832, 9.0844248, 9.1635570, 9.3206980, 9.6055786, 10.0999700, 11.0335970", \
+					  "9.4819947, 9.5183061, 9.5978407, 9.7540962, 10.0401600, 10.5334040, 11.4659780", \
+					  "11.9461930, 11.9808630, 12.0441270, 12.2059600, 12.5045740, 12.9980890, 13.9314200", \
+					  "13.8157590, 13.8406530, 13.9354480, 14.0858310, 14.3637880, 14.8681300, 15.7996140", \
+					  "15.1540700, 15.1795220, 15.2487780, 15.4655350, 15.6893360, 16.1857830, 17.1393050", \
+					  "16.9588770, 16.9921200, 17.0761380, 17.2284660, 17.5169590, 18.0137470, 18.9999150", \
+					  "37.1429570, 37.1914730, 37.2521460, 37.4024510, 37.7457780, 38.1945210, 39.1249660", \
+					  "48.1304060, 48.1665770, 48.2530960, 48.4069460, 48.6942750, 49.1901380, 50.1182670", \
+					  "90.2064560, 90.2420400, 90.3040130, 90.4775700, 90.7745770, 91.2639490, 92.1893670", \
+					  "227.2093100, 227.2297800, 227.3318800, 227.4394900, 227.6255100, 228.2329900, 229.1685800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3939237, 0.4248545, 0.4918255, 0.6202376, 0.8517201, 1.3118702, 2.3758016", \
+					  "0.3944863, 0.4262011, 0.4922680, 0.6204112, 0.8525453, 1.3111630, 2.3774880", \
+					  "0.3946294, 0.4259893, 0.4921001, 0.6201890, 0.8510814, 1.3114043, 2.3717905", \
+					  "0.3948146, 0.4253067, 0.4912921, 0.6207581, 0.8494435, 1.3103562, 2.3761830", \
+					  "0.3945345, 0.4261339, 0.4921145, 0.6201331, 0.8517211, 1.3129953, 2.3772475", \
+					  "0.3938500, 0.4262755, 0.4913952, 0.6198765, 0.8507322, 1.3115951, 2.3746227", \
+					  "0.3945726, 0.4257549, 0.4907842, 0.6197224, 0.8508723, 1.3088737, 2.3729652", \
+					  "0.3947154, 0.4257840, 0.4922180, 0.6201882, 0.8510483, 1.3086957, 2.3764004", \
+					  "0.3948951, 0.4265651, 0.4910169, 0.6202764, 0.8518199, 1.3129496, 2.3769184", \
+					  "0.3943753, 0.4266076, 0.4905672, 0.6194661, 0.8514858, 1.3130539, 2.3744735", \
+					  "0.3947191, 0.4267376, 0.4924012, 0.6189145, 0.8516415, 1.3120692, 2.3719009", \
+					  "0.3949435, 0.4270336, 0.4939302, 0.6209747, 0.8523581, 1.3135324, 2.3724127");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("20.6095180, 20.6528520, 20.7427270, 20.9177490, 21.2581560, 21.9226630, 23.3512480", \
+					  "20.7382450, 20.7809540, 20.8693680, 21.0459100, 21.3848190, 22.0525500, 23.4774990", \
+					  "20.8393550, 20.8823700, 20.9710880, 21.1482830, 21.4871850, 22.1542070, 23.5798710", \
+					  "20.9142620, 20.9570550, 21.0467060, 21.2241020, 21.5630040, 22.2299160, 23.6556910", \
+					  "20.9781380, 21.0209410, 21.1105800, 21.2887090, 21.6276100, 22.2937930, 23.7202930", \
+					  "21.0395740, 21.0797940, 21.1694130, 21.3471300, 21.6860290, 22.3526140, 23.7787250", \
+					  "21.0976710, 21.1379090, 21.2275250, 21.4051140, 21.7440220, 22.4107270, 23.8367030");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.4834088, 0.5164741, 0.5864079, 0.7367044, 1.0714017, 1.8566227, 3.7465435", \
+					  "0.4830566, 0.5145269, 0.5873423, 0.7368155, 1.0713763, 1.8566813, 3.7465520", \
+					  "0.4845245, 0.5155212, 0.5864089, 0.7367297, 1.0713760, 1.8565294, 3.7465635", \
+					  "0.4856221, 0.5164481, 0.5872358, 0.7367200, 1.0713753, 1.8566052, 3.7465511", \
+					  "0.4856590, 0.5164283, 0.5872419, 0.7367533, 1.0713779, 1.8566092, 3.7465773", \
+					  "0.4835351, 0.5166859, 0.5873194, 0.7366965, 1.0713742, 1.8566647, 3.7465417", \
+					  "0.4835425, 0.5167683, 0.5873306, 0.7368590, 1.0713770, 1.8566624, 3.7465511");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("17.2013530, 17.2510010, 17.3571540, 17.5731160, 17.9674000, 18.6105820, 19.7112570", \
+					  "17.2924500, 17.3422200, 17.4482170, 17.6641240, 18.0586500, 18.7015770, 19.8026630", \
+					  "17.3368540, 17.3862290, 17.4918360, 17.7078030, 18.1016850, 18.7456270, 19.8456900", \
+					  "17.3712240, 17.4203830, 17.5268850, 17.7420660, 18.1380720, 18.7810250, 19.8820000", \
+					  "17.3946760, 17.4442220, 17.5504320, 17.7672810, 18.1611210, 18.8038880, 19.9049290", \
+					  "17.4095370, 17.4591580, 17.5653090, 17.7814240, 18.1758890, 18.8187330, 19.9194780", \
+					  "17.4274280, 17.4768820, 17.5831830, 17.7995770, 18.1940210, 18.8366320, 19.9377560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.5880497, 0.6297938, 0.7174840, 0.8868633, 1.1789218, 1.6800186, 2.7275100", \
+					  "0.5881667, 0.6295162, 0.7173661, 0.8876566, 1.1777715, 1.6786859, 2.7281351", \
+					  "0.5889028, 0.6281028, 0.7177985, 0.8894003, 1.1799155, 1.6807287, 2.7220988", \
+					  "0.5852868, 0.6285433, 0.7162515, 0.8868634, 1.1799723, 1.6755993, 2.7258831", \
+					  "0.5879012, 0.6298921, 0.7169673, 0.8859060, 1.1767584, 1.6788191, 2.7279103", \
+					  "0.5880304, 0.6298386, 0.7171099, 0.8868218, 1.1765932, 1.6788169, 2.7283702", \
+					  "0.5875820, 0.6298588, 0.7167150, 0.8915327, 1.1775885, 1.6787749, 2.7274636");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.201667;
+			max_capacitance : 551.202000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340895, 0.1340176, 0.1340095, 0.1337911, 0.1340894, 0.1340198, 0.1340887", \
+					  "0.1925595, 0.1926250, 0.1925603, 0.1925589, 0.1925550, 0.1925562, 0.1926182", \
+					  "0.2618747, 0.2616987, 0.2618796, 0.2618866, 0.2618866, 0.2618856, 0.2617087", \
+					  "0.3455927, 0.3451711, 0.3456143, 0.3456900, 0.3453791, 0.3458559, 0.3454743", \
+					  "0.4257615, 0.4256455, 0.4258238, 0.4258316, 0.4261425, 0.4262670, 0.4263288", \
+					  "0.5234192, 0.5235768, 0.5232831, 0.5229623, 0.5227772, 0.5230122, 0.5229483", \
+					  "0.7047463, 0.7044799, 0.7037362, 0.7001413, 0.6946915, 0.6924297, 0.6920182");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6969881, 5.6968047, 5.6961741, 5.6934974, 5.6376506, 5.5069912, -0.5437533", \
+					  "5.6980832, 5.6968503, 5.6949928, 5.6947673, 5.6553765, 5.4291348, -0.3435086", \
+					  "5.6993467, 5.6985417, 5.6960329, 5.6957055, 5.6558463, 5.4327773, -0.3497221", \
+					  "5.6956563, 5.6967332, 5.6956026, 5.6974370, 5.6553765, 5.3088607, -0.3057193", \
+					  "5.6970444, 5.6962711, 5.6966281, 5.6969937, 5.6593879, 5.4486376, -0.3337574", \
+					  "5.6974333, 5.6954522, 5.6959536, 5.6922317, 5.6484235, 5.4201052, -0.3535746", \
+					  "5.6956113, 5.6960771, 5.6963572, 5.6936883, 5.6519270, 5.4078367, -0.3035468");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5905459, 6.6543447, 6.6187486, 6.6402990, 6.7013002, 5.5561025, -11.3290926", \
+					  "6.5816973, 6.5867393, 6.5945043, 6.6104074, 6.5858767, 5.5219920, -11.6369297", \
+					  "6.5565849, 6.5608107, 6.5771448, 6.5807409, 6.5437232, 5.4351794, -11.6925677", \
+					  "6.5354414, 6.5424783, 6.5455019, 6.5604808, 6.5195700, 5.4401352, -11.5430764", \
+					  "6.5129016, 6.5178178, 6.5201211, 6.5408805, 6.5134086, 5.4777405, -11.6089611", \
+					  "6.4952726, 6.5035460, 6.4972559, 6.5193736, 6.4772493, 5.4562253, -11.6114948", \
+					  "6.4619535, 6.4751296, 6.4879896, 6.4978797, 6.4581410, 5.4594005, -11.8326465");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9530703, 3.9637939, 3.9836045, 4.1148936, 4.6631061, 5.5119171, 5.9603761", \
+					  "3.9153590, 3.9241167, 3.9440292, 4.0783289, 4.6061623, 5.4643933, 5.9341261", \
+					  "3.8866999, 3.8900115, 3.9111981, 4.0439019, 4.5719703, 5.4315570, 5.9043503", \
+					  "3.8859782, 3.8725068, 3.9102201, 4.0366056, 4.5683916, 5.4267713, 5.8861790", \
+					  "3.8786228, 3.8822367, 3.9007462, 4.0374957, 4.5648495, 5.4216875, 5.8945229", \
+					  "3.8739552, 3.8766175, 3.8991997, 4.0338950, 4.5611269, 5.4183097, 5.8825746", \
+					  "3.8679319, 3.8744548, 3.8951982, 4.0261516, 4.5632186, 5.4188666, 5.8866400");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1341243, 0.1341235, 0.1341348, 0.1341317, 0.1341326, 0.1341306, 0.1342881", \
+					  "0.1916398, 0.1915832, 0.1916293, 0.1917010, 0.1916400, 0.1916410, 0.1916405", \
+					  "0.2515611, 0.2517393, 0.2517368, 0.2515605, 0.2517390, 0.2515636, 0.2517377", \
+					  "0.3055136, 0.3060003, 0.3059512, 0.3055133, 0.3059547, 0.3055172, 0.3059532", \
+					  "0.3703633, 0.3703475, 0.3703437, 0.3703573, 0.3701307, 0.3703565, 0.3703367", \
+					  "0.4669737, 0.4670301, 0.4670252, 0.4669625, 0.4670125, 0.4668244, 0.4669525", \
+					  "0.5997783, 0.5997717, 0.5999659, 0.5998614, 0.5998294, 0.5996753, 0.5998373");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5585442, 2.5626850, 2.5677404, 2.5662620, 2.5717428, 2.5719623, 2.6131676", \
+					  "2.5624502, 2.5507907, 2.5747104, 2.5453077, 2.5552717, 2.5785558, 2.5389139", \
+					  "2.5611135, 2.5538110, 2.5608255, 2.5521387, 2.5544363, 2.5730445, 2.6053975", \
+					  "2.5587355, 2.5597291, 2.5745698, 2.5612201, 2.5879155, 2.5922920, 2.5769115", \
+					  "2.5569724, 2.5663048, 2.5606351, 2.5600039, 2.5668450, 2.5617392, 2.5588520", \
+					  "2.5549386, 2.5566674, 2.5566143, 2.5658699, 2.5617339, 2.5707833, 2.5561329", \
+					  "2.5559617, 2.5579456, 2.5570054, 2.5594570, 2.5650465, 2.5785960, 2.5605956");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4536189, 6.4431126, 6.4470231, 6.4368598, 6.4266986, 5.9968177, 0.3369374", \
+					  "6.4112221, 6.4116410, 6.4118670, 6.4139131, 6.3749712, 6.1566263, 0.3382824", \
+					  "6.3842358, 6.3829642, 6.3822530, 6.3840905, 6.3463616, 6.1551998, 0.2775121", \
+					  "6.3614868, 6.3625836, 6.3617799, 6.3595274, 6.3231398, 6.1439902, 0.3056163", \
+					  "6.3427812, 6.3418856, 6.3416484, 6.3430678, 6.3024237, 6.0063862, 0.2975473", \
+					  "6.3203709, 6.3217062, 6.3215709, 6.3155935, 6.2792211, 6.0489864, 0.1856077", \
+					  "6.3009933, 6.3009985, 6.3013498, 6.3011169, 6.2645650, 6.0069460, 0.2864123");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8572069, 3.8567346, 3.8740407, 3.9329844, 3.9581344, 4.0963867, 3.9755120", \
+					  "3.8209900, 3.8210459, 3.8359620, 3.8868223, 3.9272219, 3.9562035, 3.9817091", \
+					  "3.7834171, 3.7901092, 3.7982177, 3.8475329, 3.9171768, 3.9610367, 3.9474851", \
+					  "3.7823762, 3.7851821, 3.7935413, 3.8436063, 3.9142447, 3.9417509, 3.9349512", \
+					  "3.7753239, 3.7781155, 3.7836857, 3.8402516, 3.9037065, 3.9446048, 3.9198481", \
+					  "3.7761810, 3.7803174, 3.7891020, 3.8384584, 3.9261300, 3.9284507, 3.9336765", \
+					  "3.7711772, 3.7656677, 3.7867108, 3.8344135, 3.9021645, 3.9365410, 3.9255620");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340076, 0.1336939, 0.1345025, 0.1340271, 0.1341262, 0.1341211, 0.1340659", \
+					  "0.1915681, 0.1916903, 0.1917701, 0.1916368, 0.1916353, 0.1916973, 0.1916986", \
+					  "0.2517663, 0.2515630, 0.2515847, 0.2515629, 0.2517384, 0.2514445, 0.2515624", \
+					  "0.3057424, 0.3055180, 0.3055152, 0.3055861, 0.3059544, 0.3055160, 0.3055547", \
+					  "0.3703504, 0.3703652, 0.3702343, 0.3703596, 0.3703387, 0.3701675, 0.3701988", \
+					  "0.4670252, 0.4669669, 0.4669619, 0.4666156, 0.4670021, 0.4669435, 0.4669445", \
+					  "0.5997247, 0.5997365, 0.5996371, 0.5998083, 0.5997840, 0.5998001, 0.5998014");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5569439, 2.5571607, 2.5364330, 2.5816496, 2.5492732, 2.5394724, 2.5900218", \
+					  "2.5645438, 2.5556208, 2.5542150, 2.5487728, 2.5793850, 2.5631896, 2.5830312", \
+					  "2.5671727, 2.5595787, 2.5557298, 2.5607455, 2.6100613, 2.5601119, 2.5832314", \
+					  "2.5637838, 2.5581539, 2.5568895, 2.5599901, 2.5715790, 2.5587559, 2.5666814", \
+					  "2.5575219, 2.5629600, 2.5558073, 2.5594613, 2.5550094, 2.5893378, 2.5625192", \
+					  "2.5545045, 2.5586008, 2.5579856, 2.5627509, 2.6161906, 2.5722678, 2.5774843", \
+					  "2.5635160, 2.5565938, 2.5552403, 2.5596957, 2.5614161, 2.5776657, 2.5730360");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2591558, 3.2623980, 3.2766984, 3.3492469, 3.7956155, 5.1468914, 5.3796575", \
+					  "3.2238607, 3.2251940, 3.2383218, 3.2933630, 3.7739053, 5.0994209, 5.3332482", \
+					  "3.1905615, 3.1921177, 3.2015514, 3.2730525, 3.7368536, 5.0707562, 5.3057393", \
+					  "3.1861164, 3.1890431, 3.1988736, 3.2718458, 3.7378836, 5.0707983, 5.2774485", \
+					  "3.1822500, 3.1852057, 3.1948848, 3.2659606, 3.7153615, 5.0613000, 5.2730278", \
+					  "3.1793964, 3.1823383, 3.1921692, 3.2634839, 3.7251211, 5.0597679, 5.2719003", \
+					  "3.1756865, 3.1781455, 3.1891276, 3.2601522, 3.7220312, 5.0644340, 5.2561109");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1532342, 0.1534633, 0.1534575, 0.1534585, 0.1534255, 0.1534639, 0.1534634", \
+					  "0.1894413, 0.1894434, 0.1893161, 0.1894331, 0.1893724, 0.1894262, 0.1893775", \
+					  "0.2501585, 0.2501762, 0.2501334, 0.2500794, 0.2500439, 0.2500965, 0.2500821", \
+					  "0.3483827, 0.3483138, 0.3482482, 0.3483354, 0.3483064, 0.3483033, 0.3483020", \
+					  "0.6053364, 0.6053735, 0.6047657, 0.6053045, 0.6053083, 0.6053161, 0.6053605", \
+					  "0.8004810, 0.8004919, 0.8003634, 0.8003425, 0.8000649, 0.7999165, 0.7998472", \
+					  "0.8735178, 0.8741910, 0.8738868, 0.8739956, 0.8739686, 0.8736403, 0.8735239");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340855, 0.1340824, 0.1340889, 0.1340533, 0.1340818, 0.1340808, 0.1340806", \
+					  "0.1925338, 0.1925562, 0.1926277, 0.1926157, 0.1926585, 0.1925490, 0.1925521", \
+					  "0.2618758, 0.2614920, 0.2616992, 0.2617445, 0.2617060, 0.2618819, 0.2617060", \
+					  "0.3455926, 0.3452099, 0.3452306, 0.3452594, 0.3453763, 0.3458834, 0.3454377", \
+					  "0.4256072, 0.4256997, 0.4256400, 0.4258594, 0.4261410, 0.4261299, 0.4263282", \
+					  "0.5234146, 0.5232803, 0.5231712, 0.5229876, 0.5227732, 0.5230124, 0.5229449", \
+					  "0.7046092, 0.7045912, 0.7036814, 0.7003680, 0.6945957, 0.6924182, 0.6920066");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8684638, 6.8712133, 6.8951659, 7.0165419, 7.0707542, 6.1492010, -0.0009869", \
+					  "6.8664525, 6.8704458, 6.8916975, 7.0119923, 7.0672203, 6.1546902, -0.0255141", \
+					  "6.8673989, 6.8684783, 6.8998720, 7.0137674, 7.0681497, 6.1566640, -0.0382597", \
+					  "6.8636955, 6.8725786, 6.8968591, 7.0191575, 7.0714820, 6.1401098, -0.0458143", \
+					  "6.8660325, 6.8673275, 6.8942664, 7.0121699, 7.0687462, 6.1532936, -0.0068607", \
+					  "6.8647426, 6.8735628, 6.8950773, 7.0204089, 7.0763090, 6.1566311, -0.0015907", \
+					  "6.8668059, 6.8719212, 6.8967772, 7.0159791, 7.0699316, 6.2143027, -0.0383857");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5905105, 3.5856251, 3.6040305, 3.6621125, 3.7676366, 4.9633884, 5.8065252", \
+					  "3.5516941, 3.5538508, 3.5700171, 3.6248869, 3.7302869, 4.9233504, 5.7833101", \
+					  "3.5188869, 3.5131689, 3.5323393, 3.5906498, 3.6964615, 4.8902093, 5.7449088", \
+					  "3.5139798, 3.5092045, 3.5292033, 3.5845605, 3.6836475, 4.8837049, 5.7388312", \
+					  "3.5097959, 3.5047279, 3.5207073, 3.5830524, 3.6878453, 4.8817301, 5.7422922", \
+					  "3.5058755, 3.5021059, 3.5213275, 3.5771102, 3.6818120, 4.8852426, 5.7182980", \
+					  "3.5029434, 3.4984952, 3.5174683, 3.5744284, 3.6861892, 4.8826831, 5.7306146");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1532307, 0.1534565, 0.1534660, 0.1534533, 0.1534513, 0.1532294, 0.1534646", \
+					  "0.1893210, 0.1893786, 0.1893762, 0.1894276, 0.1893646, 0.1893505, 0.1894237", \
+					  "0.2500274, 0.2500695, 0.2500624, 0.2500098, 0.2499420, 0.2497312, 0.2500064", \
+					  "0.3480956, 0.3480412, 0.3480430, 0.3478039, 0.3480649, 0.3481160, 0.3479379", \
+					  "0.6122397, 0.6116897, 0.6122402, 0.6125059, 0.6117898, 0.6124890, 0.6124016", \
+					  "0.8227569, 0.8227350, 0.8227072, 0.8224453, 0.8215759, 0.8211023, 0.8210161", \
+					  "0.9094158, 0.9096732, 0.9098747, 0.9098804, 0.9089509, 0.9080846, 0.9077081");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5633836, 5.5351267, 5.5319192, 5.5080108, 5.3352558, 4.1265742, -12.7763210", \
+					  "5.5370831, 5.5380854, 5.5318048, 5.5073686, 5.3555040, 4.1407517, -13.0042884", \
+					  "5.5379046, 5.5368568, 5.5336051, 5.5086988, 5.3531002, 4.1351226, -13.0347038", \
+					  "5.5370146, 5.5405829, 5.5321188, 5.5072714, 5.3516151, 4.1698573, -12.9169403", \
+					  "5.5375878, 5.5454786, 5.5307967, 5.5114312, 5.3531229, 4.1652216, -13.0186671", \
+					  "5.5362679, 5.5389412, 5.5301253, 5.5078705, 5.3559764, 4.1612077, -12.9302586", \
+					  "5.5362015, 5.5376274, 5.5322003, 5.5037075, 5.3531240, 4.1571375, -12.8791021");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340977, 0.1336298, 0.1339468, 0.1336370, 0.1340328, 0.1340881, 0.1340536", \
+					  "0.1925590, 0.1926187, 0.1925595, 0.1926234, 0.1925695, 0.1926121, 0.1926481", \
+					  "0.2617010, 0.2616996, 0.2621870, 0.2617085, 0.2620897, 0.2621217, 0.2619156", \
+					  "0.3451405, 0.3451294, 0.3455632, 0.3451462, 0.3456154, 0.3455907, 0.3452084", \
+					  "0.4257140, 0.4256644, 0.4256020, 0.4252433, 0.4256761, 0.4257254, 0.4257683", \
+					  "0.5226136, 0.5224147, 0.5225037, 0.5225069, 0.5224298, 0.5224923, 0.5223823", \
+					  "0.6934636, 0.6934300, 0.6932690, 0.6927737, 0.6924433, 0.6925478, 0.6924002");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2802971, 6.2820843, 6.2970701, 6.2693028, 6.2488821, 4.8091809, -12.2490726", \
+					  "6.2579875, 6.2784607, 6.2472790, 6.2273830, 6.0783274, 4.8345757, -12.2541137", \
+					  "6.2360349, 6.2313615, 6.2185536, 6.1988619, 6.0573215, 4.8170840, -12.4107087", \
+					  "6.2037462, 6.2029326, 6.2002533, 6.1774773, 6.0341683, 4.8006919, -12.2961884", \
+					  "6.1906542, 6.1917882, 6.1788997, 6.1566657, 6.0165708, 4.7711859, -12.3806261", \
+					  "6.1652545, 6.1630037, 6.1590381, 6.1379925, 5.9743673, 4.7821044, -12.3781288", \
+					  "6.1495103, 6.1502784, 6.1401445, 6.1153983, 5.9739806, 4.7275059, -12.5829565");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0752857, 3.0924634, 3.0665911, 3.0822248, 3.0981507, 3.1169110, 3.0508250", \
+					  "3.0414159, 3.0439553, 3.0420848, 3.0786457, 3.0620552, 3.0542433, 3.0494312", \
+					  "3.0148387, 3.0066268, 3.0074107, 3.0158017, 3.0319067, 3.0355951, 3.0436744", \
+					  "3.0020211, 3.0046210, 3.0057340, 3.0084597, 3.0178166, 3.0242819, 3.0118877", \
+					  "2.9998941, 2.9999175, 3.0017080, 3.0022809, 3.0099123, 3.0009466, 3.0118678", \
+					  "2.9993247, 2.9990528, 2.9951655, 3.0006629, 2.9981640, 3.0072617, 3.0066672", \
+					  "2.9900753, 2.9932849, 2.9949446, 2.9963200, 3.0065256, 3.0083024, 2.9948178");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340839, 0.1341340, 0.1341362, 0.1341498, 0.1341271, 0.1341337, 0.1340447", \
+					  "0.1916419, 0.1916419, 0.1916104, 0.1916875, 0.1916019, 0.1916982, 0.1916987", \
+					  "0.2516894, 0.2517409, 0.2517388, 0.2515556, 0.2516802, 0.2515545, 0.2515553", \
+					  "0.3055308, 0.3059479, 0.3059778, 0.3055207, 0.3059564, 0.3055187, 0.3055200", \
+					  "0.3703650, 0.3703708, 0.3703440, 0.3703519, 0.3703290, 0.3703461, 0.3703459", \
+					  "0.4668540, 0.4669085, 0.4669047, 0.4668398, 0.4668891, 0.4666916, 0.4667990", \
+					  "0.6007788, 0.6004287, 0.6004284, 0.6004722, 0.6005110, 0.6005437, 0.6009642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2226646, 3.2185696, 3.2366647, 3.2948055, 3.4076785, 4.5944863, 5.4806598", \
+					  "3.2214042, 3.2167844, 3.2358337, 3.2918158, 3.4000263, 4.6009778, 5.4008995", \
+					  "3.2212547, 3.2178017, 3.2365420, 3.2921095, 3.3993598, 4.5923832, 5.4455657", \
+					  "3.2213220, 3.2159763, 3.2376393, 3.2945542, 3.3991728, 4.5910079, 5.4547761", \
+					  "3.2213720, 3.2163935, 3.2356685, 3.2920279, 3.3992206, 4.5916478, 5.4405040", \
+					  "3.2205431, 3.2160462, 3.2352436, 3.2945551, 3.3978192, 4.5920259, 5.4334847", \
+					  "3.2208734, 3.2162675, 3.2348583, 3.2919429, 3.3980832, 4.5939478, 5.4503413");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2811220, 6.2810036, 6.2481388, 6.2744697, 6.0529867, 5.0366144, -12.1732836", \
+					  "6.2693187, 6.2607587, 6.2504935, 6.2258066, 6.0782229, 4.8779807, -12.3874667", \
+					  "6.2358081, 6.2337745, 6.2185619, 6.1997917, 6.0163356, 4.8444202, -12.2801697", \
+					  "6.2009052, 6.2073405, 6.1989484, 6.1774807, 6.0237331, 4.8585670, -12.3900664", \
+					  "6.1905696, 6.1814979, 6.1805897, 6.1565994, 6.0163578, 4.7536374, -12.4671081", \
+					  "6.1705610, 6.1636490, 6.1591292, 6.1380519, 5.9750811, 4.8292776, -12.1081948", \
+					  "6.1549049, 6.1492900, 6.1396866, 6.1155748, 5.9746420, 4.7162388, -12.3276155");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0694471, 3.0596669, 3.0750045, 3.0958780, 3.0978605, 3.1373860, 3.0718644", \
+					  "3.0412008, 3.0485153, 3.0421080, 3.0687287, 3.0468078, 3.0533001, 3.0370468", \
+					  "3.0115705, 3.0069748, 3.0074037, 3.0365874, 3.0334684, 3.0047867, 3.0312952", \
+					  "3.0038054, 3.0042803, 3.0067937, 3.0112964, 3.0177227, 3.0143955, 3.0370198", \
+					  "3.0033971, 3.0035082, 3.0018743, 3.0044393, 3.0099555, 3.0007981, 3.0122752", \
+					  "2.9992592, 2.9985725, 2.9953212, 3.0007125, 2.9928050, 3.0140150, 3.0143236", \
+					  "2.9906278, 2.9934064, 2.9967056, 2.9963294, 3.0070732, 3.0161299, 3.0149319");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3819948, 6.3806643, 6.3769112, 6.3635981, 6.3784751, 6.0043100, 0.3029318", \
+					  "6.3488167, 6.3473184, 6.3439621, 6.3468808, 6.3133273, 6.1331741, 0.3095407", \
+					  "6.3188181, 6.3205879, 6.3179828, 6.3162004, 6.2851961, 6.0831199, 0.2950285", \
+					  "6.2968662, 6.2980241, 6.2966606, 6.2935715, 6.2617671, 6.0770698, 0.1433062", \
+					  "6.2769857, 6.2775330, 6.2743706, 6.2754921, 6.2353419, 6.0281587, 0.2406914", \
+					  "6.2539180, 6.2562715, 6.2567000, 6.2574460, 6.2223551, 6.0138869, 0.2131191", \
+					  "6.2348262, 6.2366646, 6.2338639, 6.2367743, 6.1828604, 5.8571409, 0.1468260");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1624145, 0.1624353, 0.1623337, 0.1619144, 0.1613190, 0.1610932, 0.1609772", \
+					  "0.2102313, 0.2100260, 0.2100698, 0.2098609, 0.2090620, 0.2084621, 0.2083253", \
+					  "0.2547231, 0.2546726, 0.2548149, 0.2548095, 0.2542743, 0.2536306, 0.2533896", \
+					  "0.3095866, 0.3095389, 0.3096293, 0.3099266, 0.3099649, 0.3093726, 0.3091049", \
+					  "0.4095801, 0.4093693, 0.4090703, 0.4085785, 0.4077899, 0.4068946, 0.4065906", \
+					  "0.6048420, 0.6048750, 0.6039150, 0.6004407, 0.5940831, 0.5907194, 0.5899004", \
+					  "0.8982722, 0.8988160, 0.9033506, 0.9181798, 0.9309825, 0.9327612, 0.9331306");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9583242, 7.9638814, 7.9964976, 8.1551277, 8.3319524, 7.5351314, 1.4340606", \
+					  "7.9262637, 7.9294859, 7.9625515, 8.1161604, 8.2848883, 7.5089811, 1.3996977", \
+					  "7.8954982, 7.9002106, 7.9333629, 8.0873639, 8.2589731, 7.4671230, 1.2601923", \
+					  "7.8745269, 7.8787822, 7.9121539, 8.0720434, 8.2386046, 7.4388815, 1.3067778", \
+					  "7.8554375, 7.8595653, 7.8925614, 8.0462441, 8.2179513, 7.4222640, 1.2873308", \
+					  "7.8344878, 7.8384153, 7.8719336, 8.0257628, 8.1972801, 7.3994001, 1.2666502", \
+					  "7.8132953, 7.8179079, 7.8516501, 8.0055053, 8.1772967, 7.3812260, 1.2465412");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7411157, 4.7342597, 4.7816471, 4.9539888, 5.5498351, 6.4238573, 6.8956891", \
+					  "4.7007125, 4.7104443, 4.7434006, 4.9188050, 5.5103028, 6.3865974, 6.8647172", \
+					  "4.6676538, 4.6751998, 4.7124660, 4.8863790, 5.4744489, 6.3532347, 6.8273507", \
+					  "4.6656588, 4.6734798, 4.7060575, 4.8804844, 5.4770245, 6.3475079, 6.8229826", \
+					  "4.6485308, 4.6631220, 4.7047038, 4.8740891, 5.4682649, 6.3437859, 6.8177769", \
+					  "4.6583768, 4.6639873, 4.6982424, 4.8751018, 5.4645505, 6.3388355, 6.8152591", \
+					  "4.6521205, 4.6439101, 4.6990626, 4.8709255, 5.4598984, 6.3398733, 6.8111027");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1340140, 0.1344596, 0.1345835, 0.1341261, 0.1341131, 0.1341445, 0.1341538", \
+					  "0.1916510, 0.1916465, 0.1917071, 0.1916402, 0.1917023, 0.1916398, 0.1916393", \
+					  "0.2515708, 0.2517470, 0.2517255, 0.2517387, 0.2514655, 0.2515618, 0.2516699", \
+					  "0.3055332, 0.3059723, 0.3055305, 0.3058646, 0.3054328, 0.3055213, 0.3056883", \
+					  "0.3703597, 0.3705314, 0.3703677, 0.3703290, 0.3703399, 0.3703397, 0.3703372", \
+					  "0.4669064, 0.4669610, 0.4669115, 0.4670045, 0.4668840, 0.4668056, 0.4669410", \
+					  "0.6009133, 0.6008393, 0.6008537, 0.6006745, 0.6009353, 0.6009627, 0.6009671");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5791581, 2.5778261, 2.5846604, 2.6174448, 2.8404428, 3.8279736, 4.4605227", \
+					  "2.5760238, 2.5764637, 2.5790949, 2.6194834, 2.8291917, 3.8787590, 4.4562424", \
+					  "2.5770508, 2.5777532, 2.5826386, 2.6186703, 2.8338198, 3.8849400, 4.4585918", \
+					  "2.5762094, 2.5759787, 2.5823184, 2.6170849, 2.8280472, 3.8627905, 4.4787869", \
+					  "2.5761897, 2.5767387, 2.5828773, 2.6166640, 2.8294457, 3.8518108, 4.4728483", \
+					  "2.5753972, 2.5763368, 2.5816175, 2.6179019, 2.8221584, 3.8603087, 4.4778806", \
+					  "2.5763053, 2.5751992, 2.5822053, 2.6173165, 2.8307523, 3.8659247, 4.4724170");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1343503, 0.1345055, 0.1340720, 0.1340474, 0.1339407, 0.1341420, 0.1343165", \
+					  "0.1916403, 0.1917034, 0.1916398, 0.1917012, 0.1917017, 0.1917004, 0.1916382", \
+					  "0.2517401, 0.2515643, 0.2517442, 0.2515624, 0.2515632, 0.2514494, 0.2517386", \
+					  "0.3059548, 0.3055174, 0.3059556, 0.3055158, 0.3055167, 0.3055147, 0.3059549", \
+					  "0.3703742, 0.3703649, 0.3703454, 0.3703580, 0.3703555, 0.3701551, 0.3701617", \
+					  "0.4670314, 0.4669724, 0.4670236, 0.4665971, 0.4669521, 0.4667644, 0.4670033", \
+					  "0.5997331, 0.5997449, 0.5997427, 0.5997768, 0.6000597, 0.5998080, 0.5996518");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5619603, 2.5640311, 2.5566991, 2.5602034, 2.5302914, 2.6063291, 2.5559688", \
+					  "2.5627406, 2.5603397, 2.5490052, 2.5619289, 2.5312549, 2.6007113, 2.5632933", \
+					  "2.5663712, 2.5600514, 2.5574578, 2.5631563, 2.5473025, 2.5858355, 2.5342827", \
+					  "2.5572642, 2.5580472, 2.5568134, 2.5618855, 2.5720534, 2.5945480, 2.5654287", \
+					  "2.5581681, 2.5567890, 2.5578513, 2.5582785, 2.5645412, 2.5863610, 2.5675096", \
+					  "2.5549178, 2.5566588, 2.5556864, 2.5607109, 2.5538528, 2.5943673, 2.5730265", \
+					  "2.5581184, 2.5566992, 2.5545236, 2.5609358, 2.5540945, 2.5503540, 2.5714819");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8953297, 2.8995238, 2.9112302, 2.9817135, 3.4523025, 4.7710781, 5.0028229", \
+					  "2.8936590, 2.8956891, 2.9063017, 2.9773813, 3.4304146, 4.7665272, 4.9754929", \
+					  "2.8946243, 2.8968449, 2.9067246, 2.9784069, 3.4282881, 4.7696264, 5.0017077", \
+					  "2.8949850, 2.8972000, 2.9076159, 2.9774589, 3.4387167, 4.7811730, 5.0114324", \
+					  "2.8931276, 2.8952776, 2.9065487, 2.9826829, 3.4419427, 4.7782386, 5.0006388", \
+					  "2.8924837, 2.8945653, 2.9062934, 2.9763367, 3.4417366, 4.7739793, 4.9890945", \
+					  "2.8913679, 2.8937007, 2.9069013, 2.9764409, 3.4383911, 4.7719183, 5.0023147");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1346057, 0.1341439, 0.1341440, 0.1340847, 0.1341410, 0.1345792, 0.1341324", \
+					  "0.1916452, 0.1916480, 0.1914992, 0.1917054, 0.1916423, 0.1916416, 0.1916395", \
+					  "0.2516035, 0.2518481, 0.2517420, 0.2517057, 0.2516559, 0.2515609, 0.2517344", \
+					  "0.3058685, 0.3055301, 0.3059646, 0.3055204, 0.3056054, 0.3055184, 0.3059535", \
+					  "0.3703806, 0.3703823, 0.3703606, 0.3707256, 0.3704225, 0.3703653, 0.3703452", \
+					  "0.4669518, 0.4669530, 0.4670033, 0.4669402, 0.4669368, 0.4669358, 0.4669879", \
+					  "0.5996141, 0.5996142, 0.6005245, 0.5996152, 0.5996332, 0.5996421, 0.5996383");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1344612, 0.1341139, 0.1340433, 0.1341132, 0.1344764, 0.1341142, 0.1341335", \
+					  "0.1916357, 0.1917086, 0.1916439, 0.1917027, 0.1916393, 0.1916366, 0.1916401", \
+					  "0.2515714, 0.2515704, 0.2517453, 0.2515625, 0.2517379, 0.2517364, 0.2518083", \
+					  "0.3055385, 0.3055376, 0.3059742, 0.3055270, 0.3059628, 0.3059633, 0.3059651", \
+					  "0.3703546, 0.3703538, 0.3703201, 0.3703489, 0.3703175, 0.3694221, 0.3703163", \
+					  "0.4668398, 0.4668498, 0.4669056, 0.4668407, 0.4669632, 0.4663887, 0.4668828", \
+					  "0.6013057, 0.6008803, 0.6008760, 0.6009110, 0.6009583, 0.6009869, 0.6013932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5768529, 2.5785067, 2.5839648, 2.6185858, 2.8333354, 3.8840308, 4.4251023", \
+					  "2.5761083, 2.5755873, 2.5808764, 2.6184445, 2.8257723, 3.8561153, 4.4520374", \
+					  "2.5775595, 2.5775144, 2.5824549, 2.6177917, 2.8173608, 3.8555360, 4.5124870", \
+					  "2.5760042, 2.5762929, 2.5823065, 2.6172891, 2.8319722, 3.8553897, 4.5017741", \
+					  "2.5760824, 2.5766678, 2.5820910, 2.6174612, 2.8386590, 3.8517614, 4.4990170", \
+					  "2.5765753, 2.5761195, 2.5812257, 2.6160659, 2.8206340, 3.8431215, 4.4778451", \
+					  "2.5756764, 2.5756586, 2.5812852, 2.6180074, 2.8308319, 3.8644740, 4.4939276");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1341235, 0.1342779, 0.1341188, 0.1340940, 0.1340754, 0.1340515, 0.1338876", \
+					  "0.1916380, 0.1916966, 0.1916794, 0.1917868, 0.1916442, 0.1916529, 0.1916333", \
+					  "0.2517760, 0.2515885, 0.2515606, 0.2515589, 0.2517060, 0.2518793, 0.2515583", \
+					  "0.3055166, 0.3053944, 0.3055845, 0.3055129, 0.3059349, 0.3059560, 0.3055125", \
+					  "0.3703177, 0.3703624, 0.3702366, 0.3703577, 0.3699940, 0.3703399, 0.3703529", \
+					  "0.4669892, 0.4669737, 0.4669732, 0.4669634, 0.4670148, 0.4670137, 0.4669540", \
+					  "0.5997750, 0.5996651, 0.5995806, 0.5998085, 0.5999054, 0.5998329, 0.5999963");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5603446, 2.5645255, 2.5566171, 2.5571865, 2.5314226, 2.5476317, 2.5672217", \
+					  "2.5537996, 2.5612301, 2.5723973, 2.5591137, 2.5701461, 2.5621026, 2.6123198", \
+					  "2.5609999, 2.5539974, 2.5574604, 2.5693792, 2.5872757, 2.5711562, 2.5833197", \
+					  "2.5586585, 2.5597154, 2.5627180, 2.5614501, 2.5659107, 2.5809629, 2.5751382", \
+					  "2.5568304, 2.5580961, 2.5556881, 2.5574534, 2.5788540, 2.5603489, 2.5631342", \
+					  "2.5587695, 2.5547881, 2.5556223, 2.5635281, 2.5699938, 2.5724118, 2.5777125", \
+					  "2.5557230, 2.5567831, 2.5552932, 2.5581859, 2.5653539, 2.5960176, 2.5748854");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5547286, 7.5520849, 7.5773468, 7.6995416, 7.7737713, 6.8027312, 0.6464890", \
+					  "7.5176105, 7.5217608, 7.5457703, 7.6643412, 7.7194274, 6.7884542, 0.6433409", \
+					  "7.4886041, 7.4888487, 7.5162478, 7.6351856, 7.6900515, 6.7547328, 0.5914290", \
+					  "7.4659241, 7.4714821, 7.4946736, 7.6136618, 7.6785855, 6.7441702, 0.5806104", \
+					  "7.4473126, 7.4486388, 7.4748964, 7.5943408, 7.6486177, 6.7201560, 0.5412702", \
+					  "7.4263673, 7.4299642, 7.4535044, 7.5726652, 7.6296630, 6.7169191, 0.5566219", \
+					  "7.4068956, 7.4076120, 7.4337621, 7.5536141, 7.6083481, 6.6755561, 0.5033607");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1626307, 0.1623795, 0.1623208, 0.1619809, 0.1613385, 0.1610252, 0.1609173", \
+					  "0.2100392, 0.2100425, 0.2100071, 0.2096907, 0.2090067, 0.2085198, 0.2083566", \
+					  "0.2548597, 0.2547877, 0.2547996, 0.2548419, 0.2543158, 0.2535902, 0.2533987", \
+					  "0.3096157, 0.3096002, 0.3095269, 0.3098694, 0.3097880, 0.3093305, 0.3091642", \
+					  "0.4095028, 0.4094589, 0.4091818, 0.4085605, 0.4076744, 0.4068710, 0.4066803", \
+					  "0.6049188, 0.6047859, 0.6040207, 0.6006892, 0.5941585, 0.5907362, 0.5898962", \
+					  "0.8990814, 0.8996067, 0.9049838, 0.9184356, 0.9316572, 0.9334587, 0.9336908");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8695198, 6.8732876, 6.8959977, 7.0168681, 7.0693196, 6.1589614, -0.0227442", \
+					  "6.8658830, 6.8669889, 6.8929495, 7.0125821, 7.0670199, 6.1416013, -0.0275409", \
+					  "6.8677536, 6.8696748, 6.8940906, 7.0179351, 7.0686970, 6.1427532, -0.0267011", \
+					  "6.8639302, 6.8724988, 6.8988202, 7.0191237, 7.0740225, 6.1463696, -0.0462825", \
+					  "6.8654327, 6.8678730, 6.8944117, 7.0156702, 7.0675891, 6.1413305, -0.0330323", \
+					  "6.8650362, 6.8722709, 6.8969451, 7.0132332, 7.0667377, 6.1560608, -0.0378172", \
+					  "6.8626357, 6.8703248, 6.8957554, 7.0210341, 7.0701168, 6.1510963, -0.0445782");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1338984, 0.1343041, 0.1340817, 0.1340824, 0.1340929, 0.1340166, 0.1340894", \
+					  "0.1926163, 0.1926186, 0.1925176, 0.1926215, 0.1926149, 0.1925564, 0.1925547", \
+					  "0.2616914, 0.2616916, 0.2616974, 0.2617066, 0.2618179, 0.2618877, 0.2617076", \
+					  "0.3451510, 0.3453294, 0.3451737, 0.3453386, 0.3453334, 0.3458500, 0.3454200", \
+					  "0.4256869, 0.4256938, 0.4258333, 0.4260180, 0.4261371, 0.4262649, 0.4262812", \
+					  "0.5232996, 0.5232807, 0.5231700, 0.5228483, 0.5227739, 0.5230141, 0.5229273", \
+					  "0.7046191, 0.7044798, 0.7036874, 0.7001439, 0.6945961, 0.6924211, 0.6920079");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6179489, 7.6248427, 7.6545533, 7.7790292, 7.8215933, 6.8915551, 0.6801258", \
+					  "7.5793858, 7.5872493, 7.6105631, 7.7353140, 7.7848481, 6.8738941, 0.6420950", \
+					  "7.5540223, 7.5581214, 7.5820311, 7.6999949, 7.7545277, 6.8261483, 0.6141963", \
+					  "7.5316475, 7.5351525, 7.5622853, 7.6820749, 7.7363510, 6.8049424, 0.5948106", \
+					  "7.5132329, 7.5167726, 7.5403406, 7.6586748, 7.7136213, 6.7818419, 0.5775073", \
+					  "7.4881512, 7.4920803, 7.5191862, 7.6369670, 7.6946882, 6.7697206, 0.5457980", \
+					  "7.4690490, 7.4735228, 7.5004310, 7.6207896, 7.6723204, 6.7403675, 0.5358050");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8601377, 3.8601030, 3.8848532, 3.9383776, 4.0010606, 4.0465138, 4.0230330", \
+					  "3.8231250, 3.8236315, 3.8357317, 3.8880241, 3.9517409, 3.9799440, 3.9891788", \
+					  "3.7889699, 3.7797048, 3.7999043, 3.8492997, 3.9180828, 3.9417483, 3.9451241", \
+					  "3.7862551, 3.7899739, 3.7963126, 3.8474223, 3.9208384, 3.9428442, 3.9529290", \
+					  "3.7796495, 3.7844746, 3.7956844, 3.8423311, 3.9164810, 3.9377752, 3.9323381", \
+					  "3.7781768, 3.7803139, 3.7807940, 3.8391089, 3.8996746, 3.9247466, 3.9435063", \
+					  "3.7654765, 3.7744858, 3.7807694, 3.8264030, 3.9119747, 3.9221433, 3.9319822");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7708747, 6.7807297, 6.7833851, 6.8196408, 6.8594250, 6.6154340, 1.2299603", \
+					  "6.7438835, 6.7458815, 6.7539826, 6.7959187, 6.8712022, 6.6675544, 1.0463215", \
+					  "6.7141238, 6.7156096, 6.7234475, 6.7630959, 6.8438334, 6.7649486, 0.8986881", \
+					  "6.6930050, 6.6936416, 6.7013104, 6.7409818, 6.8216321, 6.7740478, 1.0089116", \
+					  "6.6768542, 6.6771921, 6.6804993, 6.7216365, 6.7978564, 6.6059439, 0.8829863", \
+					  "6.6528050, 6.6542462, 6.6626201, 6.6927015, 6.7817496, 6.5760761, 0.9530821", \
+					  "6.6321238, 6.6353947, 6.6414471, 6.6792728, 6.7584859, 6.6586885, 0.9376333");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8707937, 3.8785930, 3.8938265, 3.9741225, 4.2200334, 5.2700399, 5.7487338", \
+					  "3.8372257, 3.8396162, 3.8569864, 3.9377768, 4.2142687, 5.2683557, 5.8881177", \
+					  "3.8021469, 3.8047273, 3.8236492, 3.9044080, 4.1800534, 5.2354526, 5.8368440", \
+					  "3.7992954, 3.8018658, 3.8185560, 3.9011696, 4.1728846, 5.2432376, 5.8335085", \
+					  "3.7948370, 3.7977166, 3.8158649, 3.8971930, 4.1719980, 5.2393568, 5.8463075", \
+					  "3.7922562, 3.7949446, 3.8125880, 3.8939622, 4.1650301, 5.2205685, 5.8597595", \
+					  "3.7865616, 3.7892834, 3.8091798, 3.8903046, 4.1670147, 5.2183629, 5.8953743");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6315730, 6.6096430, 6.6220792, 6.6689502, 6.5845810, 5.4078630, -11.8270116", \
+					  "6.5840981, 6.5818647, 6.5903388, 6.6038167, 6.5715639, 5.5141411, -11.6335057", \
+					  "6.5543506, 6.5527381, 6.5630775, 6.5760715, 6.5412417, 5.5059787, -11.6144437", \
+					  "6.5332309, 6.5344079, 6.5412449, 6.5547211, 6.5241999, 5.4364737, -11.3232124", \
+					  "6.5131670, 6.5144516, 6.5202234, 6.5355280, 6.4973390, 5.3866614, -11.6090871", \
+					  "6.4937296, 6.4963998, 6.4958867, 6.5143108, 6.4634196, 5.4113433, -11.7023948", \
+					  "6.4726173, 6.4741963, 6.4785158, 6.4942068, 6.4620403, 5.3928083, -11.8004185");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0985935, 3.1022331, 3.1053639, 3.1431375, 3.3478403, 4.3772531, 5.0003346", \
+					  "3.0614136, 3.0611128, 3.0685864, 3.1037272, 3.3169299, 4.3453605, 4.9613352", \
+					  "3.0275794, 3.0276529, 3.0356940, 3.0670901, 3.2817804, 4.3123304, 4.9211840", \
+					  "3.0234384, 3.0241494, 3.0305304, 3.0649102, 3.2727043, 4.2939097, 4.9215114", \
+					  "3.0194149, 3.0194796, 3.0293469, 3.0595406, 3.2810542, 4.3036526, 4.9242074", \
+					  "3.0155683, 3.0168045, 3.0212844, 3.0575971, 3.2903717, 4.3033274, 4.9307891", \
+					  "3.0133327, 3.0132273, 3.0175468, 3.0530761, 3.2619123, 4.2957177, 4.9045892");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9724694, 2.9734231, 2.9802343, 3.0303291, 3.2305611, 3.8653755, 4.1935528", \
+					  "3.0299845, 3.0294228, 3.0390228, 3.0829330, 3.2887309, 3.9296713, 4.2391569", \
+					  "3.1008454, 3.0988038, 3.1075907, 3.1557945, 3.3632372, 3.9967406, 4.3237613", \
+					  "3.1825735, 3.1817578, 3.1942884, 3.2389162, 3.4491133, 4.0832484, 4.4073939", \
+					  "3.2618114, 3.2640494, 3.2708499, 3.3183200, 3.5273037, 4.1588114, 4.4827237", \
+					  "3.3582053, 3.3568983, 3.3682706, 3.4166130, 3.6261328, 4.2566210, 4.5767035", \
+					  "3.5364829, 3.5366182, 3.5442439, 3.5898368, 3.7921379, 4.4281685, 4.7514496");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1226682, 6.1187438, 6.1239232, 6.1516168, 6.0891899, 5.2609259, -5.1832375", \
+					  "6.1754137, 6.1757996, 6.1810469, 6.2073117, 6.1510620, 5.3151925, -5.0976710", \
+					  "6.2368383, 6.2368312, 6.2426379, 6.2685897, 6.2107001, 5.3859715, -4.9996124", \
+					  "6.2880055, 6.2920490, 6.2968117, 6.3227882, 6.2644778, 5.4014248, -4.9596104", \
+					  "6.3539545, 6.3550420, 6.3601773, 6.3857844, 6.3268807, 5.5003376, -4.8938111", \
+					  "6.4501147, 6.4520118, 6.4572800, 6.4832221, 6.4235957, 5.5821089, -4.7889116", \
+					  "6.5833749, 6.5860389, 6.5907555, 6.6155510, 6.5582848, 5.7103552, -4.6496021");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.4712488, 11.4798852, 11.4881129, 11.5689551, 11.5810904, 10.1604056, -7.1068379", \
+					  "11.4331744, 11.4383304, 11.4483341, 11.5198004, 11.5068987, 10.2093584, -7.1923227", \
+					  "11.4063778, 11.4075455, 11.4221431, 11.4902477, 11.4729252, 10.1922937, -7.2601258", \
+					  "11.3988764, 11.4038634, 11.4185172, 11.4880267, 11.4763538, 10.2025648, -7.1527118", \
+					  "11.4562454, 11.4573677, 11.4701928, 11.5411567, 11.5294311, 10.1952968, -7.1701145", \
+					  "11.4873593, 11.4904182, 11.5033159, 11.5722754, 11.5527859, 10.2708264, -7.0764118", \
+					  "11.4798505, 11.4841432, 11.4987670, 11.5673348, 11.5526000, 10.2329339, -7.1843044");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1167270, 6.1201142, 6.1450525, 6.2618103, 6.6369885, 7.7354438, 8.2057409", \
+					  "6.0728780, 6.0785919, 6.0995508, 6.2164434, 6.5929584, 7.6580252, 8.2064837", \
+					  "6.0336512, 6.0326257, 6.0565748, 6.1707257, 6.5584655, 7.6239880, 8.1691240", \
+					  "6.0446878, 6.0455743, 6.0691631, 6.1783595, 6.5671519, 7.6346509, 8.1725863", \
+					  "6.0684665, 6.0728887, 6.0945764, 6.2039622, 6.5908155, 7.6582339, 8.1979558", \
+					  "6.1313552, 6.1341193, 6.1521883, 6.2635371, 6.6488379, 7.7136635, 8.2594251", \
+					  "6.2188812, 6.2204640, 6.2491747, 6.3608533, 6.7584403, 7.8282124, 8.3697578");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4672446, 7.4770607, 7.4770611, 7.4899154, 7.4899157, 7.4899161, 7.4899166", \
+					  "7.5598727, 7.5598729, 7.5598734, 7.5612689, 7.5612691, 7.5612696, 7.6385467", \
+					  "7.6103319, 7.6103323, 7.6103328, 7.6103333, 7.6103337, 7.6103342, 7.7130924", \
+					  "7.6459106, 7.6459110, 7.6459115, 7.6459120, 7.6459125, 7.6459129, 7.7256566", \
+					  "7.6539193, 7.6591702, 7.6591704, 7.6591709, 7.6591714, 7.6591719, 7.7812690", \
+					  "7.6791435, 7.6791437, 7.6791442, 7.6791447, 7.6791451, 7.6791456, 7.7014199", \
+					  "7.6874809, 7.6920594, 7.6920598, 7.6920603, 7.6920608, 7.6920612, 7.7800122");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1333190, 18.3472120, 19.7051800, 28.0690190, 79.0297820, 391.4067900, 2315.6504000", \
+					  "18.2179690, 18.4345620, 19.7991440, 28.1481910, 79.1101660, 391.4825300, 2315.6717000", \
+					  "18.2552550, 18.4743530, 19.8420200, 28.1882390, 79.1543190, 391.5224900, 2315.7525000", \
+					  "18.2825650, 18.5021260, 19.8688190, 28.2115750, 79.1809070, 391.5519600, 2315.7818000", \
+					  "18.3041720, 18.5146920, 19.8738060, 28.2338440, 79.1905780, 391.5736500, 2315.7017000", \
+					  "18.3160580, 18.5350150, 19.9012470, 28.2465320, 79.2110480, 391.5880600, 2315.7713000", \
+					  "18.3306900, 18.5478970, 19.9053290, 28.2577590, 79.2192130, 391.5975200, 2315.6847000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.4985570, 16.9166490, 19.5651390, 35.9418980, 136.9498600, 759.7344600, 4600.3411000", \
+					  "16.4981180, 16.9397830, 19.5798380, 35.9370810, 136.9519000, 758.7643700, 4599.3306000", \
+					  "16.5106910, 16.9343310, 19.5787690, 35.8609640, 137.0301000, 758.8537900, 4598.8318000", \
+					  "16.5106900, 16.9359900, 19.5787940, 35.9480770, 137.0984100, 758.7782400, 4599.3643000", \
+					  "16.5008490, 16.9382750, 19.5613250, 35.9446230, 136.9969900, 759.1576100, 4599.6057000", \
+					  "16.5095690, 16.9342280, 19.5796680, 35.9481650, 136.6299200, 758.9875400, 4598.5603000", \
+					  "16.4996350, 16.9397440, 19.5345430, 35.8912650, 136.8903500, 758.7371400, 4603.4847000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.6789940, 12.7403360, 13.1142710, 15.1194750, 19.9138870, 40.7919490, 82.0927890", \
+					  "12.8072100, 12.8682050, 13.2445260, 15.2468400, 20.0434090, 40.9103860, 82.2283040", \
+					  "12.8964310, 12.9572260, 13.3340790, 15.3355230, 20.1328110, 41.0013110, 82.3146280", \
+					  "12.9655670, 13.0274790, 13.4049040, 15.4051700, 20.2040750, 41.0756020, 82.3893200", \
+					  "13.0257310, 13.0871150, 13.4627740, 15.4662030, 20.2620130, 41.1281710, 82.4467530", \
+					  "13.0817130, 13.1426950, 13.5178370, 15.5222430, 20.3183960, 41.1751310, 82.5015150", \
+					  "13.1266540, 13.1898770, 13.5631490, 15.5678720, 20.3627750, 41.2376910, 82.5480980");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6867855, 3.7471550, 4.0295407, 4.7956585, 7.9229494, 25.6794430, 57.0252010", \
+					  "3.6877967, 3.7466807, 4.0267403, 4.7909570, 7.9254692, 25.6675910, 56.9155320", \
+					  "3.6882692, 3.7472634, 4.0265586, 4.7893827, 7.9251263, 25.6681500, 57.0526130", \
+					  "3.6904451, 3.7498347, 4.0267056, 4.7994182, 7.9214786, 25.6686300, 56.8795000", \
+					  "3.6875010, 3.7463552, 4.0270804, 4.7923692, 7.9257259, 25.6672270, 56.8769000", \
+					  "3.6869623, 3.7440833, 4.0289212, 4.7957784, 7.9247906, 25.6532610, 56.9620330", \
+					  "3.6867693, 3.7441259, 4.0297747, 4.7957345, 7.9215257, 25.6785190, 56.9507320");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("7.3340529, 7.3400015, 7.3778343, 7.5929965, 9.0222789, 18.5433540, 78.1898560", \
+					  "7.4246125, 7.4308457, 7.4681950, 7.6839815, 9.1130632, 18.6284230, 78.2791500", \
+					  "7.4712183, 7.4773698, 7.5149496, 7.7302653, 9.1602281, 18.6744590, 78.3270270", \
+					  "7.5027202, 7.5087820, 7.5458573, 7.7604678, 9.1915711, 18.7072630, 78.3599030", \
+					  "7.5229805, 7.5291284, 7.5666007, 7.7820976, 9.2112131, 18.7260390, 78.3803240", \
+					  "7.5392173, 7.5453670, 7.5829236, 7.7980786, 9.2275929, 18.7432790, 78.3902790", \
+					  "7.5508222, 7.5567756, 7.5941209, 7.8098870, 9.2381756, 18.7537380, 78.4085470");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("1.4896568, 1.5105608, 1.5842710, 2.1329571, 5.9855250, 31.6714410, 191.5879700", \
+					  "1.4911207, 1.5038027, 1.5859778, 2.1362667, 5.9858718, 31.6231490, 191.7887000", \
+					  "1.4955764, 1.5085578, 1.5878306, 2.1302990, 5.9927445, 31.6791180, 191.1525900", \
+					  "1.4963605, 1.5077993, 1.5855394, 2.1375754, 5.9850471, 31.6962670, 191.7465400", \
+					  "1.4885979, 1.5014734, 1.5773817, 2.1342594, 5.9863794, 31.6738850, 191.1655100", \
+					  "1.4873515, 1.4997212, 1.5786483, 2.1362412, 5.9862857, 31.7205640, 191.5587400", \
+					  "1.4944509, 1.5039983, 1.5773246, 2.1343873, 5.9864944, 31.6732740, 191.7737200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("132.6587400, 132.6709200, 132.7291400, 133.0437600, 135.0729400, 145.6779000, 193.1260800", \
+					  "132.7898100, 132.7970000, 132.8507300, 133.1694100, 135.2012500, 145.7994200, 193.2470800", \
+					  "132.8846800, 132.8941400, 132.9508000, 133.2643400, 135.3035900, 145.9000900, 193.3726800", \
+					  "132.9588500, 132.9679400, 133.0246100, 133.3410900, 135.3775800, 145.9740100, 193.4496700", \
+					  "132.9980500, 133.0072500, 133.0638400, 133.3794300, 135.4119500, 146.0119700, 193.4865800", \
+					  "133.0663400, 133.0787700, 133.1321600, 133.4554300, 135.4918300, 146.0882300, 193.5645600", \
+					  "133.1128100, 133.1217700, 133.1773500, 133.4940800, 135.5302400, 146.1268400, 193.6089000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("50.7080640, 50.7012350, 50.8150960, 50.6022570, 49.9577130, 55.1479890, 175.6039200", \
+					  "50.6640600, 50.8423280, 51.0680210, 50.6896210, 49.9202530, 55.0949050, 176.5965900", \
+					  "50.8472590, 50.8458840, 50.8418690, 50.6064700, 50.3568030, 55.2208910, 176.4151600", \
+					  "50.7111830, 50.8398300, 50.8353040, 50.6007790, 50.3618120, 55.2198710, 176.3226300", \
+					  "50.7088840, 50.8348710, 50.8309480, 50.6011450, 50.3217310, 55.2174770, 175.8354200", \
+					  "50.7090940, 50.7066990, 50.8321140, 50.6002960, 50.3602950, 55.2199960, 176.3022900", \
+					  "50.7085930, 50.7057860, 50.8309550, 50.6015600, 50.3656320, 55.2232430, 176.3041600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("7.3077362, 7.3139947, 7.3511175, 7.5644779, 8.9760173, 18.8220920, 82.0435840", \
+					  "7.3983566, 7.4045747, 7.4411362, 7.6549620, 9.0664143, 18.9132800, 82.1341500", \
+					  "7.4450694, 7.4511020, 7.4881061, 7.7021580, 9.1126058, 18.9602090, 82.1804350", \
+					  "7.4758653, 7.4820790, 7.5192110, 7.7332460, 9.1435890, 18.9906350, 82.2113080", \
+					  "7.4972437, 7.5023987, 7.5400290, 7.7540001, 9.1649053, 19.0120290, 82.2325670", \
+					  "7.5133668, 7.5198150, 7.5565572, 7.7702991, 9.1814103, 19.0287110, 82.2518160", \
+					  "7.5247915, 7.5311366, 7.5678512, 7.7815905, 9.1928829, 19.0395430, 82.2629430");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("1.5694861, 1.5828402, 1.6659747, 2.2135214, 6.0493877, 31.7020930, 191.6946400", \
+					  "1.5650049, 1.5783286, 1.6644246, 2.2132505, 6.0487095, 31.6806990, 191.6350800", \
+					  "1.5766434, 1.5891746, 1.6695128, 2.2120312, 6.0511542, 31.6577480, 191.7257200", \
+					  "1.5652148, 1.5865610, 1.6684663, 2.2128923, 6.0503917, 31.6734980, 191.6798600", \
+					  "1.5702586, 1.5775268, 1.6652030, 2.2122044, 6.0504077, 31.6574500, 191.6973800", \
+					  "1.5648858, 1.5777214, 1.6580690, 2.2107116, 6.0475922, 31.6535460, 191.6063500", \
+					  "1.5647259, 1.5772283, 1.6576923, 2.2107332, 6.0485310, 31.7117180, 191.5590800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("112.9008900, 112.9085700, 112.9645600, 113.2540500, 114.8226900, 120.5912500, 154.1294200", \
+					  "113.0227900, 113.0315800, 113.0838600, 113.4029600, 114.9656000, 120.7339000, 154.2462200", \
+					  "113.1003600, 113.1320600, 113.1880400, 113.4556400, 115.0602000, 120.8116300, 154.3419000", \
+					  "113.1996500, 113.2070200, 113.2610000, 113.5298200, 115.1339200, 120.8856900, 154.4146900", \
+					  "113.2254200, 113.2439500, 113.2889200, 113.5699800, 115.1480500, 120.9280100, 154.4548200", \
+					  "113.3094400, 113.3212900, 113.3727600, 113.6441500, 115.2260000, 120.9965000, 154.5278800", \
+					  "113.3540500, 113.3599100, 113.4142600, 113.6825900, 115.2877600, 121.0394500, 154.5720500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20853, 1.2602, 1.57883, 3.54374, 15.661, 90.3857, 551.199");
+					values("115.2665000, 115.2592500, 115.1281500, 115.0186400, 114.1592700, 111.8324600, 111.0291900", \
+					  "115.2500000, 115.2532000, 115.2027700, 115.0141700, 114.1063800, 111.8725000, 111.0314000", \
+					  "115.2439800, 115.2648400, 115.1392400, 115.0255500, 114.1546400, 111.8352600, 111.1088900", \
+					  "115.2763200, 115.2689100, 115.1377500, 115.0289700, 114.1587200, 111.8371100, 111.0991500", \
+					  "115.2147200, 115.2004100, 115.1106800, 115.0140000, 114.1335700, 111.8318900, 111.0101500", \
+					  "115.2726100, 115.2682700, 115.1417000, 115.0284200, 114.1477700, 111.8333700, 111.0756500", \
+					  "115.2690700, 115.2617200, 115.1267700, 115.0218200, 114.1507100, 111.8290700, 111.0575400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5953360, 8.5994802, 8.5994812, 8.5994821, 8.5994831, 8.5994840, 8.5994850", \
+					  "8.6739691, 8.6739698, 8.6739707, 8.6739717, 8.6739727, 8.6739736, 8.6739746", \
+					  "8.7266185, 8.7266193, 8.7266202, 8.7266212, 8.7266222, 8.7266231, 8.7266241", \
+					  "8.7563485, 8.7563491, 8.7563501, 8.7563510, 8.7563520, 8.7563530, 8.7563539", \
+					  "8.7816865, 8.7816873, 8.7816883, 8.7816892, 8.7816902, 8.7816911, 8.7816921", \
+					  "8.7946495, 8.7946497, 8.7946506, 8.7946516, 8.7946525, 8.7946535, 8.7946544", \
+					  "8.8041787, 8.8041788, 8.8041797, 8.8041807, 8.8041816, 8.8041826, 8.8041835");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3989712, 7.4040467, 7.4040468, 7.4040473, 7.4040478, 7.4040483, 7.4040487", \
+					  "7.4833535, 7.4906605, 7.4906610, 7.4906614, 7.4906619, 7.4906624, 7.4906629", \
+					  "7.5307094, 7.5372206, 7.5372208, 7.5372212, 7.5372217, 7.5372222, 7.5372227", \
+					  "7.5599873, 7.5825586, 7.5825589, 7.5825594, 7.5825599, 7.5825604, 7.5825608", \
+					  "7.5988620, 7.6041369, 7.6041372, 7.6041377, 7.6041382, 7.6041387, 7.6041391", \
+					  "7.6128250, 7.6128252, 7.6128257, 7.6128262, 7.6128266, 7.6128271, 7.6128276", \
+					  "7.6238846, 7.6238850, 7.6238854, 7.6238859, 7.6238864, 7.6238869, 7.6238874");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4788350, 10.5287980, 10.8160520, 12.5756440, 23.2947510, 89.2308170, 419.0997900", \
+					  "10.5644240, 10.6097290, 10.8960520, 12.6559940, 23.3756180, 89.3117120, 419.1716400", \
+					  "10.6051450, 10.6507830, 10.9387430, 12.7038660, 23.4185080, 89.3536480, 419.2231100", \
+					  "10.6275810, 10.6781240, 10.9673060, 12.7334840, 23.4502340, 89.3846630, 419.2482700", \
+					  "10.6520320, 10.6976110, 10.9846950, 12.7429560, 23.4626060, 89.3984900, 419.2647200", \
+					  "10.6673150, 10.7067830, 11.0039650, 12.7612820, 23.4741040, 89.4129290, 419.2680800", \
+					  "10.6777620, 10.7237280, 11.0095940, 12.7700050, 23.4875140, 89.4237500, 419.2857000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1715564, 3.2519134, 3.7429908, 6.7342363, 25.4669850, 141.4815900, 385.9206000", \
+					  "3.1718208, 3.2519869, 3.7431485, 6.7339001, 25.4753230, 141.4867900, 385.9017600", \
+					  "3.1720024, 3.2520899, 3.7429517, 6.7334311, 25.4604990, 141.4662700, 385.9169900", \
+					  "3.1728691, 3.2522081, 3.7424273, 6.7335053, 25.4747640, 141.2229300, 385.9169600", \
+					  "3.1721088, 3.2519083, 3.7430588, 6.7339831, 25.4838990, 141.1835900, 385.9154000", \
+					  "3.1721292, 3.2508314, 3.7429611, 6.7341834, 25.4840970, 141.4962300, 385.6515300", \
+					  "3.1718712, 3.2518315, 3.7431085, 6.7342278, 25.4340000, 141.2623300, 385.9138900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("20.5375840, 20.7544290, 22.1025850, 30.2920500, 80.2998200, 387.9351300, 2284.4401000", \
+					  "20.6647110, 20.8806110, 22.2307990, 30.4211480, 80.3976690, 388.2374500, 2284.8669000", \
+					  "20.7511040, 20.9668600, 22.3171790, 30.5061540, 80.7845310, 388.3948000, 2285.2948000", \
+					  "20.8044660, 21.0333920, 22.3611850, 30.5739190, 80.6077910, 388.5852500, 2285.0879000", \
+					  "20.8820570, 21.1013590, 22.4465570, 30.6182880, 80.6347630, 388.0947500, 2284.5777000", \
+					  "20.9187350, 21.1478660, 22.5022380, 30.6889660, 80.7224860, 388.7073500, 2285.4140000", \
+					  "20.9848050, 21.2013770, 22.5510990, 30.7402810, 80.7105490, 388.5772100, 2285.8724000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6583740, 16.0891730, 18.6911250, 34.8229390, 134.5031800, 749.1503900, 4539.3722000", \
+					  "15.6566940, 16.0893110, 18.6911200, 34.8245200, 134.5727200, 748.4442800, 4537.6869000", \
+					  "15.6586730, 16.0893430, 18.6912320, 34.8319200, 134.2577300, 748.1008900, 4537.5423000", \
+					  "15.6532550, 16.0842340, 18.6652400, 34.7628590, 134.4085000, 749.0357900, 4536.8793000", \
+					  "15.6601130, 16.0714840, 18.6867000, 34.7699540, 134.2917700, 747.9561100, 4537.8056000", \
+					  "15.6530680, 16.0835420, 18.6896240, 34.7602840, 134.4225600, 748.7368500, 4537.3490000", \
+					  "15.6579390, 16.0893410, 18.6912460, 34.8211840, 134.5611500, 747.9117700, 4539.3626000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5542258, 8.5542264, 8.5542274, 8.5681213, 8.5681215, 8.5681224, 8.5681234", \
+					  "8.6673593, 8.6673599, 8.6673608, 8.6673618, 8.6673627, 8.6673637, 8.6673646", \
+					  "8.7090148, 8.7108516, 8.7108522, 8.7108531, 8.7108541, 8.7108551, 8.7108560", \
+					  "8.7407992, 8.7461780, 8.7461782, 8.7461792, 8.7461801, 8.7461811, 8.7461820", \
+					  "8.7649692, 8.7649694, 8.7649704, 8.7649713, 8.7649723, 8.7649732, 8.7649742", \
+					  "8.7632728, 8.7809910, 8.7809911, 8.7809921, 8.7809930, 8.7809940, 8.7809949", \
+					  "8.7939300, 8.7939306, 8.7939315, 8.7939325, 8.7939334, 8.7939344, 8.7939353");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4809643, 7.4809645, 7.4809649, 7.4809654, 7.4809659, 7.4809664, 7.4972917", \
+					  "7.5409066, 7.5413462, 7.5413464, 7.5413468, 7.5413473, 7.5413478, 7.5413483", \
+					  "7.6129973, 7.6129978, 7.6129983, 7.6129988, 7.6129993, 7.6129997, 7.7153031", \
+					  "7.6410993, 7.6441040, 7.6441043, 7.6441048, 7.6441052, 7.6441057, 7.7480955", \
+					  "7.6554141, 7.6642639, 7.6642640, 7.6642645, 7.6642650, 7.6642654, 7.7618427", \
+					  "7.6813498, 7.6813500, 7.6841120, 7.6841124, 7.6841128, 7.6841133, 7.7937568", \
+					  "7.6929787, 7.6929791, 7.6929796, 7.6929801, 7.6929806, 7.6929811, 7.7778690");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.5815400, 12.6401990, 13.0247980, 15.3285010, 29.3114400, 103.3966700, 176.2660000", \
+					  "12.7046740, 12.7680900, 13.1538380, 15.4542010, 29.4115040, 103.5260100, 176.3891800", \
+					  "12.7958830, 12.8572840, 13.2426590, 15.5455470, 29.5309470, 103.6154100, 176.4765800", \
+					  "12.8656140, 12.9268580, 13.3097010, 15.6153150, 29.5983220, 103.6796500, 176.5357500", \
+					  "12.9217000, 12.9841200, 13.3670650, 15.6728990, 29.6571030, 103.7372500, 176.5941000", \
+					  "12.9797670, 13.0426270, 13.4257980, 15.7309050, 29.7148080, 103.8018200, 176.6606500", \
+					  "13.0294500, 13.0911630, 13.4758840, 15.7804930, 29.7647080, 103.8479100, 176.7031700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8179859, 3.9097570, 4.4736339, 8.0068485, 30.3484060, 85.3768260, 73.6254580", \
+					  "3.8204395, 3.9108241, 4.4741748, 8.0151397, 30.3625500, 85.3587990, 73.6896480", \
+					  "3.8180419, 3.9097090, 4.4736126, 8.0069276, 30.3487870, 85.3806770, 73.5963820", \
+					  "3.8180010, 3.9097792, 4.4685424, 8.0068530, 30.3484170, 85.3720220, 73.5866480", \
+					  "3.8191090, 3.9066506, 4.4687294, 8.0127069, 30.3569080, 85.3685190, 73.5549220", \
+					  "3.8192765, 3.9097361, 4.4736099, 8.0069649, 30.3571390, 85.3829290, 73.6021380", \
+					  "3.8178931, 3.9068651, 4.4684878, 8.0128393, 30.3484740, 85.3622740, 73.6101310");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4856699, 7.4856704, 7.4856709, 7.4856713, 7.4856718, 7.4856723, 7.4856728", \
+					  "7.5427113, 7.5430027, 7.5430029, 7.5430034, 7.5430038, 7.5430043, 7.5430048", \
+					  "7.6153466, 7.6153467, 7.6153472, 7.6153477, 7.6153482, 7.6153486, 7.7185023", \
+					  "7.6432887, 7.6432889, 7.6432894, 7.6432899, 7.6432903, 7.6432908, 7.7546272", \
+					  "7.6573743, 7.6672295, 7.6672299, 7.6672304, 7.6672309, 7.6672314, 7.7769045", \
+					  "7.6683415, 7.6829654, 7.6863937, 7.6892270, 7.6892274, 7.6892279, 7.7998950", \
+					  "7.6936981, 7.6936982, 7.6936987, 7.6936992, 7.6936996, 7.6937001, 7.7940099");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.5456800, 12.6062610, 12.9797620, 14.9957520, 19.8593050, 43.2816520, 138.4638800", \
+					  "12.6736190, 12.7346930, 13.1103350, 15.1222160, 19.9822520, 43.3925290, 138.5722500", \
+					  "12.7626730, 12.8234890, 13.1984490, 15.2098190, 20.0749750, 43.4983850, 138.6731300", \
+					  "12.8329940, 12.8936910, 13.2689090, 15.2797900, 20.1408630, 43.5648740, 138.7424500", \
+					  "12.8892960, 12.9493850, 13.3238530, 15.3397690, 20.1997870, 43.6246170, 138.8061900", \
+					  "12.9467960, 13.0074650, 13.3823240, 15.3938800, 20.2590990, 43.6839720, 138.8600900", \
+					  "12.9975020, 13.0582300, 13.4297680, 15.4410920, 20.3088600, 43.7330310, 138.9004900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6964731, 3.7565577, 4.0387715, 4.8358553, 7.9680413, 39.7655560, 89.0640170", \
+					  "3.6981656, 3.7557553, 4.0391890, 4.8425677, 7.9891578, 39.7783180, 89.0374280", \
+					  "3.6965961, 3.7566781, 4.0388946, 4.8358939, 7.9680449, 39.7665440, 89.0155860", \
+					  "3.6965966, 3.7566803, 4.0389572, 4.8358522, 7.9693558, 39.7700150, 89.0135750", \
+					  "3.6964558, 3.7562349, 4.0401220, 4.8330877, 7.9683584, 39.7661810, 89.0553760", \
+					  "3.6965826, 3.7566759, 4.0389634, 4.8358766, 7.9680378, 39.7659150, 89.0124950", \
+					  "3.6964616, 3.7565404, 4.0388208, 4.8355013, 7.9680911, 39.7650870, 89.0057020");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1777220, 18.3872440, 19.7272440, 27.9934000, 78.6840460, 390.7702300, 2315.1401000", \
+					  "18.3051190, 18.5146140, 19.8640980, 28.1217270, 78.8116810, 390.8973000, 2315.1310000", \
+					  "18.3950930, 18.6019540, 19.9536050, 28.2111930, 78.8984590, 390.9841300, 2315.1028000", \
+					  "18.4648350, 18.6810820, 20.0274770, 28.2774940, 78.9713540, 391.0655600, 2314.9214000", \
+					  "18.5228150, 18.7448880, 20.0856290, 28.3391890, 79.0366170, 391.1249300, 2315.1708000", \
+					  "18.5831530, 18.7927340, 20.1419570, 28.3946220, 79.0834990, 391.1738700, 2315.3998000", \
+					  "18.6210690, 18.8515020, 20.1924380, 28.4305410, 79.1391060, 391.2210200, 2315.3852000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5025430, 16.9197860, 19.5680450, 35.9565780, 137.0274100, 758.9144400, 4600.6307000", \
+					  "16.5022750, 16.9197860, 19.5724490, 35.9565920, 136.5856700, 758.7585700, 4598.9746000", \
+					  "16.5022790, 16.9170380, 19.5724380, 35.9566210, 136.5856000, 758.7552200, 4600.8099000", \
+					  "16.5080160, 16.9267980, 19.5838690, 35.9288460, 137.0461100, 760.0142500, 4601.4229000", \
+					  "16.5026210, 16.9321680, 19.5690560, 35.9553350, 137.0445400, 759.8586100, 4599.3424000", \
+					  "16.4998260, 16.9267340, 19.5650800, 35.9551140, 136.5844300, 760.0709800, 4601.4434000", \
+					  "16.5144860, 16.9214010, 19.5491780, 35.9053020, 137.0518000, 760.0710300, 4600.8358000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0621350, 14.1236020, 14.5009430, 16.5114730, 21.3201420, 42.1875940, 83.5150260", \
+					  "14.1894230, 14.2514840, 14.6269580, 16.6435580, 21.4485410, 42.3284410, 83.6434140", \
+					  "14.2798900, 14.3375850, 14.7177160, 16.7270230, 21.5376550, 42.4205490, 83.7339510", \
+					  "14.3426670, 14.4104010, 14.7827070, 16.7937730, 21.6048900, 42.4794070, 83.7990940", \
+					  "14.4063690, 14.4683070, 14.8445340, 16.8592410, 21.6630820, 42.5320470, 83.8637390", \
+					  "14.4595980, 14.5164820, 14.9006490, 16.9045450, 21.7184870, 42.6238350, 83.9136400", \
+					  "14.5068580, 14.5678220, 14.9432590, 16.9580650, 21.7690540, 42.6396980, 83.9660570");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6993930, 3.7602312, 4.0445647, 4.8158095, 7.9302698, 25.6689020, 57.0552280", \
+					  "3.6997221, 3.7579295, 4.0443662, 4.8162381, 7.9256841, 25.6833770, 56.9513680", \
+					  "3.6997005, 3.7581378, 4.0470058, 4.8230397, 7.9302760, 25.6841390, 56.9555250", \
+					  "3.7038308, 3.7621404, 4.0432928, 4.8152322, 7.9285005, 25.6724510, 57.0614240", \
+					  "3.7041890, 3.7607704, 4.0447858, 4.8233160, 7.9200806, 25.6689110, 57.0624120", \
+					  "3.7026588, 3.7629704, 4.0478412, 4.8207949, 7.9295654, 25.6700950, 56.8769280", \
+					  "3.6993391, 3.7586152, 4.0439581, 4.8226481, 7.9300158, 25.6717740, 56.8584110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("17.6641370, 17.8856220, 19.2146740, 27.4795480, 78.1740110, 390.2579200, 2314.1629000", \
+					  "17.7434850, 17.9665530, 19.2943630, 27.5605250, 78.2545580, 390.3402300, 2314.4414000", \
+					  "17.7881690, 18.0090500, 19.3399590, 27.6046260, 78.2969640, 390.3730600, 2314.0526000", \
+					  "17.8105900, 18.0253290, 19.3722570, 27.6313410, 78.3248030, 390.4011700, 2314.4219000", \
+					  "17.8318070, 18.0536150, 19.3818380, 27.6478200, 78.3431770, 390.4274900, 2314.2404000", \
+					  "17.8408880, 18.0568950, 19.4023000, 27.6621960, 78.3517250, 390.4287400, 2314.1633000", \
+					  "17.8577700, 18.0791700, 19.4042380, 27.6732040, 78.3681950, 390.4534400, 2314.5408000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5041390, 16.9202450, 19.5387240, 35.9500200, 137.0378000, 759.9565500, 4599.9236000", \
+					  "16.5092150, 16.9219750, 19.5455720, 35.9205540, 137.0415100, 760.1411200, 4600.5221000", \
+					  "16.5009410, 16.9358430, 19.5526050, 35.9433940, 137.0340200, 760.0067400, 4599.2570000", \
+					  "16.4804580, 16.9321130, 19.5778900, 35.9509540, 137.0366400, 760.0654000, 4600.8490000", \
+					  "16.5075030, 16.9229660, 19.5456330, 35.9271160, 137.0363100, 759.9992400, 4598.8395000", \
+					  "16.4990150, 16.9317610, 19.5688670, 35.9504570, 136.5849700, 758.6996300, 4599.5962000", \
+					  "16.5068560, 16.9220120, 19.5693190, 35.8809120, 137.0409600, 760.0979800, 4601.7403000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("19.9184940, 20.1442290, 21.4595430, 29.5610430, 79.3894020, 387.3611000, 2283.8490000", \
+					  "20.0644410, 20.2726600, 21.5956040, 29.7209170, 79.5097610, 387.0355000, 2283.4042000", \
+					  "20.1570050, 20.3664390, 21.6884000, 29.7722660, 79.6192480, 387.0173400, 2284.3847000", \
+					  "20.2189000, 20.4364720, 21.7537130, 29.8415940, 79.7327640, 387.6258500, 2284.1029000", \
+					  "20.2826860, 20.4905180, 21.8136890, 29.9016460, 79.7025730, 387.2949000, 2284.0552000", \
+					  "20.3234030, 20.5414900, 21.8569620, 29.9632990, 79.8289080, 387.7639500, 2284.3733000", \
+					  "20.3744880, 20.5951340, 21.9089030, 30.0102880, 79.8566760, 387.7979400, 2284.5618000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6740190, 16.0828130, 18.7002750, 34.8290180, 134.1651200, 749.3621900, 4538.0466000", \
+					  "15.6537380, 16.0803580, 18.6974290, 34.7804950, 134.2061900, 747.9681000, 4538.0471000", \
+					  "15.6645100, 16.0696910, 18.7018560, 34.7540660, 134.2201900, 748.0525700, 4538.4295000", \
+					  "15.6588240, 16.0966460, 18.7035120, 34.8691350, 134.4226900, 749.3036900, 4539.0125000", \
+					  "15.6506750, 16.0827010, 18.6948910, 34.7650370, 134.3098000, 748.1122600, 4540.2971000", \
+					  "15.6731730, 16.1013580, 18.6983510, 34.8099010, 134.1766000, 748.3625400, 4537.4745000", \
+					  "15.6785140, 16.0800650, 18.7000020, 34.8261900, 134.2822900, 748.7339000, 4539.1189000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1862437, 8.1862445, 8.1862455, 8.1862464, 8.1862474, 8.1862484, 8.2419493", \
+					  "8.2287022, 8.2287031, 8.2290734, 8.2290741, 8.2290750, 8.2290760, 8.3279073", \
+					  "8.2574525, 8.2584242, 8.2584243, 8.2584253, 8.2584262, 8.2584272, 8.3760749", \
+					  "8.3299705, 8.3300797, 8.3300806, 8.3300815, 8.3300825, 8.3300834, 8.3600113", \
+					  "8.3245828, 8.3245836, 8.3468708, 8.3468709, 8.3468719, 8.3468729, 8.3688327", \
+					  "8.3618783, 8.3851779, 8.3851781, 8.3851791, 8.3851800, 8.3851810, 8.4181968", \
+					  "8.3444966, 8.3450908, 8.3450914, 8.3450923, 8.3450933, 8.3450943, 8.4519449");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3901751, 7.4012907, 7.4012912, 7.4012917, 7.4012921, 7.4012926, 7.4012931", \
+					  "7.4822806, 7.4866753, 7.4866756, 7.4866760, 7.4866765, 7.4866770, 7.4866775", \
+					  "7.5280200, 7.5337372, 7.5337375, 7.5337379, 7.5337384, 7.5337389, 7.5337394", \
+					  "7.5613897, 7.5793469, 7.5793474, 7.5793479, 7.5793484, 7.5793489, 7.5793493", \
+					  "7.5957471, 7.6008435, 7.6008437, 7.6008442, 7.6008447, 7.6008451, 7.6008456", \
+					  "7.6124378, 7.6124380, 7.6124385, 7.6124390, 7.6124394, 7.6124399, 7.6124404", \
+					  "7.6208115, 7.6208118, 7.6208122, 7.6208127, 7.6208132, 7.6208137, 7.6208141");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0551070, 11.1033040, 11.3936840, 13.1574800, 23.8728060, 89.8150600, 419.7767100", \
+					  "11.1804160, 11.2282770, 11.5196230, 13.2872940, 24.0015630, 89.9415300, 419.8508100", \
+					  "11.2705300, 11.3178600, 11.6101710, 13.3769320, 24.0919040, 90.0318750, 419.9385700", \
+					  "11.3434130, 11.3873390, 11.6793900, 13.4431830, 24.1580070, 90.0929110, 420.0651600", \
+					  "11.4028390, 11.4432240, 11.7444010, 13.5018490, 24.2211180, 90.1517150, 420.0747700", \
+					  "11.4517670, 11.5063390, 11.7950040, 13.5624680, 24.2744380, 90.2111520, 420.1721700", \
+					  "11.5039380, 11.5506160, 11.8460160, 13.6053540, 24.3212860, 90.2580620, 420.2259200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1757579, 3.2546721, 3.7443115, 6.7341426, 25.4769840, 141.4959900, 385.7554400", \
+					  "3.1751399, 3.2550631, 3.7441978, 6.7346289, 25.4896410, 141.4944100, 385.9530600", \
+					  "3.1751395, 3.2550629, 3.7441965, 6.7346435, 25.4894830, 141.4943100, 385.9514700", \
+					  "3.1752241, 3.2549015, 3.7445287, 6.7330155, 25.4821430, 141.5293100, 386.0175500", \
+					  "3.1752854, 3.2539860, 3.7438381, 6.7336776, 25.4819580, 141.4439900, 385.9495600", \
+					  "3.1752601, 3.2550362, 3.7438417, 6.7344694, 25.4846650, 141.5106000, 385.9921400", \
+					  "3.1752299, 3.2548544, 3.7443066, 6.7331696, 25.4821600, 141.5106100, 386.0183100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.3390230, 10.3774730, 10.3774734, 10.3774744, 10.3774753, 10.3774763, 10.4221290", \
+					  "10.4650310, 10.4650312, 10.4667770, 10.4667774, 10.4667783, 10.4667793, 10.5185300", \
+					  "10.4945910, 10.5131560, 10.5131565, 10.5131574, 10.5131584, 10.5131593, 10.5687710", \
+					  "10.5110280, 10.5209740, 10.5209747, 10.5209756, 10.5209766, 10.5209775, 10.5576030", \
+					  "10.5254610, 10.5668820, 10.5668827, 10.5668836, 10.5668846, 10.5668855, 10.6207820", \
+					  "10.5371970, 10.5494190, 10.5763120, 10.5763126, 10.5763135, 10.5763145, 10.6361280", \
+					  "10.5939240, 10.5939241, 10.5939250, 10.5939260, 10.5939270, 10.5939279, 10.6471480");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("17.6638140, 17.8850620, 19.2122140, 27.4796770, 78.1740330, 390.2577200, 2314.2582000", \
+					  "17.7447320, 17.9654420, 19.2921800, 27.5604960, 78.2545300, 390.3394400, 2314.3186000", \
+					  "17.7882790, 18.0088740, 19.3398080, 27.6044080, 78.2970990, 390.3732600, 2314.2809000", \
+					  "17.8105830, 18.0344880, 19.3669210, 27.6313350, 78.3205820, 390.3985500, 2314.4269000", \
+					  "17.8317980, 18.0438030, 19.3813800, 27.6478270, 78.3427010, 390.4265800, 2314.3252000", \
+					  "17.8470340, 18.0576740, 19.4024690, 27.6622000, 78.3515400, 390.4283100, 2314.5155000", \
+					  "17.8577900, 18.0790580, 19.4055140, 27.6732180, 78.3681170, 390.4541200, 2314.3582000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5054050, 16.9220280, 19.5688620, 35.8768840, 137.0416000, 759.9409700, 4599.2811000", \
+					  "16.5061190, 16.9224580, 19.5693240, 35.9202160, 137.0447900, 760.0198200, 4600.8640000", \
+					  "16.5010030, 16.9357100, 19.5525070, 35.9395640, 137.0342100, 760.0143600, 4598.9523000", \
+					  "16.4805910, 16.9357290, 19.5623300, 35.9509610, 136.5930200, 758.8271800, 4601.7599000", \
+					  "16.5075370, 16.9192750, 19.5688460, 35.9256590, 137.0407900, 759.9618400, 4598.7867000", \
+					  "16.5070610, 16.9315350, 19.5689190, 35.9506520, 136.5985900, 758.7521500, 4600.2910000", \
+					  "16.5069650, 16.9226690, 19.5693040, 35.8780740, 137.0428300, 760.2000100, 4600.9523000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("19.9277060, 20.1478090, 21.4604670, 29.5611060, 79.3898990, 387.3551900, 2283.6207000", \
+					  "20.0644910, 20.2726460, 21.5955090, 29.7205580, 79.5106840, 387.0225400, 2283.6271000", \
+					  "20.1570190, 20.3664960, 21.6882940, 29.7723000, 79.6191560, 387.0140400, 2284.0302000", \
+					  "20.2183030, 20.4363990, 21.7544240, 29.8416010, 79.7327790, 387.6200800, 2284.9085000", \
+					  "20.2846700, 20.4938360, 21.8139020, 29.9016740, 79.7027440, 387.2984000, 2283.4947000", \
+					  "20.3212330, 20.5460700, 21.8524240, 29.9632670, 79.8281900, 387.7661400, 2284.1448000", \
+					  "20.3764540, 20.5968790, 21.9074220, 30.0103020, 79.8567680, 387.7955500, 2284.5891000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6770810, 16.0778630, 18.7002080, 34.8276960, 134.1669800, 749.3729900, 4536.7206000", \
+					  "15.6533790, 16.0803120, 18.6976440, 34.7808290, 134.2031800, 747.9305300, 4539.9447000", \
+					  "15.6645170, 16.0693010, 18.7018010, 34.7539950, 134.2148300, 748.0460900, 4540.5750000", \
+					  "15.6638400, 16.0952830, 18.7038310, 34.8678030, 134.4193100, 749.3258600, 4538.1785000", \
+					  "15.6615880, 16.0730630, 18.6970900, 34.7650550, 134.3127400, 748.1072000, 4536.3013000", \
+					  "15.6783710, 16.0938850, 18.6855470, 34.8127680, 134.1684700, 748.3388300, 4537.3713000", \
+					  "15.6777120, 16.0774980, 18.7000050, 34.8248080, 134.2921600, 748.4458700, 4537.9688000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4616490, 10.5067890, 10.7951710, 12.5535060, 23.2721820, 89.2099050, 419.1711900", \
+					  "10.5379370, 10.5893590, 10.8746980, 12.6345960, 23.3563210, 89.2947160, 419.2402800", \
+					  "10.5785770, 10.6292930, 10.9170140, 12.6825380, 23.3960250, 89.3332910, 419.2939700", \
+					  "10.6072070, 10.6557660, 10.9436510, 12.7155450, 23.4215900, 89.3584300, 419.2965000", \
+					  "10.6268880, 10.6747850, 10.9627180, 12.7241040, 23.4485070, 89.3798740, 419.3280500", \
+					  "10.6449930, 10.6881280, 10.9831890, 12.7375950, 23.4544400, 89.3963450, 419.3461800", \
+					  "10.6563580, 10.7023290, 10.9882300, 12.7476650, 23.4683880, 89.4049280, 419.3408900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1743628, 3.2545675, 3.7445091, 6.7345578, 25.4300470, 141.4920800, 386.0026300", \
+					  "3.1739937, 3.2541221, 3.7453427, 6.7345653, 25.4657860, 141.2120100, 385.9943000", \
+					  "3.1737828, 3.2545433, 3.7452201, 6.7340977, 25.4599490, 141.1850800, 386.0041300", \
+					  "3.1751917, 3.2550642, 3.7442955, 6.7344010, 25.4821210, 141.5261600, 385.6465300", \
+					  "3.1751624, 3.2550669, 3.7452894, 6.7349055, 25.4898320, 141.1819000, 385.9937300", \
+					  "3.1746399, 3.2549212, 3.7450616, 6.7348789, 25.4685590, 141.1950000, 385.9900300", \
+					  "3.1743523, 3.2542546, 3.7453036, 6.7346875, 25.4674100, 141.4840800, 385.7422500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.9221617, 8.9221626, 8.9226049, 8.9226051, 8.9226060, 8.9226070, 9.0207068", \
+					  "9.0128252, 9.0128255, 9.0141770, 9.0141778, 9.0141788, 9.0141798, 9.0520862", \
+					  "9.0599750, 9.0599752, 9.0599762, 9.0599771, 9.0599781, 9.0599790, 9.1383550", \
+					  "9.0884882, 9.0899910, 9.0899911, 9.0899921, 9.0899931, 9.0899940, 9.1440855", \
+					  "9.1119361, 9.1119371, 9.1119380, 9.1119390, 9.1119400, 9.1119409, 9.1718348", \
+					  "9.1196588, 9.1257535, 9.1257539, 9.1257549, 9.1257558, 9.1257568, 9.1598888", \
+					  "9.1389900, 9.1389909, 9.1389919, 9.1389928, 9.1389938, 9.1389947, 9.1898942");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.6093070, 10.6587650, 10.9508330, 12.7592280, 19.4001810, 36.1681600, 132.6263700", \
+					  "10.6903300, 10.7372360, 11.0322660, 12.8396350, 19.4811780, 36.2438720, 132.7070900", \
+					  "10.7320160, 10.7786560, 11.0736740, 12.8810770, 19.5223110, 36.2865610, 132.7491900", \
+					  "10.7591440, 10.8063460, 11.0979550, 12.9054460, 19.5466520, 36.3156120, 132.7760500", \
+					  "10.7779030, 10.8209120, 11.1195970, 12.9270420, 19.5681690, 36.3344060, 132.7948400", \
+					  "10.7930110, 10.8398320, 11.1347380, 12.9421790, 19.5832740, 36.3495230, 132.8099600", \
+					  "10.8037400, 10.8505750, 11.1454370, 12.9528800, 19.5939990, 36.3602340, 132.8206900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2138552, 3.2992489, 3.8241152, 6.1430252, 9.0151335, 27.8265230, 171.2774800", \
+					  "3.2137903, 3.2994756, 3.8242218, 6.1426220, 9.0154435, 27.8299180, 171.2445800", \
+					  "3.2138265, 3.2994736, 3.8242214, 6.1426224, 9.0153440, 27.8105520, 171.3136200", \
+					  "3.2138125, 3.2994669, 3.8241956, 6.1424350, 9.0145438, 27.8097560, 171.3070400", \
+					  "3.2138074, 3.2994940, 3.8242116, 6.1425851, 9.0152437, 27.8096730, 171.3069800", \
+					  "3.2138132, 3.2994674, 3.8242112, 6.1425918, 9.0152721, 27.8098560, 171.3069900", \
+					  "3.2138070, 3.2994640, 3.8242116, 6.1425856, 9.0152534, 27.8100550, 171.3069500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.9035160, 12.9697990, 13.3509830, 15.2894960, 20.0097770, 40.8768490, 82.1251690", \
+					  "13.0334900, 13.0971560, 13.4798140, 15.4198150, 20.1361900, 40.9973780, 82.2503740", \
+					  "13.1219470, 13.1855780, 13.5670580, 15.5089900, 20.2253400, 41.0663250, 82.3421900", \
+					  "13.1925700, 13.2558070, 13.6387130, 15.5786440, 20.2966050, 41.1282420, 82.4132510", \
+					  "13.2523840, 13.3142690, 13.6950260, 15.6367970, 20.3522640, 41.1848760, 82.4688800", \
+					  "13.3072510, 13.3704880, 13.7534470, 15.6902470, 20.4098360, 41.2343230, 82.5283310", \
+					  "13.3551760, 13.4177030, 13.8001410, 15.7439020, 20.4586660, 41.3117400, 82.5776000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.5922569, 3.6473784, 3.8945864, 4.6221271, 7.8736573, 25.6119550, 56.9174750", \
+					  "3.5909746, 3.6425467, 3.8975316, 4.6103699, 7.8889189, 25.6147410, 57.0231580", \
+					  "3.5907770, 3.6422212, 3.8991645, 4.6141397, 7.8736750, 25.6134720, 56.9068170", \
+					  "3.5916283, 3.6446481, 3.8963313, 4.6127564, 7.8748217, 25.6091500, 56.9554640", \
+					  "3.5955507, 3.6458219, 3.8962535, 4.6237454, 7.8742985, 25.6097440, 56.9400850", \
+					  "3.5915615, 3.6442929, 3.8956811, 4.6213189, 7.8772349, 25.5911770, 56.9545160", \
+					  "3.5907706, 3.6477588, 3.8976280, 4.6149742, 7.8736511, 25.6211590, 56.9622360");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("9.0035579, 9.0035587, 9.0035596, 9.0035606, 9.0035615, 9.0035625, 9.1266958", \
+					  "9.0947809, 9.0947814, 9.0947824, 9.0947834, 9.0947843, 9.0947853, 9.2195522", \
+					  "9.1293622, 9.1294199, 9.1294208, 9.1294218, 9.1294227, 9.1294237, 9.1565333", \
+					  "9.1587982, 9.1662802, 9.1699306, 9.1699310, 9.1699319, 9.1699329, 9.2360697", \
+					  "9.1799048, 9.1811003, 9.1811004, 9.1811014, 9.1811023, 9.1811033, 9.2122523", \
+					  "9.1962651, 9.1962657, 9.1962667, 9.1962677, 9.1962686, 9.1962696, 9.2395407", \
+					  "9.2099466, 9.2099472, 9.2099481, 9.2099491, 9.2099500, 9.2099510, 9.2805497");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1845668, 8.1945704, 8.1945711, 8.1945720, 8.1945730, 8.1945739, 8.1945749", \
+					  "8.2427798, 8.2427803, 8.2469072, 8.2469078, 8.2469087, 8.2469097, 8.2640117", \
+					  "8.3347365, 8.3347374, 8.3347383, 8.3347393, 8.3347402, 8.3347412, 8.3658422", \
+					  "8.3616518, 8.3619112, 8.3619113, 8.3619582, 8.3619590, 8.3619600, 8.4010932", \
+					  "8.3871505, 8.3871513, 8.3871522, 8.3871532, 8.3871542, 8.3871551, 8.4287288", \
+					  "8.4016962, 8.4016967, 8.4016977, 8.4016986, 8.4016996, 8.4017005, 8.4464888", \
+					  "8.4102085, 8.4102092, 8.4104732, 8.4104734, 8.4104744, 8.4104753, 8.4437923");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3886000, 7.4013440, 7.4013441, 7.4013446, 7.4013451, 7.4013455, 7.4013460", \
+					  "7.4822522, 7.4869089, 7.4869092, 7.4869097, 7.4869102, 7.4869106, 7.4869111", \
+					  "7.5280218, 7.5336510, 7.5336512, 7.5336516, 7.5336521, 7.5336526, 7.5336531", \
+					  "7.5610398, 7.5793105, 7.5793107, 7.5793112, 7.5793117, 7.5793121, 7.5793126", \
+					  "7.5949798, 7.6007769, 7.6007770, 7.6007774, 7.6007779, 7.6007784, 7.6007789", \
+					  "7.6123943, 7.6123946, 7.6123951, 7.6123956, 7.6123961, 7.6123965, 7.6123970", \
+					  "7.6220683, 7.6220687, 7.6220692, 7.6220696, 7.6220701, 7.6220706, 7.6220711");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0522760, 11.1025440, 11.3883290, 13.1738850, 19.8994350, 36.6729580, 133.1294600", \
+					  "11.1778040, 11.2271680, 11.5162370, 13.2991740, 20.0226770, 36.7989480, 133.2552400", \
+					  "11.2677610, 11.3155960, 11.6047890, 13.3893950, 20.1140100, 36.8890590, 133.3453800", \
+					  "11.3393070, 11.3879850, 11.6778920, 13.4614990, 20.1824210, 36.9668070, 133.4177100", \
+					  "11.3953710, 11.4459900, 11.7330880, 13.5160450, 20.2429840, 37.0193690, 133.4725500", \
+					  "11.4508570, 11.4980480, 11.7912240, 13.5663250, 20.2962710, 37.0710320, 133.5264100", \
+					  "11.5027860, 11.5475320, 11.8414000, 13.6229870, 20.3494110, 37.1267890, 133.5815800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2207750, 3.3068226, 3.8289905, 6.2264172, 9.1868868, 27.8257190, 171.3036300", \
+					  "3.2207896, 3.3059884, 3.8288603, 6.2262959, 9.1862606, 27.8330340, 171.2938900", \
+					  "3.2207774, 3.3059875, 3.8288608, 6.2262977, 9.1863015, 27.8329770, 171.2939200", \
+					  "3.2215564, 3.3068128, 3.8286032, 6.2268204, 9.1865386, 27.8438480, 171.3018600", \
+					  "3.2215794, 3.3058782, 3.8290011, 6.2267311, 9.1864134, 27.8342220, 171.2273000", \
+					  "3.2209011, 3.3059762, 3.8287618, 6.2261618, 9.1864063, 27.8381550, 171.2360800", \
+					  "3.2216834, 3.3067875, 3.8285370, 6.2260930, 9.1863201, 27.8440360, 171.3015900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2681180, 21.4769430, 22.7928240, 30.9017700, 80.7605430, 388.3804100, 2285.7610000", \
+					  "21.3829970, 21.6037690, 22.9201990, 31.0146610, 80.9023670, 388.8249900, 2285.0791000", \
+					  "21.4737810, 21.6952130, 23.0108680, 31.0985370, 80.9893520, 388.9435900, 2286.0804000", \
+					  "21.5405860, 21.7552910, 23.0637450, 31.1711620, 80.9836180, 388.9492500, 2285.6441000", \
+					  "21.6101110, 21.8232810, 23.1463580, 31.2372780, 81.0956350, 388.5705600, 2286.7378000", \
+					  "21.6505410, 21.8768470, 23.1944900, 31.2722010, 81.0746880, 388.5546700, 2285.2098000", \
+					  "21.7061960, 21.9109620, 23.2343410, 31.3320070, 81.1963330, 389.0063500, 2286.2409000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6584630, 16.0850110, 18.6798030, 34.7845060, 134.4390300, 748.4265400, 4538.9497000", \
+					  "15.6806370, 16.0855700, 18.7058390, 34.8713200, 134.3124900, 748.6622200, 4537.3445000", \
+					  "15.6795250, 16.0955620, 18.7059420, 34.7765900, 134.2889100, 748.9927600, 4539.9511000", \
+					  "15.6828310, 16.1019380, 18.7012200, 34.7888330, 134.5421600, 748.1866100, 4537.5277000", \
+					  "15.6577560, 16.0840760, 18.7022380, 34.7986990, 134.3240700, 748.2207100, 4537.8360000", \
+					  "15.6830730, 16.0816940, 18.7049820, 34.7335250, 134.3839100, 748.0619500, 4537.1989000", \
+					  "15.6655220, 16.1036910, 18.6724360, 34.7544570, 134.5654700, 748.5643900, 4542.5995000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5818330, 8.5818334, 8.5818344, 8.5847951, 8.5847955, 8.5847965, 8.5847974", \
+					  "8.6674445, 8.6674448, 8.6674457, 8.6674467, 8.6674476, 8.6674486, 8.6674495", \
+					  "8.7091330, 8.7091337, 8.7091346, 8.7091356, 8.7091365, 8.7091375, 8.7091384", \
+					  "8.7473975, 8.7473979, 8.7473989, 8.7473999, 8.7474008, 8.7474018, 8.7474027", \
+					  "8.7652179, 8.7671364, 8.7671371, 8.7671381, 8.7671390, 8.7671400, 8.7671409", \
+					  "8.7820489, 8.7820497, 8.7820507, 8.7820516, 8.7820526, 8.7820535, 8.7820545", \
+					  "8.7940144, 8.7940145, 8.7940155, 8.7940164, 8.7940174, 8.7940183, 8.7940193");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4581790, 7.4739166, 7.4739168, 7.4739173, 7.4739178, 7.4739182, 7.5545028", \
+					  "7.5569702, 7.5581781, 7.5581782, 7.5583069, 7.5583070, 7.5583075, 7.5583079", \
+					  "7.6073148, 7.6073149, 7.6073154, 7.6073158, 7.6073163, 7.6073168, 7.7168991", \
+					  "7.6415931, 7.6415933, 7.6415937, 7.6415942, 7.6415947, 7.6415952, 7.7326225", \
+					  "7.6530933, 7.6559488, 7.6559489, 7.6559494, 7.6559499, 7.6559504, 7.7625142", \
+					  "7.6708071, 7.6762561, 7.6762565, 7.6762569, 7.6762574, 7.6762579, 7.6994331", \
+					  "7.6884268, 7.6903213, 7.6903217, 7.6903222, 7.6903227, 7.6903232, 7.7773779");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("13.9896950, 14.0508320, 14.4344730, 16.7390460, 30.7236510, 104.8108700, 177.6893400", \
+					  "14.1167020, 14.1796710, 14.5629090, 16.8681580, 30.8523340, 104.9390800, 177.8136300", \
+					  "14.2067380, 14.2691280, 14.6528090, 16.9585430, 30.9420510, 105.0294600, 177.9038300", \
+					  "14.2725180, 14.3378790, 14.7185760, 17.0229820, 31.0066920, 105.0953000, 177.9558500", \
+					  "14.3345230, 14.3969500, 14.7797610, 17.0828670, 31.0690850, 105.1552900, 178.0251800", \
+					  "14.3897370, 14.4446610, 14.8334080, 17.1336950, 31.1244750, 105.2110400, 178.0813800", \
+					  "14.4378340, 14.4985730, 14.8857200, 17.1848260, 31.1699930, 105.2556400, 178.1234300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8179517, 3.9068797, 4.4686184, 8.0075617, 30.3489860, 85.3850750, 73.5889780", \
+					  "3.8192116, 3.9069188, 4.4735255, 8.0128348, 30.3572600, 85.3853590, 73.5690340", \
+					  "3.8192201, 3.9068375, 4.4685717, 8.0128020, 30.3572310, 85.3852600, 73.5683370", \
+					  "3.8185775, 3.9056389, 4.4736730, 8.0071993, 30.3487120, 85.3803500, 73.6351280", \
+					  "3.8180810, 3.9096952, 4.4686770, 8.0075289, 30.3489890, 85.3688180, 73.5985640", \
+					  "3.8178625, 3.9097503, 4.4736126, 8.0068023, 30.3489290, 85.3842720, 73.5923040", \
+					  "3.8181511, 3.9098018, 4.4736423, 8.0068050, 30.3489820, 85.3868510, 73.6258840");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1661788, 8.1793408, 8.1793409, 8.1793418, 8.1793428, 8.1793438, 8.2118952", \
+					  "8.2330578, 8.2330585, 8.2368929, 8.2368932, 8.2368942, 8.2368951, 8.2484437", \
+					  "8.2748830, 8.3184757, 8.3184763, 8.3184772, 8.3184782, 8.3184791, 8.3521368", \
+					  "8.3380050, 8.3380056, 8.3493603, 8.3493610, 8.3493619, 8.3493629, 8.3807867", \
+					  "8.3709901, 8.3709903, 8.3709913, 8.3709922, 8.3709932, 8.3709941, 8.4098799", \
+					  "8.3841707, 8.3841711, 8.3841720, 8.3841730, 8.3841739, 8.3841749, 8.4151983", \
+					  "8.3936369, 8.3936372, 8.3944771, 8.3944774, 8.3944784, 8.3944793, 8.4273362");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4608755, 7.4781523, 7.4781526, 7.4970892, 7.4970897, 7.4970902, 7.4970906", \
+					  "7.5623641, 7.5626376, 7.5626381, 7.5626386, 7.5626390, 7.5626395, 7.6452853", \
+					  "7.6077686, 7.6077688, 7.6077693, 7.6170545, 7.6170548, 7.6170552, 7.7149185", \
+					  "7.6450224, 7.6450227, 7.6450232, 7.6450236, 7.6450241, 7.6450246, 7.7343554", \
+					  "7.6569400, 7.6601552, 7.6601556, 7.6601561, 7.6601565, 7.6601570, 7.7723143", \
+					  "7.6848519, 7.6848524, 7.6848529, 7.6848534, 7.6848538, 7.6848543, 7.7348696", \
+					  "7.6824938, 7.6925604, 7.6925605, 7.6960713, 7.6960714, 7.6960719, 7.7782634");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.5616785, 8.5635694, 8.5831209, 8.5831218, 8.5831228, 8.5831237, 8.5831247", \
+					  "8.6743457, 8.6743465, 8.6743475, 8.6743484, 8.6743494, 8.6743503, 8.6743513", \
+					  "8.6688052, 8.7228402, 8.7228408, 8.7228418, 8.7228427, 8.7228437, 8.7228447", \
+					  "8.7572420, 8.7572427, 8.7572437, 8.7572446, 8.7572456, 8.7572465, 8.7572475", \
+					  "8.7808614, 8.7808624, 8.7808633, 8.7808643, 8.7808652, 8.7808662, 8.7808671", \
+					  "8.7788061, 8.7862642, 8.7862649, 8.7862659, 8.7862669, 8.7862678, 8.7862688", \
+					  "8.8041983, 8.8041988, 8.8041997, 8.8042007, 8.8042017, 8.8042026, 8.8042036");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.3972029, 7.3972033, 7.3974733, 7.3974736, 7.3974741, 7.3974746, 7.3974751", \
+					  "7.4833348, 7.4918649, 7.4918650, 7.4918654, 7.4918659, 7.4918664, 7.4918669", \
+					  "7.5306730, 7.5368458, 7.5368460, 7.5368464, 7.5368469, 7.5368474, 7.5368479", \
+					  "7.5598612, 7.5825755, 7.5825756, 7.5825761, 7.5825766, 7.5825770, 7.5825775", \
+					  "7.5988433, 7.6041502, 7.6041506, 7.6041511, 7.6041515, 7.6041520, 7.6041525", \
+					  "7.6126154, 7.6126159, 7.6126164, 7.6126168, 7.6126173, 7.6126178, 7.6126183", \
+					  "7.6238864, 7.6238869, 7.6238874, 7.6238878, 7.6238883, 7.6238888, 7.6238893");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4545950, 10.5023960, 10.7926090, 12.5767880, 19.2999520, 36.0772820, 132.5334000", \
+					  "10.5358380, 10.5849160, 10.8739040, 12.6573210, 19.3803160, 36.1584080, 132.6127000", \
+					  "10.5770920, 10.6255280, 10.9152150, 12.6984800, 19.4224300, 36.1995300, 132.6552900", \
+					  "10.6056780, 10.6542700, 10.9442770, 12.7274250, 19.4522410, 36.2292920, 132.6803000", \
+					  "10.6221910, 10.6679460, 10.9615870, 12.7449170, 19.4687340, 36.2462170, 132.7014400", \
+					  "10.6390010, 10.6858270, 10.9754330, 12.7591460, 19.4825580, 36.2646060, 132.7147600", \
+					  "10.6485500, 10.6975080, 10.9869850, 12.7705440, 19.4941410, 36.2714410, 132.7268800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2207077, 3.3059047, 3.8289061, 6.2266881, 9.1865457, 27.8157040, 171.3044900", \
+					  "3.2207121, 3.3059182, 3.8289039, 6.2266201, 9.1867243, 27.8198090, 171.2782000", \
+					  "3.2207119, 3.3058125, 3.8289043, 6.2266223, 9.1869072, 27.8209650, 171.2993100", \
+					  "3.2208196, 3.3059246, 3.8286858, 6.2268337, 9.1863548, 27.8341650, 171.2909600", \
+					  "3.2206069, 3.3063665, 3.8289025, 6.2266223, 9.1868912, 27.8170820, 171.3016500", \
+					  "3.2207259, 3.3058749, 3.8287520, 6.2260743, 9.1867189, 27.8475840, 171.2669000", \
+					  "3.2206975, 3.3057426, 3.8288963, 6.2266121, 9.1868202, 27.8157980, 171.3006800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4316190, 10.4379320, 10.4379325, 10.4379335, 10.4379344, 10.4379354, 10.4379364", \
+					  "10.5343520, 10.5446860, 10.5446868, 10.5446878, 10.5446888, 10.5446897, 10.6046740", \
+					  "10.5915450, 10.5915456, 10.5915466, 10.5915475, 10.5915485, 10.5915494, 10.5915504", \
+					  "10.5806570, 10.5806575, 10.5806585, 10.5807500, 10.5970690, 10.5970693, 10.6476740", \
+					  "10.6317990, 10.6317993, 10.6318002, 10.6318012, 10.6318021, 10.6318031, 10.6999520", \
+					  "10.6445500, 10.6654790, 10.6654792, 10.6654802, 10.6654811, 10.6654821, 10.7135740", \
+					  "10.6712690, 10.6712699, 10.6712709, 10.6712719, 10.6712728, 10.6712738, 10.7353160");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0506070, 11.0992270, 11.3894590, 13.1731880, 19.8987580, 36.6704780, 133.1285500", \
+					  "11.1780150, 11.2261340, 11.5160930, 13.2990900, 20.0224510, 36.7988910, 133.2560200", \
+					  "11.2671960, 11.3143560, 11.6056980, 13.3895490, 20.1130990, 36.8891940, 133.3451700", \
+					  "11.3404140, 11.3849250, 11.6799810, 13.4584190, 20.1826890, 36.9659220, 133.4187900", \
+					  "11.3986330, 11.4458470, 11.7340390, 13.5190680, 20.2436220, 37.0190990, 133.4716000", \
+					  "11.4493360, 11.5022310, 11.7910230, 13.5735720, 20.2940260, 37.0757220, 133.5290600", \
+					  "11.4991810, 11.5503640, 11.8387240, 13.6193660, 20.3479470, 37.1221840, 133.5821900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2216854, 3.3067624, 3.8293151, 6.2265033, 9.1864196, 27.8364730, 171.2914600", \
+					  "3.2212968, 3.3063776, 3.8292893, 6.2265042, 9.1863193, 27.8333340, 171.2923700", \
+					  "3.2212968, 3.3071210, 3.8292889, 6.2269190, 9.1860688, 27.8332330, 171.2924700", \
+					  "3.2220584, 3.3073031, 3.8290189, 6.2270371, 9.1863956, 27.8381920, 171.3006600", \
+					  "3.2214083, 3.3066900, 3.8293080, 6.2266010, 9.1865759, 27.8251480, 171.2962500", \
+					  "3.2212975, 3.3067691, 3.8291619, 6.2268626, 9.1860501, 27.8375940, 171.3005600", \
+					  "3.2220395, 3.3075127, 3.8289989, 6.2267818, 9.1867687, 27.8468660, 171.2995500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0606820, 14.1221140, 14.4980370, 16.5123030, 21.3204510, 42.1905670, 83.5159280", \
+					  "14.1889490, 14.2497950, 14.6262740, 16.6409870, 21.4478710, 42.3257410, 83.6398540", \
+					  "14.2780960, 14.3396120, 14.7160130, 16.7249750, 21.5339050, 42.4061820, 83.7313580", \
+					  "14.3443230, 14.4079040, 14.7838810, 16.7961730, 21.6022970, 42.4947440, 83.7943400", \
+					  "14.4060900, 14.4679050, 14.8416660, 16.8608580, 21.6641690, 42.5310420, 83.8548570", \
+					  "14.4546850, 14.5215030, 14.8951940, 16.9083960, 21.7184230, 42.5926460, 83.9110970", \
+					  "14.5053500, 14.5670500, 14.9463800, 16.9574540, 21.7680970, 42.6412720, 83.9640820");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.7019048, 3.7592160, 4.0463299, 4.8098863, 7.9301561, 25.6700830, 56.9211770", \
+					  "3.7000620, 3.7620804, 4.0445349, 4.8161644, 7.9300531, 25.6810840, 57.0546280", \
+					  "3.7021779, 3.7603796, 4.0464361, 4.8104010, 7.9299500, 25.6706730, 57.0555480", \
+					  "3.6996297, 3.7609182, 4.0452122, 4.8159632, 7.9300868, 25.6764260, 57.0526350", \
+					  "3.7003580, 3.7587613, 4.0445611, 4.8163424, 7.9303755, 25.6657580, 57.0373370", \
+					  "3.7000796, 3.7619445, 4.0441970, 4.8173678, 7.9265181, 25.6705430, 56.8771480", \
+					  "3.7016190, 3.7593968, 4.0437866, 4.8165774, 7.9275058, 25.6705090, 56.9338640");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0565920, 11.1016880, 11.3965660, 13.1599210, 23.8687220, 89.8065120, 419.7309800", \
+					  "11.1798120, 11.2279300, 11.5167320, 13.2875500, 24.0026930, 89.9414730, 419.8483900", \
+					  "11.2699320, 11.3174960, 11.6098800, 13.3657460, 24.0886740, 90.0317690, 420.0010400", \
+					  "11.3392240, 11.3861790, 11.6777510, 13.4413350, 24.1594870, 90.0949500, 420.0654200", \
+					  "11.4006890, 11.4506240, 11.7351980, 13.4974250, 24.2202350, 90.1507280, 420.0879600", \
+					  "11.4564220, 11.5005050, 11.7952560, 13.5603240, 24.2693460, 90.2037410, 420.0992400", \
+					  "11.5047170, 11.5489120, 11.8454780, 13.6052380, 24.3229190, 90.2583040, 420.2307800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1749492, 3.2551732, 3.7455767, 6.7351720, 25.4337160, 141.1295500, 385.9593700", \
+					  "3.1756793, 3.2556045, 3.7457388, 6.7349939, 25.4907380, 141.4937000, 385.9517500", \
+					  "3.1756866, 3.2556056, 3.7445993, 6.7348083, 25.4896570, 141.4940600, 385.9253800", \
+					  "3.1749359, 3.2554945, 3.7447632, 6.7332802, 25.4823020, 141.5290400, 386.0197900", \
+					  "3.1757557, 3.2556027, 3.7457979, 6.7334391, 25.4787690, 141.5153300, 385.9739800", \
+					  "3.1749290, 3.2555694, 3.7443182, 6.7347510, 25.4675050, 141.4833800, 385.9225600", \
+					  "3.1757372, 3.2554610, 3.7447743, 6.7334387, 25.4835120, 141.5265000, 386.0172900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0755070, 14.1380130, 14.5217010, 16.8188840, 30.7861610, 116.6192700, 489.6744500", \
+					  "14.2028460, 14.2661210, 14.6435810, 16.9570470, 30.8817360, 116.7472100, 489.8012700", \
+					  "14.2925460, 14.3562300, 14.7333450, 17.0346830, 30.9771550, 116.8477100, 489.9440600", \
+					  "14.3584350, 14.4186000, 14.8054900, 17.0966320, 31.0698990, 116.9395000, 489.9522400", \
+					  "14.4220260, 14.4843990, 14.8666310, 17.1658530, 31.1287740, 116.9653800, 489.9983400", \
+					  "14.4725120, 14.5341750, 14.9147220, 17.2249170, 31.1650900, 117.0314700, 490.0435900", \
+					  "14.5246840, 14.5832250, 14.9646540, 17.2676610, 31.2303390, 117.0624900, 490.2249200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8094323, 3.9019286, 4.4597539, 8.0007743, 30.3492770, 168.7519000, 348.8269400", \
+					  "3.8088204, 3.8964307, 4.4590567, 7.9927727, 30.3764120, 168.7504000, 348.8667100", \
+					  "3.8078043, 3.8973931, 4.4573434, 8.0022069, 30.3779690, 168.6051500, 348.7685700", \
+					  "3.8081125, 3.9007859, 4.4580077, 7.9947480, 30.3257220, 168.9883200, 348.8578700", \
+					  "3.8088439, 3.9018122, 4.4598036, 8.0022451, 30.3534870, 168.7606600, 348.8452500", \
+					  "3.8032333, 3.8951393, 4.4596149, 7.9983362, 30.3608620, 168.7977000, 348.7751300", \
+					  "3.8105137, 3.9008552, 4.4589346, 8.0016340, 30.3501510, 168.7403700, 348.8408100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("8.1483265, 8.1483274, 8.1501881, 8.1501890, 8.1501899, 8.1501909, 8.1501918", \
+					  "8.2186187, 8.2186189, 8.2195593, 8.2199172, 8.2199178, 8.2199188, 8.2969631", \
+					  "8.2553768, 8.2581160, 8.2581163, 8.2581172, 8.2581182, 8.2581192, 8.3721927", \
+					  "8.3174791, 8.3176115, 8.3176122, 8.3176132, 8.3176141, 8.3176151, 8.3512885", \
+					  "8.3072953, 8.3072954, 8.3325737, 8.3325744, 8.3325754, 8.3325763, 8.3478335", \
+					  "8.3330534, 8.3591711, 8.3611562, 8.3611570, 8.3611579, 8.3611589, 8.3627931", \
+					  "8.3360794, 8.3361158, 8.3361164, 8.3361173, 8.3361183, 8.3361192, 8.3982377");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("7.4772011, 7.4772013, 7.4772018, 7.4772022, 7.4772027, 7.4772032, 7.4772037", \
+					  "7.5449860, 7.5453990, 7.5453995, 7.5454000, 7.5454004, 7.5454009, 7.5454014", \
+					  "7.5917352, 7.5917357, 7.5917361, 7.5917366, 7.5917371, 7.5917376, 7.7173263", \
+					  "7.6420097, 7.6420100, 7.6420105, 7.6420110, 7.6420115, 7.6420119, 7.7537923", \
+					  "7.6572624, 7.6693212, 7.6693213, 7.6693218, 7.6693223, 7.6693228, 7.7614306", \
+					  "7.6707352, 7.6806206, 7.6806210, 7.6871860, 7.6871861, 7.6871865, 7.7715256", \
+					  "7.6667277, 7.6667278, 7.6667283, 7.6842062, 7.6842063, 7.6842068, 7.7940330");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("6.5611294, 6.5611299, 6.5611303, 6.5611308, 6.5611313, 6.5611318, 6.5985666", \
+					  "6.6865375, 6.6865376, 6.6865380, 6.6865385, 6.6865390, 6.6865395, 6.6924195", \
+					  "6.7778649, 6.7791417, 6.7791422, 6.7791427, 6.7791431, 6.7791436, 6.8926789", \
+					  "6.8507497, 6.8566286, 6.8566287, 6.8566292, 6.8566297, 6.8566301, 6.9678801", \
+					  "6.9079529, 6.9079531, 6.9079536, 6.9079540, 6.9079545, 6.9079550, 6.9742603", \
+					  "6.9705761, 6.9705766, 6.9705771, 6.9705775, 6.9705780, 6.9705785, 7.0404478", \
+					  "7.0112671, 7.0113235, 7.0175541, 7.0175546, 7.0175550, 7.0175555, 7.0948327");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("13.9562340, 14.0167290, 14.3919550, 16.4043800, 21.2679440, 44.6924060, 139.8787400", \
+					  "14.0852660, 14.1447950, 14.5204590, 16.5330240, 21.3965630, 44.8206590, 140.0019700", \
+					  "14.1746550, 14.2308520, 14.6105500, 16.6221150, 21.4863380, 44.9068270, 140.0830700", \
+					  "14.2391210, 14.2998500, 14.6762510, 16.6935280, 21.5518660, 44.9697590, 140.1568600", \
+					  "14.3018730, 14.3603040, 14.7370140, 16.7498690, 21.6142480, 45.0385760, 140.2173800", \
+					  "14.3546400, 14.4112220, 14.7884130, 16.7999110, 21.6641090, 45.0913480, 140.2675200", \
+					  "14.4062780, 14.4664270, 14.8360560, 16.8529050, 21.7134400, 45.1389220, 140.3239500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.6965309, 3.7568046, 4.0392316, 4.8359907, 7.9679721, 39.7657410, 89.0258890", \
+					  "3.6965453, 3.7566013, 4.0392369, 4.8359339, 7.9681959, 39.7665720, 89.0170210", \
+					  "3.6964845, 3.7566750, 4.0392529, 4.8356985, 7.9682998, 39.7657870, 89.0113160", \
+					  "3.6966443, 3.7566816, 4.0394768, 4.8334501, 7.9611695, 39.7581100, 89.0217820", \
+					  "3.6966457, 3.7568104, 4.0392476, 4.8361324, 7.9679685, 39.7657200, 89.0146980", \
+					  "3.6965064, 3.7566972, 4.0391290, 4.8358482, 7.9680662, 39.7656490, 89.0134190", \
+					  "3.6965206, 3.7566266, 4.0391765, 4.8358073, 7.9680902, 39.7660360, 89.0207660");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("6.5580559, 6.5595591, 6.5603962, 6.5750103, 6.5750106, 6.5750111, 6.5750115", \
+					  "6.6819994, 6.6880417, 6.6880420, 6.6882984, 6.6882985, 6.6882990, 6.7017369", \
+					  "6.7727970, 6.7771069, 6.7771071, 6.7771075, 6.7771080, 6.7771085, 6.8398864", \
+					  "6.8505845, 6.8514474, 6.8514479, 6.8514483, 6.8514488, 6.8514493, 6.8935586", \
+					  "6.9105082, 6.9110406, 6.9110411, 6.9110415, 6.9110420, 6.9110425, 6.9744419", \
+					  "6.9681034, 6.9681037, 6.9681042, 6.9681047, 6.9681051, 6.9681056, 7.0274018", \
+					  "7.0248385, 7.0260726, 7.0260728, 7.0260733, 7.0260737, 7.0260742, 7.0835360");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1777880, 18.3886240, 19.7358790, 27.9932340, 78.6873430, 390.7700800, 2315.0878000", \
+					  "18.3065140, 18.5146490, 19.8641850, 28.1216510, 78.8113750, 390.8967600, 2314.8893000", \
+					  "18.3951630, 18.6011300, 19.9535890, 28.2108110, 78.9016430, 390.9870500, 2315.2929000", \
+					  "18.4622260, 18.6823570, 20.0090260, 28.2771780, 78.9674890, 391.0668300, 2315.3680000", \
+					  "18.5215150, 18.7505730, 20.0761930, 28.3432280, 79.0301580, 391.1208600, 2315.3384000", \
+					  "18.5851870, 18.7933630, 20.1344560, 28.3926910, 79.0869020, 391.1656600, 2315.3041000", \
+					  "18.6338610, 18.8479830, 20.1698890, 28.4378440, 79.1380260, 391.2262500, 2315.2656000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5023050, 16.9143210, 19.5722230, 35.9562160, 136.8672900, 758.9119900, 4600.2192000", \
+					  "16.5016600, 16.9187330, 19.5719050, 35.9562660, 136.5918100, 758.7738100, 4601.2456000", \
+					  "16.5016840, 16.9181400, 19.5719010, 35.9562660, 136.5918900, 758.7772800, 4600.3506000", \
+					  "16.5016780, 16.9262200, 19.5707450, 35.9215130, 136.5910700, 760.0206700, 4600.4470000", \
+					  "16.4975090, 16.9333330, 19.5748680, 35.8609600, 136.9162000, 758.9223400, 4601.0973000", \
+					  "16.4990510, 16.9238050, 19.5723220, 35.9562160, 136.5905900, 758.8548100, 4600.7949000", \
+					  "16.4965590, 16.9257640, 19.5712280, 35.9392940, 137.0461300, 760.0183400, 4601.8031000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2681270, 21.4808900, 22.8007000, 30.8771990, 80.7077920, 388.6611100, 2286.1971000", \
+					  "21.3814280, 21.5909870, 22.9153350, 31.0205760, 80.8879150, 388.8480400, 2285.6443000", \
+					  "21.4728520, 21.6932620, 23.0053670, 31.1098560, 80.9698190, 388.5925500, 2285.5950000", \
+					  "21.5507630, 21.7641020, 23.0730670, 31.1693710, 80.9776990, 388.5948800, 2286.0231000", \
+					  "21.6144740, 21.8248330, 23.1462440, 31.2237740, 81.0371720, 388.7608100, 2285.9663000", \
+					  "21.6562630, 21.8714680, 23.1955840, 31.2788620, 81.1420830, 388.9486800, 2286.0431000", \
+					  "21.6982910, 21.9238410, 23.2440400, 31.3737410, 81.1333790, 388.7563200, 2285.8549000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6761290, 16.0872240, 18.6971520, 34.7268100, 134.5097900, 748.9254600, 4538.5755000", \
+					  "15.6818110, 16.1030210, 18.7029680, 34.8382190, 134.1658200, 748.1324900, 4536.2003000", \
+					  "15.6729920, 16.0884980, 18.7029020, 34.8385070, 134.2441200, 748.4459300, 4537.9438000", \
+					  "15.6638240, 16.0769250, 18.7024460, 34.7599460, 134.3283700, 748.2638600, 4538.2285000", \
+					  "15.6775500, 16.0838050, 18.6997510, 34.7245500, 134.4483400, 748.5689400, 4540.1271000", \
+					  "15.6636570, 16.0847940, 18.7004350, 34.7567590, 134.3210000, 749.0113500, 4537.7710000", \
+					  "15.6742570, 16.0754090, 18.7031790, 34.7833900, 134.3478100, 748.2315100, 4537.5873000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.4767310, 10.5252350, 10.8138900, 12.5979800, 19.3081110, 36.0843980, 132.5339100", \
+					  "10.5572770, 10.6062330, 10.8951570, 12.6790570, 19.3880060, 36.1653430, 132.6149900", \
+					  "10.5994930, 10.6479230, 10.9368670, 12.7206770, 19.4306600, 36.2065010, 132.6567100", \
+					  "10.6280660, 10.6763820, 10.9653050, 12.7486940, 19.4592290, 36.2351540, 132.6853700", \
+					  "10.6452530, 10.6937570, 10.9826530, 12.7665720, 19.4766350, 36.2518190, 132.7024300", \
+					  "10.6587180, 10.7064200, 10.9967160, 12.7794830, 19.4902510, 36.2678210, 132.7162700", \
+					  "10.6710520, 10.7194710, 11.0083140, 12.7921840, 19.5023160, 36.2776260, 132.7280900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2193830, 3.3045600, 3.8279122, 6.2154317, 9.1724237, 27.8561620, 171.3023600", \
+					  "3.2195282, 3.3045660, 3.8279047, 6.2154033, 9.1722949, 27.8400680, 171.3025000", \
+					  "3.2194363, 3.3045804, 3.8278865, 6.2154344, 9.1724557, 27.8172370, 171.3018600", \
+					  "3.2195040, 3.3043961, 3.8276418, 6.2155703, 9.1726440, 27.8164500, 171.3013500", \
+					  "3.2193070, 3.3045546, 3.8279131, 6.2153815, 9.1723695, 27.8181670, 171.3005100", \
+					  "3.2201086, 3.3048708, 3.8277173, 6.2159198, 9.1722603, 27.8254500, 171.0855300", \
+					  "3.2195200, 3.3045366, 3.8279269, 6.2153913, 9.1723544, 27.8141870, 171.3015400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("20.5365220, 20.7437570, 22.1020070, 30.2950060, 80.2568180, 388.2731500, 2284.9933000", \
+					  "20.6606960, 20.8746570, 22.2298680, 30.4223600, 80.4384610, 388.4198900, 2284.9156000", \
+					  "20.7517040, 20.9682350, 22.3181210, 30.5023880, 80.5114200, 388.4882700, 2286.4810000", \
+					  "20.8152320, 21.0316160, 22.3670580, 30.5639960, 80.5390740, 388.4439100, 2286.0968000", \
+					  "20.8825630, 21.0963500, 22.4464960, 30.6350290, 80.6612870, 388.6484300, 2285.4140000", \
+					  "20.9336740, 21.1557560, 22.5015860, 30.6953290, 80.6958480, 388.6576400, 2284.8922000", \
+					  "20.9829100, 21.2022990, 22.5514040, 30.7353010, 80.7380970, 388.7205700, 2285.1093000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6611840, 16.0844390, 18.6854640, 34.7684580, 134.3355100, 748.6224800, 4537.4368000", \
+					  "15.6678120, 16.0829430, 18.6899600, 34.8119400, 134.2955300, 748.0803700, 4539.6537000", \
+					  "15.6562050, 16.0889060, 18.6879330, 34.8444580, 134.1780100, 748.7281600, 4537.9606000", \
+					  "15.6621400, 16.0822090, 18.6704550, 34.7730730, 134.5534900, 747.9507100, 4537.8306000", \
+					  "15.6603020, 16.0893330, 18.6911890, 34.8318600, 134.4793200, 748.7018900, 4539.2676000", \
+					  "15.6661970, 16.0835110, 18.6897400, 34.7632110, 134.3581300, 748.5551200, 4537.8479000", \
+					  "15.6602800, 16.0881180, 18.6901390, 34.8448810, 134.2609000, 749.2593600, 4537.1821000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0599450, 11.1054850, 11.3957390, 13.1575210, 23.8720490, 89.8082040, 419.7361800", \
+					  "11.1801850, 11.2284450, 11.5196410, 13.2863630, 24.0022580, 89.9422690, 419.8494100", \
+					  "11.2705330, 11.3153250, 11.6099380, 13.3766720, 24.0919500, 90.0316830, 419.9387100", \
+					  "11.3424410, 11.3875630, 11.6805160, 13.4394980, 24.1584850, 90.0948150, 420.0675800", \
+					  "11.4056530, 11.4527660, 11.7447040, 13.5086470, 24.2120190, 90.1499180, 420.0659600", \
+					  "11.4522280, 11.5053350, 11.7980590, 13.5482550, 24.2704930, 90.2118980, 420.1674500", \
+					  "11.5037690, 11.5474550, 11.8411300, 13.6069520, 24.3207940, 90.2583320, 420.2187500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1743783, 3.2539194, 3.7443737, 6.7330119, 25.4836260, 141.4927300, 385.9663600", \
+					  "3.1751426, 3.2550871, 3.7441730, 6.7348518, 25.4834610, 141.4958900, 385.9519200", \
+					  "3.1751428, 3.2550771, 3.7441823, 6.7348784, 25.4852510, 141.4958900, 385.9518400", \
+					  "3.1752612, 3.2549385, 3.7443177, 6.7341874, 25.4821250, 141.5292100, 386.0188000", \
+					  "3.1746610, 3.2539456, 3.7438892, 6.7345294, 25.4825650, 141.5111500, 385.9516900", \
+					  "3.1752947, 3.2551093, 3.7438848, 6.7345862, 25.4821250, 141.5108500, 385.9894900", \
+					  "3.1752212, 3.2549226, 3.7443351, 6.7330439, 25.4821570, 141.5266400, 385.9875600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2633340, 21.4671390, 22.7927850, 30.8912220, 80.7613820, 388.3765200, 2284.9495000", \
+					  "21.3868890, 21.6038710, 22.9206090, 31.0151160, 80.8957030, 388.8524100, 2285.7071000", \
+					  "21.4737970, 21.6943960, 23.0035050, 31.1067010, 80.9954130, 388.9364300, 2285.8226000", \
+					  "21.5324750, 21.7561840, 23.0612310, 31.1681060, 80.9849180, 388.9521700, 2285.7964000", \
+					  "21.6101710, 21.8229290, 23.1434020, 31.2324570, 81.0364610, 388.7149400, 2285.9736000", \
+					  "21.6597660, 21.8735660, 23.1914010, 31.2675290, 81.1197150, 388.7513200, 2286.2112000", \
+					  "21.7065140, 21.9083520, 23.2297330, 31.3305900, 81.2344400, 388.8525800, 2285.3469000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6604630, 16.0987610, 18.6798040, 34.7796460, 134.5156700, 748.4060800, 4536.5837000", \
+					  "15.6731050, 16.0864570, 18.6830920, 34.8687510, 134.2512000, 748.7507800, 4539.2921000", \
+					  "15.6794650, 16.0914070, 18.6762370, 34.8640090, 134.3746300, 748.8741900, 4535.1394000", \
+					  "15.6813550, 16.1019770, 18.7011370, 34.7537750, 134.5401700, 748.2736400, 4537.0634000", \
+					  "15.6578430, 16.0759480, 18.7038170, 34.7768290, 134.3338200, 748.4080100, 4538.7774000", \
+					  "15.6696930, 16.0986480, 18.7059590, 34.7497360, 134.4843700, 748.3940900, 4537.0034000", \
+					  "15.6646110, 16.1033550, 18.6886280, 34.7544250, 134.5840400, 748.4297800, 4536.1885000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1786530, 18.4021860, 19.7349850, 27.9945310, 78.6840250, 390.7702300, 2314.9107000", \
+					  "18.3056770, 18.5153030, 19.8635060, 28.1211340, 78.8116240, 390.8970700, 2315.0053000", \
+					  "18.3952160, 18.6012570, 19.9549070, 28.2110490, 78.9018490, 390.9873100, 2315.1194000", \
+					  "18.4667090, 18.6773280, 20.0065390, 28.2774280, 78.9710980, 391.0655800, 2315.3189000", \
+					  "18.5220710, 18.7448120, 20.0852280, 28.3395140, 79.0344000, 391.1160000, 2315.2486000", \
+					  "18.5854690, 18.7959390, 20.1466510, 28.3920320, 79.0881460, 391.1701500, 2315.4976000", \
+					  "18.6258420, 18.8484550, 20.1887520, 28.4242390, 79.1387220, 391.2258300, 2315.2693000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.5022430, 16.9190490, 19.5717540, 35.9566140, 136.5830100, 758.8180300, 4598.2956000", \
+					  "16.5022430, 16.9198420, 19.5721950, 35.9566170, 136.5837200, 758.8267900, 4598.9359000", \
+					  "16.5022480, 16.9192360, 19.5843860, 35.9566170, 136.5840100, 758.7879600, 4599.4948000", \
+					  "16.5011600, 16.9313110, 19.5717470, 35.9337340, 137.0482000, 760.0180000, 4598.7022000", \
+					  "16.4992770, 16.9323830, 19.5762840, 35.8966650, 137.0486600, 759.2782900, 4598.1342000", \
+					  "16.4898690, 16.9239790, 19.5686220, 35.9550190, 136.9872400, 758.7539100, 4599.0987000", \
+					  "16.5144750, 16.9265220, 19.5475550, 35.9497710, 136.8691300, 760.0007200, 4601.5853000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("14.0756670, 14.1350580, 14.5246200, 16.8141020, 30.7858410, 116.6705200, 489.7294200", \
+					  "14.2047510, 14.2672460, 14.6493820, 16.9508430, 30.9158390, 116.7627700, 489.8331600", \
+					  "14.2899850, 14.3578120, 14.7388250, 17.0417580, 31.0017510, 116.8514200, 489.9198400", \
+					  "14.3565250, 14.4164810, 14.8091840, 17.1010050, 31.0566220, 116.9602900, 489.9941900", \
+					  "14.4194980, 14.4811690, 14.8704380, 17.1604330, 31.1315030, 117.0064300, 490.0696800", \
+					  "14.4711140, 14.5370920, 14.9224210, 17.2217780, 31.1918700, 117.0407700, 490.0870200", \
+					  "14.5244960, 14.5857960, 14.9695150, 17.2636040, 31.2275790, 117.1148300, 490.1319800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.8107082, 3.8919308, 4.4559041, 7.9932763, 30.3228270, 169.0264100, 348.9749600", \
+					  "3.8070453, 3.9000194, 4.4601913, 8.0024991, 30.3275130, 168.8157900, 348.8604200", \
+					  "3.8107930, 3.8996326, 4.4601993, 8.0023410, 30.3620060, 168.8091900, 348.8280000", \
+					  "3.8017332, 3.8921479, 4.4549107, 7.9968743, 30.3219140, 168.7565400, 348.7978700", \
+					  "3.8108134, 3.9004204, 4.4560524, 7.9925737, 30.3229510, 169.0146500, 348.8081600", \
+					  "3.8068899, 3.9011803, 4.4619579, 8.0022255, 30.3706320, 168.7786700, 348.8040100", \
+					  "3.8104768, 3.8993395, 4.4553343, 7.9880209, 30.3200450, 169.0258000, 348.8313800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("11.0547540, 11.0997490, 11.3893880, 13.1749760, 19.8959500, 36.6710930, 133.1312400", \
+					  "11.1775770, 11.2271260, 11.5154500, 13.2993920, 20.0236700, 36.7992390, 133.2562600", \
+					  "11.2658160, 11.3153090, 11.6058550, 13.3892260, 20.1132710, 36.8888560, 133.3407500", \
+					  "11.3404670, 11.3866210, 11.6767620, 13.4583540, 20.1829040, 36.9621630, 133.4154000", \
+					  "11.3973610, 11.4435390, 11.7365970, 13.5188600, 20.2429700, 37.0201820, 133.4753800", \
+					  "11.4535280, 11.5001720, 11.7912380, 13.5704710, 20.2987620, 37.0758110, 133.5306200", \
+					  "11.5046320, 11.5527010, 11.8414500, 13.6247400, 20.3480340, 37.1265540, 133.5823200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.2215557, 3.3059004, 3.8287835, 6.2261392, 9.1862633, 27.8380870, 171.2405000", \
+					  "3.2207037, 3.3059011, 3.8286947, 6.2263354, 9.1862900, 27.8366660, 171.2908500", \
+					  "3.2207024, 3.3063898, 3.8287529, 6.2263377, 9.1862944, 27.8355380, 171.2992900", \
+					  "3.2214615, 3.3067200, 3.8284931, 6.2268675, 9.1867021, 27.8301040, 171.3001200", \
+					  "3.2208922, 3.3057401, 3.8288923, 6.2259784, 9.1864569, 27.8391850, 171.2641100", \
+					  "3.2208238, 3.3061895, 3.8286556, 6.2262027, 9.1860404, 27.8382170, 171.2382300", \
+					  "3.2215421, 3.3067056, 3.8284322, 6.2266325, 9.1867527, 27.8459570, 171.2991100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1843180, 18.3982320, 19.7348860, 27.9931510, 78.6838330, 390.7726400, 2314.6165000", \
+					  "18.3058510, 18.5145000, 19.8640570, 28.1209310, 78.8118650, 390.8967000, 2315.0594000", \
+					  "18.3950420, 18.6037620, 19.9534810, 28.2107640, 78.9016570, 390.9839600, 2314.9707000", \
+					  "18.4611330, 18.6834900, 20.0101520, 28.2802950, 78.9756880, 391.0662400, 2315.0858000", \
+					  "18.5278580, 18.7445540, 20.0933940, 28.3400950, 79.0288940, 391.1299600, 2315.4541000", \
+					  "18.5828240, 18.7868370, 20.1455140, 28.3945240, 79.0840250, 391.1725900, 2314.9780000", \
+					  "18.6328390, 18.8515710, 20.1694040, 28.4368080, 79.1388930, 391.2228400, 2315.2481000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.4986030, 16.9322760, 19.5715210, 35.9561770, 136.5938000, 759.4840700, 4601.2410000", \
+					  "16.5017100, 16.9187050, 19.5720150, 35.9567310, 136.5948600, 758.8128600, 4600.9604000", \
+					  "16.5017120, 16.9187050, 19.5720120, 35.9562730, 136.5946400, 758.8093400, 4600.9718000", \
+					  "16.4981150, 16.9262490, 19.5711150, 35.8915670, 136.8469400, 760.0423800, 4602.5239000", \
+					  "16.5055170, 16.9307710, 19.5617510, 35.8987970, 136.5945600, 760.1021300, 4601.2146000", \
+					  "16.5103490, 16.9182230, 19.5636700, 35.9547560, 136.9741800, 758.7889300, 4601.9427000", \
+					  "16.4969890, 16.9327090, 19.5706050, 35.9531820, 137.0544700, 760.1217400, 4599.7285000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("21.2681890, 21.4808880, 22.8007730, 30.8778180, 80.6774660, 388.3978600, 2285.9201000", \
+					  "21.3804140, 21.6025050, 22.9157990, 31.0037860, 80.8279170, 388.8500500, 2285.8565000", \
+					  "21.4699330, 21.6934670, 23.0140940, 31.1099770, 80.9664440, 388.9410900, 2285.5697000", \
+					  "21.5514790, 21.7640310, 23.0727150, 31.1689870, 80.9712050, 388.6067600, 2286.5152000", \
+					  "21.6133010, 21.8255920, 23.1467020, 31.2191060, 81.0470270, 388.7697300, 2285.5459000", \
+					  "21.6642530, 21.8735840, 23.1814280, 31.2674510, 81.1725160, 389.1155700, 2286.5113000", \
+					  "21.7078320, 21.9237730, 23.2517530, 31.3280570, 81.1286040, 388.8212600, 2286.4140000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("15.6771890, 16.0817070, 18.7013750, 34.7229410, 134.3834700, 748.5174400, 4537.4113000", \
+					  "15.6822160, 16.0911990, 18.7027280, 34.7348460, 134.4761500, 748.4114800, 4540.7173000", \
+					  "15.6746920, 16.0858860, 18.7036200, 34.8352640, 134.2382500, 749.1792100, 4537.5082000", \
+					  "15.6645580, 16.0779430, 18.7023840, 34.7581800, 134.3438900, 748.2475400, 4537.4786000", \
+					  "15.6779410, 16.0860750, 18.6969250, 34.7399800, 134.4803000, 748.5630800, 4536.5846000", \
+					  "15.6630090, 16.0892240, 18.6950990, 34.7515120, 134.3768300, 748.1679600, 4538.1007000", \
+					  "15.6577010, 16.0818910, 18.6987490, 34.7221170, 134.4081100, 748.4831000, 4537.8379000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("10.6068130, 10.6544120, 10.9476970, 12.7314100, 23.5144350, 89.5183230, 419.2897100", \
+					  "10.6883650, 10.7375990, 11.0374140, 12.8127340, 23.5943320, 89.6085690, 419.4497800", \
+					  "10.7295500, 10.7788380, 11.0709890, 12.8540610, 23.6326430, 89.6438620, 419.4079100", \
+					  "10.7569700, 10.8062080, 11.0982650, 12.8921120, 23.6595850, 89.6711400, 419.4492100", \
+					  "10.7749820, 10.8249370, 11.1237980, 12.9040800, 23.6785100, 89.6938420, 419.5023800", \
+					  "10.7937130, 10.8433230, 11.1424080, 12.9203790, 23.6981230, 89.7108810, 419.5529800", \
+					  "10.8008810, 10.8503500, 11.1457550, 12.9252370, 23.7115540, 89.7229170, 419.4798700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.1593872, 3.2395586, 3.7327621, 6.7298092, 25.4850490, 141.0170000, 385.7315700", \
+					  "3.1591691, 3.2395602, 3.7325911, 6.7311556, 25.4837400, 141.5283700, 385.8058100", \
+					  "3.1591751, 3.2395631, 3.7327443, 6.7311450, 25.4834520, 141.5112500, 385.4042600", \
+					  "3.1591689, 3.2395606, 3.7327066, 6.7307453, 25.4828110, 141.5096300, 385.7284100", \
+					  "3.1593399, 3.2395391, 3.7320760, 6.7312462, 25.4834070, 141.5113200, 385.4964900", \
+					  "3.1589973, 3.2394629, 3.7325485, 6.7308914, 25.4848110, 141.5301500, 385.8070600", \
+					  "3.1593501, 3.2395719, 3.7320151, 6.7303163, 25.4910140, 141.4909000, 385.7245500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.9219290, 12.9877020, 13.3841290, 15.7051860, 29.7180950, 115.6320100, 488.3382300", \
+					  "13.0482000, 13.1124510, 13.5101010, 15.8333220, 29.8457610, 115.7695500, 488.4750500", \
+					  "13.1361830, 13.2033110, 13.5985350, 15.9230620, 29.9339180, 115.8626900, 488.6687200", \
+					  "13.2120830, 13.2744240, 13.6701170, 15.9969620, 29.9787290, 115.9293300, 488.7077100", \
+					  "13.2682500, 13.3301250, 13.7293020, 16.0505830, 30.0648640, 115.9781700, 488.6947500", \
+					  "13.3276110, 13.3900140, 13.7802080, 16.1090790, 30.1096960, 116.0028900, 488.7442100", \
+					  "13.3696250, 13.4347160, 13.8332720, 16.1570050, 30.1681200, 116.0937000, 488.8633500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.7613992, 3.8501180, 4.4240678, 7.9799456, 30.3327780, 168.6969700, 348.5517900", \
+					  "3.7610373, 3.8507024, 4.4233577, 7.9776337, 30.3318260, 169.0182900, 348.5044100", \
+					  "3.7602099, 3.8503969, 4.4249662, 7.9766540, 30.3271680, 169.0246700, 348.5255600", \
+					  "3.7661119, 3.8568091, 4.4240256, 7.9876026, 30.3762990, 168.9979000, 348.5647800", \
+					  "3.7619388, 3.8519841, 4.4217336, 7.9817672, 30.3416990, 169.0055500, 348.5804100", \
+					  "3.7661727, 3.8575485, 4.4271640, 7.9854185, 30.3204890, 168.5231600, 348.4961400", \
+					  "3.7608592, 3.8504013, 4.4234190, 7.9758475, 30.3277120, 169.0238200, 348.5841400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("18.1370510, 18.3465620, 19.7146090, 28.0677650, 79.0258310, 391.4131500, 2315.3491000", \
+					  "18.2100040, 18.4294390, 19.7968010, 28.1478680, 79.1088300, 391.4780700, 2315.8359000", \
+					  "18.2507630, 18.4697360, 19.8373710, 28.1908060, 79.1499060, 391.5373800, 2315.8486000", \
+					  "18.2784380, 18.4976250, 19.8652080, 28.2185000, 79.1773260, 391.5464500, 2315.9173000", \
+					  "18.2957970, 18.5150100, 19.8827830, 28.2298950, 79.1966310, 391.5837700, 2315.7802000", \
+					  "18.3146390, 18.5386570, 19.8908850, 28.2448780, 79.2122780, 391.5879500, 2315.7584000", \
+					  "18.3215290, 18.5405650, 19.9079510, 28.2566270, 79.2203000, 391.5912400, 2315.7797000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("16.4975700, 16.9382000, 19.5786520, 35.9427690, 137.0855900, 760.0417600, 4599.0141000", \
+					  "16.5108830, 16.9350290, 19.5785840, 35.9418130, 137.0320900, 758.8753300, 4599.6871000", \
+					  "16.5113360, 16.9348320, 19.5786110, 35.9417920, 137.0229700, 759.8926000, 4599.6867000", \
+					  "16.5108990, 16.9375860, 19.5786140, 35.9417380, 137.0322000, 758.8923300, 4601.0528000", \
+					  "16.5110270, 16.9386440, 19.5786160, 35.8706450, 137.0196700, 760.0513100, 4600.9509000", \
+					  "16.5050960, 16.9245400, 19.5689580, 35.9298300, 136.8500600, 760.1448700, 4599.8072000", \
+					  "16.5113560, 16.9385480, 19.5786360, 35.9449960, 137.0575300, 758.7651700, 4599.3806000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("12.6917400, 12.7553260, 13.1374170, 15.4382780, 29.3987680, 115.2800800, 488.2318200", \
+					  "12.8195760, 12.8805810, 13.2701580, 15.5622150, 29.5226780, 115.4221900, 488.3680200", \
+					  "12.9082520, 12.9692270, 13.3592420, 15.6522050, 29.6097510, 115.5033900, 488.4620900", \
+					  "12.9818960, 13.0434580, 13.4287590, 15.7220920, 29.6897240, 115.5331500, 488.5633900", \
+					  "13.0388340, 13.0997710, 13.4887900, 15.7802320, 29.7430310, 115.6442300, 488.5953900", \
+					  "13.0931980, 13.1596010, 13.5419680, 15.8464970, 29.7670320, 115.6647000, 488.6716700", \
+					  "13.1439250, 13.2047750, 13.5859500, 15.8878420, 29.8443230, 115.6997300, 488.6941800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21167, 1.26333, 1.58196, 3.54687, 15.6641, 90.3888, 551.202");
+					values("3.7966457, 3.8953054, 4.4547361, 8.0009279, 30.3452840, 168.6557300, 348.8467300", \
+					  "3.7968628, 3.8883181, 4.4508965, 7.9904341, 30.3185990, 168.7940200, 348.8454200", \
+					  "3.7961811, 3.8866412, 4.4530557, 7.9931146, 30.3203760, 168.7144100, 348.8464700", \
+					  "3.8041836, 3.8954622, 4.4523967, 7.9983797, 30.3442530, 168.8784900, 348.8144700", \
+					  "3.7973256, 3.8900727, 4.4497397, 7.9874507, 30.3167450, 168.8222500, 348.8571800", \
+					  "3.7974970, 3.8955497, 4.4546771, 7.9896498, 30.3740140, 168.5516900, 348.8227700", \
+					  "3.7928314, 3.8946446, 4.4548685, 8.0008968, 30.3688490, 168.8176400, 348.8279400");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1140171, -1.1432277, -1.1724383, -1.1764299, -1.1802619, -1.1842534, -1.1882450");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1219323, 1.1694850, 1.2170376, 1.2205917, 1.2240036, 1.2275576, 1.2311117");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157216;
+			capacitance : 0.156644;
+			fall_capacitance : 0.156072;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0542824, -0.0503219, -0.0463615, -0.0468227, -0.0472654, -0.0477266, -0.0481878");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0487616, 0.0477620, 0.0467625, 0.0471271, 0.0474772, 0.0478418, 0.0482064");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.149862;
+			capacitance : 0.149485;
+			fall_capacitance : 0.149110;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0549027, -0.0506282, -0.0463538, -0.0467972, -0.0472228, -0.0476662, -0.0481096");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0491146, 0.0477996, 0.0464845, 0.0469230, 0.0473439, 0.0477824, 0.0482209");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p65v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v65.lib
new file mode 100644
index 0000000..8ea6bce
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+        lu_table_template ("del_1_12_7") {
+                variable_1 : "input_net_transition";
+                index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+                variable_2 : "total_output_net_capacitance";
+                index_2("1, 2, 3, 4, 5, 6, 7");
+        }
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+                        is_macro_cell : true
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 4.514980e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "451.0930000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "276.6860000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.6480000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.9150000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "451.4980000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.0650000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.0680000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.2430000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.9180000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "342.2640000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "358.5290000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "359.9700000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "360.2990000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "359.4840000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "342.3570000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.3340000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "451.1490000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "450.8200000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006917;
+			capacitance : 0.006792;
+			fall_capacitance : 0.006667;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3431341, 4.2713538, 4.2534547, 4.2275355, 4.2146906, 4.2191986, 4.2259443", \
+					  "4.7136586, 4.6405145, 4.6209270, 4.5949651, 4.5836013, 4.5866118, 4.5949425", \
+					  "5.0661682, 4.9925782, 4.9726479, 4.9523432, 4.9344540, 4.9493567, 4.9489699", \
+					  "5.3455802, 5.2669223, 5.2543750, 5.2284890, 5.2164822, 5.2213450, 5.2230876", \
+					  "5.5580530, 5.4890406, 5.4670098, 5.4431702, 5.4469361, 5.4477803, 5.4378029", \
+					  "5.7628140, 5.6932137, 5.6697294, 5.6402734, 5.6328092, 5.6484686, 5.6456158", \
+					  "5.9530321, 5.8797720, 5.8670698, 5.8320560, 5.8358201, 5.8392169, 5.8442502");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9952515, 3.9002702, 3.8368604, 3.7692714, 3.6732706, 3.6504404, 3.6064292", \
+					  "4.3627238, 4.2694171, 4.1845152, 4.1403563, 4.0422228, 4.0381927, 3.9723756", \
+					  "4.7137079, 4.6217783, 4.5492133, 4.4982669, 4.4130808, 4.4007400, 4.3126785", \
+					  "4.9961713, 4.9027163, 4.8255731, 4.7716704, 4.7016105, 4.6810665, 4.6053048", \
+					  "5.2242684, 5.1323393, 5.0490930, 5.0034513, 4.9068192, 4.8375004, 4.8669906", \
+					  "5.4143439, 5.3224143, 5.2620049, 5.1989029, 5.1152050, 5.0770160, 5.0164773", \
+					  "5.6036232, 5.5190437, 5.4500362, 5.3940246, 5.3179566, 5.2889074, 5.2058343");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7013170, -3.6719773, -3.6268961, -3.6546283, -3.6233509, -3.6030214, -3.6439455", \
+					  "-4.0718408, -4.0455534, -3.9989458, -4.0266783, -3.9951981, -3.9808385, -3.9827756", \
+					  "-4.4243508, -4.3982348, -4.3500001, -4.3627850, -4.3461263, -4.3275816, -4.3275652", \
+					  "-4.7037629, -4.6790009, -4.6378774, -4.6298998, -4.6248411, -4.6069932, -4.6096515", \
+					  "-4.9349118, -4.8979427, -4.8675168, -4.8897492, -4.8583974, -4.8610304, -4.8497572", \
+					  "-5.1249868, -5.0986990, -5.0562516, -5.0765114, -5.0485875, -5.0303878, -5.0383060", \
+					  "-5.3231608, -5.2923774, -5.2577509, -5.2791693, -5.2498767, -5.2233397, -5.2425839");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8447669, -3.7330976, -3.6680660, -3.5713427, -3.5456284, -3.4865976, -3.4859950", \
+					  "-4.2137651, -4.0867405, -4.0401160, -3.9449183, -3.9329373, -3.8476237, -3.8512786", \
+					  "-4.5662751, -4.4392505, -4.4002546, -4.2907035, -4.2049139, -4.2381278, -4.1798549", \
+					  "-4.8472130, -4.7201884, -4.6678787, -4.5646333, -4.5724883, -4.4841972, -4.4829102", \
+					  "-5.0768360, -4.9610651, -4.9216251, -4.8079893, -4.8066890, -4.7215185, -4.6830936", \
+					  "-5.2669106, -5.1383606, -5.1115989, -4.9858572, -5.0028672, -4.8913599, -4.8216313", \
+					  "-5.4650846, -5.3164412, -5.2917872, -5.2037141, -5.1983259, -5.1551862, -5.0835569");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438801, 0.0442536, 0.0446270, 0.0469945, 0.0492673, 0.0516348, 0.0540023");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560781, 0.0570356, 0.0579931, 0.0608437, 0.0635803, 0.0664309, 0.0692815");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006244;
+			capacitance : 0.006130;
+			fall_capacitance : 0.006015;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8615575, -3.7875007, -3.7563017, -3.7496430, -3.7250316, -3.7241976, -3.7275513", \
+					  "-4.2305556, -4.1580248, -4.1366081, -4.1032202, -4.1068875, -4.1019008, -4.1057048", \
+					  "-4.5830657, -4.5105348, -4.4793357, -4.4636940, -4.4555668, -4.4504278, -4.4627925", \
+					  "-4.8640032, -4.7864083, -4.7711730, -4.7445503, -4.7349575, -4.7290635, -4.7376265", \
+					  "-5.0951525, -5.0210957, -4.9972570, -4.9678352, -4.9714838, -4.9664896, -4.9626718", \
+					  "-5.2837012, -5.2096444, -5.1897870, -5.1627997, -5.1585351, -5.1525004, -5.1680058", \
+					  "-5.4818756, -5.4039419, -5.3900419, -5.3705819, -5.3551929, -5.3576168, -5.3524467");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7944267, -3.6867726, -3.5934224, -3.5103362, -3.4739120, -3.4648808, -3.4503733", \
+					  "-4.1634249, -4.0391816, -3.9654726, -3.8871472, -3.8251923, -3.8319678, -3.7762644", \
+					  "-4.5174608, -4.3932642, -4.3606800, -4.2349646, -4.2099894, -4.1638437, -4.1317085", \
+					  "-4.7968724, -4.6726291, -4.5989201, -4.5158528, -4.4809354, -4.4352741, -4.4290795", \
+					  "-5.0264954, -4.9161845, -4.8323285, -4.7718822, -4.7187784, -4.6801675, -4.6165469", \
+					  "-5.2165705, -5.0908017, -5.0200188, -4.9370292, -4.8944823, -4.8239579, -4.8088615", \
+					  "-5.4162703, -5.2679796, -5.2149162, -5.1506330, -5.1194339, -5.0576789, -5.0268596");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3538389, 4.2788722, 4.2501090, 4.2161535, 4.2141200, 4.2135396, 4.2280133", \
+					  "4.7228371, 4.6493416, 4.6175986, 4.5987710, 4.5815834, 4.5841889, 4.5954891", \
+					  "5.0753471, 5.0012903, 4.9740841, 4.9442271, 4.9341085, 4.9366291, 4.9490685", \
+					  "5.3547591, 5.2766763, 5.2510292, 5.2170777, 5.2150535, 5.2093399, 5.2289251", \
+					  "5.5809644, 5.5118512, 5.4821781, 5.4451668, 5.4422829, 5.4487817, 5.4376200", \
+					  "5.7759831, 5.7019263, 5.6716607, 5.6351624, 5.6347312, 5.6372607, 5.6363428", \
+					  "5.9711052, 5.8887649, 5.8636676, 5.8490735, 5.8444141, 5.8421661, 5.8487573");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9647475, 3.8444113, 3.7804029, 3.7313284, 3.6431461, 3.6235204, 3.5699195", \
+					  "4.3337456, 4.2130844, 4.1463493, 4.0938771, 4.0193345, 3.9851751, 3.9207924", \
+					  "4.6862557, 4.5543829, 4.4977895, 4.4388109, 4.3367974, 4.3625413, 4.2947151", \
+					  "4.9618907, 4.8430644, 4.7948785, 4.7131897, 4.6497306, 4.6406961, 4.5785405", \
+					  "5.1952907, 5.0601952, 4.9968472, 4.9477927, 4.8509248, 4.8582621, 4.7807587", \
+					  "5.3884175, 5.2565448, 5.1994949, 5.1450136, 5.0801188, 5.0343306, 4.9054727", \
+					  "5.5761709, 5.4647809, 5.3903005, 5.3451970, 5.2735583, 5.2233962, 5.1985015");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0529318, 0.0532868, 0.0536417, 0.0557812, 0.0578352, 0.0599747, 0.0621143");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0660883, 0.0668907, 0.0676931, 0.0704536, 0.0731037, 0.0758642, 0.0786247");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.009347;
+			capacitance : 0.009212;
+			fall_capacitance : 0.009078;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3675493, 4.2960234, 4.2778854, 4.2628685, 4.2407409, 4.2478908, 4.2518760", \
+					  "4.7380733, 4.6663023, 4.6453577, 4.6209523, 4.6096574, 4.6242310, 4.6208742", \
+					  "5.0905833, 5.0200486, 4.9989691, 4.9665408, 4.9604887, 4.9752671, 4.9733842", \
+					  "5.3699949, 5.2981515, 5.2788052, 5.2653147, 5.2429637, 5.2565195, 5.2543221", \
+					  "5.6011438, 5.5290837, 5.5047463, 5.4795962, 5.4836702, 5.4858361, 5.4839447", \
+					  "5.7912189, 5.7186045, 5.7005110, 5.6647090, 5.6613041, 5.6759387, 5.6755461", \
+					  "5.9789727, 5.9159937, 5.8914885, 5.8809027, 5.8610945, 5.8669690, 5.8681977");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9754154, 3.8759407, 3.8095423, 3.7325297, 3.6701727, 3.6503618, 3.5982648", \
+					  "4.3402613, 4.2364181, 4.1775408, 4.1215065, 4.0422228, 3.9912782, 3.9643025", \
+					  "4.6953977, 4.5943207, 4.5236662, 4.4692750, 4.3901552, 4.2958169, 4.3043389", \
+					  "4.9763352, 4.8752587, 4.8026852, 4.7335671, 4.6787221, 4.6656464, 4.6066691", \
+					  "5.2059586, 5.1048812, 5.0277305, 4.9845992, 4.8915608, 4.8374529, 4.8289357", \
+					  "5.3945078, 5.2949563, 5.2208822, 5.1699114, 5.1205487, 5.0566314, 5.0165323", \
+					  "5.5926817, 5.4858334, 5.4317802, 5.3589300, 5.2414800, 5.2698408, 5.2028655");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8462764, -3.7681122, -3.7444103, -3.7298122, -3.7210072, -3.7207814, -3.7290786", \
+					  "-4.2152745, -4.1371104, -4.1143073, -4.0876326, -4.0916681, -4.0984586, -4.1105627", \
+					  "-4.5677846, -4.4911463, -4.4689703, -4.4414971, -4.4440235, -4.4439800, -4.4661276", \
+					  "-4.8487220, -4.7684565, -4.7631598, -4.7201587, -4.7232868, -4.7290635, -4.7424093", \
+					  "-5.0798714, -5.0002848, -4.9852360, -4.9740143, -4.9546995, -4.9629396, -4.9596204", \
+					  "-5.2684205, -5.1902568, -5.1674595, -5.1430231, -5.1401969, -5.1530844, -5.1451178", \
+					  "-5.4665945, -5.3896303, -5.3817748, -5.3536115, -5.3414226, -5.3423583, -5.3509212");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7715250, -3.6911109, -3.6055052, -3.5682910, -3.5456284, -3.4663691, -3.4716010", \
+					  "-4.1405232, -4.0547052, -3.9775549, -3.9403411, -3.8841088, -3.8293979, -3.7811867", \
+					  "-4.4945591, -4.4103132, -4.3565340, -4.2873120, -4.2047221, -4.1833681, -4.1796768", \
+					  "-4.7739712, -4.6881527, -4.6110029, -4.5646333, -4.4977202, -4.4610704, -4.4775101", \
+					  "-5.0035942, -4.9235839, -4.8563035, -4.8034116, -4.7456538, -4.6981083, -4.6830901", \
+					  "-5.1936692, -5.1078512, -5.0689015, -4.9858572, -4.9709780, -4.8914043, -4.8216313", \
+					  "-5.3933690, -5.2966819, -5.2580027, -5.1979849, -5.1589050, -5.1109190, -5.0777282");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438209, 0.0441993, 0.0445776, 0.0468478, 0.0490271, 0.0512973, 0.0535674");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559774, 0.0569220, 0.0578667, 0.0607096, 0.0634388, 0.0662817, 0.0691246");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026903;
+			capacitance : 0.026928;
+			fall_capacitance : 0.026952;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034177, -0.0038638, -0.0043099, -0.0044451, -0.0045750, -0.0047103, -0.0048455");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0266414, 0.0270824, 0.0275234, 0.0273497, 0.0271829, 0.0270091, 0.0268354");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.034217;
+			capacitance : 0.033966;
+			fall_capacitance : 0.033715;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1315023, 0.2268303, 0.3221584, 0.3372241, 0.3516873, 0.3667530, 0.3818188");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1287050, 0.2321504, 0.3355958, 0.3674597, 0.3980491, 0.4299129, 0.4617768");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052947;
+			capacitance : 0.052563;
+			fall_capacitance : 0.052179;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0210063, -0.0213792, -0.0217522, -0.0217559, -0.0217595, -0.0217632, -0.0217669");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0215890, 0.0217893, 0.0219896, 0.0219294, 0.0218716, 0.0218115, 0.0217513");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_H";
+                                timing_type : non_seq_hold_falling;
+                                fall_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.021368;
+			capacitance : 0.021336;
+			fall_capacitance : 0.021304;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263133, 0.0325604, 0.0388075, 0.0480327, 0.0568889, 0.0661142, 0.0753394");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0311477, 0.0372578, 0.0433679, 0.0522284, 0.0607344, 0.0695948, 0.0784553");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.038044;
+			capacitance : 0.037646;
+			fall_capacitance : 0.037248;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0827832, -4.0283396, -4.0126366, -4.0121508, -3.9944307, -3.9844911, -3.9854246", \
+					  "-4.4426260, -4.3958119, -4.3811658, -4.3617525, -4.3723902, -4.3626446, -4.3635781", \
+					  "-4.7997137, -4.7463657, -4.7279777, -4.7134756, -4.7218737, -4.7182064, -4.7176140", \
+					  "-5.0791253, -5.0292594, -5.0145907, -5.0086639, -5.0074138, -4.9976181, -5.0016038", \
+					  "-5.3087487, -5.2543052, -5.2379867, -5.2339006, -5.2278317, -5.2257156, -5.2266491", \
+					  "-5.4957716, -5.4474316, -5.4285906, -5.4133815, -5.4209585, -5.4173166, -5.4243536", \
+					  "-5.6954718, -5.6447750, -5.6266606, -5.6195268, -5.6298137, -5.6185423, -5.6148981");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.7247548, -2.6783622, -2.6289417, -2.6039355, -2.5797470, -2.5455873, -2.5205810", \
+					  "-3.0998565, -3.0458344, -2.9964142, -2.9668301, -2.9411158, -2.9100080, -2.8834759", \
+					  "-3.4554184, -4.8113084, -4.7527326, -3.3422282, -3.2982034, -3.2594662, -3.2375115", \
+					  "-3.7264758, -3.6785572, -3.6291369, -3.5949754, -3.5646834, -3.5320495, -3.5024656", \
+					  "-3.9457768, -3.9039618, -3.8423346, -3.8152612, -3.7846550, -3.7559282, -3.7232925", \
+					  "-4.1459606, -4.0995675, -4.0531993, -4.0297185, -3.9933232, -3.9591634, -3.9219501", \
+					  "-4.3361787, -4.2879062, -4.2573760, -4.2458767, -4.1850671, -4.1478556, -4.1213235");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5216584, 4.4550077, 4.4266256, 4.4249491, 4.4163276, 4.4187892, 4.4227744", \
+					  "4.8830271, 4.8194287, 4.7925768, 4.7884535, 4.7854625, 4.7847355, 4.7932985", \
+					  "5.2416407, 5.1734641, 5.1469938, 5.1409173, 5.1332581, 5.1341938, 5.1397049", \
+					  "5.5149492, 5.4544021, 5.4275440, 5.4182128, 5.4172474, 5.4181831, 5.4282723", \
+					  "5.7304737, 5.6819037, 5.6530974, 5.6495642, 5.6355017, 5.6306558, 5.6502659", \
+					  "5.9392245, 5.8670582, 5.8390615, 5.8376062, 5.8422914, 5.8317780, 5.8348249", \
+					  "6.1267172, 6.0603281, 6.0306509, 6.0351082, 6.0216479, 6.0288892, 6.0280947");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2454785, 4.1395765, 4.0871043, 4.0361583, 3.9875554, 3.9381372, 3.8963462", \
+					  "4.6098990, 4.5070488, 4.4561024, 4.4021047, 4.3504500, 4.3025577, 4.2653443", \
+					  "4.9639349, 4.8626106, 4.8055607, 4.7515630, 4.7029601, 4.6596196, 4.6254838", \
+					  "5.2448728, 5.1404967, 5.0898777, 5.0325005, 4.9869498, 4.9405833, 4.8942146", \
+					  "5.4760217, 5.3701197, 5.3145957, 5.2605976, 5.2121141, 5.1656286, 5.1314671", \
+					  "5.6599932, 5.5586689, 5.5061967, 5.4491467, 5.4035961, 5.3648590, 5.3215421", \
+					  "5.8551153, 5.7598946, 5.6908987, 5.6473211, 5.6002441, 5.5630330, 5.5181897");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1912745, 0.1988852, 0.2064959, 0.2217981, 0.2364882, 0.2517903, 0.2670925");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2268415, 0.2350565, 0.2432715, 0.2591432, 0.2743802, 0.2902519, 0.3061237");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.010340;
+			capacitance : 0.010532;
+			rise_capacitance : 0.010725;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0051233, 0.0177343, 0.0405919, 0.1480067, 0.2511250, 0.3585399, 0.4659547");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0398793, 0.0595336, 0.0791879, 0.1693377, 0.2558815, 0.3460312, 0.4361810");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007204;
+			capacitance : 0.007070;
+			fall_capacitance : 0.006936;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5048776, 4.4404421, 4.4167241, 4.3868911, 4.3908764, 4.3939939, 4.3907312", \
+					  "4.8754017, 4.8094407, 4.7841964, 4.7568996, 4.7627149, 4.7614710, 4.7612552", \
+					  "5.2233340, 5.1619502, 5.1351805, 5.1153422, 5.1085703, 5.1057059, 5.1137683", \
+					  "5.5020209, 5.4413623, 5.4139740, 5.3935254, 5.3862810, 5.3948937, 5.3912149", \
+					  "5.7354204, 5.6614645, 5.6249403, 5.6204861, 5.6084189, 5.6073326, 5.6228003", \
+					  "5.9254959, 5.8625862, 5.8373420, 5.8238714, 5.8094803, 5.8105607, 5.8144008", \
+					  "6.1178270, 6.0577088, 6.0245736, 6.0052312, 6.0120840, 6.0116408, 6.0115298");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9616819, 3.8638113, 3.7956170, 3.7264048, 3.6701727, 3.6262465, 3.5981820", \
+					  "4.3291542, 4.2290676, 4.1524529, 4.1215771, 4.0147568, 3.9897524, 3.9642177", \
+					  "4.6816642, 4.5866837, 4.5144806, 4.4616460, 4.3840596, 4.2882496, 4.3042879", \
+					  "4.9641280, 4.8676421, 4.7795366, 4.7274640, 4.6726186, 4.6487874, 4.6052920", \
+					  "5.1922251, 5.0957188, 5.0078939, 4.9846880, 4.8869929, 4.8375191, 4.8289500", \
+					  "5.3823002, 5.2857934, 5.2040726, 5.1577039, 5.1205767, 5.0337430, 5.0164733", \
+					  "5.5789478, 5.4745549, 5.4129277, 5.3528260, 5.2384322, 5.2523029, 5.2028682");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8890000, -3.8169354, -3.7840617, -3.7786744, -3.7741025, -3.7737486, -3.7733276", \
+					  "-4.2579981, -4.1822942, -4.1601022, -4.1511965, -4.1461161, -4.1472862, -4.1456298", \
+					  "-4.6120340, -4.5399695, -4.5141075, -4.5012569, -4.4948331, -4.4997998, -4.5024652", \
+					  "-4.8914461, -4.8142692, -4.7922330, -4.7734933, -4.7797976, -4.7751405, -4.7772996", \
+					  "-5.1225950, -5.0505304, -5.0211410, -5.0173250, -5.0091844, -5.0118853, -5.0069226", \
+					  "-5.3111441, -5.2406055, -5.2066757, -5.1894548, -5.1995983, -5.2017352, -5.2019515", \
+					  "-5.5093181, -5.4403004, -5.4119795, -5.4007063, -5.4131386, -5.3972899, -5.3997493");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7837317, -3.0051574, -3.6222898, -3.5438769, -3.5425766, -3.4679119, -2.8520346", \
+					  "-4.1527299, -4.0485941, -3.9943397, -3.9037197, -3.8868888, -3.8294130, -3.7748224", \
+					  "-4.5067658, -4.4133357, -4.3526353, -4.2873515, -3.8116152, -4.1864194, -4.1797261", \
+					  "-4.7861779, -4.0121810, -4.6434112, -4.5463231, -4.4961943, -3.9368746, -4.4787815", \
+					  "-5.0158008, -4.9344730, -4.8593531, -4.7667907, -4.7483410, -4.7042261, -4.6830652", \
+					  "-5.2058759, -5.1017401, -5.0793620, -4.9662005, -4.9540396, -4.8913662, -4.8216317", \
+					  "-5.4055757, -5.3103381, -5.2801418, -4.5019634, -5.1588535, -5.1134978, -5.0777246");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439268, 0.0442994, 0.0446721, 0.0470392, 0.0493117, 0.0516788, 0.0540460");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560559, 0.0570395, 0.0580232, 0.0608221, 0.0635090, 0.0663079, 0.0691067");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.019220;
+			capacitance : 0.019184;
+			fall_capacitance : 0.019149;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1102530, 0.1166853, 0.1231175, 0.1327733, 0.1420429, 0.1516987, 0.1613546");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1127226, 0.1187764, 0.1248301, 0.1339592, 0.1427232, 0.1518523, 0.1609814");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005811;
+			capacitance : 0.005691;
+			fall_capacitance : 0.005572;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8752794, -3.7927174, -3.7692278, -3.7546612, -3.7391985, -3.7477259, -3.7611205", \
+					  "-4.2442776, -4.1647674, -4.1467742, -4.1128240, -4.1113097, -4.1184022, -4.1335999", \
+					  "-4.5967876, -4.5157515, -4.5004713, -4.4845573, -4.4638850, -4.4740657, -4.4996537", \
+					  "-4.8777255, -4.7987389, -4.7819470, -4.7449175, -4.7409189, -4.7474626, -4.7743140", \
+					  "-5.1073485, -5.0263124, -5.0154611, -4.9774209, -4.9760389, -4.9828152, -5.0023449", \
+					  "-5.2974236, -5.2163875, -5.2025155, -5.1851929, -5.1736945, -5.1745237, -5.1763253", \
+					  "-5.4955975, -5.4170501, -5.4003594, -5.3766930, -5.3688882, -5.3698239, -5.3753350");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8249024, -3.7040044, -3.6268665, -3.5332641, -3.4525498, -3.4727114, -3.4497853", \
+					  "-4.1939010, -4.0739098, -3.9989165, -3.9255911, -3.4844811, -3.8413439, -3.7647398", \
+					  "-4.5464105, -4.4264199, -4.3582060, -4.2958456, -4.1597785, -4.1908465, -4.0785763", \
+					  "-4.8273485, -4.7073578, -4.6750889, -4.5418897, -4.4656769, -3.9388627, -4.4103745", \
+					  "-5.0569719, -4.9342625, -4.8680910, -4.7793113, -4.7072898, -4.6830666, -4.6696315", \
+					  "-5.2430564, -5.1230653, -5.0707418, -4.9666082, -4.8769000, -4.8819834, -4.8005650", \
+					  "-5.4363262, -5.3167024, -5.2650801, -5.1870074, -5.1235116, -5.0794504, -5.0507786");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4758964, 4.4131443, 4.3865776, 4.3578788, 4.3399815, 4.3499762, 4.3586617", \
+					  "4.8510021, 4.7836724, 4.7555798, 4.7281191, 4.7040374, 4.7205105, 4.7307640", \
+					  "5.2002747, 5.1376559, 5.1065195, 5.0774561, 5.0558476, 5.0716878, 5.0708915", \
+					  "5.4810554, 5.4101855, 5.3820924, 5.3587057, 5.3504155, 5.3470089, 5.3623131", \
+					  "5.6967789, 5.6441077, 5.6179397, 5.5916554, 5.5659964, 5.5831125, 5.5704506", \
+					  "5.9006704, 5.8363930, 5.8067740, 5.7862486, 5.7711754, 5.7717034, 5.7644541", \
+					  "6.0932102, 6.0329637, 5.9956824, 5.9668988, 5.9796863, 5.9736356, 5.9639945");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9601280, 3.8617112, 3.8136479, 3.7447155, 3.6289741, 3.6183779, 3.5668657", \
+					  "4.3291262, 4.2272268, 4.1662743, 4.1064359, 4.0254380, 3.9714421, 3.9845827", \
+					  "4.6816362, 4.5830076, 4.5324285, 4.4556419, 4.3428530, 4.3471620, 4.2974067", \
+					  "4.9625742, 4.8624929, 4.7965816, 4.7471612, 4.6276616, 4.6237452, 4.5709075", \
+					  "5.1921972, 5.0935685, 5.0262845, 4.9695202, 4.8701983, 4.8487119, 4.8249341", \
+					  "5.3782821, 5.2796534, 5.2352685, 5.1522789, 5.0410243, 5.0267011, 5.0182123", \
+					  "5.5700260, 5.4672629, 5.4066785, 5.3455283, 5.2367269, 5.1995468, 5.1618423");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0522021, 0.0527351, 0.0532681, 0.0554556, 0.0575556, 0.0597432, 0.0619307");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0630939, 0.0638067, 0.0645196, 0.0673646, 0.0700958, 0.0729407, 0.0757857");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005163;
+			capacitance : 0.004958;
+			fall_capacitance : 0.004752;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0081136, -0.0080324, -0.0079512, -0.0079565, -0.0079616, -0.0079669, -0.0079722");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0081193, 0.0081143, 0.0081093, 0.0081133, 0.0081171, 0.0081211, 0.0081250");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3431341, 4.7136586, 5.0661682, 5.3455802, 5.5580530, 5.7628140, 5.9530321", \
+					  "4.2713538, 4.6405145, 4.9925782, 5.2669223, 5.4890406, 5.6932137, 5.8797720", \
+					  "4.2534547, 4.6209270, 4.9726479, 5.2543750, 5.4670098, 5.6697294, 5.8670698", \
+					  "4.2275355, 4.5949651, 4.9523432, 5.2284890, 5.4431702, 5.6402734, 5.8320560", \
+					  "4.2146906, 4.5836013, 4.9344540, 5.2164822, 5.4469361, 5.6328092, 5.8358201", \
+					  "4.2191986, 4.5866118, 4.9493567, 5.2213450, 5.4477803, 5.6484686, 5.8392169", \
+					  "4.2259443, 4.5949425, 4.9489699, 5.2230876, 5.4378029, 5.6456158, 5.8442502");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7013170, -4.0718408, -4.4243508, -4.7037629, -4.9349118, -5.1249868, -5.3231608", \
+					  "-3.6719773, -4.0455534, -4.3982348, -4.6790009, -4.8979427, -5.0986990, -5.2923774", \
+					  "-3.6268961, -3.9989458, -4.3500001, -4.6378774, -4.8675168, -5.0562516, -5.2577509", \
+					  "-3.6546283, -4.0266783, -4.3627850, -4.6298998, -4.8897492, -5.0765114, -5.2791693", \
+					  "-3.6233509, -3.9951981, -4.3461263, -4.6248411, -4.8583974, -5.0485875, -5.2498767", \
+					  "-3.6030214, -3.9808385, -4.3275816, -4.6069932, -4.8610304, -5.0303878, -5.2233397", \
+					  "-3.6439455, -3.9827756, -4.3275652, -4.6096515, -4.8497572, -5.0383060, -5.2425839");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.0827832, -4.4426260, -4.7997137, -5.0791253, -5.3087487, -5.4957716, -5.6954718", \
+					  "-4.0283396, -4.3958119, -4.7463657, -5.0292594, -5.2543052, -5.4474316, -5.6447750", \
+					  "-4.0126366, -4.3811658, -4.7279777, -5.0145907, -5.2379867, -5.4285906, -5.6266606", \
+					  "-4.0121508, -4.3617525, -4.7134756, -5.0086639, -5.2339006, -5.4133815, -5.6195268", \
+					  "-3.9944307, -4.3723902, -4.7218737, -5.0074138, -5.2278317, -5.4209585, -5.6298137", \
+					  "-3.9844911, -4.3626446, -4.7182064, -4.9976181, -5.2257156, -5.4173166, -5.6185423", \
+					  "-3.9854246, -4.3635781, -4.7176140, -5.0016038, -5.2266491, -5.4243536, -5.6148981");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5216584, 4.8830271, 5.2416407, 5.5149492, 5.7304737, 5.9392245, 6.1267172", \
+					  "4.4550077, 4.8194287, 5.1734641, 5.4544021, 5.6819037, 5.8670582, 6.0603281", \
+					  "4.4266256, 4.7925768, 5.1469938, 5.4275440, 5.6530974, 5.8390615, 6.0306509", \
+					  "4.4249491, 4.7884535, 5.1409173, 5.4182128, 5.6495642, 5.8376062, 6.0351082", \
+					  "4.4163276, 4.7854625, 5.1332581, 5.4172474, 5.6355017, 5.8422914, 6.0216479", \
+					  "4.4187892, 4.7847355, 5.1341938, 5.4181831, 5.6306558, 5.8317780, 6.0288892", \
+					  "4.4227744, 4.7932985, 5.1397049, 5.4282723, 5.6502659, 5.8348249, 6.0280947");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5048776, 4.8754017, 5.2233340, 5.5020209, 5.7354204, 5.9254959, 6.1178270", \
+					  "4.4404421, 4.8094407, 5.1619502, 5.4413623, 5.6614645, 5.8625862, 6.0577088", \
+					  "4.4167241, 4.7841964, 5.1351805, 5.4139740, 5.6249403, 5.8373420, 6.0245736", \
+					  "4.3868911, 4.7568996, 5.1153422, 5.3935254, 5.6204861, 5.8238714, 6.0052312", \
+					  "4.3908764, 4.7627149, 5.1085703, 5.3862810, 5.6084189, 5.8094803, 6.0120840", \
+					  "4.3939939, 4.7614710, 5.1057059, 5.3948937, 5.6073326, 5.8105607, 6.0116408", \
+					  "4.3907312, 4.7612552, 5.1137683, 5.3912149, 5.6228003, 5.8144008, 6.0115298");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8890000, -4.2579981, -4.6120340, -4.8914461, -5.1225950, -5.3111441, -5.5093181", \
+					  "-3.8169354, -4.1822942, -4.5399695, -4.8142692, -5.0505304, -5.2406055, -5.4403004", \
+					  "-3.7840617, -4.1601022, -4.5141075, -4.7922330, -5.0211410, -5.2066757, -5.4119795", \
+					  "-3.7786744, -4.1511965, -4.5012569, -4.7734933, -5.0173250, -5.1894548, -5.4007063", \
+					  "-3.7741025, -4.1461161, -4.4948331, -4.7797976, -5.0091844, -5.1995983, -5.4131386", \
+					  "-3.7737486, -4.1472862, -4.4997998, -4.7751405, -5.0118853, -5.2017352, -5.3972899", \
+					  "-3.7733276, -4.1456298, -4.5024652, -4.7772996, -5.0069226, -5.2019515, -5.3997493");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8752794, -4.2442776, -4.5967876, -4.8777255, -5.1073485, -5.2974236, -5.4955975", \
+					  "-3.7927174, -4.1647674, -4.5157515, -4.7987389, -5.0263124, -5.2163875, -5.4170501", \
+					  "-3.7692278, -4.1467742, -4.5004713, -4.7819470, -5.0154611, -5.2025155, -5.4003594", \
+					  "-3.7546612, -4.1128240, -4.4845573, -4.7449175, -4.9774209, -5.1851929, -5.3766930", \
+					  "-3.7391985, -4.1113097, -4.4638850, -4.7409189, -4.9760389, -5.1736945, -5.3688882", \
+					  "-3.7477259, -4.1184022, -4.4740657, -4.7474626, -4.9828152, -5.1745237, -5.3698239", \
+					  "-3.7611205, -4.1335999, -4.4996537, -4.7743140, -5.0023449, -5.1763253, -5.3753350");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4758964, 4.8510021, 5.2002747, 5.4810554, 5.6967789, 5.9006704, 6.0932102", \
+					  "4.4131443, 4.7836724, 5.1376559, 5.4101855, 5.6441077, 5.8363930, 6.0329637", \
+					  "4.3865776, 4.7555798, 5.1065195, 5.3820924, 5.6179397, 5.8067740, 5.9956824", \
+					  "4.3578788, 4.7281191, 5.0774561, 5.3587057, 5.5916554, 5.7862486, 5.9668988", \
+					  "4.3399815, 4.7040374, 5.0558476, 5.3504155, 5.5659964, 5.7711754, 5.9796863", \
+					  "4.3499762, 4.7205105, 5.0716878, 5.3470089, 5.5831125, 5.7717034, 5.9736356", \
+					  "4.3586617, 4.7307640, 5.0708915, 5.3623131, 5.5704506, 5.7644541, 5.9639945");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8615575, -4.2305556, -4.5830657, -4.8640032, -5.0951525, -5.2837012, -5.4818756", \
+					  "-3.7875007, -4.1580248, -4.5105348, -4.7864083, -5.0210957, -5.2096444, -5.4039419", \
+					  "-3.7563017, -4.1366081, -4.4793357, -4.7711730, -4.9972570, -5.1897870, -5.3900419", \
+					  "-3.7496430, -4.1032202, -4.4636940, -4.7445503, -4.9678352, -5.1627997, -5.3705819", \
+					  "-3.7250316, -4.1068875, -4.4555668, -4.7349575, -4.9714838, -5.1585351, -5.3551929", \
+					  "-3.7241976, -4.1019008, -4.4504278, -4.7290635, -4.9664896, -5.1525004, -5.3576168", \
+					  "-3.7275513, -4.1057048, -4.4627925, -4.7376265, -4.9626718, -5.1680058, -5.3524467");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3538389, 4.7228371, 5.0753471, 5.3547591, 5.5809644, 5.7759831, 5.9711052", \
+					  "4.2788722, 4.6493416, 5.0012903, 5.2766763, 5.5118512, 5.7019263, 5.8887649", \
+					  "4.2501090, 4.6175986, 4.9740841, 5.2510292, 5.4821781, 5.6716607, 5.8636676", \
+					  "4.2161535, 4.5987710, 4.9442271, 5.2170777, 5.4451668, 5.6351624, 5.8490735", \
+					  "4.2141200, 4.5815834, 4.9341085, 5.2150535, 5.4422829, 5.6347312, 5.8444141", \
+					  "4.2135396, 4.5841889, 4.9366291, 5.2093399, 5.4487817, 5.6372607, 5.8421661", \
+					  "4.2280133, 4.5954891, 4.9490685, 5.2289251, 5.4376200, 5.6363428, 5.8487573");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-4.1240284, -4.4823458, -4.8424848, -5.1203710, -5.3515198, -5.5370172, -5.7382429", \
+					  "-4.0660792, -4.4366037, -4.7906392, -5.0700512, -5.3001365, -5.4897493, -5.6868516", \
+					  "-4.0621406, -4.4265442, -4.7841510, -5.0616100, -5.2881430, -5.4847870, -5.6794176", \
+					  "-4.0551986, -4.4455352, -4.7704509, -5.0656454, -5.2764341, -5.4910847, -5.6921492", \
+					  "-4.0389147, -4.4187655, -4.7615211, -5.0542430, -5.2720821, -5.4915518, -5.6664346", \
+					  "-4.0241638, -4.4023174, -4.7563533, -5.0357653, -5.2653883, -5.4554634, -5.6613600", \
+					  "-4.0205201, -4.4001995, -4.7511836, -5.0443282, -5.2586923, -5.4747075, -5.6744202");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.5812148, 4.9425835, 5.2996685, 5.5745011, 5.7976573, 5.9972501, 6.1834802", \
+					  "4.5095326, 4.8754790, 5.2279863, 5.5104484, 5.7259751, 5.9255679, 6.1163757", \
+					  "4.4842146, 4.8486351, 5.2062397, 5.4836049, 5.7116958, 5.8962660, 6.0895318", \
+					  "4.4727731, 4.8371976, 5.1925396, 5.4721719, 5.6944065, 5.9084997, 6.0867678", \
+					  "4.4712592, 4.8372056, 5.1929557, 5.4706491, 5.6892276, 5.8911305, 6.0968881", \
+					  "4.4737208, 4.8411930, 5.1906486, 5.4746366, 5.7027323, 5.8882302, 6.0820898", \
+					  "4.4678308, 4.8467042, 5.1931084, 5.4816736, 5.7036663, 5.8973833, 6.0967527");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.8914679, 4.2589401, 4.6129760, 4.8923881, 5.1235370, 5.3120861, 5.5102601", \
+					  "3.8273902, 4.1861726, 4.5454354, 4.8178602, 5.0559963, 5.2460714, 5.4477612", \
+					  "3.7906165, 4.1656161, 4.5180973, 4.7930986, 5.0249940, 5.2105931, 5.4194221", \
+					  "3.7765731, 4.1577251, 4.4941689, 4.7805846, 4.9952938, 5.1932328, 5.4062322", \
+					  "3.7782575, 4.1472686, 4.5017606, 4.7791684, 5.0103391, 5.2019902, 5.4140868", \
+					  "3.7794459, 4.1559536, 4.5084485, 4.7775262, 5.0205465, 5.2043053, 5.4012895", \
+					  "3.7712240, 4.1496191, 4.5064652, 4.7812996, 5.0109226, 5.2058875, 5.4037493");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425091, -4.1130332, -4.4670691, -4.7464812, -4.9761042, -5.1661792, -5.3643531", \
+					  "-3.6843133, -4.0378636, -4.4072472, -4.6713111, -4.9125021, -5.0894832, -5.2996569", \
+					  "-3.6388878, -4.0109380, -4.3709305, -4.6470252, -4.8766615, -5.0653648, -5.2665767", \
+					  "-3.6099825, -3.9819998, -4.3399366, -4.6188397, -4.8465975, -5.0405912, -5.2654829", \
+					  "-3.6248275, -3.9983565, -4.3492869, -4.6278583, -4.8613506, -5.0546684, -5.2609470", \
+					  "-3.6228580, -3.9989973, -4.3504695, -4.6268298, -4.8675408, -5.0482122, -5.2494513", \
+					  "-3.6622729, -4.0056236, -4.3504535, -4.6436008, -4.8897483, -5.0550907, -5.2532647");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3675493, 4.7380733, 5.0905833, 5.3699949, 5.6011438, 5.7912189, 5.9789727", \
+					  "4.2960234, 4.6663023, 5.0200486, 5.2981515, 5.5290837, 5.7186045, 5.9159937", \
+					  "4.2778854, 4.6453577, 4.9989691, 5.2788052, 5.5047463, 5.7005110, 5.8914885", \
+					  "4.2628685, 4.6209523, 4.9665408, 5.2653147, 5.4795962, 5.6647090, 5.8809027", \
+					  "4.2407409, 4.6096574, 4.9604887, 5.2429637, 5.4836702, 5.6613041, 5.8610945", \
+					  "4.2478908, 4.6242310, 4.9752671, 5.2565195, 5.4858361, 5.6759387, 5.8669690", \
+					  "4.2518760, 4.6208742, 4.9733842, 5.2543221, 5.4839447, 5.6755461, 5.8681977");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8462764, -4.2152745, -4.5677846, -4.8487220, -5.0798714, -5.2684205, -5.4665945", \
+					  "-3.7681122, -4.1371104, -4.4911463, -4.7684565, -5.0002848, -5.1902568, -5.3896303", \
+					  "-3.7444103, -4.1143073, -4.4689703, -4.7631598, -4.9852360, -5.1674595, -5.3817748", \
+					  "-3.7298122, -4.0876326, -4.4414971, -4.7201587, -4.9740143, -5.1430231, -5.3536115", \
+					  "-3.7210072, -4.0916681, -4.4440235, -4.7232868, -4.9546995, -5.1401969, -5.3414226", \
+					  "-3.7207814, -4.0984586, -4.4439800, -4.7290635, -4.9629396, -5.1530844, -5.3423583", \
+					  "-3.7290786, -4.1105627, -4.4661276, -4.7424093, -4.9596204, -5.1451178, -5.3509212");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2720830, 0.6380295, 0.9768066, 1.2473487, 1.3953982, 1.7050198, 1.8174579", \
+					  "0.2019993, 0.5494317, 0.8896421, 1.1777855, 1.3399653, 1.5880335, 1.8079220", \
+					  "0.1704961, 0.5369092, 0.8735381, 1.0991705, 1.3687661, 1.6084157, 1.8010777", \
+					  "0.1649741, 0.5337643, 0.8562566, 1.1029461, 1.3702974, 1.4937496, 1.7357359", \
+					  "0.1545185, 0.5273348, 0.8646603, 1.1227897, 1.3346414, 1.5144785, 1.7707438", \
+					  "0.1554541, 0.5278019, 0.8801981, 1.1358989, 1.3564137, 1.5493232, 1.7411530", \
+					  "0.1609654, 0.5065442, 0.8658730, 1.1398537, 1.3762701, 1.5841557, 1.7493231");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1836148, -0.1588266, -0.4809566, -0.7596451, -0.9934133, -1.2005315, -1.2946923", \
+					  "0.2610599, -0.1078184, -0.4320134, -0.6591954, -0.9197647, -1.0850715, -1.2912272", \
+					  "0.2876439, -0.0795367, -0.4029519, -0.6433563, -0.8792589, -1.0814057, -1.2357858", \
+					  "0.2933466, -0.0851473, -0.3827353, -0.6518312, -0.8716086, -1.0402760, -1.2541323", \
+					  "0.2991153, -0.0897856, -0.3949435, -0.6557535, -0.8936137, -1.0802460, -1.2491815", \
+					  "0.2954040, -0.0658691, -0.3892431, -0.6627363, -0.8874948, -1.1056021, -1.2799950", \
+					  "0.2962029, -0.0607624, -0.3934282, -0.6600502, -0.9001204, -1.1033150, -1.2942177");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8844187, -4.2534169, -4.6059269, -4.8868644, -5.1164874, -5.3050366, -5.5047368", \
+					  "-3.8077459, -4.1752181, -4.5307799, -4.8105668, -5.0413409, -5.2298900, -5.4296458", \
+					  "-3.7732583, -4.1539876, -4.5018400, -4.7837207, -5.0109407, -5.2019453, -5.4097701", \
+					  "-3.7674008, -4.1434586, -4.4765078, -4.7628254, -5.0078796, -5.1755775, -5.3961286", \
+					  "-3.7574048, -4.1309837, -4.4852701, -4.7628778, -4.9940551, -5.1844473, -5.3994060", \
+					  "-3.7630860, -4.1366516, -4.4891150, -4.7612507, -5.0027975, -5.1884343, -5.3881346", \
+					  "-3.7611205, -4.1396244, -4.4918848, -4.7666184, -4.9962414, -5.1896818, -5.3875421");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4026399, 4.7731639, 5.1241480, 5.4035601, 5.6190841, 5.8263099, 6.0125374", \
+					  "4.3351223, 4.7041205, 5.0560443, 5.3360425, 5.5485152, 5.7559937, 5.9434984", \
+					  "4.3128423, 4.6803146, 5.0340899, 5.3137621, 5.5308125, 5.7336631, 5.9265817", \
+					  "4.2885658, 4.6559719, 5.0030915, 5.2895158, 5.5121061, 5.7089727, 5.9082044", \
+					  "4.2817752, 4.6476381, 5.0001748, 5.2819991, 5.4983309, 5.7071479, 5.8921461", \
+					  "4.2920665, 4.6535098, 5.0135130, 5.2959684, 5.5255949, 5.7051295, 5.9065298", \
+					  "4.2886031, 4.6576012, 5.0115310, 5.2895229, 5.5049417, 5.7107384, 5.9088150");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024904;
+			capacitance : 0.025508;
+			rise_capacitance : 0.025719;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.1240284, -4.0660792, -4.0621406, -4.0551986, -4.0389147, -4.0241638, -4.0205201", \
+					  "-4.4823458, -4.4366037, -4.4265442, -4.4455352, -4.4187655, -4.4023174, -4.4001995", \
+					  "-4.8424848, -4.7906392, -4.7841510, -4.7704509, -4.7615211, -4.7563533, -4.7511836", \
+					  "-5.1203710, -5.0700512, -5.0616100, -5.0656454, -5.0542430, -5.0357653, -5.0443282", \
+					  "-5.3515198, -5.3001365, -5.2881430, -5.2764341, -5.2720821, -5.2653883, -5.2586923", \
+					  "-5.5370172, -5.4897493, -5.4847870, -5.4910847, -5.4915518, -5.4554634, -5.4747075", \
+					  "-5.7382429, -5.6868516, -5.6794176, -5.6921492, -5.6664346, -5.6613600, -5.6744202");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.7018685, -2.5950108, -2.5984825, -2.6130908, -2.5507553, -2.6890201, -2.4976929", \
+					  "-3.0769702, -2.9518019, -2.9659550, -2.9836149, -3.0357203, -2.9664655, -3.0543743", \
+					  "-3.4325318, -4.8378201, -4.7649979, -4.7368817, -3.4019707, -3.3708553, -3.1581659", \
+					  "-3.7088920, -3.5934348, -3.6024543, -3.5255098, -3.5043730, -3.5011962, -3.4623679", \
+					  "-3.9400409, -3.8537149, -3.8167604, -3.7803691, -3.8286176, -3.8135030, -3.5664928", \
+					  "-4.1484267, -4.0049477, -4.0495600, -4.0001395, -3.9011831, -3.9158514, -3.7990346", \
+					  "-4.3221863, -4.1838881, -4.1975909, -4.1250716, -4.1054606, -4.1447052, -4.2782282");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5812148, 4.5095326, 4.4842146, 4.4727731, 4.4712592, 4.4737208, 4.4678308", \
+					  "4.9425835, 4.8754790, 4.8486351, 4.8371976, 4.8372056, 4.8411930, 4.8467042", \
+					  "5.2996685, 5.2279863, 5.2062397, 5.1925396, 5.1929557, 5.1906486, 5.1931084", \
+					  "5.5745011, 5.5104484, 5.4836049, 5.4721719, 5.4706491, 5.4746366, 5.4816736", \
+					  "5.7976573, 5.7259751, 5.7116958, 5.6944065, 5.6892276, 5.7027323, 5.7036663", \
+					  "5.9972501, 5.9255679, 5.8962660, 5.9084997, 5.8911305, 5.8882302, 5.8973833", \
+					  "6.1834802, 6.1163757, 6.0895318, 6.0867678, 6.0968881, 6.0820898, 6.0967527");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2531097, 4.1630368, 4.1037070, 4.0437878, 3.9912813, 3.9579738, 3.9055015", \
+					  "4.6190561, 4.5320350, 4.4515247, 4.4417774, 4.3705335, 4.3041666, 4.2744997", \
+					  "4.9730920, 4.8860705, 4.8163001, 4.7912357, 4.7259488, 4.6779299, 4.6277611", \
+					  "5.2540295, 5.1639566, 5.1016922, 5.0583083, 5.0052605, 4.9588936, 4.9079472", \
+					  "5.4836529, 5.3951135, 5.3253196, 5.2743306, 5.2257281, 5.1699900, 5.1446823", \
+					  "5.6691499, 5.5836547, 5.5214551, 5.4452165, 5.4250398, 5.3343412, 5.3322229", \
+					  "5.8627461, 5.7818286, 5.7028442, 5.6610537, 5.6307615, 5.5708489, 5.5123477");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006864;
+			capacitance : 0.006994;
+			rise_capacitance : 0.007125;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8914679, 3.8273902, 3.7906165, 3.7765731, 3.7782575, 3.7794459, 3.7712240", \
+					  "4.2589401, 4.1861726, 4.1656161, 4.1577251, 4.1472686, 4.1559536, 4.1496191", \
+					  "4.6129760, 4.5454354, 4.5180973, 4.4941689, 4.5017606, 4.5084485, 4.5064652", \
+					  "4.8923881, 4.8178602, 4.7930986, 4.7805846, 4.7791684, 4.7775262, 4.7812996", \
+					  "5.1235370, 5.0559963, 5.0249940, 4.9952938, 5.0103391, 5.0205465, 5.0109226", \
+					  "5.3120861, 5.2460714, 5.2105931, 5.1932328, 5.2019902, 5.2043053, 5.2058875", \
+					  "5.5102601, 5.4477612, 5.4194221, 5.4062322, 5.4140868, 5.4012895, 5.4037493");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5598239, 4.4617455, 4.3801219, 4.3156170, 4.2810513, 4.2316957, 4.1976891", \
+					  "4.9277216, 4.8307436, 4.7491200, 4.6874440, 4.6602036, 4.5991422, 4.5651565", \
+					  "5.2782803, 5.1832536, 5.1000642, 5.0369489, 5.0188172, 4.9515479, 4.9192519", \
+					  "5.5554413, 5.4588887, 5.3825675, 5.3165257, 5.2808534, 5.2277207, 5.1986249", \
+					  "5.7762682, 5.6797156, 5.6056726, 5.5394678, 5.5243790, 5.4585779, 5.4223957", \
+					  "5.9773904, 5.8838896, 5.8037919, 5.7451677, 5.7313499, 5.6521657, 5.6185783", \
+					  "6.1786157, 6.0731689, 6.0068118, 5.9372381, 5.8766892, 5.8418673, 5.7991938");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425091, -3.6843133, -3.6388878, -3.6099825, -3.6248275, -3.6228580, -3.6622729", \
+					  "-4.1130332, -4.0378636, -4.0109380, -3.9819998, -3.9983565, -3.9989973, -4.0056236", \
+					  "-4.4670691, -4.4072472, -4.3709305, -4.3399366, -4.3492869, -4.3504695, -4.3504535", \
+					  "-4.7464812, -4.6713111, -4.6470252, -4.6188397, -4.6278583, -4.6268298, -4.6436008", \
+					  "-4.9761042, -4.9125021, -4.8766615, -4.8465975, -4.8613506, -4.8675408, -4.8897483", \
+					  "-5.1661792, -5.0894832, -5.0653648, -5.0405912, -5.0546684, -5.0482122, -5.0550907", \
+					  "-5.3643531, -5.2996569, -5.2665767, -5.2654829, -5.2609470, -5.2494513, -5.2532647");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9561534, -3.8550231, -3.7657701, -3.7319065, -3.6676985, -3.6255054, -3.5940983", \
+					  "-4.3266774, -4.2203323, -4.1515047, -4.1193681, -4.0092309, -4.0128431, -3.9559460", \
+					  "-4.6791874, -4.5780572, -4.5056400, -4.4454389, -4.3756485, -4.2890138, -4.2973955", \
+					  "-4.9548225, -4.8537762, -4.7827286, -4.7076500, -4.6573525, -4.6369173, -4.5929649", \
+					  "-5.1725981, -5.0604149, -4.9821660, -4.9373232, -4.8690502, -4.8568658, -4.7831665", \
+					  "-5.3758333, -5.2747031, -5.2037358, -5.1386177, -5.1043100, -5.0434134, -5.0289281", \
+					  "-5.5675772, -5.4585072, -5.4058089, -5.3261992, -5.2223720, -5.2349420, -5.1879129");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0436976, 0.0440521, 0.0444065, 0.0466783, 0.0488592, 0.0511309, 0.0534027");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0562448, 0.0571744, 0.0581041, 0.0609544, 0.0636906, 0.0665409, 0.0693911");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.016651;
+			capacitance : 0.015992;
+			fall_capacitance : 0.015332;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0129921, -0.0032698, 0.0064525, 0.0196255, 0.0322715, 0.0454445, 0.0586175");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502384, 0.1215432, 0.1928479, 0.5537611, 0.9002378, 1.2611510, 1.6220642");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_VDDIO";
+                                timing_type : non_seq_hold_rising;
+                                rise_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.032686;
+			capacitance : 0.032734;
+			fall_capacitance : 0.032783;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0048746, -0.0047377, -0.0046008, -0.0048187, -0.0050278, -0.0052456, -0.0054635");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0605453, 0.0821747, 0.1038042, 0.1037234, 0.1036458, 0.1035649, 0.1034841");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007341;
+			capacitance : 0.007221;
+			fall_capacitance : 0.007101;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2720830, 0.2019993, 0.1704961, 0.1649741, 0.1545185, 0.1554541, 0.1609654", \
+					  "0.6380295, 0.5494317, 0.5369092, 0.5337643, 0.5273348, 0.5278019, 0.5065442", \
+					  "0.9768066, 0.8896421, 0.8735381, 0.8562566, 0.8646603, 0.8801981, 0.8658730", \
+					  "1.2473487, 1.1777855, 1.0991705, 1.1029461, 1.1227897, 1.1358989, 1.1398537", \
+					  "1.3953982, 1.3399653, 1.3687661, 1.3702974, 1.3346414, 1.3564137, 1.3762701", \
+					  "1.7050198, 1.5880335, 1.6084157, 1.4937496, 1.5144785, 1.5493232, 1.5841557", \
+					  "1.8174579, 1.8079220, 1.8010777, 1.7357359, 1.7707438, 1.7411530, 1.7493231");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0377211, -0.1496663, -0.2090814, -0.2698854, -0.3154522, -0.3694483, -0.4097134", \
+					  "0.2948886, 0.2162591, 0.1517124, 0.0907431, 0.0352112, -0.0135656, -0.0445559", \
+					  "0.6467208, 0.5472467, 0.4858273, 0.4289027, 0.3894554, 0.3266388, 0.2653462", \
+					  "0.9132024, 0.8042338, 0.7117474, 0.6943354, 0.6354287, 0.5875362, 0.5411676", \
+					  "1.1576518, 0.9753629, 0.9506361, 0.9019520, 0.8355844, 0.8441925, 0.7840911", \
+					  "1.2844706, 1.2157261, 1.1480162, 1.0704426, 1.0741809, 1.0529980, 0.9713728", \
+					  "1.5341016, 1.4192393, 1.3406663, 1.2988270, 1.2351776, 1.1762926, 1.1288452");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1836148, 0.2610599, 0.2876439, 0.2933466, 0.2991153, 0.2954040, 0.2962029", \
+					  "-0.1588266, -0.1078184, -0.0795367, -0.0851473, -0.0897856, -0.0658691, -0.0607624", \
+					  "-0.4809566, -0.4320134, -0.4029519, -0.3827353, -0.3949435, -0.3892431, -0.3934282", \
+					  "-0.7596451, -0.6591954, -0.6433563, -0.6518312, -0.6557535, -0.6627363, -0.6600502", \
+					  "-0.9934133, -0.9197647, -0.8792589, -0.8716086, -0.8936137, -0.8874948, -0.9001204", \
+					  "-1.2005315, -1.0850715, -1.0814057, -1.0402760, -1.0802460, -1.1056021, -1.1033150", \
+					  "-1.2946923, -1.2912272, -1.2357858, -1.2541323, -1.2491815, -1.2799950, -1.2942177");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1378257, 0.2308363, 0.2634359, 0.3623851, 0.4064274, 1.1088712, 0.4959813", \
+					  "-0.2039615, -0.1301018, -0.0621125, 0.0001445, 0.0261306, 0.0860931, 0.1280211", \
+					  "0.0203646, -0.4518331, -0.3934254, -0.3348759, -0.2713050, -0.2155006, -0.1620983", \
+					  "-0.1462630, -0.0314007, -0.6160118, -0.5961080, -0.5482225, -0.4370518, -0.0031100", \
+					  "-1.0559956, -0.1949785, -0.8505058, -0.8094180, -0.7540228, -0.0436838, -0.0629280", \
+					  "-1.2509067, -1.1049142, -1.0436196, -0.9797569, -0.9782729, -0.9420251, -0.8163108", \
+					  "-1.3671209, -1.3186991, -1.2148925, -1.1949321, -1.1564227, -1.1390713, -1.0195595");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0437929, 0.0441347, 0.0444766, 0.0467514, 0.0489353, 0.0512101, 0.0534849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0558193, 0.0567306, 0.0576420, 0.0605417, 0.0633255, 0.0662252, 0.0691249");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.015619;
+			capacitance : 0.015566;
+			fall_capacitance : 0.015514;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8844187, -3.8077459, -3.7732583, -3.7674008, -3.7574048, -3.7630860, -3.7611205", \
+					  "-4.2534169, -4.1752181, -4.1539876, -4.1434586, -4.1309837, -4.1366516, -4.1396244", \
+					  "-4.6059269, -4.5307799, -4.5018400, -4.4765078, -4.4852701, -4.4891150, -4.4918848", \
+					  "-4.8868644, -4.8105668, -4.7837207, -4.7628254, -4.7628778, -4.7612507, -4.7666184", \
+					  "-5.1164874, -5.0413409, -5.0109407, -5.0078796, -4.9940551, -5.0027975, -4.9962414", \
+					  "-5.3050366, -5.2298900, -5.2019453, -5.1755775, -5.1844473, -5.1884343, -5.1896818", \
+					  "-5.5047368, -5.4296458, -5.4097701, -5.3961286, -5.3994060, -5.3881346, -5.3875421");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8172995, -3.7258927, -3.6436793, -3.5438769, -3.5395249, -3.4679212, -3.4731166", \
+					  "-4.1862977, -4.0485630, -4.0157293, -3.9230043, -3.8852088, -3.8294036, -3.7743681", \
+					  "-4.5388077, -4.4148238, -4.3913412, -4.2714887, -4.2793437, -4.1909842, -4.0732277", \
+					  "-4.8197452, -4.6820105, -4.6510118, -4.5478485, -4.5633330, -4.4687011, -4.4130665", \
+					  "-5.0493687, -4.9366690, -4.8848753, -4.7683166, -4.7451178, -4.7057284, -4.6579498", \
+					  "-5.2394433, -5.1001827, -5.0902453, -4.9926314, -4.9710944, -4.8928441, -4.8216313", \
+					  "-5.4376172, -5.3103377, -5.2845068, -5.1846733, -5.1771953, -5.1165771, -5.0765651");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4026399, 4.3351223, 4.3128423, 4.2885658, 4.2817752, 4.2920665, 4.2886031", \
+					  "4.7731639, 4.7041205, 4.6803146, 4.6559719, 4.6476381, 4.6535098, 4.6576012", \
+					  "5.1241480, 5.0560443, 5.0340899, 5.0030915, 5.0001748, 5.0135130, 5.0115310", \
+					  "5.4035601, 5.3360425, 5.3137621, 5.2895158, 5.2819991, 5.2959684, 5.2895229", \
+					  "5.6190841, 5.5485152, 5.5308125, 5.5121061, 5.4983309, 5.5255949, 5.5049417", \
+					  "5.8263099, 5.7559937, 5.7336631, 5.7089727, 5.7071479, 5.7051295, 5.7107384", \
+					  "6.0125374, 5.9434984, 5.9265817, 5.9082044, 5.8921461, 5.9065298, 5.9088150");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9647324, 3.8849961, 3.8246537, 3.7493686, 3.6581820, 3.6324848, 3.5981636", \
+					  "4.3322046, 4.2510154, 4.1845201, 4.1316344, 4.0468005, 4.0366038, 3.9641941", \
+					  "4.6847147, 4.6064888, 4.5339544, 4.4814821, 4.4009918, 4.3850122, 4.3042683", \
+					  "4.9656522, 4.8859008, 4.8087887, 4.7533788, 4.6895135, 4.6657689, 4.6052747", \
+					  "5.1952756, 5.1170502, 5.0323082, 4.9947458, 4.9007161, 4.8879188, 4.8289770", \
+					  "5.3853507, 5.3071252, 5.2453067, 5.1821186, 5.1189464, 5.0745482, 5.0471696", \
+					  "5.5819987, 5.5024588, 5.4415763, 5.3772407, 5.3072758, 5.2727434, 5.2058374");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439332, 0.0442934, 0.0446536, 0.0469150, 0.0490860, 0.0513475, 0.0536089");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560707, 0.0569970, 0.0579232, 0.0608470, 0.0636539, 0.0665777, 0.0695015");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.952830;
+			max_transition : 1.502810;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02408481, 0.05800782, 0.1397108, 0.3364908, 0.8104318, 1.95191");
+					values("0.6565386, 0.6632877, 0.6762605, 0.6976586, 0.7302754, 0.7770706, 1.0409308", \
+					  "-0.4152775, -0.4149440, -0.4140769, -0.4131320, -0.4149490, -0.4884592, -0.2353234", \
+					  "0.0265708, 0.0270528, 0.0277205, 0.0284063, 0.0283543, 0.0339700, -0.0805868", \
+					  "0.1463769, 0.1468993, 0.1476573, 0.1488537, 0.1482345, 0.1533771, 0.1409141", \
+					  "0.2431756, 0.2480231, 0.2460811, 0.2455515, 0.2472452, 0.2513776, 0.2432366", \
+					  "0.3348232, 0.3397672, 0.3389735, 0.3346744, 0.3292157, 0.3423826, 0.3336642", \
+					  "0.4151518, 0.4281714, 0.4321531, 0.4160322, 0.4157161, 0.4251930, 0.4270737");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02408481, 0.05800782, 0.1397108, 0.3364908, 0.8104318, 1.95191");
+					values("0.8105819, 0.8230205, 0.8483349, 0.8862828, 0.9321357, 0.9962606, 1.1991105", \
+					  "2.2741978, 2.2746078, 2.2754129, 2.2774846, 2.2796077, 2.2823106, 2.5602916", \
+					  "2.5216322, 2.5210938, 2.5220349, 2.5240077, 2.5263316, 2.5474477, 2.5288259", \
+					  "2.5486139, 2.5506632, 2.5469585, 2.5486566, 2.5510405, 2.5677615, 2.5539629", \
+					  "2.5841360, 2.5849128, 2.5672060, 2.5713015, 2.5712583, 2.5960024, 2.5757129", \
+					  "2.6037659, 2.6045722, 2.5903431, 2.5899140, 2.5927470, 2.6077426, 2.5945311", \
+					  "2.6218481, 2.6218971, 2.6091722, 2.6118660, 2.6067917, 2.6343626, 2.6150135");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.7207441, 0.7251936, 0.7336357, 0.7476082, 0.8121474, 1.0039970, 1.0018547", \
+					  "-0.2234712, -0.2214775, -0.2148231, -0.2010080, -0.1387851, 0.0571690, 0.0563237", \
+					  "0.0513948, 0.0520677, 0.0526997, 0.0492903, 0.0799483, 0.2383664, 0.2287915", \
+					  "0.4991155, 0.4994501, 0.5010274, 0.4970123, 0.5022494, 0.4982294, 0.3984241", \
+					  "0.6553035, 0.6573862, 0.6615267, 0.6558666, 0.6443666, 0.6507901, 0.6561393", \
+					  "0.8039672, 0.8032606, 0.8111888, 0.7961723, 0.7941565, 0.7963678, 0.8084419", \
+					  "0.9462438, 0.9443600, 0.9473601, 0.9387852, 0.9377854, 0.9409343, 0.9447016");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.9947591, 1.0039557, 1.0205132, 1.0465180, 1.0942695, 1.1938928, 1.2419132", \
+					  "2.0502777, 2.0597640, 2.0765026, 2.1024770, 2.1501269, 2.2493825, 2.2992797", \
+					  "1.9819727, 1.9890396, 2.0102004, 2.0454391, 2.1121251, 2.2391947, 2.2890244", \
+					  "2.1984968, 2.1876802, 2.1886440, 2.1912353, 2.1922728, 2.2048346, 2.2740690", \
+					  "2.2163050, 2.2086313, 2.2098341, 2.2117328, 2.2169345, 2.2258092, 2.2169170", \
+					  "2.2191552, 2.2116788, 2.2110317, 2.2153084, 2.2288380, 2.2274968, 2.2194718", \
+					  "2.2267286, 2.2143255, 2.2170117, 2.2187148, 2.2316701, 2.2349184, 2.2220335");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.6649091, 0.6698449, 0.6767540, 0.6917969, 0.7576758, 0.9468533, 0.9443985", \
+					  "-0.4427062, -0.4391780, -0.4311974, -0.4178756, -0.3562995, -0.1632156, -0.1658094", \
+					  "-0.1717638, -0.1708640, -0.1703772, -0.1694078, -0.1697549, -0.1240701, -0.1560835", \
+					  "-0.0411877, -0.0403623, -0.0405195, -0.0394967, -0.0393270, -0.0373772, -0.0846771", \
+					  "-0.0304211, -0.0311498, -0.0300269, -0.0300248, -0.0300223, -0.0263532, -0.0260888", \
+					  "-0.0199840, -0.0212747, -0.0189145, -0.0272722, -0.0176137, -0.0185488, -0.0223810", \
+					  "-0.0085231, -0.0045981, -0.0092479, -0.0178624, -0.0145924, -0.0079637, -0.0086319");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.9162351, 0.9256332, 0.9428332, 0.9726470, 1.0251191, 1.1343622, 1.1942657", \
+					  "1.9831216, 1.9905642, 2.0069621, 2.0358520, 2.0880309, 2.1980247, 2.2551826", \
+					  "1.9705210, 1.9799269, 1.9979086, 2.0261607, 2.0801770, 2.1909213, 2.2530840", \
+					  "1.9107855, 1.9082283, 1.9263408, 1.9621013, 2.0268396, 2.1704958, 2.2612062", \
+					  "2.1470883, 2.1451280, 2.1539691, 2.1488141, 2.1510708, 2.1547664, 2.2260709", \
+					  "2.1786485, 2.1786789, 2.1866465, 2.1799622, 2.1825792, 2.1873176, 2.1934908", \
+					  "2.1939375, 2.1866638, 2.1961658, 2.1889907, 2.1879640, 2.1911026, 2.2034687");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.8044881, 1.8077184, 1.8136149, 1.8235814, 1.8315455, 1.8367405, 1.8091010", \
+					  "1.8022241, 1.8035052, 1.8126207, 1.8217855, 1.8296045, 1.8323708, 1.8103792", \
+					  "1.8042003, 1.8078590, 1.8135639, 1.8237781, 1.8322204, 1.8357462, 1.8098123", \
+					  "1.8044527, 1.8072992, 1.8130047, 1.8233682, 1.8375569, 1.8330791, 1.8127404", \
+					  "1.8026904, 1.8053271, 1.8135742, 1.8237048, 1.8272408, 1.8356640, 1.8126804", \
+					  "1.8128759, 1.8070340, 1.8191517, 1.8207136, 1.8311384, 1.8288186, 1.8093184", \
+					  "1.8034565, 1.8038685, 1.8136916, 1.8249204, 1.8303536, 1.8349692, 1.8129094");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.8522728, 0.8604285, 0.8725918, 0.8900239, 0.9093610, 0.9530949, 1.1559007", \
+					  "0.8515991, 0.8591016, 0.8720112, 0.8895579, 0.9088470, 0.9519505, 1.1561422", \
+					  "0.8520783, 0.8593334, 0.8719600, 0.8897937, 0.9091641, 0.9528549, 1.1565022", \
+					  "0.8504596, 0.8585703, 0.8703945, 0.8889271, 0.9078251, 0.9506526, 1.1558251", \
+					  "0.8459851, 0.8587493, 0.8716500, 0.8890148, 0.9083913, 0.9508282, 1.1556248", \
+					  "0.8505420, 0.8586139, 0.8715154, 0.8890609, 0.9079486, 0.9510385, 1.1556366", \
+					  "0.8479945, 0.8581749, 0.8710800, 0.8887692, 0.9076915, 0.9505306, 1.1550366");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.2376766, 1.2464376, 1.2663323, 1.3092584, 1.4081588, 1.6426017, 2.2036553", \
+					  "2.7997922, 2.8083871, 2.8279727, 2.8712179, 2.9702782, 3.2047229, 3.7654035", \
+					  "3.9413988, 3.9501700, 3.9696952, 4.0129371, 4.1119623, 4.3461714, 4.9065036", \
+					  "4.1900305, 4.1988093, 4.2183306, 4.2615751, 4.3605950, 4.5948036, 5.1559899", \
+					  "5.6034004, 5.6123017, 5.6320490, 5.6745906, 5.7728298, 6.0122245, 6.5787975", \
+					  "6.6956778, 6.7121833, 6.7322050, 6.7620984, 6.8736896, 7.0991364, 7.6711766", \
+					  "7.5245854, 7.5396986, 7.5661086, 7.5968991, 7.7035818, 7.9285831, 8.5034051", \
+					  "8.6659000, 8.6751504, 8.6796703, 8.7372332, 8.8202823, 9.0708792, 9.6168238", \
+					  "22.7518840, 22.8471870, 22.8718220, 22.9155150, 23.0149380, 23.2482640, 23.7569700", \
+					  "31.3848040, 31.4104690, 31.4297030, 31.4885150, 31.5584890, 31.7894790, 32.3804880", \
+					  "67.3434220, 67.3434258, 67.3874610, 67.3874627, 67.5303300, 67.7482820, 68.1943460", \
+					  "197.8925800, 198.0552800, 198.0552903, 198.0553055, 198.1798500, 198.2886200, 199.0073200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0595261, 0.0691552, 0.0931858, 0.1512084, 0.2951292, 0.6479525, 1.5019672", \
+					  "0.0595446, 0.0692652, 0.0929977, 0.1509996, 0.2947793, 0.6472062, 1.4992642", \
+					  "0.0594887, 0.0692797, 0.0928614, 0.1506055, 0.2953582, 0.6481216, 1.4996039", \
+					  "0.0594881, 0.0692792, 0.0928605, 0.1506033, 0.2953587, 0.6481205, 1.5025262", \
+					  "0.0594374, 0.0692854, 0.0927583, 0.1506621, 0.2953140, 0.6477370, 1.5018151", \
+					  "0.0593914, 0.0692277, 0.0927570, 0.1508098, 0.2945242, 0.6487048, 1.4997427", \
+					  "0.0594259, 0.0692898, 0.0929370, 0.1508102, 0.2953514, 0.6487009, 1.4998157", \
+					  "0.0595260, 0.0693014, 0.0929519, 0.1509009, 0.2945471, 0.6468353, 1.5002023", \
+					  "0.0594758, 0.0692710, 0.0929159, 0.1506329, 0.2953578, 0.6481573, 1.4999569", \
+					  "0.0595473, 0.0692490, 0.0929289, 0.1508736, 0.2949793, 0.6481607, 1.5000978", \
+					  "0.0595239, 0.0692941, 0.0927291, 0.1510658, 0.2948067, 0.6476332, 1.5000833", \
+					  "0.0594364, 0.0691837, 0.0929078, 0.1507783, 0.2952622, 0.6472736, 1.4987177");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.0342427, 1.0473405, 1.0756612, 1.1314117, 1.2391510, 1.4603365, 1.9598940", \
+					  "2.2565987, 2.2698761, 2.2980398, 2.3539282, 2.4614943, 2.6857085, 3.1823988", \
+					  "2.9425542, 2.9530467, 2.9812064, 3.0370029, 3.1450318, 3.3671701, 3.8657193", \
+					  "3.0775382, 3.0928113, 3.1185532, 3.1744056, 3.2823921, 3.5052463, 4.0029500", \
+					  "3.8198649, 3.8329389, 3.8641117, 3.9199071, 4.0279531, 4.2475685, 4.7486379", \
+					  "4.3715107, 4.3855253, 4.4130779, 4.4680784, 4.5782702, 4.7999249, 5.2963003", \
+					  "4.7653934, 4.7763424, 4.8041446, 4.8604050, 4.9715259, 5.1860396, 5.6907794", \
+					  "5.2914699, 5.3049543, 5.3207949, 5.3767701, 5.4898019, 5.7151701, 6.2131518", \
+					  "11.3170050, 11.3423970, 11.3595910, 11.4156740, 11.5301480, 11.7546870, 12.2548670", \
+					  "14.7805830, 14.7886090, 14.8142710, 14.8694190, 14.9826430, 15.2055930, 15.7045080", \
+					  "28.5774800, 28.5943960, 28.6256740, 28.6541070, 28.7803790, 29.0080670, 29.5010430", \
+					  "75.8928780, 75.9047510, 75.9329240, 75.9837350, 76.0964410, 76.3141020, 76.8170950");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0830057, 0.0937198, 0.1165247, 0.1643574, 0.2732460, 0.5393441, 1.2076593", \
+					  "0.0830176, 0.0938065, 0.1167420, 0.1650882, 0.2742628, 0.5402511, 1.2066522", \
+					  "0.0829990, 0.0938752, 0.1171683, 0.1660277, 0.2751302, 0.5407521, 1.2064428", \
+					  "0.0830959, 0.0938134, 0.1167414, 0.1653324, 0.2751350, 0.5410021, 1.2061541", \
+					  "0.0830396, 0.0937364, 0.1171778, 0.1660035, 0.2751187, 0.5402722, 1.2063416", \
+					  "0.0830940, 0.0938868, 0.1171761, 0.1662750, 0.2751717, 0.5407540, 1.2065308", \
+					  "0.0830013, 0.0938285, 0.1171825, 0.1660638, 0.2754057, 0.5404118, 1.2071810", \
+					  "0.0829444, 0.0939500, 0.1167490, 0.1662278, 0.2752089, 0.5408132, 1.2069177", \
+					  "0.0830782, 0.0937871, 0.1167640, 0.1653634, 0.2751575, 0.5410737, 1.2069065", \
+					  "0.0832106, 0.0938421, 0.1171187, 0.1661928, 0.2752351, 0.5397879, 1.2077596", \
+					  "0.0829898, 0.0939342, 0.1172243, 0.1661035, 0.2749476, 0.5406733, 1.2062106", \
+					  "0.0831383, 0.0939208, 0.1166697, 0.1663876, 0.2750814, 0.5409972, 1.2074511");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.8777608, 3.8865458, 3.9050887, 3.9481689, 4.0477088, 4.2817621, 4.8430957", \
+					  "5.9580310, 5.9667338, 5.9859948, 6.0289214, 6.1272893, 6.3617267, 6.9223880", \
+					  "7.5206774, 7.5293789, 7.5488238, 7.5917139, 7.6902236, 7.9237337, 8.4855234", \
+					  "7.8683691, 7.8769586, 7.8964275, 7.9393239, 8.0377758, 8.2716305, 8.8332968", \
+					  "9.8900523, 9.8910560, 9.9180975, 9.9610897, 10.0597540, 10.2940960, 10.8540760", \
+					  "11.5232090, 11.5275110, 11.5538390, 11.5870370, 11.6953120, 11.9282970, 12.4901290", \
+					  "12.7472080, 12.7537930, 12.7722840, 12.8085650, 12.9149450, 13.1472090, 13.7087630", \
+					  "14.4611040, 14.4919090, 14.5048810, 14.5367250, 14.6386890, 14.8804990, 15.4210390", \
+					  "41.8950170, 42.1024740, 42.1024762, 42.2090170, 42.3081270, 42.3758380, 42.9552390", \
+					  "60.8303520, 60.8303547, 60.8303586, 60.8303624, 60.9873750, 61.1181860, 61.7887040", \
+					  "131.9677200, 131.9677201, 131.9677353, 131.9677506, 131.9677659, 131.9677811, 132.8715100", \
+					  "373.9731700, 373.9731904, 374.1099000, 374.4181900, 374.4181977, 374.4182282, 374.4182587");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0586564, 0.0685417, 0.0922407, 0.1505432, 0.2948849, 0.6490233, 1.4991880", \
+					  "0.0586755, 0.0685177, 0.0923453, 0.1505394, 0.2945482, 0.6480432, 1.4996244", \
+					  "0.0587899, 0.0685393, 0.0920460, 0.1503814, 0.2936801, 0.6474848, 1.4998801", \
+					  "0.0587887, 0.0685504, 0.0920617, 0.1503999, 0.2935758, 0.6469868, 1.4999707", \
+					  "0.0587879, 0.0685289, 0.0920513, 0.1500708, 0.2945633, 0.6478616, 1.4997192", \
+					  "0.0587876, 0.0685290, 0.0920828, 0.1500593, 0.2940714, 0.6483672, 1.4995362", \
+					  "0.0587886, 0.0685272, 0.0920466, 0.1503927, 0.2947381, 0.6474492, 1.4989122", \
+					  "0.0587875, 0.0684295, 0.0920551, 0.1500749, 0.2942049, 0.6478885, 1.4996284", \
+					  "0.0587867, 0.0685273, 0.0920614, 0.1503125, 0.2940516, 0.6479473, 1.4996996", \
+					  "0.0587821, 0.0685279, 0.0920788, 0.1502764, 0.2941172, 0.6479824, 1.4996102", \
+					  "0.0587903, 0.0685291, 0.0921745, 0.1503964, 0.2935518, 0.6470267, 1.4995314", \
+					  "0.0587825, 0.0685275, 0.0920829, 0.1502954, 0.2940927, 0.6479433, 1.4996036");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.6677192, 3.6813164, 3.7065613, 3.7606256, 3.8660808, 4.0822008, 4.5822528", \
+					  "5.8817338, 5.8942473, 5.9209655, 5.9743490, 6.0788765, 6.2962275, 6.7949832", \
+					  "7.9896605, 8.0096560, 8.0364098, 8.0841787, 8.1943448, 8.4118676, 8.9104413", \
+					  "8.4283265, 8.4459302, 8.4750820, 8.5276826, 8.6304137, 8.8492724, 9.3478461", \
+					  "10.9191460, 10.9191461, 10.9191471, 11.0158700, 11.0867700, 11.3043100, 11.8106760", \
+					  "12.8074960, 12.8074966, 12.8074976, 12.9047210, 12.9619600, 13.1794560, 13.6472520", \
+					  "14.1263600, 14.1263605, 14.1839060, 14.2152190, 14.2930690, 14.5177090, 14.9929220", \
+					  "15.8898000, 15.9225680, 15.9410330, 15.9803690, 16.0636910, 16.2804470, 16.8548820", \
+					  "36.0794740, 36.1024600, 36.1505670, 36.1973530, 36.2684420, 36.5042930, 36.9822820", \
+					  "47.0986980, 47.1089120, 47.1089154, 47.1818740, 47.2977780, 47.4888430, 47.9838280", \
+					  "89.1245410, 89.1453810, 89.1967960, 89.1967965, 89.3133820, 89.5505110, 90.0051940", \
+					  "226.1477800, 226.1849800, 226.2191600, 226.2191697, 226.2810700, 226.5020400, 227.0651600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0779870, 0.0883728, 0.1104328, 0.1581635, 0.2671809, 0.5352318, 1.2047656", \
+					  "0.0777748, 0.0883135, 0.1106712, 0.1593861, 0.2688818, 0.5354913, 1.2050152", \
+					  "0.0780286, 0.0884552, 0.1105423, 0.1591865, 0.2683390, 0.5354090, 1.2044578", \
+					  "0.0781908, 0.0884691, 0.1110248, 0.1591880, 0.2685683, 0.5359649, 1.2051212", \
+					  "0.0780829, 0.0884284, 0.1111291, 0.1592192, 0.2685875, 0.5351974, 1.2051005", \
+					  "0.0780605, 0.0884589, 0.1108152, 0.1588559, 0.2684974, 0.5350594, 1.2045459", \
+					  "0.0780389, 0.0882860, 0.1110252, 0.1591562, 0.2683175, 0.5348316, 1.2050259", \
+					  "0.0780424, 0.0884536, 0.1111311, 0.1593655, 0.2686660, 0.5354912, 1.2048711", \
+					  "0.0780429, 0.0883104, 0.1110972, 0.1591830, 0.2686361, 0.5357163, 1.2051085", \
+					  "0.0780437, 0.0885501, 0.1110958, 0.1592031, 0.2682674, 0.5357561, 1.2044095", \
+					  "0.0780349, 0.0883651, 0.1108080, 0.1591349, 0.2684128, 0.5358039, 1.2046968", \
+					  "0.0781984, 0.0885195, 0.1110273, 0.1591537, 0.2682774, 0.5350751, 1.2051412");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.8502597, 3.8584420, 3.8777186, 3.9208659, 4.0196801, 4.2533417, 4.8141544", \
+					  "5.6192988, 5.6279172, 5.6473284, 5.6903557, 5.7889298, 6.0225238, 6.5832766", \
+					  "6.6595094, 6.6683068, 6.6875310, 6.7321881, 6.8290968, 7.0629134, 7.6235249", \
+					  "6.8685586, 6.8769621, 6.8965407, 6.9396484, 7.0383663, 7.2721789, 7.8334770", \
+					  "7.9540028, 7.9626155, 7.9820319, 8.0255953, 8.1236537, 8.3577616, 8.9179579", \
+					  "8.7229610, 8.7308862, 8.7509475, 8.7940979, 8.8925027, 9.1261256, 9.6871311", \
+					  "9.2587555, 9.2587562, 9.2858263, 9.3240615, 9.4282582, 9.6601847, 10.2229090", \
+					  "9.9330260, 9.9435935, 9.9643254, 10.0173200, 10.1024320, 10.3475210, 10.9016700", \
+					  "18.2315640, 18.2567380, 18.2629800, 18.3148750, 18.3666880, 18.6440730, 19.1947520", \
+					  "25.2898950, 25.3221120, 25.3462340, 25.3732200, 25.4569330, 25.7168810, 26.2353840", \
+					  "54.5172190, 54.5172215, 54.5204130, 54.5204144, 54.6740290, 54.9049800, 55.4632890", \
+					  "133.8330400, 133.8475500, 133.9712400, 134.1354100, 134.3065900, 134.3776900, 134.8075100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0588048, 0.0683362, 0.0920205, 0.1507214, 0.2948761, 0.6473377, 1.4996878", \
+					  "0.0587855, 0.0685284, 0.0920612, 0.1500431, 0.2949871, 0.6476373, 1.4998580", \
+					  "0.0587835, 0.0685271, 0.0920831, 0.1502720, 0.2941912, 0.6479837, 1.4996239", \
+					  "0.0585990, 0.0685065, 0.0922045, 0.1503620, 0.2947696, 0.6471802, 1.4998391", \
+					  "0.0587875, 0.0685471, 0.0922227, 0.1502887, 0.2945569, 0.6479200, 1.4985330", \
+					  "0.0587838, 0.0685273, 0.0920655, 0.1502875, 0.2940696, 0.6479643, 1.4995838", \
+					  "0.0587882, 0.0685324, 0.0920521, 0.1500584, 0.2938684, 0.6475410, 1.4994386", \
+					  "0.0587852, 0.0685358, 0.0920496, 0.1503657, 0.2935889, 0.6478951, 1.4999705", \
+					  "0.0587873, 0.0685292, 0.0920703, 0.1502854, 0.2940617, 0.6470683, 1.4995096", \
+					  "0.0587869, 0.0685422, 0.0920615, 0.1504005, 0.2941349, 0.6477949, 1.4998305", \
+					  "0.0587947, 0.0685508, 0.0920530, 0.1503988, 0.2939900, 0.6479585, 1.4990426", \
+					  "0.0587877, 0.0685512, 0.0920805, 0.1502899, 0.2935566, 0.6466814, 1.4999725");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("5.2856488, 5.2980118, 5.3238915, 5.3799258, 5.4823905, 5.6998926, 6.1988450", \
+					  "7.1637998, 7.1763364, 7.2029773, 7.2564013, 7.3609434, 7.5785920, 8.0809066", \
+					  "9.6321493, 9.6446469, 9.6713739, 9.7246753, 9.8291784, 10.0467440, 10.5379180", \
+					  "10.1556560, 10.1681830, 10.1949950, 10.2479160, 10.3528990, 10.5700950, 11.0865990", \
+					  "13.0438300, 13.0773670, 13.1041160, 13.1574510, 13.2619110, 13.4734230, 13.9459930", \
+					  "15.2677440, 15.2908420, 15.3236430, 15.3709560, 15.4754450, 15.6822820, 16.1846750", \
+					  "16.9429570, 16.9429579, 16.9429598, 16.9606050, 17.0652100, 17.3588410, 17.7797310", \
+					  "19.0775860, 19.0775862, 19.0775881, 19.0825280, 19.1903790, 19.4910770, 19.9067780", \
+					  "43.4965700, 43.5184550, 43.5600750, 43.5600758, 43.7159710, 43.9071960, 44.4308310", \
+					  "56.9965090, 57.0292010, 57.0802750, 57.1080290, 57.2271400, 57.4114130, 57.9468380", \
+					  "109.3146900, 109.3146935, 109.3147011, 109.3690300, 109.4607700, 109.7186400, 110.1375800", \
+					  "277.4495200, 277.4495392, 277.4682500, 277.5208300, 277.6324700, 277.8223500, 278.3346000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0780773, 0.0882459, 0.1103620, 0.1582055, 0.2669369, 0.5341855, 1.2049026", \
+					  "0.0780432, 0.0884590, 0.1110958, 0.1592015, 0.2682924, 0.5357933, 1.2051059", \
+					  "0.0780432, 0.0884658, 0.1110977, 0.1595951, 0.2685807, 0.5357715, 1.2050080", \
+					  "0.0782753, 0.0886862, 0.1111291, 0.1595312, 0.2682382, 0.5357090, 1.2050855", \
+					  "0.0780354, 0.0884643, 0.1110972, 0.1594442, 0.2685046, 0.5353934, 1.2049950", \
+					  "0.0780430, 0.0882923, 0.1110977, 0.1593472, 0.2681994, 0.5357882, 1.2045562", \
+					  "0.0781909, 0.0884316, 0.1110943, 0.1591550, 0.2686593, 0.5352875, 1.2050403", \
+					  "0.0780438, 0.0884831, 0.1108113, 0.1588648, 0.2683438, 0.5353828, 1.2050935", \
+					  "0.0780431, 0.0884711, 0.1111320, 0.1589062, 0.2685973, 0.5356602, 1.2047912", \
+					  "0.0780397, 0.0884703, 0.1111200, 0.1591973, 0.2688563, 0.5354912, 1.2045849", \
+					  "0.0778796, 0.0884220, 0.1108142, 0.1588883, 0.2682600, 0.5355197, 1.2051480", \
+					  "0.0780421, 0.0884612, 0.1110258, 0.1596029, 0.2686348, 0.5350776, 1.2051808");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("21.5008300, 21.5094570, 21.5288390, 21.5719210, 21.6706940, 21.9046110, 22.4671680", \
+					  "21.6056400, 21.6142430, 21.6337380, 21.6768610, 21.7755110, 22.0096140, 22.5713030", \
+					  "21.6769540, 21.6855810, 21.7049630, 21.7483810, 21.8471520, 22.0810730, 22.6436310", \
+					  "21.7332500, 21.7418810, 21.7612610, 21.8047550, 21.9032990, 22.1378350, 22.6991940", \
+					  "21.7850150, 21.7936180, 21.8131130, 21.8551700, 21.9539780, 22.1887610, 22.7502780", \
+					  "21.8342390, 21.8428530, 21.8622650, 21.9049080, 22.0036060, 22.2376180, 22.7988930", \
+					  "21.8774150, 21.8860170, 21.9055090, 21.9499990, 22.0486440, 22.2813110, 22.8444770");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0586180, 0.0685018, 0.0921844, 0.1504010, 0.2948955, 0.6480335, 1.4986988", \
+					  "0.0587808, 0.0684624, 0.0919900, 0.1503504, 0.2944324, 0.6481812, 1.5027913", \
+					  "0.0586181, 0.0685019, 0.0921844, 0.1504010, 0.2948958, 0.6480322, 1.4986929", \
+					  "0.0586181, 0.0685019, 0.0921844, 0.1504623, 0.2942241, 0.6482518, 1.5019471", \
+					  "0.0587807, 0.0684620, 0.0919942, 0.1502456, 0.2948067, 0.6478263, 1.5008873", \
+					  "0.0587150, 0.0684605, 0.0921830, 0.1503364, 0.2938204, 0.6482984, 1.5025544", \
+					  "0.0587807, 0.0685022, 0.0919823, 0.1503370, 0.2944287, 0.6482186, 1.5028099");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("12.8850720, 12.8985260, 12.9241990, 12.9774610, 13.0818800, 13.2990870, 13.7996230", \
+					  "12.9452640, 12.9581260, 12.9847560, 13.0380610, 13.1422670, 13.3597220, 13.8596960", \
+					  "12.9677810, 12.9786150, 13.0052480, 13.0585570, 13.1647010, 13.3821380, 13.8804760", \
+					  "12.9760150, 12.9898620, 13.0164950, 13.0697540, 13.1729320, 13.3905170, 13.8917720", \
+					  "12.9823590, 12.9944310, 13.0210620, 13.0743640, 13.1788570, 13.3968500, 13.8960330", \
+					  "12.9822890, 12.9949090, 13.0215350, 13.0747980, 13.1791040, 13.3967620, 13.8968220", \
+					  "12.9751040, 12.9882240, 13.0148560, 13.0681120, 13.1720220, 13.3896070, 13.8900810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0779061, 0.0882901, 0.1109282, 0.1594137, 0.2684492, 0.5354823, 1.2060005", \
+					  "0.0780792, 0.0883079, 0.1109267, 0.1590015, 0.2685399, 0.5352658, 1.2076441", \
+					  "0.0779058, 0.0883070, 0.1109269, 0.1590016, 0.2681707, 0.5355191, 1.2058572", \
+					  "0.0779023, 0.0882965, 0.1109285, 0.1594159, 0.2681775, 0.5362554, 1.2060016", \
+					  "0.0779058, 0.0883021, 0.1109268, 0.1592644, 0.2681748, 0.5363643, 1.2075053", \
+					  "0.0779040, 0.0883395, 0.1109297, 0.1594162, 0.2684391, 0.5362777, 1.2060112", \
+					  "0.0779026, 0.0883575, 0.1109318, 0.1593628, 0.2681742, 0.5362530, 1.2058620");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.491750;
+			max_transition : 3.768739;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303529, 0.05306246, 0.1222309, 0.2815625, 0.6485874, 1.49404");
+					values("1.0581845, 1.0591248, 1.0630489, 1.0662883, 1.0734620, 1.0749907, 1.0613863", \
+					  "-0.2175665, -0.2155479, -0.2126969, -0.2085267, -0.2043330, -0.2037611, -0.2132370", \
+					  "0.0258235, 0.0254104, 0.0152851, -0.0193361, -0.0668653, -0.0741802, -0.0841134", \
+					  "0.1476574, 0.1490841, 0.1485479, 0.1393176, 0.1570570, 0.0968380, 0.0413536", \
+					  "0.2467704, 0.2462318, 0.2488393, 0.2427430, 0.2529802, 0.2571828, 0.1314630", \
+					  "0.3325843, 0.3392614, 0.3303437, 0.3265610, 0.3480879, 0.3450217, 0.3137761", \
+					  "0.4304602, 0.4290784, 0.4229830, 0.4243180, 0.4216489, 0.4304981, 0.4178388");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303529, 0.05306246, 0.1222309, 0.2815625, 0.6485874, 1.49404");
+					values("1.1945223, 1.1991534, 1.2056779, 1.2204671, 1.2359660, 1.2465912, 1.2515126", \
+					  "2.5552535, 2.5605442, 2.5693477, 2.5824388, 2.5988925, 2.6102625, 2.6159281", \
+					  "2.5217051, 2.5249850, 2.5240437, 2.5268440, 2.5320308, 2.6142615, 2.6293379", \
+					  "2.5461206, 2.5666763, 2.5484658, 2.5521996, 2.5601346, 2.5618821, 2.6054230", \
+					  "2.5677756, 2.5864278, 2.5696283, 2.5738717, 2.5958474, 2.5824646, 2.5868945", \
+					  "2.5886402, 2.6075667, 2.5915849, 2.5937004, 2.6166148, 2.5976469, 2.6084404", \
+					  "2.6039457, 2.6236428, 2.6081689, 2.6140346, 2.6343977, 2.6216464, 2.6325374");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("0.9595261, 0.9613164, 0.9644418, 0.9695985, 0.9750283, 0.9733717, 0.9631601", \
+					  "-0.1492160, -0.1465363, -0.1440784, -0.1390520, -0.1348969, -0.1342233, -0.1461475", \
+					  "-0.1133960, -0.1158635, -0.1256052, -0.1267662, -0.1256056, -0.1215136, -0.1452974", \
+					  "-0.0429590, -0.0404475, -0.0370029, -0.0471886, -0.0326146, -0.1084393, -0.1203015", \
+					  "-0.0293920, -0.0296915, -0.0297053, -0.0362229, -0.0236087, -0.0215520, -0.1166037", \
+					  "-0.0195999, -0.0175431, -0.0181081, -0.0239532, -0.0124280, -0.0085349, -0.0374255", \
+					  "-0.0202243, -0.0081175, -0.0081662, -0.0152475, -0.0070220, 0.0056417, -0.0164655");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.1319601, 1.1371352, 1.1447437, 1.1637764, 1.1859523, 1.2023563, 1.2110351", \
+					  "2.1964997, 2.1977281, 2.2088915, 2.2265299, 2.2471157, 2.2628316, 2.2780560", \
+					  "2.1890530, 2.1919792, 2.2027302, 2.2207370, 2.2419937, 2.2597297, 2.2773122", \
+					  "2.1697164, 2.1795643, 2.1932792, 2.2143088, 2.2380270, 2.2581169, 2.2809846", \
+					  "2.1583961, 2.1594042, 2.1473357, 2.1506776, 2.1867972, 2.2446992, 2.2828254", \
+					  "2.1905485, 2.1866647, 2.1795406, 2.1851102, 2.1861506, 2.1940698, 2.2809801", \
+					  "2.1905771, 2.1923147, 2.1857878, 2.2056892, 2.2018880, 2.1979415, 2.2215383");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.0159015, 1.0175701, 1.0214391, 1.0267035, 1.0309411, 1.0301844, 1.0213637", \
+					  "0.0688388, 0.0712098, 0.0753601, 0.0796123, 0.0845663, 0.0844731, 0.0751449", \
+					  "0.2476120, 0.2485417, 0.2511512, 0.2546516, 0.2581486, 0.2610022, 0.2414695", \
+					  "0.5017630, 0.5021790, 0.5012430, 0.5033267, 0.4688656, 0.4324805, 0.4109331", \
+					  "0.6595478, 0.6598087, 0.6581693, 0.6557329, 0.6655378, 0.6397282, 0.5813594", \
+					  "0.8011301, 0.8118858, 0.8123005, 0.7993868, 0.8180658, 0.8146155, 0.7141304", \
+					  "0.9470159, 0.9540285, 0.9481672, 0.9415791, 0.9535596, 0.9549693, 0.9308516");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.1893643, 1.1940998, 1.2022713, 1.2188409, 1.2364594, 1.2507921, 1.2566700", \
+					  "2.2461367, 2.2508000, 2.2597399, 2.2739486, 2.2929300, 2.3060720, 2.3128838", \
+					  "2.2325371, 2.2369840, 2.2549755, 2.2636157, 2.2824249, 2.2970755, 2.3040252", \
+					  "2.1881919, 2.1889957, 2.2012012, 2.1952238, 2.2391295, 2.2888839, 2.3029896", \
+					  "2.2115777, 2.2121146, 2.2255507, 2.2157142, 2.2180879, 2.2231492, 2.2975378", \
+					  "2.2082796, 2.2095257, 2.2335734, 2.2202533, 2.2273299, 2.2291489, 2.2393164", \
+					  "2.2166602, 2.2197229, 2.2321574, 2.2306874, 2.2262366, 2.2299092, 2.2288115");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.4835886, 1.6469631, 1.8068363, 1.8277146, 1.8444878, 1.8542630, 1.8503113", \
+					  "1.4778163, 1.6426559, 1.8044510, 1.8262875, 1.8442224, 1.8523587, 1.8523628", \
+					  "1.4830497, 1.6469043, 1.8047502, 1.8309159, 1.8446334, 1.8524895, 1.8523588", \
+					  "1.4824005, 1.6463135, 1.8065819, 1.8300514, 1.8440944, 1.8520074, 1.8518272", \
+					  "1.4820699, 1.6460138, 1.8068062, 1.8280611, 1.8437385, 1.8573205, 1.8513942", \
+					  "1.4817066, 1.6437079, 1.8052322, 1.8268892, 1.8440085, 1.8541391, 1.8559428", \
+					  "1.4808918, 1.6427566, 1.8055169, 1.8272100, 1.8426382, 1.8462773, 1.8588044");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.05303534, 0.1221372, 0.2812747, 0.6477589, 1.49175");
+					values("1.2396530, 1.2461822, 1.2723809, 1.2788981, 1.3027731, 1.3349346, 1.3334085", \
+					  "1.2478099, 1.2553864, 1.2630501, 1.2849699, 1.3011185, 1.3237524, 1.3344774", \
+					  "1.2500798, 1.2557582, 1.2631181, 1.2804251, 1.3014284, 1.3247617, 1.3347877", \
+					  "1.2490995, 1.2544250, 1.2619297, 1.2767901, 1.2991922, 1.3239538, 1.3454924", \
+					  "1.2492917, 1.2438174, 1.2620389, 1.2778600, 1.2942568, 1.3230321, 1.3357578", \
+					  "1.2576311, 1.2548939, 1.2619214, 1.2735056, 1.3007824, 1.3233426, 1.3346366", \
+					  "1.2497223, 1.2544709, 1.2618045, 1.2772701, 1.3017033, 1.3229773, 1.3355991");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.2671520, 4.3105737, 4.3952846, 4.5721840, 4.9158495, 5.5785980, 6.9937305", \
+					  "5.8257741, 5.8690710, 5.9605063, 6.1359189, 6.4749535, 7.1406494, 8.5576932", \
+					  "6.9670745, 7.0100601, 7.0998945, 7.2818902, 7.6196045, 8.2817353, 9.6951984", \
+					  "7.2152502, 7.2582163, 7.3500477, 7.5298443, 7.8679054, 8.5328340, 9.9479864", \
+					  "8.6323215, 8.6827603, 8.7666523, 8.9432239, 9.2825125, 9.9550101, 11.3621820", \
+					  "9.7214299, 9.7739541, 9.8671391, 10.0427420, 10.3821030, 11.0446450, 12.4583670", \
+					  "10.5534320, 10.5927900, 10.6795520, 10.8725890, 11.2112910, 11.8736460, 13.2888010", \
+					  "11.6799440, 11.7372450, 11.7976560, 11.9914740, 12.3441830, 13.0073300, 14.4103050", \
+					  "25.7174780, 25.8615000, 25.9528670, 26.1855600, 26.5226760, 27.1852230, 28.5504460", \
+					  "34.4281050, 34.4754980, 34.4755002, 34.6291280, 35.0846850, 35.7442290, 37.1601030", \
+					  "70.3023910, 70.4297560, 70.5048610, 70.6080240, 70.9509320, 71.6179260, 73.0380880", \
+					  "200.8939800, 200.9161900, 200.9653200, 201.2888400, 201.5979300, 201.9618300, 203.5719400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4943886, 0.5239791, 0.5947346, 0.7456880, 1.0788912, 1.8593542, 3.7492653", \
+					  "0.4898860, 0.5226627, 0.5928033, 0.7443360, 1.0820985, 1.8602582, 3.7527657", \
+					  "0.4869888, 0.5190359, 0.5977051, 0.7479121, 1.0786608, 1.8566625, 3.7285632", \
+					  "0.4913782, 0.5245933, 0.5950227, 0.7470882, 1.0790486, 1.8548307, 3.7288084", \
+					  "0.4878002, 0.5237334, 0.5896588, 0.7448627, 1.0802177, 1.8603917, 3.7555234", \
+					  "0.4874996, 0.5195147, 0.5933301, 0.7496358, 1.0787385, 1.8550599, 3.7379952", \
+					  "0.4912000, 0.5190353, 0.5971930, 0.7486285, 1.0787239, 1.8565373, 3.7345931", \
+					  "0.4873830, 0.5193445, 0.6002964, 0.7492329, 1.0786794, 1.8567520, 3.7418322", \
+					  "0.4872393, 0.5192809, 0.6003365, 0.7485176, 1.0786646, 1.8571620, 3.7493830", \
+					  "0.4872562, 0.5192547, 0.6003365, 0.7484733, 1.0786626, 1.8571346, 3.7503152", \
+					  "0.4930593, 0.5247501, 0.5926215, 0.7489975, 1.0812129, 1.8601196, 3.7412136", \
+					  "0.4864398, 0.5193171, 0.5970672, 0.7442581, 1.0789308, 1.8571844, 3.7421208");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.1574171, 4.1900865, 4.2641393, 4.4137045, 4.6839070, 5.1607016, 6.0767893", \
+					  "5.3769793, 5.4116414, 5.4855467, 5.6355436, 5.9067058, 6.3844876, 7.3002129", \
+					  "6.0595395, 6.0940124, 6.1678871, 6.3179977, 6.5884809, 7.0663355, 7.9832043", \
+					  "6.1968981, 6.2314967, 6.3055352, 6.4556525, 6.7262884, 7.2051649, 8.1206544", \
+					  "6.9426416, 6.9773640, 7.0458377, 7.1990787, 7.4718143, 7.9483682, 8.8650891", \
+					  "7.4900033, 7.5244495, 7.6022486, 7.7461975, 8.0196010, 8.4974694, 9.4131716", \
+					  "7.8818143, 7.9206952, 7.9909244, 8.1345508, 8.4102156, 8.8879624, 9.8032817", \
+					  "8.4063494, 8.4459186, 8.5180236, 8.6654293, 8.9382199, 9.4162003, 10.3322100", \
+					  "14.4418600, 14.4669070, 14.5504100, 14.6968300, 14.9690410, 15.4499220, 16.3639090", \
+					  "17.8739970, 17.9153100, 17.9913470, 18.1477390, 18.4216640, 18.8984690, 19.8050570", \
+					  "31.6840880, 31.7020900, 31.7737800, 31.9397520, 32.2209810, 32.6904230, 33.6125010", \
+					  "78.9762280, 79.0167860, 79.0930910, 79.2451260, 79.5173920, 79.9936120, 80.9128760");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3866515, 0.4164007, 0.4747672, 0.5961156, 0.8212303, 1.2795478, 2.3502704", \
+					  "0.3862177, 0.4131179, 0.4745916, 0.5930925, 0.8171173, 1.2776364, 2.3500386", \
+					  "0.3862096, 0.4137948, 0.4754431, 0.5956271, 0.8201823, 1.2771212, 2.3446480", \
+					  "0.3850225, 0.4147547, 0.4750908, 0.5958776, 0.8204864, 1.2770711, 2.3506284", \
+					  "0.3870257, 0.4136396, 0.4770528, 0.5946580, 0.8218537, 1.2798201, 2.3470348", \
+					  "0.3871196, 0.4140314, 0.4775271, 0.5960578, 0.8218699, 1.2798480, 2.3507849", \
+					  "0.3870952, 0.4140957, 0.4761818, 0.5952964, 0.8218937, 1.2798356, 2.3493527", \
+					  "0.3865001, 0.4139134, 0.4756232, 0.5948857, 0.8218602, 1.2798487, 2.3513738", \
+					  "0.3888948, 0.4142282, 0.4768890, 0.5965818, 0.8219140, 1.2793687, 2.3486693", \
+					  "0.3870555, 0.4139273, 0.4774905, 0.5949621, 0.8218904, 1.2792731, 2.3487889", \
+					  "0.3870605, 0.4144768, 0.4746670, 0.5963208, 0.8219772, 1.2801429, 2.3483253", \
+					  "0.3872022, 0.4128143, 0.4748052, 0.5963716, 0.8209955, 1.2793794, 2.3504501");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.1014961, 5.1437250, 5.2322586, 5.4092451, 5.7459548, 6.4089658, 7.8271434", \
+					  "6.8676922, 6.9100832, 6.9989268, 7.1761921, 7.5126110, 8.1761247, 9.5947543", \
+					  "7.9106242, 7.9533198, 8.0433180, 8.2178788, 8.5553626, 9.2172128, 10.6335680", \
+					  "8.1185290, 8.1583043, 8.2509519, 8.4269782, 8.7586169, 9.4269703, 10.8413340", \
+					  "9.1998364, 9.2435659, 9.3263690, 9.5091641, 9.8461870, 10.5113860, 11.9293120", \
+					  "9.9757651, 10.0154680, 10.1054320, 10.2798400, 10.6171600, 11.2799720, 12.6962150", \
+					  "10.5035930, 10.5412990, 10.6316960, 10.8145070, 11.1495080, 11.8143700, 13.2234480", \
+					  "11.1896390, 11.2295950, 11.3266370, 11.5046470, 11.8382380, 12.4993960, 13.9119840", \
+					  "19.5619560, 19.5619574, 19.6315090, 19.8434510, 20.2094820, 20.7922750, 22.2144380", \
+					  "26.5022530, 26.5421920, 26.6296110, 26.8823970, 27.1600110, 27.8727850, 29.2237590", \
+					  "55.7556100, 55.9763290, 55.9763318, 56.0503290, 56.4114440, 57.0587310, 58.6594600", \
+					  "135.0998500, 135.4246600, 135.4246750, 135.4246903, 135.7129000, 136.3137000, 138.1498300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4875556, 0.5179776, 0.5909586, 0.7415774, 1.0748300, 1.8582328, 3.7557428", \
+					  "0.4861246, 0.5191756, 0.5906407, 0.7413348, 1.0740798, 1.8586952, 3.7520289", \
+					  "0.4841091, 0.5223286, 0.5887164, 0.7408293, 1.0717164, 1.8524613, 3.7258840", \
+					  "0.4873048, 0.5136289, 0.5934732, 0.7422850, 1.0765427, 1.8481378, 3.7422505", \
+					  "0.4864227, 0.5153705, 0.5877527, 0.7430717, 1.0777599, 1.8569634, 3.7586965", \
+					  "0.4911969, 0.5223437, 0.5887307, 0.7408154, 1.0776108, 1.8504147, 3.7595083", \
+					  "0.4878024, 0.5227759, 0.5883724, 0.7409574, 1.0765331, 1.8559352, 3.7389443", \
+					  "0.4912107, 0.5226538, 0.5901170, 0.7407954, 1.0749621, 1.8551112, 3.7485530", \
+					  "0.4911927, 0.5223575, 0.5887404, 0.7409427, 1.0764661, 1.8555119, 3.7496672", \
+					  "0.4912076, 0.5221248, 0.5898173, 0.7408700, 1.0765073, 1.8560544, 3.7469770", \
+					  "0.4912620, 0.5223025, 0.5882987, 0.7409617, 1.0759277, 1.8550675, 3.7490029", \
+					  "0.4912013, 0.5222652, 0.5888433, 0.7407678, 1.0764831, 1.8554848, 3.7449714");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3472658, 6.3837038, 6.4580568, 6.6211698, 6.9036195, 7.3941138, 8.3262153", \
+					  "8.2275804, 8.2637914, 8.3420737, 8.4992591, 8.7837302, 9.2783203, 10.2096850", \
+					  "10.6947730, 10.7309550, 10.8092280, 10.9663640, 11.2508130, 11.7449330, 12.6780790", \
+					  "11.2155990, 11.2537720, 11.3326920, 11.4903050, 11.7747740, 12.2692950, 13.1995790", \
+					  "14.1225860, 14.1361440, 14.2421210, 14.3991650, 14.6711340, 15.1779660, 16.1428150", \
+					  "16.3261330, 16.3586780, 16.4555320, 16.6129070, 16.8718040, 17.3934430, 18.3032270", \
+					  "17.9975360, 18.0428690, 18.0462540, 18.2026430, 18.5716620, 18.9747880, 19.9980210", \
+					  "20.1111110, 20.1520770, 20.1857450, 20.3285230, 20.6801300, 21.1413800, 22.1051340", \
+					  "44.5637020, 44.5959460, 44.6997890, 44.8563180, 45.1141560, 45.6370910, 46.5449470", \
+					  "58.0907590, 58.1197670, 58.1891760, 58.3540430, 58.6585960, 59.1193830, 60.0872670", \
+					  "110.3415000, 110.3417700, 110.4396400, 110.5626900, 110.8716600, 111.4074400, 112.3331900", \
+					  "278.5068900, 278.5574500, 278.6324300, 278.7816100, 279.0679300, 279.5614500, 280.5116700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3968077, 0.4287912, 0.4942590, 0.6212866, 0.8546216, 1.3135969, 2.3775715", \
+					  "0.3964513, 0.4272156, 0.4930519, 0.6209296, 0.8541428, 1.3136898, 2.3762206", \
+					  "0.3963492, 0.4273212, 0.4926825, 0.6206715, 0.8540323, 1.3060504, 2.3786950", \
+					  "0.3966955, 0.4280761, 0.4930597, 0.6197906, 0.8541258, 1.3098149, 2.3744362", \
+					  "0.3961859, 0.4280070, 0.4926587, 0.6205519, 0.8532592, 1.3132344, 2.3736479", \
+					  "0.3966802, 0.4268375, 0.4925585, 0.6208680, 0.8523447, 1.3103481, 2.3754527", \
+					  "0.3962554, 0.4266992, 0.4929445, 0.6213930, 0.8517953, 1.3130468, 2.3749815", \
+					  "0.3968645, 0.4278120, 0.4933158, 0.6199098, 0.8540254, 1.3115002, 2.3749276", \
+					  "0.3964947, 0.4269558, 0.4931814, 0.6210642, 0.8522215, 1.3090692, 2.3760574", \
+					  "0.3979382, 0.4280952, 0.4929673, 0.6211161, 0.8523085, 1.3133308, 2.3720030", \
+					  "0.3980609, 0.4284739, 0.4942918, 0.6220481, 0.8543619, 1.3136087, 2.3755746", \
+					  "0.3974197, 0.4277479, 0.4938624, 0.6226480, 0.8549737, 1.3126649, 2.3749533");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.1273559, 5.1704001, 5.2584945, 5.4374714, 5.7717044, 6.4356867, 7.8550215", \
+					  "7.2082988, 7.2514212, 7.3404940, 7.5169684, 7.8531732, 8.5162828, 9.9346780", \
+					  "8.7705949, 8.8133225, 8.9038785, 9.0890033, 9.4247552, 10.0930120, 11.4946630", \
+					  "9.1185930, 9.1613019, 9.2513570, 9.4394172, 9.7629185, 10.4377540, 11.8449240", \
+					  "11.1402380, 11.1829210, 11.2732190, 11.4414660, 11.7796250, 12.4515940, 13.8647970", \
+					  "12.7657580, 12.8199170, 12.8968430, 13.0700630, 13.4069820, 14.0695840, 15.5012820", \
+					  "13.9987650, 14.0374170, 14.1365910, 14.3038830, 14.6398300, 15.3064890, 16.7182890", \
+					  "15.7118620, 15.7694660, 15.8582730, 16.0400010, 16.3813920, 17.0381240, 18.4539640", \
+					  "43.3572430, 43.5035870, 43.5215770, 43.5999680, 44.0421460, 44.7684410, 46.1746770", \
+					  "62.0717980, 62.1171170, 62.2011460, 62.3027180, 62.7158560, 63.3905160, 64.8004600", \
+					  "133.2180700, 133.2180710, 133.2180863, 133.2181016, 133.2181168, 134.4719200, 135.1420200", \
+					  "374.6261000, 374.9568400, 375.2501800, 375.2661000, 375.5040400, 376.2606900, 378.4046800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4895251, 0.5200115, 0.5894438, 0.7413791, 1.0759836, 1.8570944, 3.7565693", \
+					  "0.4836251, 0.5231475, 0.5932151, 0.7426976, 1.0776908, 1.8582883, 3.7583536", \
+					  "0.4862501, 0.5141860, 0.5882928, 0.7357396, 1.0750201, 1.8617100, 3.7381027", \
+					  "0.4840194, 0.5224409, 0.5886257, 0.7408419, 1.0686393, 1.8542424, 3.7316754", \
+					  "0.4832830, 0.5228936, 0.5885208, 0.7409939, 1.0765399, 1.8565229, 3.7531178", \
+					  "0.4888823, 0.5224362, 0.5899793, 0.7405938, 1.0750769, 1.8559035, 3.7523957", \
+					  "0.4837637, 0.5227112, 0.5886788, 0.7334706, 1.0744321, 1.8572794, 3.7548560", \
+					  "0.4834924, 0.5227889, 0.5883749, 0.7410101, 1.0765296, 1.8502777, 3.7394638", \
+					  "0.4841516, 0.5222857, 0.5886881, 0.7410060, 1.0764596, 1.8554310, 3.7491215", \
+					  "0.4912003, 0.5221864, 0.5888937, 0.7408320, 1.0764641, 1.8558987, 3.7487400", \
+					  "0.4837492, 0.5200154, 0.5888793, 0.7410258, 1.0765214, 1.8559947, 3.7581565", \
+					  "0.4911871, 0.5224549, 0.5888258, 0.7407527, 1.0765138, 1.8555988, 3.7481209");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7318864, 4.7666622, 4.8437307, 5.0012936, 5.2862577, 5.7803122, 6.7110975", \
+					  "6.9447474, 6.9814385, 7.0591564, 7.2166206, 7.5010380, 7.9956246, 8.9267385", \
+					  "9.0595345, 9.0958405, 9.1641645, 9.3315098, 9.6158095, 10.1102820, 11.0420120", \
+					  "9.4974730, 9.5325330, 9.6053414, 9.7690744, 10.0523300, 10.5469690, 11.4788380", \
+					  "11.9522890, 11.9884740, 12.1111890, 12.2190340, 12.5081210, 12.9988860, 13.9342050", \
+					  "13.8268280, 13.8637000, 13.9797640, 14.0648870, 14.3826440, 14.8443750, 15.8091900", \
+					  "15.1661950, 15.1964840, 15.2643750, 15.5002940, 15.7033000, 16.2783720, 17.1416040", \
+					  "16.9478400, 16.9917930, 17.0293910, 17.2546740, 17.5172620, 18.0373030, 18.9123440", \
+					  "37.1176440, 37.1905000, 37.2486610, 37.4271600, 37.7109790, 38.2016160, 39.1431630", \
+					  "48.1636060, 48.1792920, 48.2642900, 48.4244570, 48.7003930, 49.1789190, 50.1157520", \
+					  "90.2484130, 90.2484170, 90.3206750, 90.4570570, 90.7980960, 91.2606170, 92.1347690", \
+					  "227.2496100, 227.2818000, 227.3574300, 227.4965600, 227.8040200, 228.2514500, 229.1015000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3968626, 0.4269208, 0.4936296, 0.6216084, 0.8537971, 1.3150274, 2.3781013", \
+					  "0.3973041, 0.4282622, 0.4941180, 0.6214354, 0.8534355, 1.3134032, 2.3759346", \
+					  "0.3967849, 0.4278016, 0.4939074, 0.6211937, 0.8527459, 1.3136203, 2.3720319", \
+					  "0.3961294, 0.4270807, 0.4931791, 0.6193192, 0.8501002, 1.3075808, 2.3724609", \
+					  "0.3965483, 0.4274459, 0.4928797, 0.6203641, 0.8518391, 1.3108833, 2.3749456", \
+					  "0.3962414, 0.4267424, 0.4929906, 0.6214834, 0.8523168, 1.3130654, 2.3753803", \
+					  "0.3966519, 0.4283997, 0.4930745, 0.6210023, 0.8528319, 1.3148221, 2.3761961", \
+					  "0.3962233, 0.4284759, 0.4930189, 0.6200298, 0.8532584, 1.3071221, 2.3784954", \
+					  "0.3966322, 0.4269585, 0.4931213, 0.6204790, 0.8538986, 1.3132246, 2.3754427", \
+					  "0.3963852, 0.4269952, 0.4932454, 0.6199491, 0.8539934, 1.3120075, 2.3759581", \
+					  "0.3971566, 0.4282685, 0.4933828, 0.6201175, 0.8542654, 1.3097501, 2.3751863", \
+					  "0.3968015, 0.4285198, 0.4945462, 0.6208637, 0.8531452, 1.3139698, 2.3713231");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("20.5789270, 20.6213980, 20.7098800, 20.8859290, 21.2249100, 21.8921610, 23.3155450", \
+					  "20.6822220, 20.7248260, 20.8139410, 20.9908200, 21.3295440, 21.9967900, 23.4208210", \
+					  "20.7550440, 20.7975150, 20.8854520, 21.0623890, 21.4010340, 22.0682960, 23.4919670", \
+					  "20.8113460, 20.8538160, 20.9419890, 21.1188610, 21.4573350, 22.1245970, 23.5482830", \
+					  "20.8615790, 20.9041810, 20.9926000, 21.1694750, 21.5088850, 22.1761360, 23.6003110", \
+					  "20.9106740, 20.9532800, 21.0418030, 21.2186730, 21.5580020, 22.2252100, 23.6495390", \
+					  "20.9539020, 20.9964950, 21.0855320, 21.2637090, 21.6013060, 22.2685600, 23.6929160");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("0.4874203, 0.5180693, 0.5875100, 0.7386108, 1.0739738, 1.8643409, 3.7476413", \
+					  "0.4871562, 0.5179494, 0.5876349, 0.7386107, 1.0740899, 1.8596973, 3.7468841", \
+					  "0.4874294, 0.5180744, 0.5883138, 0.7386845, 1.0738958, 1.8649386, 3.7472709", \
+					  "0.4874299, 0.5180745, 0.5876762, 0.7386255, 1.0738903, 1.8649737, 3.7472319", \
+					  "0.4882272, 0.5180565, 0.5874716, 0.7386007, 1.0738697, 1.8593755, 3.7415000", \
+					  "0.4871563, 0.5179946, 0.5875538, 0.7385760, 1.0741983, 1.8594402, 3.7457237", \
+					  "0.4853920, 0.5177638, 0.5876600, 0.7386053, 1.0739000, 1.8597464, 3.7405785");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("17.1709460, 17.2196750, 17.3259430, 17.5402390, 17.9343170, 18.5762480, 19.6758290", \
+					  "17.2314540, 17.2801810, 17.3861800, 17.6007640, 17.9939580, 18.6354970, 19.7363350", \
+					  "17.2542960, 17.3030210, 17.4086970, 17.6238770, 18.0167920, 18.6595700, 19.7592570", \
+					  "17.2632220, 17.3119530, 17.4192940, 17.6325250, 18.0257270, 18.6685490, 19.7680880", \
+					  "17.2679560, 17.3166890, 17.4231140, 17.6372590, 18.0304620, 18.6720010, 19.7727970", \
+					  "17.2705420, 17.3192940, 17.4253090, 17.6396870, 18.0331360, 18.6747040, 19.7748840", \
+					  "17.2643320, 17.3130810, 17.4186030, 17.6329370, 18.0271250, 18.6686060, 19.7690660");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230294, 0.0530353, 0.122137, 0.281275, 0.647759, 1.49175");
+					values("0.5886670, 0.6310443, 0.7203145, 0.8881434, 1.1816320, 1.6778215, 2.7244744", \
+					  "0.5886905, 0.6310259, 0.7194662, 0.8881437, 1.1798149, 1.6778928, 2.7243103", \
+					  "0.5886097, 0.6310840, 0.7202979, 0.8874583, 1.1796610, 1.6776299, 2.7251690", \
+					  "0.5886909, 0.6310267, 0.7198613, 0.8881152, 1.1798302, 1.6780664, 2.7241334", \
+					  "0.5887116, 0.6310160, 0.7203028, 0.8881081, 1.1798582, 1.6778130, 2.7239646", \
+					  "0.5889515, 0.6307665, 0.7201285, 0.8879134, 1.1805429, 1.6768471, 2.7200691", \
+					  "0.5891764, 0.6304451, 0.7198692, 0.8875229, 1.1816703, 1.6755766, 2.7284897");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.212759;
+			max_capacitance : 551.213000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1503570, 0.1503746, 0.1501269, 0.1498803, 0.1499115, 0.1505685, 0.1501093", \
+					  "0.2084978, 0.2084945, 0.2084967, 0.2084214, 0.2084896, 0.2084088, 0.2084886", \
+					  "0.2857459, 0.2857438, 0.2857529, 0.2853121, 0.2857887, 0.2857900, 0.2857921", \
+					  "0.3700036, 0.3700039, 0.3700249, 0.3699351, 0.3702593, 0.3703257, 0.3703414", \
+					  "0.4516374, 0.4516391, 0.4516712, 0.4520588, 0.4521498, 0.4523397, 0.4523838", \
+					  "0.5709539, 0.5709022, 0.5706272, 0.5706380, 0.5687494, 0.5685996, 0.5685895", \
+					  "0.7865282, 0.7862645, 0.7856877, 0.7833881, 0.7755545, 0.7723679, 0.7717115");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.7730536, 5.7731702, 5.7647976, 5.7570802, 5.7499683, 5.3858061, -0.1278413", \
+					  "5.7697126, 5.7694697, 5.7692152, 5.7736344, 5.7426041, 5.5564925, -0.1927378", \
+					  "5.7730040, 5.7728587, 5.7736168, 5.7745731, 5.7393179, 5.5343490, -0.2383518", \
+					  "5.7726417, 5.7693362, 5.7711403, 5.7729505, 5.7300560, 5.4260470, -0.1742898", \
+					  "5.7717038, 5.7711205, 5.7706708, 5.7739056, 5.7433632, 5.4973621, -0.2329548", \
+					  "5.7705255, 5.7712293, 5.7727312, 5.7720833, 5.7342593, 5.5320253, -0.2837023", \
+					  "5.7712136, 5.7719279, 5.7714226, 5.7751540, 5.7316138, 5.4414743, -0.2744412");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5411513, 6.5606925, 6.5554311, 6.5812950, 6.4896812, 5.5231765, -11.3911435", \
+					  "6.5482229, 6.5486401, 6.5471374, 6.5711100, 6.5316424, 5.5245322, -11.3619642", \
+					  "6.5510643, 6.5498708, 6.5551995, 6.5723051, 6.5408941, 5.4411349, -11.5406829", \
+					  "6.5486711, 6.5522667, 6.5553068, 6.5797803, 6.5282560, 5.4803889, -11.5141211", \
+					  "6.5494339, 6.5511556, 6.5526123, 6.5705992, 6.5345430, 5.5344706, -11.4971132", \
+					  "6.5504260, 6.5516980, 6.5556072, 6.5691116, 6.5428605, 5.4446873, -11.4917823", \
+					  "6.5502953, 6.5515890, 6.5557857, 6.5703700, 6.5340405, 5.4554515, -11.7691575");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9205367, 3.9330792, 3.9484536, 4.0726923, 4.5888385, 5.4839913, 6.0289873", \
+					  "3.9078860, 3.9125095, 3.9321431, 4.0676436, 4.6045822, 5.4841264, 5.9699266", \
+					  "3.9126038, 3.9199000, 3.9446142, 4.0734356, 4.5981613, 5.4911905, 5.9663026", \
+					  "3.9025504, 3.9042135, 3.9492274, 4.0717058, 4.6066560, 5.4911698, 5.9644531", \
+					  "3.9084965, 3.9169880, 3.9333824, 4.0688981, 4.6075064, 5.4857162, 5.9659531", \
+					  "3.9119110, 3.9178603, 3.9460560, 4.0696759, 4.5990137, 5.4843585, 5.9687670", \
+					  "3.9118733, 3.9193409, 3.9505169, 4.0725504, 4.6083973, 5.4857437, 5.9715261");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1501277, 0.1501468, 0.1498905, 0.1497182, 0.1503849, 0.1503893, 0.1501089", \
+					  "0.2072480, 0.2071703, 0.2073089, 0.2072485, 0.2071701, 0.2071735, 0.2072486", \
+					  "0.2705221, 0.2700654, 0.2705269, 0.2705232, 0.2700657, 0.2700698, 0.2705244", \
+					  "0.3236468, 0.3236086, 0.3237842, 0.3237803, 0.3237806, 0.3236122, 0.3237818", \
+					  "0.3997207, 0.3999693, 0.3997222, 0.3997133, 0.3997080, 0.3999587, 0.3997073", \
+					  "0.4971298, 0.4977276, 0.4971300, 0.4971173, 0.4971880, 0.4977091, 0.4971074", \
+					  "0.6901540, 0.6910679, 0.6901239, 0.6902863, 0.6902521, 0.6912420, 0.6902731");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5713267, 2.5877125, 2.5802256, 2.6627846, 2.5771020, 2.7742833, 2.7772557", \
+					  "2.5853351, 2.5875834, 2.5880125, 2.5815532, 2.5943460, 2.5783429, 2.6353789", \
+					  "2.5888401, 2.5898853, 2.5900449, 2.5958783, 2.5996021, 2.6030274, 2.5986199", \
+					  "2.5895478, 2.5875726, 2.5900578, 2.5927253, 2.5968794, 2.6038920, 2.6104260", \
+					  "2.5867890, 2.5878383, 2.5883661, 2.5921654, 2.5965592, 2.6013219, 2.6032696", \
+					  "2.5869280, 2.5882483, 2.5883704, 2.5962179, 2.6001811, 2.6016985, 2.6171326", \
+					  "2.5870640, 2.5904305, 2.5902958, 2.5903873, 2.5989779, 2.6028084, 2.5999355");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3752883, 6.3746274, 6.3770734, 6.3778427, 6.3480506, 6.0488459, 0.3468156", \
+					  "6.3692736, 6.3695611, 6.3692783, 6.3709697, 6.3418307, 6.1034962, 0.3394508", \
+					  "6.3729399, 6.3723978, 6.3733827, 6.3747661, 6.3393929, 6.0898556, 0.4065344", \
+					  "6.3708025, 6.3722735, 6.3708962, 6.3728187, 6.3461356, 6.1271025, 0.3975020", \
+					  "6.3721816, 6.3701845, 6.3704104, 6.3719743, 6.3368474, 6.1137763, 0.3162853", \
+					  "6.3719620, 6.3709901, 6.3698240, 6.3708102, 6.3408181, 6.0964272, 0.3552631", \
+					  "6.3720546, 6.3707332, 6.3707922, 6.3707779, 6.3407316, 6.1185066, 0.3605769");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8423314, 3.8135034, 3.8153500, 3.8239820, 3.9974773, 4.1577510, 3.8258342", \
+					  "3.8234865, 3.8290697, 3.8411299, 3.8951158, 3.9833152, 4.0328351, 4.0121411", \
+					  "3.8305709, 3.8306867, 3.8381859, 3.8848864, 3.9362437, 3.9586351, 4.0479209", \
+					  "3.8244115, 3.8242874, 3.8392221, 3.8881890, 3.9589458, 3.9792264, 4.0206065", \
+					  "3.8272308, 3.8297336, 3.8413514, 3.8932214, 3.9596392, 3.9896976, 4.0050850", \
+					  "3.8298183, 3.8312889, 3.8358989, 3.9036754, 3.9424651, 3.9552852, 3.9790830", \
+					  "3.8244268, 3.8242342, 3.8303097, 3.8884901, 3.9565681, 3.9854039, 4.0243290");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1501436, 0.1498875, 0.1501159, 0.1499005, 0.1498679, 0.1499126, 0.1501065", \
+					  "0.2071603, 0.2071638, 0.2071607, 0.2072411, 0.2071605, 0.2072379, 0.2071625", \
+					  "0.2700617, 0.2700644, 0.2700620, 0.2705225, 0.2700631, 0.2705168, 0.2700654", \
+					  "0.3237785, 0.3236092, 0.3236063, 0.3237821, 0.3236078, 0.3236846, 0.3236105", \
+					  "0.3997135, 0.3999647, 0.3999598, 0.3997082, 0.3999497, 0.3996985, 0.3999496", \
+					  "0.4971319, 0.4977310, 0.4976853, 0.4971207, 0.4977082, 0.4971065, 0.4977076", \
+					  "0.6897873, 0.6907675, 0.6907918, 0.6898899, 0.6909231, 0.6899699, 0.6909438");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5766994, 2.5871526, 2.5795948, 2.5935719, 2.9052922, 2.7259016, 2.5884307", \
+					  "2.5905400, 2.5907538, 2.5852694, 2.5909882, 2.5837745, 2.6255649, 2.6354602", \
+					  "2.5899738, 2.5900028, 2.5901438, 2.5951780, 2.6040931, 2.6033997, 2.6052003", \
+					  "2.5878352, 2.5880002, 2.5859905, 2.5961629, 2.6083264, 2.5891065, 2.5972484", \
+					  "2.5876558, 2.5879066, 2.5901388, 2.5957933, 2.6063851, 2.5856322, 2.5932320", \
+					  "2.5880881, 2.5886625, 2.5886391, 2.5954808, 2.6033005, 2.6169871, 2.5933319", \
+					  "2.5883894, 2.5890858, 2.5899747, 2.5902967, 2.5958730, 2.5997132, 2.6047354");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2034699, 3.2086810, 3.2174580, 3.3058814, 3.7328652, 5.1561286, 5.3558035", \
+					  "3.2058011, 3.2087270, 3.2172718, 3.2883143, 3.7485660, 5.1212093, 5.3508021", \
+					  "3.2112256, 3.2117573, 3.2246634, 3.2904665, 3.7567211, 5.1228813, 5.3431760", \
+					  "3.2100095, 3.2106082, 3.2214123, 3.2918822, 3.7344596, 5.1296083, 5.3553570", \
+					  "3.2088300, 3.2116464, 3.2202920, 3.2919348, 3.7448704, 5.1308711, 5.3547732", \
+					  "3.2078438, 3.2097184, 3.2218692, 3.2863680, 3.7406752, 5.1199889, 5.3495661", \
+					  "3.2085013, 3.2098184, 3.2220181, 3.2915789, 3.7431732, 5.1304459, 5.3520260");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1461008, 0.1459146, 0.1459230, 0.1459164, 0.1459091, 0.1459230, 0.1460533", \
+					  "0.1943630, 0.1943699, 0.1944066, 0.1944019, 0.1943635, 0.1945756, 0.1943631", \
+					  "0.2733260, 0.2733231, 0.2731656, 0.2734285, 0.2733129, 0.2731545, 0.2733122", \
+					  "0.4067397, 0.4067385, 0.4060355, 0.4067455, 0.4067667, 0.4067766, 0.4067783", \
+					  "0.6863144, 0.6861530, 0.6852838, 0.6860698, 0.6860288, 0.6860288, 0.6860329", \
+					  "0.8272296, 0.8272309, 0.8268718, 0.8271481, 0.8268708, 0.8265998, 0.8265343", \
+					  "0.9162305, 0.9162159, 0.9152994, 0.9162327, 0.9162859, 0.9158985, 0.9158386");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1498516, 0.1501146, 0.1500414, 0.1498862, 0.1498831, 0.1499054, 0.1506382", \
+					  "0.2084136, 0.2084937, 0.2084955, 0.2084145, 0.2084125, 0.2084871, 0.2084861", \
+					  "0.2852763, 0.2857444, 0.2857535, 0.2853075, 0.2853257, 0.2857920, 0.2857915", \
+					  "0.3698207, 0.3700055, 0.3700264, 0.3699310, 0.3700838, 0.3703288, 0.3703417", \
+					  "0.4518714, 0.4516408, 0.4516720, 0.4520547, 0.4523916, 0.4523425, 0.4523844", \
+					  "0.5719255, 0.5708961, 0.5706204, 0.5706265, 0.5697030, 0.5685940, 0.5685825", \
+					  "0.7873688, 0.7863103, 0.7857334, 0.7834288, 0.7765696, 0.7724055, 0.7721607");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.9549996, 6.9606509, 6.9847527, 7.1029230, 7.1651379, 6.2822756, 0.0573278", \
+					  "6.9522380, 6.9542091, 6.9820866, 7.1098008, 7.1782894, 6.3240014, -0.0299968", \
+					  "6.9557177, 6.9577447, 6.9887559, 7.1085967, 7.1624919, 6.3218623, 0.1008299", \
+					  "6.9553805, 6.9555341, 6.9834887, 7.1047736, 7.1714816, 6.2958985, -0.0230589", \
+					  "6.9532426, 6.9567728, 6.9831983, 7.1117317, 7.1820704, 6.3213184, -0.0140670", \
+					  "6.9555164, 6.9564119, 6.9833700, 7.1025494, 7.1595437, 6.2953652, -0.0179866", \
+					  "6.9533765, 6.9568767, 6.9834436, 7.1015709, 7.1603455, 6.2740822, -0.0186904");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5399392, 3.5460840, 3.5452887, 3.6155380, 3.7229434, 4.9076269, 5.9030199", \
+					  "3.5375230, 3.5422046, 3.5586649, 3.6106745, 3.7117512, 4.9082334, 5.8100571", \
+					  "3.5362976, 3.5431207, 3.5502682, 3.6147023, 3.7178741, 4.9149578, 5.8144401", \
+					  "3.5404611, 3.5444076, 3.5609859, 3.6137571, 3.7106592, 4.9120319, 5.8122871", \
+					  "3.5410777, 3.5456101, 3.5602937, 3.6138169, 3.7126692, 4.9120973, 5.8127875", \
+					  "3.5399100, 3.5455357, 3.5613672, 3.6137585, 3.7107447, 4.9118685, 5.7967427", \
+					  "3.5417107, 3.5425351, 3.5625265, 3.6122775, 3.7224632, 4.9116981, 5.7963069");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1459079, 0.1457166, 0.1459094, 0.1459059, 0.1458827, 0.1459002, 0.1459058", \
+					  "0.1943569, 0.1943962, 0.1943577, 0.1943554, 0.1943655, 0.1943508, 0.1943504", \
+					  "0.2731635, 0.2731482, 0.2732132, 0.2732078, 0.2731981, 0.2731944, 0.2732332", \
+					  "0.4075052, 0.4069255, 0.4075118, 0.4075197, 0.4075761, 0.4076031, 0.4076079", \
+					  "0.6956649, 0.6950008, 0.6957567, 0.6956810, 0.6954975, 0.6956040, 0.6956211", \
+					  "0.8543069, 0.8540261, 0.8542806, 0.8540744, 0.8532059, 0.8524440, 0.8522416", \
+					  "0.9554002, 0.9544186, 0.9554051, 0.9555910, 0.9553626, 0.9542210, 0.9538401");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6259139, 5.6009608, 5.6748244, 5.5253993, 5.5744139, 4.3328935, -13.0079118", \
+					  "5.6119339, 5.6111910, 5.6064209, 5.5841870, 5.4241036, 4.2200768, -12.7808531", \
+					  "5.6147343, 5.6205838, 5.6119900, 5.5856599, 5.4278018, 4.2235668, -12.6431903", \
+					  "5.6129171, 5.6141357, 5.6065272, 5.5868767, 5.4751228, 4.1817967, -12.7862023", \
+					  "5.6144824, 5.6141476, 5.6087361, 5.5866123, 5.4322635, 4.2022171, -12.4356009", \
+					  "5.6134541, 5.6139706, 5.6099083, 5.5865242, 5.4253476, 4.1791413, -12.7559788", \
+					  "5.6108831, 5.6136148, 5.6060562, 5.5852504, 5.4195105, 4.1832867, -12.7515388");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1500943, 0.1501091, 0.1501130, 0.1496218, 0.1498601, 0.1496889, 0.1501468", \
+					  "0.2086319, 0.2084335, 0.2085000, 0.2084987, 0.2084234, 0.2081562, 0.2084504", \
+					  "0.2857426, 0.2852995, 0.2857477, 0.2857519, 0.2857591, 0.2850309, 0.2853341", \
+					  "0.3702377, 0.3699574, 0.3699651, 0.3699750, 0.3699884, 0.3698109, 0.3698579", \
+					  "0.4516251, 0.4516350, 0.4516355, 0.4517307, 0.4516751, 0.4519145, 0.4519778", \
+					  "0.5683242, 0.5678849, 0.5683071, 0.5681966, 0.5679323, 0.5690349, 0.5690992", \
+					  "0.7653898, 0.7653537, 0.7651539, 0.7645859, 0.7640776, 0.7648581, 0.7649117");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2152076, 6.2159405, 6.2006997, 6.1742842, 6.1268599, 4.8079284, -12.3213285", \
+					  "6.2132343, 6.2118340, 6.2045546, 6.1922810, 6.0246664, 4.8226522, -12.3665682", \
+					  "6.2168954, 6.2147752, 6.2052949, 6.1871363, 6.0290500, 4.8250288, -12.3676679", \
+					  "6.2159871, 6.2143070, 6.2055350, 6.1918445, 6.0429510, 4.8852269, -12.3784051", \
+					  "6.2135919, 6.2129821, 6.2036966, 6.1839099, 6.0286859, 4.7729140, -12.2995412", \
+					  "6.2143394, 6.2144999, 6.2131949, 6.1947444, 6.0227626, 4.8478626, -12.5447413", \
+					  "6.2162916, 6.2150513, 6.2131483, 6.1959520, 6.0484710, 4.8606681, -12.3436735");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0147601, 3.0085941, 3.1196400, 2.9459725, 2.8180065, 2.9510407, 2.8736690", \
+					  "3.0256150, 3.0238284, 3.0257622, 3.0293941, 3.0442591, 3.0696533, 3.0465685", \
+					  "3.0238258, 3.0235892, 3.0277782, 3.0298290, 3.0261731, 3.0548984, 3.0235801", \
+					  "3.0290347, 3.0295677, 3.0288083, 3.0318115, 3.0450501, 3.0237358, 3.0284228", \
+					  "3.0261294, 3.0268753, 3.0330139, 3.0439374, 3.0460583, 2.9930044, 3.0608397", \
+					  "3.0160113, 3.0215538, 3.0243810, 3.0427918, 3.0029287, 3.0402771, 3.0761564", \
+					  "3.0281013, 3.0270857, 3.0351014, 3.0335837, 3.0842873, 3.0456984, 3.0350472");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1498770, 0.1498999, 0.1498617, 0.1499043, 0.1501133, 0.1494614, 0.1499022", \
+					  "0.2071690, 0.2071692, 0.2072434, 0.2072140, 0.2072364, 0.2072426, 0.2073710", \
+					  "0.2705241, 0.2700662, 0.2705194, 0.2705177, 0.2705112, 0.2705179, 0.2705150", \
+					  "0.3237977, 0.3236255, 0.3237921, 0.3237886, 0.3237812, 0.3237878, 0.3237851", \
+					  "0.3996960, 0.3999447, 0.3996901, 0.3996846, 0.3996751, 0.3996783, 0.3996757", \
+					  "0.4969664, 0.4975626, 0.4968748, 0.4969498, 0.4969362, 0.4969362, 0.4969325", \
+					  "0.6909505, 0.6919213, 0.6909581, 0.6909658, 0.6910758, 0.6911046, 0.6911460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2564692, 3.2563163, 3.2770098, 3.3406163, 3.4429637, 4.6389035, 5.4732461", \
+					  "3.2574963, 3.2623210, 3.2795162, 3.3313660, 3.4343888, 4.6254305, 5.5310233", \
+					  "3.2561429, 3.2595237, 3.2702176, 3.3352704, 3.4364128, 4.6259797, 5.5310422", \
+					  "3.2512745, 3.2582607, 3.2831867, 3.3334011, 3.4367535, 4.6306854, 5.5316405", \
+					  "3.2508670, 3.2581088, 3.2693602, 3.3328108, 3.4368471, 4.6303950, 5.5290368", \
+					  "3.2550025, 3.2586203, 3.2688994, 3.3329493, 3.4382622, 4.6351819, 5.5289449", \
+					  "3.2504628, 3.2575383, 3.2695248, 3.3325666, 3.4322291, 4.6300557, 5.5313833");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2152701, 6.2056029, 6.2167940, 6.1730530, 6.1237443, 4.7109821, -12.3971975", \
+					  "6.2126758, 6.2117975, 6.2045598, 6.1912623, 6.0276640, 4.8680742, -12.2956922", \
+					  "6.2171873, 6.2147544, 6.2052706, 6.1877560, 6.0244925, 4.8738044, -12.4286549", \
+					  "6.2159459, 6.2141752, 6.2098575, 6.1925410, 6.0400645, 4.8588297, -12.4020401", \
+					  "6.2104637, 6.2129730, 6.2036710, 6.1832845, 6.0360616, 4.8830004, -12.3553192", \
+					  "6.2144734, 6.2145016, 6.2131772, 6.1952856, 6.0226742, 4.8724133, -12.3934963", \
+					  "6.2162765, 6.2150587, 6.2132038, 6.1960461, 6.0486354, 4.8876244, -12.2687435");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0050782, 3.0305702, 2.9800943, 2.9874975, 3.0533523, 2.8725182, 3.4247527", \
+					  "3.0255885, 3.0240878, 3.0238544, 3.0294513, 3.0443762, 3.0220308, 3.0446553", \
+					  "3.0226566, 3.0266497, 3.0317080, 3.0298230, 3.0437500, 3.0514636, 3.0281715", \
+					  "3.0290100, 3.0283209, 3.0288955, 3.0317929, 3.0280372, 3.0693484, 3.0519536", \
+					  "3.0252748, 3.0264868, 3.0296303, 3.0391624, 3.0407533, 3.0835910, 3.0462984", \
+					  "3.0294719, 3.0295415, 3.0243818, 3.0428475, 3.0400492, 3.0356803, 3.0783148", \
+					  "3.0269330, 3.0223084, 3.0332543, 3.0335692, 3.0264377, 3.0299102, 3.0348748");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3105406, 6.3076532, 6.3098259, 6.3282170, 6.2887785, 6.1903126, 0.1991025", \
+					  "6.3035423, 6.3053221, 6.3047367, 6.3037869, 6.2753340, 6.0124401, 0.2893248", \
+					  "6.3081818, 6.3085504, 6.3079819, 6.3117002, 6.2807584, 6.0870562, 0.1750228", \
+					  "6.3068055, 6.3072140, 6.3059087, 6.3072379, 6.2846249, 6.0434016, 0.3427890", \
+					  "6.3060834, 6.3045235, 6.3050287, 6.3091864, 6.2688194, 6.0572816, 0.2844759", \
+					  "6.3065369, 6.3059827, 6.3055872, 6.3058747, 6.2753184, 6.0435706, 0.0363845", \
+					  "6.3075476, 6.3066968, 6.3059296, 6.3060792, 6.2784182, 6.0484047, 0.0276953");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1513974, 0.1516706, 0.1508309, 0.1514164, 0.1508917, 0.1514015, 0.1513898", \
+					  "0.2093732, 0.2092945, 0.2094267, 0.2094069, 0.2093089, 0.2092977, 0.2093773", \
+					  "0.2713974, 0.2713968, 0.2715680, 0.2714934, 0.2718654, 0.2717611, 0.2718503", \
+					  "0.3293788, 0.3293822, 0.3290733, 0.3293040, 0.3297667, 0.3301364, 0.3301859", \
+					  "0.4599875, 0.4598490, 0.4593875, 0.4575641, 0.4554662, 0.4549832, 0.4548305", \
+					  "0.7000944, 0.7001080, 0.7000913, 0.6989655, 0.6926987, 0.6896187, 0.6888089", \
+					  "0.9964861, 0.9976535, 1.0042399, 1.0288987, 1.0573621, 1.0650759, 1.0668356");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9013588, 7.9056965, 7.9415645, 8.1043640, 8.2863018, 7.5392006, 1.3977840", \
+					  "7.8948445, 7.9031833, 7.9366973, 8.0988549, 8.2853941, 7.4989147, 1.2970058", \
+					  "7.9002208, 7.9072126, 7.9385261, 8.1033014, 8.2904147, 7.5602427, 1.3195975", \
+					  "7.8969871, 7.9056511, 7.9362924, 8.1017642, 8.2872305, 7.5248707, 1.2257413", \
+					  "7.8961461, 7.9012375, 7.9369347, 8.1025262, 8.2726323, 7.5633021, 1.2635436", \
+					  "7.8971166, 7.9065431, 7.9401048, 8.1031688, 8.2893246, 7.5587735, 1.2618743", \
+					  "7.8982466, 7.9039972, 7.9429114, 8.1025345, 8.2856624, 7.5630191, 1.2652435");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7228814, 4.7305901, 4.7637567, 4.9486071, 5.5377123, 6.4416962, 6.9450201", \
+					  "4.7161600, 4.7268806, 4.7561137, 4.9335790, 5.5299995, 6.4325145, 6.9153757", \
+					  "4.7192966, 4.7213163, 4.7605986, 4.9415763, 5.5369520, 6.4363229, 6.9256684", \
+					  "4.7113162, 4.7212509, 4.7709152, 4.9395256, 5.5308251, 6.4333402, 6.9152685", \
+					  "4.7169587, 4.7285380, 4.7580036, 4.9382722, 5.5339085, 6.4329875, 6.9210095", \
+					  "4.7216518, 4.7319001, 4.7711850, 4.9387611, 5.5345011, 6.4344943, 6.9148561", \
+					  "4.7211938, 4.7257757, 4.7607065, 4.9456163, 5.5338610, 6.4341196, 6.9244492");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1501381, 0.1499161, 0.1500173, 0.1501245, 0.1498783, 0.1501254, 0.1499045", \
+					  "0.2071724, 0.2072504, 0.2072485, 0.2072490, 0.2072431, 0.2071652, 0.2071661", \
+					  "0.2700751, 0.2705320, 0.2705297, 0.2705294, 0.2705230, 0.2698110, 0.2700670", \
+					  "0.3236247, 0.3237961, 0.3237927, 0.3237907, 0.3236193, 0.3236122, 0.3236134", \
+					  "0.3999490, 0.3996989, 0.3996953, 0.3998974, 0.3996805, 0.3999271, 0.3999286", \
+					  "0.4975734, 0.4969747, 0.4969702, 0.4969615, 0.4969465, 0.4975372, 0.4959675", \
+					  "0.6948768, 0.6938973, 0.6938999, 0.6939375, 0.6935793, 0.6950775, 0.6950889");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5946327, 2.5998020, 2.6146066, 2.6271089, 2.8595198, 4.0110173, 4.4753495", \
+					  "2.6012981, 2.6052680, 2.6063116, 2.6473719, 2.8782959, 3.9166701, 4.4980085", \
+					  "2.6062440, 2.6076376, 2.6116756, 2.6474038, 2.8566459, 3.9016849, 4.5345285", \
+					  "2.6057431, 2.6047925, 2.6097061, 2.6465714, 2.8627177, 3.9125689, 4.5364140", \
+					  "2.6046272, 2.6040075, 2.6122463, 2.6464273, 2.8899354, 3.9055057, 4.5581360", \
+					  "2.6050851, 2.6055722, 2.6106078, 2.6481130, 2.8515572, 3.9083831, 4.5626410", \
+					  "2.6032436, 2.6051613, 2.6105108, 2.6467298, 2.8801740, 3.9091215, 4.5332759");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1499151, 0.1501775, 0.1501551, 0.1501265, 0.1503776, 0.1499124, 0.1503829", \
+					  "0.2072433, 0.2071673, 0.2071680, 0.2071651, 0.2071657, 0.2072481, 0.2071670", \
+					  "0.2705213, 0.2700666, 0.2700670, 0.2705221, 0.2705228, 0.2705279, 0.2705250", \
+					  "0.3237794, 0.3235600, 0.3236104, 0.3237801, 0.3237812, 0.3237865, 0.3237833", \
+					  "0.3997160, 0.3999666, 0.3997162, 0.3997080, 0.3997034, 0.3997064, 0.3996769", \
+					  "0.4973080, 0.4977380, 0.4971369, 0.4972229, 0.4971643, 0.4971192, 0.4971159", \
+					  "0.6898099, 0.6907869, 0.6898426, 0.6899089, 0.6899724, 0.6899922, 0.6899940");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5693912, 2.6193285, 2.5804071, 2.7003865, 2.7625826, 2.7224190, 2.5898278", \
+					  "2.5905530, 2.5907781, 2.5852055, 2.5910506, 2.5973739, 2.5745400, 2.6045524", \
+					  "2.5907316, 2.5903715, 2.5907882, 2.5950548, 2.6047575, 2.6000660, 2.6050431", \
+					  "2.5895027, 2.5861763, 2.5910980, 2.5961920, 2.6080633, 2.5941133, 2.5911188", \
+					  "2.5872566, 2.5878160, 2.5903027, 2.5955392, 2.5946103, 2.5819784, 2.5992808", \
+					  "2.5864538, 2.5888214, 2.5899028, 2.5957675, 2.6032329, 2.5959113, 2.6181207", \
+					  "2.5869157, 2.5873565, 2.5884121, 2.5954972, 2.6050080, 2.5974329, 2.6091007");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9314438, 2.9282391, 2.9407127, 3.0171914, 3.4936523, 4.8185688, 5.1252892", \
+					  "2.9264304, 2.9260364, 2.9382833, 3.0080497, 3.4717500, 4.8487297, 5.0654118", \
+					  "2.9287252, 2.9297155, 2.9402335, 3.0137843, 3.4660330, 4.8418908, 5.0504015", \
+					  "2.9275565, 2.9283694, 2.9398496, 3.0107941, 3.4656111, 4.8470484, 5.0453095", \
+					  "2.9267890, 2.9275230, 2.9396262, 3.0108717, 3.4602095, 4.8504065, 5.0648669", \
+					  "2.9268061, 2.9276589, 2.9399154, 3.0138432, 3.4653963, 4.8497108, 5.0702397", \
+					  "2.9266878, 2.9286122, 2.9437175, 3.0148703, 3.4651368, 4.8491682, 5.0780879");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1502858, 0.1499209, 0.1501892, 0.1500574, 0.1502531, 0.1498919, 0.1499123", \
+					  "0.2072539, 0.2072526, 0.2071765, 0.2071722, 0.2072481, 0.2072307, 0.2072442", \
+					  "0.2700362, 0.2705274, 0.2700710, 0.2700470, 0.2705208, 0.2700615, 0.2705166", \
+					  "0.3237979, 0.3237959, 0.3236242, 0.3236182, 0.3237866, 0.3236123, 0.3237876", \
+					  "0.3997310, 0.3997287, 0.3999771, 0.3997199, 0.3997153, 0.3999612, 0.3997100", \
+					  "0.4973409, 0.4971595, 0.4977562, 0.4971484, 0.4971441, 0.4977379, 0.4971955", \
+					  "0.6875747, 0.6875726, 0.6885363, 0.6875746, 0.6876038, 0.6885868, 0.6876264");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1495707, 0.1499258, 0.1499133, 0.1495957, 0.1501543, 0.1501507, 0.1500710", \
+					  "0.2072309, 0.2072527, 0.2071742, 0.2071715, 0.2071739, 0.2071728, 0.2072450", \
+					  "0.2700772, 0.2705341, 0.2700092, 0.2700713, 0.2700734, 0.2700731, 0.2705261", \
+					  "0.3236298, 0.3238014, 0.3236270, 0.3236215, 0.3236232, 0.3236232, 0.3237883", \
+					  "0.3999328, 0.3996828, 0.3999288, 0.3999210, 0.3995690, 0.3999149, 0.3991636", \
+					  "0.4975117, 0.4969133, 0.4975065, 0.4974952, 0.4974887, 0.4974808, 0.4968748", \
+					  "0.6951670, 0.6941879, 0.6951693, 0.6952026, 0.6953007, 0.6953675, 0.6943989");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.6193064, 2.5917295, 2.6104413, 2.6360506, 2.8781551, 3.9768485, 4.4085700", \
+					  "2.6023582, 2.6033362, 2.6082443, 2.6459592, 2.8175038, 3.8753156, 4.5509375", \
+					  "2.6058992, 2.6063305, 2.6125770, 2.6474636, 2.8641238, 3.9143987, 4.5497794", \
+					  "2.6044267, 2.6058815, 2.6113535, 2.6466321, 2.8713716, 3.8979530, 4.5145192", \
+					  "2.6039060, 2.6059723, 2.6110589, 2.6462009, 2.8694880, 3.9076415, 4.5232706", \
+					  "2.6036791, 2.6044988, 2.6103893, 2.6457835, 2.8805781, 3.8973607, 4.5396108", \
+					  "2.6039969, 2.6045173, 2.6111548, 2.6444036, 2.8701661, 3.9000528, 4.5364240");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1503771, 0.1500854, 0.1501018, 0.1507432, 0.1501502, 0.1501135, 0.1507586", \
+					  "0.2072455, 0.2072445, 0.2072474, 0.2072457, 0.2071683, 0.2071525, 0.2071680", \
+					  "0.2705214, 0.2705207, 0.2705239, 0.2705228, 0.2700992, 0.2700677, 0.2701027", \
+					  "0.3237795, 0.3238157, 0.3237818, 0.3237807, 0.3236097, 0.3236113, 0.3236096", \
+					  "0.3997210, 0.3997197, 0.3997207, 0.3995865, 0.3999588, 0.3999585, 0.3999569", \
+					  "0.4971320, 0.4971305, 0.4971181, 0.4971202, 0.4977113, 0.4977105, 0.4977083", \
+					  "0.6901358, 0.6900772, 0.6901039, 0.6901790, 0.6912059, 0.6912710, 0.6912255");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.6039102, 2.6067320, 2.6106507, 2.6590634, 2.6600565, 2.5874510, 2.7208289", \
+					  "2.5856934, 2.5825418, 2.5840543, 2.5999398, 2.5947640, 2.5814613, 2.5643112", \
+					  "2.5889117, 2.5898333, 2.5910961, 2.5948570, 2.5995614, 2.6087591, 2.6083009", \
+					  "2.5895937, 2.5899305, 2.5892041, 2.5945741, 2.5966762, 2.6041409, 2.6090769", \
+					  "2.5884858, 2.5887083, 2.5890893, 2.5921699, 2.5963051, 2.5993274, 2.6021901", \
+					  "2.5876293, 2.5861218, 2.5891476, 2.5926506, 2.6002767, 2.5928831, 2.6015374", \
+					  "2.5881582, 2.5895210, 2.5867808, 2.5846523, 2.5962362, 2.6028387, 2.6013170");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4834978, 7.4904809, 7.5226470, 7.6418572, 7.6991222, 6.7833161, 0.5465050", \
+					  "7.4873623, 7.4888914, 7.5159540, 7.6333245, 7.6957503, 6.8284124, 0.5184201", \
+					  "7.4916500, 7.4946129, 7.5210553, 7.6400617, 7.7125437, 6.8420924, 0.5170780", \
+					  "7.4897520, 7.4916229, 7.5226311, 7.6384126, 7.7066862, 6.8252523, 0.5131538", \
+					  "7.4886275, 7.4924647, 7.5207641, 7.6377235, 7.6926154, 6.8186018, 0.6038576", \
+					  "7.4885458, 7.4940354, 7.5190752, 7.6360442, 7.6962096, 6.8124495, 0.5185454", \
+					  "7.4892421, 7.4942538, 7.5187099, 7.6363987, 7.6958538, 6.8243573, 0.5201425");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1514512, 0.1514879, 0.1515834, 0.1514176, 0.1521439, 0.1510467, 0.1512685", \
+					  "0.2093670, 0.2093570, 0.2093810, 0.2093822, 0.2093783, 0.2093195, 0.2093011", \
+					  "0.2713274, 0.2714076, 0.2714186, 0.2714939, 0.2717042, 0.2718571, 0.2719425", \
+					  "0.3290834, 0.3290557, 0.3294041, 0.3295397, 0.3299253, 0.3301414, 0.3301873", \
+					  "0.4599682, 0.4598412, 0.4593801, 0.4577064, 0.4555848, 0.4549289, 0.4549831", \
+					  "0.7006592, 0.7002046, 0.7001872, 0.6990605, 0.6932370, 0.6895758, 0.6887570", \
+					  "0.9967630, 0.9980652, 1.0046996, 1.0293051, 1.0578185, 1.0663250, 1.0675785");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.9569694, 6.9515783, 6.9891633, 7.0976208, 7.1922317, 6.2461413, -0.2171972", \
+					  "6.9509357, 6.9540382, 6.9824443, 7.1097787, 7.1608581, 6.3311411, -0.0210113", \
+					  "6.9559475, 6.9579468, 6.9891141, 7.1102702, 7.1627695, 6.3087855, -0.0161412", \
+					  "6.9552717, 6.9549360, 6.9844519, 7.1097683, 7.1719734, 6.2938368, -0.0239375", \
+					  "6.9532487, 6.9549136, 6.9832811, 7.1119490, 7.1819690, 6.3201076, -0.0195185", \
+					  "6.9530756, 6.9571691, 6.9827862, 7.1117469, 7.1646880, 6.3216363, -0.0181698", \
+					  "6.9550552, 6.9568177, 6.9869794, 7.1114098, 7.1831883, 6.3267484, -0.0154100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1500782, 0.1506358, 0.1500802, 0.1501053, 0.1498982, 0.1496335, 0.1501131", \
+					  "0.2084523, 0.2084969, 0.2084199, 0.2084155, 0.2081515, 0.2083472, 0.2084178", \
+					  "0.2857398, 0.2857420, 0.2857496, 0.2857675, 0.2853274, 0.2857938, 0.2854484", \
+					  "0.3699907, 0.3699949, 0.3700211, 0.3700980, 0.3700784, 0.3704035, 0.3702934", \
+					  "0.4516300, 0.4514837, 0.4516659, 0.4518094, 0.4523893, 0.4523429, 0.4529159", \
+					  "0.5709457, 0.5708957, 0.5706251, 0.5696499, 0.5699467, 0.5685960, 0.5695308", \
+					  "0.7864060, 0.7863140, 0.7857357, 0.7824560, 0.7765700, 0.7724065, 0.7730184");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5563874, 7.5614753, 7.5888970, 7.7108116, 7.7819335, 6.9683330, 0.5426142", \
+					  "7.5539869, 7.5534136, 7.5813088, 7.7095032, 7.7686754, 6.9332118, 0.6036691", \
+					  "7.5566579, 7.5580102, 7.5863365, 7.7134023, 7.7811468, 6.9280848, 0.5520447", \
+					  "7.5547417, 7.5587845, 7.5857456, 7.7049289, 7.7809575, 6.9327328, 0.5450648", \
+					  "7.5518729, 7.5568663, 7.5838248, 7.7070656, 7.7778354, 6.9634842, 0.5490434", \
+					  "7.5525320, 7.5564646, 7.5848707, 7.7093111, 7.7608593, 6.9262053, 0.5499858", \
+					  "7.5531190, 7.5556621, 7.5846034, 7.7119919, 7.7682993, 6.8787053, 0.6148177");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8253216, 3.8716500, 3.8789124, 3.7824779, 3.7794404, 4.1755298, 4.2083590", \
+					  "3.8276321, 3.8302749, 3.8433825, 3.8934952, 3.9619773, 3.9888516, 3.9761070", \
+					  "3.8320510, 3.8350287, 3.8469328, 3.8983162, 3.9642079, 3.9907223, 3.9832777", \
+					  "3.8242089, 3.8363303, 3.8475063, 3.9111437, 3.9839492, 3.9840789, 3.9828078", \
+					  "3.8277347, 3.8312163, 3.8476437, 3.8964488, 3.9730104, 4.0146225, 4.0233903", \
+					  "3.8311315, 3.8322000, 3.8480155, 3.8944645, 3.9809261, 3.9892237, 4.0031154", \
+					  "3.8303812, 3.8349917, 3.8494272, 3.8956329, 3.9878156, 4.0178441, 4.0331708");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7114001, 6.7114764, 6.7161563, 6.7576470, 6.8444213, 6.7324037, 1.1377812", \
+					  "6.7044751, 6.7046221, 6.7142329, 6.7555696, 6.8481770, 6.6664564, 1.1052647", \
+					  "6.7075286, 6.7090217, 6.7171264, 6.7582775, 6.8622958, 6.7275408, 1.0544513", \
+					  "6.7040932, 6.7070700, 6.7119550, 6.7552389, 6.8529953, 6.7401789, 1.1160090", \
+					  "6.7053769, 6.7075518, 6.7137491, 6.7537058, 6.8525469, 6.6252877, 1.0658726", \
+					  "6.7063326, 6.7085890, 6.7146840, 6.7557293, 6.8536227, 6.6349674, 1.0697688", \
+					  "6.7064213, 6.7088417, 6.7139053, 6.7552433, 6.8466012, 6.7429164, 1.0777478");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8575038, 3.8496138, 3.8737022, 3.9288222, 4.1210920, 5.3175498, 5.4914914", \
+					  "3.8373443, 3.8418785, 3.8605640, 3.9448729, 4.2442524, 5.2989608, 5.9105050", \
+					  "3.8436824, 3.8458395, 3.8640285, 3.9472848, 4.2429949, 5.2484979, 5.9409665", \
+					  "3.8425489, 3.8470249, 3.8645040, 3.9486146, 4.2119765, 5.3037147, 5.9619876", \
+					  "3.8433923, 3.8458205, 3.8627709, 3.9463738, 4.2248047, 5.2946997, 5.9265077", \
+					  "3.8449537, 3.8476239, 3.8616370, 3.9495975, 4.2035245, 5.3167729, 5.9165549", \
+					  "3.8440370, 3.8465745, 3.8667874, 3.9476737, 4.2016749, 5.2959932, 5.9267148");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5505067, 6.5416574, 6.5493969, 6.5759382, 6.5480494, 5.5627599, -11.5874235", \
+					  "6.5464630, 6.5447621, 6.5465445, 6.5636051, 6.5098924, 5.4740257, -11.3528712", \
+					  "6.5416044, 6.5441588, 6.5521945, 6.5670650, 6.5419713, 5.4825250, -11.4896329", \
+					  "6.5338587, 6.5451535, 6.5478028, 6.5696946, 6.5278626, 5.4060100, -11.4923851", \
+					  "6.5389223, 6.5463066, 6.5483774, 6.5648929, 6.5390542, 5.4893322, -11.3515052", \
+					  "6.5437031, 6.5474436, 6.5506242, 6.5657983, 6.5386069, 5.4768451, -11.4439653", \
+					  "6.5404130, 6.5477257, 6.5498655, 6.5666099, 6.5380117, 5.5036564, -11.5830735");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0364351, 3.0483049, 3.0436413, 3.0864697, 3.3826846, 4.5603105, 4.8319960", \
+					  "3.0396216, 3.0392239, 3.0473361, 3.0817788, 3.2942341, 4.3488458, 4.9793917", \
+					  "3.0433304, 3.0455016, 3.0513333, 3.0860074, 3.2858200, 4.3468242, 4.9964599", \
+					  "3.0437809, 3.0447361, 3.0509473, 3.0851361, 3.3100605, 4.3423999, 4.9459466", \
+					  "3.0425496, 3.0433595, 3.0498487, 3.0779176, 3.3037272, 4.3672268, 4.9390120", \
+					  "3.0430750, 3.0442117, 3.0478329, 3.0850631, 3.2956741, 4.3770589, 4.9623060", \
+					  "3.0428959, 3.0432704, 3.0514633, 3.0864013, 3.2919445, 4.3443438, 4.9495832");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0174087, 3.0231548, 3.0282208, 3.1016612, 3.3407940, 3.9808483, 4.2731622", \
+					  "3.0763958, 3.0791471, 3.0854232, 3.1341770, 3.3385587, 3.9808186, 4.3227053", \
+					  "3.1553572, 3.1582947, 3.1645666, 3.2148741, 3.4235273, 4.0654922, 4.3984306", \
+					  "3.2386662, 3.2406223, 3.2500711, 3.2987261, 3.5068996, 4.1487478, 4.4805530", \
+					  "3.3202901, 3.3220066, 3.3322842, 3.3798038, 3.5894572, 4.2289175, 4.5673219", \
+					  "3.4386833, 3.4400076, 3.4475796, 3.4974992, 3.7055698, 4.3481385, 4.6872646", \
+					  "3.6456406, 3.6481518, 3.6575516, 3.7018850, 3.9080935, 4.5477805, 4.8856697");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2159713, 6.2105516, 6.2239659, 6.2429882, 6.2298662, 5.3470254, -5.0788627", \
+					  "6.2683388, 6.2688762, 6.2753202, 6.3057374, 6.2508511, 5.4690993, -4.9242081", \
+					  "6.3348225, 6.3357074, 6.3422524, 6.3710726, 6.3133884, 5.5149269, -4.8718394", \
+					  "6.3876345, 6.3872346, 6.3939149, 6.4229008, 6.3692296, 5.5504540, -4.8593692", \
+					  "6.4623265, 6.4626117, 6.4691202, 6.4999249, 6.4475461, 5.6373497, -4.7605794", \
+					  "6.5603128, 6.5617062, 6.5671671, 6.5960997, 6.5399777, 5.7344733, -4.6261606", \
+					  "6.7545549, 6.7556705, 6.7607725, 6.7905833, 6.7327848, 5.9270694, -4.4683551");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.3587696, 11.3611557, 11.3783864, 11.4528554, 11.4714558, 10.1931803, -7.2057552", \
+					  "11.3704668, 11.3717989, 11.3856281, 11.4631708, 11.4496259, 10.1868571, -7.1392078", \
+					  "11.4017366, 11.4033060, 11.4181245, 11.4937347, 11.4915785, 10.2339524, -7.2092274", \
+					  "11.4419816, 11.4470304, 11.4609131, 11.5380878, 11.5353512, 10.2730623, -7.1387175", \
+					  "11.5357799, 11.5395666, 11.5533516, 11.6277698, 11.6201946, 10.3671806, -7.0064577", \
+					  "11.5879174, 11.5920008, 11.6079836, 11.6811834, 11.6705223, 10.3988743, -7.0672312", \
+					  "11.6202564, 11.6233740, 11.6399266, 11.7139712, 11.7093956, 10.4589049, -7.0381243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0451843, 6.0573049, 6.0814519, 6.1334624, 6.5062413, 7.7210985, 8.1985986", \
+					  "6.0608997, 6.0662227, 6.0875051, 6.1988514, 6.5976667, 7.6876464, 8.2390348", \
+					  "6.0863776, 6.0910323, 6.1138496, 6.2232191, 6.6087446, 7.6933354, 8.2689594", \
+					  "6.1026324, 6.1081976, 6.1368170, 6.2454004, 6.6300519, 7.7214887, 8.2832440", \
+					  "6.1479384, 6.1543275, 6.1758330, 6.2875423, 6.6763331, 7.7690710, 8.3275783", \
+					  "6.2294220, 6.2352911, 6.2571505, 6.3708382, 6.7394063, 7.8407005, 8.4038380", \
+					  "6.3288839, 6.3319423, 6.3618418, 6.4775963, 6.8786339, 7.9687670, 8.5304070");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4964515, 7.4978983, 7.4978984, 7.4978989, 7.4978993, 7.4978998, 7.4979003", \
+					  "7.5205282, 7.5638473, 7.5708213, 7.5708216, 7.5708221, 7.5708225, 7.6539424", \
+					  "7.5906250, 7.5906251, 7.5957560, 7.5957563, 7.5957568, 7.5957573, 7.6615487", \
+					  "7.5549336, 7.5635889, 7.5683309, 7.5683311, 7.5683315, 7.5683320, 7.6901951", \
+					  "7.5959061, 7.5959065, 7.5959070, 7.5959075, 7.5959080, 7.5959085, 7.6757622", \
+					  "7.5983939, 7.5992004, 7.5992005, 7.6068414, 7.6068419, 7.6068423, 7.6806437", \
+					  "7.5564568, 7.5564569, 7.5564573, 7.5564578, 7.5564583, 7.5564588, 7.6789997");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2013110, 18.4204720, 19.7774120, 28.1211140, 79.0290640, 391.0381800, 2312.6959000", \
+					  "18.2491960, 18.4777440, 19.8371540, 28.1759590, 79.0859640, 391.0920700, 2312.6318000", \
+					  "18.2724750, 18.4917610, 19.8518770, 28.1964350, 79.0965730, 391.1135000, 2312.7504000", \
+					  "18.2785480, 18.4997260, 19.8639130, 28.2056960, 79.1127870, 391.1180800, 2312.8737000", \
+					  "18.2872690, 18.5067820, 19.8576280, 28.2054200, 79.1145850, 391.1220600, 2312.5726000", \
+					  "18.2865460, 18.5059080, 19.8598200, 28.2065450, 79.1098810, 391.1226000, 2312.5322000", \
+					  "18.2823320, 18.5017580, 19.8575430, 28.2020650, 79.1093200, 391.1145500, 2312.8482000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6870610, 17.1277050, 19.7636930, 36.0790470, 137.0718900, 757.7998000, 4595.1588000", \
+					  "16.6711840, 17.1182690, 19.7420600, 36.0773240, 136.9042300, 759.5284600, 4594.9105000", \
+					  "16.6872860, 17.1270250, 19.7640130, 36.0795840, 136.8659100, 757.9064300, 4595.9364000", \
+					  "16.6689900, 17.1095830, 19.7420280, 36.0522390, 136.8806400, 759.5344900, 4595.2461000", \
+					  "16.6869930, 17.1277730, 19.7652420, 36.0852860, 137.0693600, 758.0974900, 4595.2870000", \
+					  "16.6872200, 17.1270910, 19.7652880, 36.0839150, 136.8700300, 758.2168600, 4594.8818000", \
+					  "16.6870620, 17.1275420, 19.7639200, 36.0802800, 137.0707800, 759.4962900, 4593.1579000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.7234130, 12.7860360, 13.1635640, 15.1908250, 20.0325480, 41.1449930, 82.8498230", \
+					  "12.8238330, 12.8850830, 13.2628650, 15.2932140, 20.1312300, 41.2383830, 82.9427340", \
+					  "12.8793220, 12.9416180, 13.3190520, 15.3468060, 20.1881940, 41.3008690, 83.0139300", \
+					  "12.9312610, 12.9929580, 13.3696650, 15.3873390, 20.2374400, 41.3377630, 83.0699420", \
+					  "12.9725730, 13.0335580, 13.4118010, 15.4413070, 20.2805360, 41.3864960, 83.0935750", \
+					  "13.0154670, 13.0764080, 13.4537980, 15.4808750, 20.3244390, 41.4267910, 83.1505740", \
+					  "13.0564790, 13.1161510, 13.4932390, 15.5075190, 20.3612380, 41.4767140, 83.1736670");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7535335, 3.8111621, 4.0984913, 4.8937077, 7.9601250, 26.1663760, 57.3118410", \
+					  "3.7503489, 3.8100598, 4.1002850, 4.8944195, 7.9735116, 26.1296820, 57.2984330", \
+					  "3.7530654, 3.8106829, 4.0988999, 4.8941171, 7.9598239, 26.1644180, 57.1383540", \
+					  "3.7501162, 3.8082248, 4.1044896, 4.8955449, 7.9708835, 26.1678640, 57.1233510", \
+					  "3.7508494, 3.8110568, 4.0998858, 4.8934390, 7.9729991, 26.1329250, 57.3043200", \
+					  "3.7529699, 3.8104377, 4.0996544, 4.8950550, 7.9590494, 26.1470720, 57.1800350", \
+					  "3.7492094, 3.8091268, 4.1023154, 4.8957265, 7.9758751, 26.1202510, 57.2957970");
+				}
+			}
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("7.7401099, 7.7527789, 7.8286320, 8.3217913, 11.5538730, 32.1609120, 160.3254700", \
+                                          "7.8043740, 7.8165119, 7.8912166, 8.3848812, 11.6099870, 32.2343220, 160.3929000", \
+                                          "7.8283646, 7.8401721, 7.9155891, 8.4091321, 11.6383450, 32.2545230, 160.4163100", \
+                                          "7.8381133, 7.8502165, 7.9259230, 8.4192378, 11.6456280, 32.2677920, 160.4264800", \
+                                          "7.8427798, 7.8547471, 7.9294367, 8.4232648, 11.6539360, 32.2671920, 160.4259800", \
+                                          "7.8430684, 7.8548634, 7.9303399, 8.4238412, 11.6540380, 32.2611160, 160.4306600", \
+                                          "7.8388460, 7.8507547, 7.9256965, 8.4195113, 11.6498730, 32.2624240, 160.4231400");
+                                }
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("1.5687266, 1.5965997, 1.7796654, 2.9528966, 10.5817590, 58.3431900, 352.2241900", \
+                                          "1.5707218, 1.5987807, 1.7798975, 2.9513076, 10.5809970, 58.3000490, 352.8217300", \
+                                          "1.5702614, 1.5990725, 1.7792561, 2.9502965, 10.5885220, 58.2191820, 352.8684500", \
+                                          "1.5697654, 1.5990274, 1.7771277, 2.9504463, 10.5885990, 58.2085140, 352.6571100", \
+                                          "1.5708845, 1.5985371, 1.7793236, 2.9510903, 10.5884480, 58.3577880, 352.7935900", \
+                                          "1.5701344, 1.5991360, 1.7791714, 2.9500997, 10.5833320, 58.3273090, 352.8366500", \
+                                          "1.5706518, 1.5988680, 1.7796042, 2.9510807, 10.5886580, 58.3661580, 352.7296700");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211"); 
+                                        values("125.7377100, 125.7516700, 125.8368200, 126.3591000, 129.2020800, 140.2771400, 186.8604600", \
+                                          "125.8383000, 125.8528500, 125.9364400, 126.4570500, 129.2762600, 140.3595700, 186.9632100", \
+                                          "125.8925600, 125.9063500, 125.9914500, 126.5208600, 129.3486900, 140.4302900, 187.0113700", \
+                                          "125.9397200, 125.9537800, 126.0382900, 126.5607100, 129.3814500, 140.4642200, 187.0669500", \
+                                          "126.0085000, 126.0219700, 126.1066300, 126.6279700, 129.4398000, 140.5364900, 187.1200200", \
+                                          "126.0398800, 126.0470300, 126.1321100, 126.6701600, 129.4683500, 140.5509000, 187.1573500", \
+                                          "126.0766000, 126.0851800, 126.1694300, 126.6974600, 129.5103500, 140.5921100, 187.1304800");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211"); 
+                                        values("125.9045600, 125.8750300, 125.5679400, 123.5408300, 109.3041000, 68.4844680, 97.0803100", \
+                                          "125.9116000, 125.8598100, 125.5954200, 123.4920100, 109.2265200, 68.4882550, 97.0901790", \
+                                          "125.9249800, 125.8668800, 125.5617400, 123.5121700, 109.3470700, 68.4974990, 97.0774820", \
+                                          "125.9098500, 125.8616200, 125.6021000, 123.4922000, 109.2258400, 68.4871180, 97.0894550", \
+                                          "125.9282400, 125.8780300, 125.6087900, 123.5318600, 109.2355300, 68.5048680, 97.4433830", \
+                                          "125.9245200, 125.8553800, 125.5872800, 123.5184600, 109.2264500, 68.4879780, 97.0883750", \
+                                          "125.9196800, 125.8651600, 125.6001800, 123.5221700, 109.2295000, 68.4874020, 97.3265490");
+                                }
+                        }
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("7.7108444, 7.7226483, 7.7970537, 8.2871230, 11.4988160, 32.3753540, 163.6308700", \
+                                          "7.7735063, 7.7846556, 7.8604758, 8.3502032, 11.5637140, 32.4282610, 163.6949100", \
+                                          "7.7973628, 7.8095042, 7.8842843, 8.3739984, 11.5873610, 32.4517940, 163.7174600", \
+                                          "7.8078504, 7.8195201, 7.8936360, 8.3841503, 11.5960420, 32.4721440, 163.7174000", \
+                                          "7.8127584, 7.8245836, 7.8988807, 8.3892369, 11.6028030, 32.4662470, 163.7261700", \
+                                          "7.8134708, 7.8252329, 7.8998612, 8.3900673, 11.6038100, 32.4673050, 163.7263600", \
+                                          "7.8076647, 7.8198408, 7.8932532, 8.3838145, 11.5931620, 32.4737820, 163.7210300");
+                                }
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("1.5828182, 1.6131544, 1.7928868, 2.9595646, 10.5826430, 58.3720840, 352.7239900", \
+                                          "1.5838009, 1.6123499, 1.7916566, 2.9581451, 10.5909250, 58.2080840, 352.7589700", \
+                                          "1.5842113, 1.6079759, 1.7917547, 2.9581861, 10.5909620, 58.1892120, 352.8205600", \
+                                          "1.5842387, 1.6143483, 1.7896457, 2.9598644, 10.5826890, 58.3710220, 352.6114400", \
+                                          "1.5806733, 1.6106085, 1.7907947, 2.9591332, 10.5839390, 58.3196350, 352.4429500", \
+                                          "1.5808096, 1.6111292, 1.7905016, 2.9587943, 10.5851750, 58.3484620, 352.4914100", \
+                                          "1.5803031, 1.6081738, 1.7892952, 2.9599077, 10.5872950, 58.3509670, 352.8517100");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("17.9824650, 18.0047000, 18.1401280, 18.9748180, 24.8597920, 65.1815450, 148.5335700", \
+                                          "18.0752750, 18.0982610, 18.2370100, 19.0640390, 24.8995440, 65.2972790, 148.6336500", \
+                                          "18.1363100, 18.1583510, 18.2934980, 19.1284710, 25.0085530, 65.3375810, 148.6888000", \
+                                          "18.1818330, 18.2044510, 18.3436360, 19.1699470, 25.0070350, 65.4030220, 148.7394500", \
+                                          "18.2275170, 18.2504340, 18.3892510, 19.2161880, 25.0520400, 65.4499030, 148.7960500", \
+                                          "18.2667980, 18.2878920, 18.4284750, 19.2539210, 25.0926590, 65.4860630, 148.8256100", \
+                                          "18.3073150, 18.3284160, 18.4690400, 19.2942320, 25.1300530, 65.5272100, 148.8677400");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.2211, 1.27277, 1.59139, 3.55631, 15.6735, 90.3982, 551.211");
+                                        values("105.7326000, 105.7011600, 105.5227900, 104.9151800, 104.0788100, 101.7598800, 99.2162510", \
+                                          "105.7317500, 105.7135700, 105.6052200, 104.8996100, 104.1023500, 101.7371100, 99.2391590", \
+                                          "105.7301500, 105.7004800, 105.5785100, 104.9145500, 104.0789100, 101.7679000, 99.2472310", \
+                                          "105.7440400, 105.7403800, 105.6042600, 104.8980800, 104.1002600, 101.7347500, 99.2472880", \
+                                          "105.7445300, 105.7267800, 105.6176700, 104.9096000, 104.1141900, 101.7498700, 99.2505780", \
+                                          "105.7331700, 105.7936800, 105.6052800, 104.9113900, 104.0855900, 101.7283100, 99.2348960", \
+                                          "105.7337500, 105.7157600, 105.6078500, 104.9076800, 104.1004900, 101.7290600, 99.2455680");
+                                }
+                        }
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7175396, 8.7190450, 8.7190452, 8.7190462, 8.7190471, 8.7190481, 8.7190490", \
+					  "8.7591925, 8.7591930, 8.7591939, 8.7591949, 8.7591959, 8.7591968, 8.7591978", \
+					  "8.7469783, 8.7865653, 8.7865654, 8.7865663, 8.7865673, 8.7865682, 8.7865692", \
+					  "8.7950180, 8.7950187, 8.7950197, 8.7950206, 8.7950216, 8.7950225, 8.7950235", \
+					  "8.7943430, 8.7943435, 8.7943445, 8.7943454, 8.7943464, 8.7943473, 8.7943483", \
+					  "8.7935073, 8.7935081, 8.7935091, 8.7935100, 8.7935110, 8.7935119, 8.7935129", \
+					  "8.7886258, 8.7886262, 8.7886272, 8.7886282, 8.7886291, 8.7886301, 8.7886310");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4582918, 7.4582923, 7.4876105, 7.4876106, 7.4876111, 7.4876116, 7.4876121", \
+					  "7.5220248, 7.5220249, 7.5257152, 7.5257156, 7.5257161, 7.5257166, 7.5257171", \
+					  "7.5433846, 7.5433848, 7.5433853, 7.5433858, 7.5433863, 7.5433867, 7.5433872", \
+					  "7.5327895, 7.5376176, 7.5574995, 7.5574997, 7.5575002, 7.5575007, 7.5575011", \
+					  "7.5561157, 7.5561159, 7.5651512, 7.5651515, 7.5651520, 7.5651525, 7.5651529", \
+					  "7.5346467, 7.5407840, 7.5629831, 7.5629833, 7.5629838, 7.5629843, 7.5629848", \
+					  "7.5291569, 7.5502289, 7.5632709, 7.5632713, 7.5632718, 7.5632723, 7.5632728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4565090, 10.5012940, 10.7930160, 12.5560480, 23.2625510, 89.1937970, 423.5842300", \
+					  "10.5101470, 10.5554060, 10.8508020, 12.6080400, 23.3166060, 89.2469390, 423.6533200", \
+					  "10.5263230, 10.5710450, 10.8628260, 12.6258950, 23.3323920, 89.2637150, 423.6393900", \
+					  "10.5289700, 10.5761750, 10.8708620, 12.6377920, 23.3520630, 89.2696120, 423.6330800", \
+					  "10.5366350, 10.5815770, 10.8730230, 12.6359940, 23.3427590, 89.2738540, 423.6611600", \
+					  "10.5312670, 10.5800970, 10.8749830, 12.6338420, 23.3522710, 89.2780250, 423.6507000", \
+					  "10.5274220, 10.5766360, 10.8713470, 12.6300690, 23.3483050, 89.2743370, 423.6476900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2088472, 3.2882024, 3.7751979, 6.7715713, 25.4985400, 141.3911000, 392.8374200", \
+					  "3.2087819, 3.2868446, 3.7767345, 6.7717054, 25.5147530, 141.3737300, 392.8594500", \
+					  "3.2088525, 3.2882039, 3.7752019, 6.7715757, 25.4987890, 141.4006800, 392.9861000", \
+					  "3.2078959, 3.2871992, 3.7763050, 6.7723742, 25.4560410, 141.3947500, 392.9836200", \
+					  "3.2088432, 3.2864003, 3.7751904, 6.7715873, 25.5000040, 141.3871200, 392.8292900", \
+					  "3.2071674, 3.2864143, 3.7767851, 6.7730066, 25.5087130, 141.3559700, 393.2562200", \
+					  "3.2071963, 3.2863929, 3.7767838, 6.7730741, 25.5126360, 141.3744100, 393.2009900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.7386730, 20.9488750, 22.3121080, 30.5282630, 80.8474990, 389.5700000, 2292.7422000", \
+					  "20.8369980, 21.0464480, 22.4098910, 30.6143550, 80.7421690, 389.6800800, 2293.4350000", \
+					  "20.8969870, 21.1081480, 22.4698290, 30.6899540, 80.9765200, 389.4675400, 2292.7973000", \
+					  "20.9380350, 21.1578930, 22.5113030, 30.7196760, 80.9712050, 389.8085500, 2292.6499000", \
+					  "20.9779070, 21.1995970, 22.5515770, 30.7597820, 81.0440210, 389.4398300, 2292.3580000", \
+					  "21.0315680, 21.2427730, 22.6042810, 30.8093460, 81.1125820, 389.6028300, 2292.5890000", \
+					  "21.0585810, 21.2842010, 22.6324200, 30.8516520, 81.1530750, 389.8460900, 2292.3864000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8814030, 16.2978790, 18.8943790, 35.2046210, 134.9774800, 751.4257700, 4554.3593000", \
+					  "15.8812870, 16.2941730, 18.9023370, 35.1965030, 135.2996600, 751.5328100, 4552.8623000", \
+					  "15.8789620, 16.3035100, 18.9025350, 35.2000700, 135.3899100, 751.2118100, 4551.9114000", \
+					  "15.8705650, 16.2706310, 18.9178470, 35.1760190, 135.1096400, 751.6824200, 4554.1547000", \
+					  "15.8690820, 16.2680430, 18.9183550, 35.1761680, 135.0575800, 751.9826700, 4554.9159000", \
+					  "15.8788500, 16.3035930, 18.9026090, 35.1670410, 135.3884200, 751.2173900, 4552.4016000", \
+					  "15.8652380, 16.2692460, 18.9187550, 35.1682840, 135.0087000, 751.0850500, 4553.7913000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7291125, 8.7291132, 8.7291141, 8.7291151, 8.7291160, 8.7291170, 8.7291179", \
+					  "8.7239975, 8.7239976, 8.7296952, 8.7296958, 8.7296968, 8.7296978, 8.7296987", \
+					  "8.7639815, 8.7639823, 8.7639833, 8.7639843, 8.7639852, 8.7639862, 8.7639871", \
+					  "8.7713721, 8.7713724, 8.7713733, 8.7713743, 8.7713752, 8.7713762, 8.7713771", \
+					  "8.7755136, 8.7755142, 8.7755151, 8.7755161, 8.7755170, 8.7755180, 8.7755189", \
+					  "8.7779242, 8.7779251, 8.7779260, 8.7779270, 8.7779279, 8.7779289, 8.7779298", \
+					  "8.7673593, 8.7673603, 8.7673612, 8.7673622, 8.7673631, 8.7673641, 8.7673650");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.5028064, 7.5073814, 7.5086657, 7.5086659, 7.5086663, 7.5086668, 7.5086673", \
+					  "7.5722966, 7.5769533, 7.5815816, 7.5815819, 7.5815824, 7.5815828, 7.6472944", \
+					  "7.5918338, 7.5920656, 7.5943527, 7.5943530, 7.5943535, 7.5943540, 7.6591160", \
+					  "7.6047630, 7.6056352, 7.6097271, 7.6097272, 7.6097277, 7.6097281, 7.6847124", \
+					  "7.6172633, 7.6172636, 7.6172641, 7.6172646, 7.6172650, 7.6172655, 7.6726359", \
+					  "7.6138447, 7.6138452, 7.6138456, 7.6138461, 7.6138466, 7.6138471, 7.6750508", \
+					  "7.6055038, 7.6080164, 7.6114395, 7.6114400, 7.6114405, 7.6114409, 7.6718161");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.6208820, 12.6851120, 13.0683520, 15.3777600, 29.3160480, 103.8253000, 178.1981900", \
+					  "12.7188170, 12.7817530, 13.1658280, 15.4706510, 29.4509220, 103.9224900, 178.3012200", \
+					  "12.7768770, 12.8410420, 13.2264080, 15.5299360, 29.5345170, 103.9828700, 178.3596300", \
+					  "12.8252050, 12.8881120, 13.2722140, 15.5774970, 29.5540200, 104.0280300, 178.4081300", \
+					  "12.8708420, 12.9339530, 13.3171720, 15.6219480, 29.6018160, 104.0773900, 178.4649300", \
+					  "12.9090350, 12.9720280, 13.3569140, 15.6619640, 29.6400130, 104.1131600, 178.4658400", \
+					  "12.9510160, 13.0135150, 13.3974170, 15.7026840, 29.6800970, 104.1550000, 178.5333600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8721994, 3.9651016, 4.5274149, 8.0718978, 30.4040140, 86.8726810, 74.0274970", \
+					  "3.8708019, 3.9604586, 4.5219730, 8.0738545, 30.4611590, 86.8822450, 73.9756420", \
+					  "3.8726640, 3.9646193, 4.5283941, 8.0688736, 30.4486390, 86.8727380, 73.9864210", \
+					  "3.8702175, 3.9611074, 4.5228052, 8.0743252, 30.4590060, 86.8733850, 74.1187020", \
+					  "3.8708476, 3.9603862, 4.5218349, 8.0738998, 30.4611160, 86.8840640, 74.0841490", \
+					  "3.8707548, 3.9587778, 4.5225397, 8.0741636, 30.4607110, 86.8570500, 74.1027790", \
+					  "3.8701731, 3.9611225, 4.5228559, 8.0743261, 30.4589630, 86.8753530, 74.0846100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.5146014, 7.5146015, 7.5146020, 7.5146025, 7.5146029, 7.5146034, 7.5146039", \
+					  "7.5745312, 7.5783273, 7.5839912, 7.5839913, 7.5839918, 7.5839923, 7.6363529", \
+					  "7.5938287, 7.5961264, 7.5961268, 7.5961273, 7.5961278, 7.5961283, 7.6631981", \
+					  "7.6069879, 7.6069882, 7.6120452, 7.6120456, 7.6120461, 7.6120465, 7.6864941", \
+					  "7.6196436, 7.6196440, 7.6196445, 7.6196449, 7.6196454, 7.6196459, 7.6749629", \
+					  "7.6160456, 7.6175208, 7.6175211, 7.6175216, 7.6175221, 7.6175225, 7.6768467", \
+					  "7.6076834, 7.6094802, 7.6136493, 7.6136497, 7.6136501, 7.6136506, 7.6738429");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.5924620, 12.6545280, 13.0281790, 15.0701980, 19.9696720, 43.4048280, 139.7706500", \
+					  "12.6874250, 12.7501580, 13.1260660, 15.1501090, 20.0669350, 43.5028580, 139.8765300", \
+					  "12.7483060, 12.8091390, 13.1846130, 15.2224990, 20.1266580, 43.5486600, 139.9287300", \
+					  "12.7940960, 12.8565340, 13.2325580, 15.2564570, 20.1733650, 43.6092230, 139.9824500", \
+					  "12.8403460, 12.9015050, 13.2775360, 15.3017300, 20.2188670, 43.6559270, 140.0369500", \
+					  "12.8788340, 12.9402750, 13.3162910, 15.3403370, 20.2571990, 43.6925730, 140.0696600", \
+					  "12.9191950, 12.9833810, 13.3586080, 15.3789890, 20.2986780, 43.7343810, 140.1104400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7569863, 3.8176067, 4.1135855, 4.9346660, 8.0219120, 39.8745430, 90.4918240", \
+					  "3.7585934, 3.8169903, 4.1150394, 4.9344524, 8.0128233, 39.8747240, 90.5266900", \
+					  "3.7588967, 3.8186285, 4.1116666, 4.9267777, 8.0207121, 39.8279060, 90.4819690", \
+					  "3.7586938, 3.8170391, 4.1148840, 4.9343960, 8.0124112, 39.8744650, 90.5288000", \
+					  "3.7587786, 3.8171488, 4.1152872, 4.9348383, 8.0128260, 39.8750300, 90.5359770", \
+					  "3.7585868, 3.8170498, 4.1152108, 4.9345088, 8.0125862, 39.8731110, 90.5268960", \
+					  "3.7586609, 3.8182533, 4.1150230, 4.9345101, 8.0127043, 39.8746710, 90.5266400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2848780, 18.4911000, 19.8496950, 28.0817680, 78.7218610, 390.4384000, 2311.8371000", \
+					  "18.3828530, 18.6059880, 19.9343280, 28.1935720, 78.8264670, 390.5387300, 2312.1725000", \
+					  "18.4406620, 18.6531710, 20.0083910, 28.2391920, 78.8884260, 390.5947500, 2312.0317000", \
+					  "18.4951790, 18.7041490, 20.0473330, 28.2884790, 78.9354080, 390.6459900, 2312.5069000", \
+					  "18.5333830, 18.7485780, 20.0921700, 28.3310100, 78.9698120, 390.6872600, 2312.3682000", \
+					  "18.5722730, 18.7845760, 20.1365110, 28.3685060, 79.0261940, 390.7254600, 2312.1825000", \
+					  "18.6127560, 18.8313100, 20.1693930, 28.4120740, 79.0503730, 390.7632600, 2312.5140000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.7037140, 17.1141270, 19.7417350, 36.0844650, 136.9115900, 758.9051700, 4593.0078000", \
+					  "16.6711840, 17.1247120, 19.7703540, 36.0751220, 137.1551500, 759.3900500, 4594.9528000", \
+					  "16.6706060, 17.1193650, 19.7357240, 36.0852680, 136.8552500, 759.1276000, 4596.8040000", \
+					  "16.7053460, 17.1083950, 19.7283040, 36.0856700, 136.8828600, 759.3890800, 4601.2883000", \
+					  "16.7051690, 17.1025200, 19.7688990, 36.0857410, 137.0311400, 759.2252600, 4596.3225000", \
+					  "16.6706240, 17.1106540, 19.7282790, 36.0857120, 136.8547400, 759.2152000, 4594.8555000", \
+					  "16.6763120, 17.1281260, 19.7284610, 36.0862380, 136.8791500, 759.1102600, 4595.3120000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1374270, 14.1989000, 14.5766430, 16.6154790, 21.4668230, 42.5733830, 84.2898370", \
+					  "14.2361830, 14.2996580, 14.6773230, 16.7108280, 21.5660060, 42.6816800, 84.4046400", \
+					  "14.2947480, 14.3559880, 14.7329860, 16.7746400, 21.6245600, 42.7341040, 84.4487570", \
+					  "14.3412530, 14.4024700, 14.7783190, 16.8182590, 21.6714020, 42.7890610, 84.5002790", \
+					  "14.3786270, 14.4458840, 14.8242770, 16.8469610, 21.7142540, 42.8316190, 84.5517930", \
+					  "14.4216660, 14.4870920, 14.8638610, 16.9013510, 21.7564150, 42.8652170, 84.5779340", \
+					  "14.4650530, 14.5270160, 14.8995540, 16.9413890, 21.7916670, 42.9000620, 84.6247160");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7633305, 3.8215995, 4.1166150, 4.9121525, 7.9774924, 26.1379900, 57.1155000", \
+					  "3.7668042, 3.8244954, 4.1154298, 4.9130628, 7.9766354, 26.1703160, 57.1894670", \
+					  "3.7633283, 3.8242054, 4.1166208, 4.9116311, 7.9774942, 26.1411230, 57.3035730", \
+					  "3.7629877, 3.8251686, 4.1195030, 4.9145785, 7.9608578, 26.1703300, 57.1537310", \
+					  "3.7654502, 3.8243764, 4.1155519, 4.9147935, 7.9593576, 26.1692570, 57.1573150", \
+					  "3.7632373, 3.8241117, 4.1166488, 4.9114743, 7.9775235, 26.1408370, 57.3036370", \
+					  "3.7633239, 3.8214982, 4.1210981, 4.9147317, 7.9633793, 26.1321760, 57.2745440");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("17.7312260, 17.9436630, 19.2990580, 27.5352490, 78.1695990, 389.8802800, 2311.4130000", \
+					  "17.7838700, 18.0012200, 19.3526080, 27.5916320, 78.2300800, 389.9362200, 2311.3985000", \
+					  "17.8014260, 18.0130650, 19.3687540, 27.6026880, 78.2461460, 389.9439200, 2311.7327000", \
+					  "17.8086560, 18.0227260, 19.3768290, 27.6140940, 78.2560220, 389.9581000, 2311.3384000", \
+					  "17.8126900, 18.0222450, 19.3801120, 27.6146340, 78.2524840, 389.9624200, 2311.3028000", \
+					  "17.8123350, 18.0233570, 19.3803500, 27.6160450, 78.2505080, 389.9561400, 2311.4933000", \
+					  "17.8073880, 18.0210640, 19.3757310, 27.6127710, 78.2475030, 389.9570500, 2311.4515000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6706540, 17.1147840, 19.7257730, 36.0772670, 137.0299100, 759.4638900, 4595.4916000", \
+					  "16.6703650, 17.1173260, 19.7291320, 36.0769480, 136.8591100, 757.8078100, 4592.9660000", \
+					  "16.6695280, 17.1025750, 19.7394950, 36.0810620, 136.8690200, 759.5139700, 4594.6999000", \
+					  "16.6697750, 17.1155200, 19.7342200, 36.0772110, 136.8683800, 758.7943300, 4594.6144000", \
+					  "16.6687660, 17.1126220, 19.7396210, 36.0778750, 137.0651100, 758.2172500, 4594.9573000", \
+					  "16.6743900, 17.1140040, 19.7293490, 36.0772110, 137.0207900, 759.4845200, 4592.9596000", \
+					  "16.6708370, 17.1163280, 19.7266700, 36.0770290, 137.0638100, 758.2152600, 4593.9732000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.1206550, 20.3256680, 21.6559870, 29.8126070, 79.9365130, 388.3950500, 2291.8437000", \
+					  "20.2252030, 20.4435760, 21.7612220, 29.8836940, 79.9354180, 388.7041100, 2290.8475000", \
+					  "20.2768260, 20.4819970, 21.8120080, 29.9699540, 80.0814990, 388.5132900, 2290.8300000", \
+					  "20.3313170, 20.5502070, 21.8661530, 29.9895220, 80.1043210, 388.7469400, 2292.5487000", \
+					  "20.3815010, 20.5940300, 21.9187890, 30.0250880, 80.0550880, 388.8596300, 2291.2968000", \
+					  "20.4098320, 20.6320580, 21.9412770, 30.0653010, 80.2617710, 388.5482200, 2291.3591000", \
+					  "20.4463930, 20.6700130, 21.9875320, 30.1096210, 80.2824620, 388.7323600, 2291.7027000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8779190, 16.2879700, 18.9244850, 35.0558550, 135.1902800, 751.2418300, 4551.9932000", \
+					  "15.8915740, 16.3078170, 18.9265690, 35.1984970, 135.4323600, 751.5550900, 4553.3279000", \
+					  "15.8790690, 16.2862790, 18.9248300, 35.0572410, 135.1651700, 751.4445900, 4552.7859000", \
+					  "15.8912030, 16.3099720, 18.9225630, 35.1910290, 135.4099800, 750.8635300, 4553.2215000", \
+					  "15.8723670, 16.3141450, 18.9217810, 35.1981090, 135.2075900, 751.0273000, 4552.2993000", \
+					  "15.8845810, 16.3154310, 18.9026710, 35.1461220, 134.7770500, 751.4177600, 4553.1974000", \
+					  "15.8803760, 16.3144090, 18.9188360, 35.1750240, 134.9040600, 751.2820200, 4556.2579000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1162881, 8.1193168, 8.1275893, 8.1284641, 8.1284643, 8.1284652, 8.1284662", \
+					  "8.1842861, 8.1842866, 8.1842876, 8.1842886, 8.1842895, 8.1842905, 8.2844398", \
+					  "8.2048643, 8.2048650, 8.2594855, 8.2594858, 8.2594867, 8.2594877, 8.3129246", \
+					  "8.2154061, 8.2416287, 8.2416292, 8.2530311, 8.2530313, 8.2530323, 8.3431848", \
+					  "8.2195450, 8.2197280, 8.2200211, 8.2210461, 8.2210470, 8.2210479, 8.3364311", \
+					  "8.2211402, 8.2679890, 8.2679897, 8.2679906, 8.2679916, 8.2679925, 8.3375244", \
+					  "8.2174365, 8.2174373, 8.2176195, 8.2190450, 8.2190452, 8.2190462, 8.3328189");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4571869, 7.4571870, 7.4571875, 7.4571879, 7.4571884, 7.4571889, 7.4571894", \
+					  "7.5193549, 7.5193551, 7.5246938, 7.5246943, 7.5246947, 7.5246952, 7.5246957", \
+					  "7.5403861, 7.5403865, 7.5509234, 7.5509236, 7.5509241, 7.5509246, 7.5509251", \
+					  "7.5279276, 7.5356228, 7.5534965, 7.5534966, 7.5534971, 7.5534976, 7.5534981", \
+					  "7.5535702, 7.5535706, 7.5645614, 7.5645617, 7.5645621, 7.5645626, 7.5645631", \
+					  "7.5303106, 7.5372890, 7.5622646, 7.5622647, 7.5622652, 7.5622657, 7.5622662", \
+					  "7.5250632, 7.5486302, 7.5630142, 7.5630143, 7.5630148, 7.5630153, 7.5630157");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0701060, 11.1168680, 11.3980300, 13.1576630, 23.8724610, 89.8009770, 424.2412200", \
+					  "11.1619440, 11.2116250, 11.5066670, 13.2644240, 23.9784230, 89.9022080, 424.3584900", \
+					  "11.2210850, 11.2643760, 11.5616370, 13.3157020, 24.0331840, 89.9567710, 424.3945300", \
+					  "11.2689990, 11.3185480, 11.6082400, 13.3672490, 24.0796150, 90.0033400, 424.5027600", \
+					  "11.3132290, 11.3645060, 11.6495670, 13.4133800, 24.1233610, 90.0504560, 424.4889700", \
+					  "11.3535550, 11.4062610, 11.6902100, 13.4528890, 24.1674610, 90.0883850, 424.5681300", \
+					  "11.3968860, 11.4407410, 11.7293220, 13.4927570, 24.2028780, 90.1325730, 424.6207700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2107179, 3.2900040, 3.7784091, 6.7735022, 25.4843260, 141.4005800, 392.9484600", \
+					  "3.2098399, 3.2892506, 3.7788337, 6.7736559, 25.5213770, 141.3790800, 393.2233600", \
+					  "3.2102301, 3.2905010, 3.7779753, 6.7735679, 25.4775350, 141.4124900, 392.8645400", \
+					  "3.2101461, 3.2908154, 3.7788999, 6.7737083, 25.5034410, 141.4833100, 393.0875300", \
+					  "3.2109144, 3.2903968, 3.7789070, 6.7734942, 25.5036010, 141.3466000, 392.8802800", \
+					  "3.2103307, 3.2900762, 3.7782812, 6.7738628, 25.5030340, 141.4053500, 393.0204600", \
+					  "3.2109064, 3.2904461, 3.7788110, 6.7735431, 25.5001550, 141.3425500, 393.0064700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.3785650, 10.3868030, 10.3868032, 10.3868042, 10.3868051, 10.3868061, 10.4547440", \
+					  "10.4471120, 10.4518990, 10.4518991, 10.4519001, 10.4519010, 10.4519020, 10.4759470", \
+					  "10.4630880, 10.4634480, 10.4725090, 10.4725099, 10.4725109, 10.4725118, 10.5271740", \
+					  "10.4815090, 10.4853820, 10.4853826, 10.4868430, 10.4868436, 10.4868446, 10.5155420", \
+					  "10.4544200, 10.4544206, 10.4555070, 10.4555078, 10.4555088, 10.4555097, 10.5199650", \
+					  "10.4872160, 10.4872165, 10.4872175, 10.4872184, 10.4872194, 10.4872203, 10.5322670", \
+					  "10.4861890, 10.4864630, 10.4870220, 10.4888010, 10.4888015, 10.4888025, 10.5333160");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("17.7312330, 17.9436800, 19.2991310, 27.5352380, 78.1697690, 389.8802600, 2311.5938000", \
+					  "17.7838880, 17.9989180, 19.3526010, 27.5916130, 78.2270110, 389.9361900, 2311.5122000", \
+					  "17.8040230, 18.0128430, 19.3687080, 27.6026810, 78.2438010, 389.9446600, 2311.7827000", \
+					  "17.8086540, 18.0227300, 19.3768240, 27.6140960, 78.2491650, 389.9585300, 2311.8068000", \
+					  "17.8126440, 18.0221530, 19.3800900, 27.6146340, 78.2584310, 389.9561700, 2311.3771000", \
+					  "17.8123330, 18.0233300, 19.3803520, 27.6160340, 78.2505010, 389.9610000, 2311.4651000", \
+					  "17.8073820, 18.0210480, 19.3757290, 27.6127600, 78.2475030, 389.9568500, 2311.1818000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6705640, 17.1147360, 19.7258460, 36.0771710, 137.0197800, 758.3732900, 4593.2993000", \
+					  "16.6698250, 17.1044600, 19.7285300, 36.0769940, 137.0352700, 757.8498200, 4593.5685000", \
+					  "16.7012860, 17.1037940, 19.7395310, 36.0781770, 136.8622500, 758.7222500, 4595.3834000", \
+					  "16.6706510, 17.1163330, 19.7344080, 36.0772110, 137.0623600, 758.2771700, 4594.8486000", \
+					  "16.6686810, 17.1121940, 19.7396340, 36.0778860, 136.8566700, 759.4787800, 4593.9605000", \
+					  "16.6740880, 17.1139230, 19.7295480, 36.0772350, 137.0178900, 759.8401900, 4596.0032000", \
+					  "16.6707950, 17.1162520, 19.7265510, 36.0770580, 137.0628300, 758.3390700, 4594.5867000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.1205860, 20.3256580, 21.6560090, 29.8128560, 79.9363920, 388.3581900, 2291.4714000", \
+					  "20.2254730, 20.4437980, 21.7612510, 29.8836800, 79.9377420, 388.7017500, 2291.3691000", \
+					  "20.2766300, 20.4817440, 21.8119960, 29.9699780, 80.0821170, 388.4911700, 2292.2411000", \
+					  "20.3310910, 20.5501270, 21.8660700, 29.9893870, 80.0993830, 388.7072400, 2292.7636000", \
+					  "20.3815280, 20.5940460, 21.9187650, 30.0248570, 80.0580150, 388.8613900, 2292.2250000", \
+					  "20.4083540, 20.6305940, 21.9412510, 30.0652940, 80.2627300, 388.8035800, 2291.8866000", \
+					  "20.4533740, 20.6727120, 21.9875390, 30.1096070, 80.2814170, 388.8492300, 2292.2193000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8776280, 16.2880380, 18.9244940, 35.0559120, 135.1887800, 751.1006300, 4551.6967000", \
+					  "15.8915810, 16.3076730, 18.9267110, 35.1983900, 135.4332800, 751.5411700, 4552.6544000", \
+					  "15.8790940, 16.2864230, 18.9248080, 35.0571870, 135.1770700, 751.4734600, 4551.9218000", \
+					  "15.8911320, 16.3102850, 18.9227320, 35.1903110, 135.4104500, 750.9330500, 4553.3407000", \
+					  "15.8734220, 16.3142320, 18.9221920, 35.1977040, 135.2088800, 751.0366200, 4553.1337000", \
+					  "15.8845110, 16.3154730, 18.9027480, 35.1461080, 134.7695100, 750.8787700, 4554.6808000", \
+					  "15.8904390, 16.3117240, 18.9190050, 35.1749140, 134.9080900, 750.8314800, 4553.6390000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4305680, 10.4794800, 10.7688650, 12.5338260, 23.2499920, 89.1784850, 423.6614500", \
+					  "10.4853160, 10.5332630, 10.8241130, 12.5860510, 23.2951760, 89.2256720, 423.7162700", \
+					  "10.5091220, 10.5519920, 10.8481850, 12.6086070, 23.3160000, 89.2453470, 423.7116100", \
+					  "10.5077530, 10.5549970, 10.8485560, 12.6176320, 23.3289640, 89.2581800, 423.7127500", \
+					  "10.5106070, 10.5598420, 10.8550060, 12.6138420, 23.3301090, 89.2585700, 423.7413100", \
+					  "10.5100190, 10.5579550, 10.8525180, 12.6128690, 23.3315380, 89.2579950, 423.7262500", \
+					  "10.5064690, 10.5541130, 10.8491780, 12.6091400, 23.3281660, 89.2544350, 423.7229500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2096235, 3.2887659, 3.7790442, 6.7736474, 25.5228090, 141.4880000, 392.9531300", \
+					  "3.2114909, 3.2905281, 3.7771763, 6.7735679, 25.4802240, 141.3515500, 392.9532400", \
+					  "3.2106697, 3.2904874, 3.7778269, 6.7735195, 25.5215670, 141.3361000, 393.0507500", \
+					  "3.2108660, 3.2896834, 3.7783954, 6.7733827, 25.4694790, 141.4070400, 393.0291500", \
+					  "3.2098209, 3.2887673, 3.7788621, 6.7736474, 25.5227630, 141.5059100, 392.9544100", \
+					  "3.2093097, 3.2890202, 3.7788488, 6.7735528, 25.5131060, 141.3877200, 393.2771600", \
+					  "3.2093146, 3.2890162, 3.7788386, 6.7735502, 25.5112320, 141.3971100, 393.2844100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.9064214, 8.9064222, 8.9065031, 8.9065033, 8.9065042, 8.9065052, 9.0472732", \
+					  "8.9702183, 8.9771310, 8.9771314, 8.9782288, 8.9782291, 8.9782300, 9.0550900", \
+					  "9.0019432, 9.0019441, 9.0020862, 9.0020872, 9.0020881, 9.0020891, 9.0571257", \
+					  "9.0125587, 9.0128784, 9.0128789, 9.0128799, 9.0128809, 9.0128818, 9.0579473", \
+					  "9.0073327, 9.0127239, 9.0127244, 9.0127254, 9.0127264, 9.0127273, 9.0770031", \
+					  "9.0118064, 9.0163610, 9.0163618, 9.0163627, 9.0163637, 9.0163646, 9.0709396", \
+					  "9.0105292, 9.0110426, 9.0110431, 9.0110441, 9.0110450, 9.0110460, 9.0714138");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.5839340, 10.6336430, 10.9255030, 12.7349140, 19.4375800, 36.2568780, 132.7553600", \
+					  "10.6376530, 10.6861350, 10.9783840, 12.7872060, 19.4902600, 36.3118250, 132.8079400", \
+					  "10.6538750, 10.7039400, 10.9958900, 12.8046520, 19.5079470, 36.3289980, 132.8252100", \
+					  "10.6614670, 10.7113280, 11.0033800, 12.8121340, 19.5154910, 36.3364730, 132.8318600", \
+					  "10.6628070, 10.7124110, 11.0043200, 12.8138410, 19.5164290, 36.3355430, 132.8342700", \
+					  "10.6634580, 10.7127980, 11.0045350, 12.8120390, 19.5161010, 36.3364660, 132.8343000", \
+					  "10.6598260, 10.7090380, 11.0006970, 12.8102680, 19.5131770, 36.3327390, 132.8304400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2530731, 3.3381178, 3.8630925, 6.2111778, 9.1435943, 27.8940000, 171.6491500", \
+					  "3.2532759, 3.3387013, 3.8631778, 6.2112373, 9.1437089, 27.8580520, 171.6424800", \
+					  "3.2531866, 3.3381065, 3.8628198, 6.2111556, 9.1439958, 27.8998990, 171.6271200", \
+					  "3.2534031, 3.3381098, 3.8628238, 6.2111707, 9.1440722, 27.9046580, 171.6689000", \
+					  "3.2529999, 3.3381526, 3.8630721, 6.2111543, 9.1433439, 27.8904010, 171.6499000", \
+					  "3.2528149, 3.3386784, 3.8628758, 6.2115686, 9.1440953, 27.8930750, 171.6517100", \
+					  "3.2532208, 3.3382297, 3.8631507, 6.2114354, 9.1445536, 27.8900420, 171.6509000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.9570770, 13.0214740, 13.4060840, 15.3720090, 20.1292160, 41.2017120, 82.8712320", \
+					  "13.0577930, 13.1207950, 13.5042710, 15.4709860, 20.2251500, 41.3047480, 82.9700400", \
+					  "13.1149580, 13.1777890, 13.5591500, 15.5280110, 20.2850520, 41.3586710, 83.0277290", \
+					  "13.1636010, 13.2274190, 13.6114260, 15.5770600, 20.3336900, 41.4041710, 83.0768270", \
+					  "13.2063840, 13.2694440, 13.6520050, 15.6211490, 20.3742500, 41.4443340, 83.1151110", \
+					  "13.2482680, 13.3112070, 13.6961070, 15.6595890, 20.4162410, 41.4476740, 83.1620280", \
+					  "13.2865130, 13.3491400, 13.7330900, 15.7037050, 20.4590990, 41.5291300, 83.2023300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.6534813, 3.7054451, 3.9697805, 4.7005626, 7.9155598, 26.0760890, 57.2906420", \
+					  "3.6542598, 3.7082482, 3.9665538, 4.7053810, 7.9271292, 26.0588510, 57.2930110", \
+					  "3.6532344, 3.7051133, 3.9651269, 4.7020534, 7.9173947, 26.0696350, 57.2942160", \
+					  "3.6525885, 3.7051620, 3.9698009, 4.6983524, 7.9168530, 26.0941350, 57.2932490", \
+					  "3.6540593, 3.7080243, 3.9653050, 4.7074793, 7.9231519, 26.0795920, 57.2929050", \
+					  "3.6542969, 3.7081147, 3.9698627, 4.7086584, 7.9257179, 26.0888430, 57.2961550", \
+					  "3.6561587, 3.7096262, 3.9652086, 4.6957567, 7.9156965, 26.0800340, 57.2965530");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("9.0003436, 9.0007442, 9.0007444, 9.0007453, 9.0007463, 9.0007473, 9.0007482", \
+					  "9.0603880, 9.0603881, 9.0603891, 9.0603900, 9.0603910, 9.0603920, 9.1291046", \
+					  "9.0857517, 9.0857521, 9.0858432, 9.0858436, 9.0858446, 9.0858455, 9.1320880", \
+					  "9.0961159, 9.0962260, 9.0962263, 9.0962272, 9.0962282, 9.0962291, 9.1524281", \
+					  "9.1001082, 9.1007273, 9.1007276, 9.1007286, 9.1007295, 9.1007305, 9.1561247", \
+					  "9.1003001, 9.1009422, 9.1013828, 9.1013837, 9.1013847, 9.1013856, 9.1412629", \
+					  "9.0832426, 9.0955270, 9.0955272, 9.0955282, 9.0955291, 9.0955301, 9.1496180");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1746672, 8.1766762, 8.1799332, 8.1799341, 8.1799350, 8.1799360, 8.1799369", \
+					  "8.2393958, 8.2393966, 8.2393976, 8.2393985, 8.2393995, 8.2394004, 8.2930560", \
+					  "8.2607539, 8.2607542, 8.2607551, 8.2607561, 8.2607570, 8.2607580, 8.2722726", \
+					  "8.2440579, 8.2440582, 8.2440591, 8.2451370, 8.2451377, 8.2451387, 8.3237035", \
+					  "8.2402680, 8.2405052, 8.2408329, 8.2409191, 8.2409196, 8.2409206, 8.2810629", \
+					  "8.2385956, 8.2385965, 8.2392626, 8.2395157, 8.2395158, 8.2395168, 8.2775209", \
+					  "8.2381781, 8.2383700, 8.2383705, 8.2383714, 8.2383724, 8.2383733, 8.2763263");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4572766, 7.4572771, 7.4572776, 7.4572781, 7.4572785, 7.4572790, 489.5824200", \
+					  "7.5193789, 7.5193790, 7.5250313, 7.5250314, 7.5250319, 7.5250323, 7.5250328", \
+					  "7.5403994, 7.5403998, 7.5508746, 7.5508750, 7.5508755, 7.5508760, 7.5508764", \
+					  "7.5268138, 7.5395752, 7.5558466, 7.5558470, 7.5558475, 7.5558479, 7.5558484", \
+					  "7.5535986, 7.5535987, 7.5645605, 7.5645607, 7.5645612, 7.5645617, 7.5645621", \
+					  "7.5298558, 7.5482749, 7.5482753, 7.5482758, 7.5482762, 7.5482767, 7.5482772", \
+					  "7.5246600, 7.5513222, 7.5630533, 7.5630534, 7.5630539, 7.5630544, 7.5630549");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0588110, 11.1071520, 11.3974360, 13.1804520, 19.9670290, 36.8030160, 133.2898600", \
+					  "11.1617140, 11.2084360, 11.4998600, 13.2816620, 20.0674320, 36.9005130, 133.3928200", \
+					  "11.2164470, 11.2629200, 11.5569150, 13.3361360, 20.1242510, 36.9578570, 133.4470000", \
+					  "11.2678100, 11.3160230, 11.6040400, 13.3869480, 20.1738680, 37.0093680, 133.4983300", \
+					  "11.3095660, 11.3550720, 11.6480940, 13.4305190, 20.2147760, 37.0506080, 133.5409500", \
+					  "11.3498010, 11.3967900, 11.6895210, 13.4667210, 20.2575330, 37.0924660, 133.5813900", \
+					  "11.3885230, 11.4315470, 11.7290830, 13.5118690, 20.2994260, 37.1306510, 133.6242400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2598040, 3.3448684, 3.8673398, 6.2944374, 9.3162145, 27.9142170, 171.6451500", \
+					  "3.2596463, 3.3441847, 3.8672940, 6.2947327, 9.3163726, 27.8990020, 171.6408200", \
+					  "3.2590328, 3.3443202, 3.8671120, 6.2943837, 9.3164330, 27.9059830, 171.6378900", \
+					  "3.2589587, 3.3441478, 3.8673824, 6.2945982, 9.3165582, 27.9114470, 171.6420300", \
+					  "3.2597192, 3.3441983, 3.8674122, 6.2944654, 9.3157633, 27.9003010, 171.6401800", \
+					  "3.2588927, 3.3444136, 3.8674175, 6.2945471, 9.3163273, 27.9070030, 171.6402200", \
+					  "3.2596401, 3.3443213, 3.8674193, 6.2946675, 9.3165839, 27.8994230, 171.6402100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4945800, 21.7080540, 23.0315060, 31.1345830, 81.2163350, 389.5465900, 2293.6499000", \
+					  "21.5723140, 21.7968860, 23.1055490, 31.2320270, 81.4404540, 389.8079800, 2293.0465000", \
+					  "21.6522640, 21.8643730, 23.1891930, 31.3007540, 81.3500660, 390.2108900, 2294.4521000", \
+					  "21.6849840, 21.9066170, 23.2198400, 31.3482860, 81.4215040, 390.1781200, 2294.3154000", \
+					  "21.7284130, 21.9487330, 23.2629360, 31.3907160, 81.4583960, 390.2197000, 2294.0694000", \
+					  "21.7852170, 21.9959200, 23.2985740, 31.4339490, 81.4638310, 390.2704300, 2292.3582000", \
+					  "21.8219310, 22.0345520, 23.3394920, 31.4625270, 81.6448780, 390.1378100, 2292.9596000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8733100, 16.3170690, 18.9301800, 35.1962370, 135.1450200, 751.6173900, 4555.5853000", \
+					  "15.8848600, 16.3188730, 18.8965960, 35.1389070, 134.8727600, 751.4224800, 4554.0692000", \
+					  "15.8732030, 16.3173650, 18.9302260, 35.2051290, 135.2001800, 751.6337100, 4552.6626000", \
+					  "15.8944000, 16.3181400, 18.9203270, 35.1976260, 135.4464400, 751.6679300, 4555.7608000", \
+					  "15.8943560, 16.3181590, 18.9203760, 35.1982550, 135.4473900, 751.6895800, 4553.6976000", \
+					  "15.8758040, 16.3154840, 18.9183410, 35.2062130, 135.2361200, 750.9806300, 4553.7258000", \
+					  "15.8739990, 16.3190420, 18.9197870, 35.1742140, 134.7604300, 751.2919600, 4555.1210000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7027594, 8.7027603, 8.7027612, 8.7027622, 8.7027631, 8.7027641, 8.7027650", \
+					  "8.7511989, 8.7511993, 8.7512002, 8.7512012, 8.7512022, 8.7512031, 8.7512041", \
+					  "8.7457694, 8.7468708, 8.7468715, 8.7468725, 8.7468734, 8.7468744, 8.7468753", \
+					  "8.7746859, 8.7746864, 8.7746873, 8.7746883, 8.7746892, 8.7746902, 8.7746912", \
+					  "8.7738847, 8.7745962, 8.7745967, 8.7745977, 8.7745986, 8.7745996, 8.7746006", \
+					  "8.7762437, 8.7766727, 8.7766729, 8.7766738, 8.7766748, 8.7766758, 8.7766767", \
+					  "8.7651664, 8.7664809, 8.7664810, 8.7664819, 8.7664829, 8.7664838, 8.7664848");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4878468, 7.4878471, 7.4914510, 7.4914511, 7.4914516, 7.4914520, 542.3619300", \
+					  "7.5194597, 7.5624831, 7.5624836, 7.5624841, 7.5624846, 7.5624850, 7.6463840", \
+					  "7.5886470, 7.5889943, 7.5934938, 7.5934942, 7.5934947, 7.5934952, 7.7126989", \
+					  "7.5535604, 7.5638393, 7.5668352, 7.5668357, 7.5668362, 7.5668366, 7.6881612", \
+					  "7.5938278, 7.5938280, 7.5938285, 7.5938290, 7.5938294, 7.5938299, 7.6681239", \
+					  "7.5992599, 7.5992601, 7.5992606, 7.6044246, 7.6044248, 7.6044252, 7.6772029", \
+					  "7.5550721, 7.5550726, 7.5550731, 7.5550735, 7.5550740, 7.5550745, 7.6761273");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.0623320, 14.1244460, 14.5099020, 16.8183230, 30.7723620, 105.2678200, 179.6494900", \
+					  "14.1630550, 14.2218410, 14.6091650, 16.9154060, 30.8918400, 105.3716500, 179.7629400", \
+					  "14.2185920, 14.2820980, 14.6673660, 16.9760380, 30.9078980, 105.4254200, 179.8127000", \
+					  "14.2666890, 14.3282820, 14.7137390, 17.0226140, 30.9646210, 105.4715200, 179.8563000", \
+					  "14.3092370, 14.3679510, 14.7532220, 17.0656160, 30.9946170, 105.5158700, 179.8938600", \
+					  "14.3531490, 14.4090770, 14.7991080, 17.1067160, 31.0407200, 105.5516200, 179.9163600", \
+					  "14.3879340, 14.4507270, 14.8369540, 17.1441490, 31.0803790, 105.5905400, 179.9674300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8702237, 3.9635317, 4.5272546, 8.0711509, 30.4336570, 86.8535040, 73.9988980", \
+					  "3.8702570, 3.9640375, 4.5227959, 8.0742781, 30.4588670, 86.8878940, 74.0829620", \
+					  "3.8696615, 3.9633403, 4.5273696, 8.0709048, 30.4162100, 86.8298570, 74.0500710", \
+					  "3.8707522, 3.9634211, 4.5267146, 8.0722122, 30.4102810, 86.8761130, 74.0427450", \
+					  "3.8715964, 3.9634451, 4.5273350, 8.0725915, 30.4217200, 86.8797800, 74.1716800", \
+					  "3.8723624, 3.9640180, 4.5260196, 8.0611056, 30.4040240, 86.8761770, 73.9880190", \
+					  "3.8714161, 3.9633830, 4.5285802, 8.0600824, 30.4044150, 86.8761210, 73.9973560");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1579543, 8.1579547, 8.1579557, 8.1579567, 8.1579576, 8.1579586, 8.1579595", \
+					  "8.2195628, 8.2230986, 8.2230993, 8.2231002, 8.2231012, 8.2231021, 8.2998648", \
+					  "8.2396108, 8.2424672, 8.2424675, 8.2424684, 8.2424694, 8.2424703, 8.3008436", \
+					  "8.2278460, 8.2278467, 8.2291409, 8.2296783, 8.2296787, 8.2296796, 8.2665448", \
+					  "8.2256024, 8.2258387, 8.2258715, 8.2258716, 8.2258726, 8.2258735, 8.2650971", \
+					  "8.2223828, 8.2227345, 8.2231102, 8.2231107, 8.2231117, 8.2231126, 8.2542488", \
+					  "8.2217406, 8.2217412, 8.2225311, 8.2225318, 8.2225328, 8.2225338, 8.2619040");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4675803, 7.4675806, 7.4976256, 7.4976261, 7.4976266, 7.4976271, 1133.0413000", \
+					  "7.5686790, 7.5688824, 7.5688828, 7.5688832, 7.5688837, 7.5688842, 7.6621474", \
+					  "7.5924973, 7.5985556, 7.5985559, 7.5985563, 7.5985568, 7.5985573, 7.6761033", \
+					  "7.5697919, 7.5697921, 7.5697926, 7.5697930, 7.5697935, 7.5697940, 7.6863369", \
+					  "7.5727113, 7.5727118, 7.5727122, 7.5727127, 7.5727132, 7.5727137, 7.6917939", \
+					  "7.6022468, 7.6022470, 7.6084108, 7.6106348, 7.6106351, 7.6106356, 7.6807023", \
+					  "7.5561539, 7.5561541, 7.5561545, 7.5561550, 7.5561555, 7.5561560, 7.6809679");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.7352197, 8.7352205, 8.7352214, 8.7352224, 8.7352233, 8.7352243, 8.7352253", \
+					  "8.7563432, 8.7575627, 8.7575632, 8.7575641, 8.7575651, 8.7575660, 8.7575670", \
+					  "8.7887164, 8.7887168, 8.7887178, 8.7887188, 8.7887197, 8.7887207, 8.7887216", \
+					  "8.7975236, 8.7975240, 8.7975250, 8.7975259, 8.7975269, 8.7975278, 8.7975288", \
+					  "8.7957721, 8.7957731, 8.7957740, 8.7957750, 8.7957759, 8.7957769, 8.7957778", \
+					  "8.7948751, 8.7948757, 8.7948766, 8.7948776, 8.7948785, 8.7948795, 8.7948804", \
+					  "8.7884970, 8.7884975, 8.7884985, 8.7884994, 8.7885004, 8.7885013, 8.7885023");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.4582953, 7.4582956, 7.4994233, 7.4994238, 7.4994243, 7.4994247, 7.4994252", \
+					  "7.5220186, 7.5220187, 7.5220192, 7.5220197, 7.5220202, 7.5220206, 7.5220211", \
+					  "7.5126989, 7.5126994, 7.5126999, 7.5305282, 7.5305284, 7.5305288, 7.5305293", \
+					  "7.5332229, 7.5368218, 7.5456663, 7.5456665, 7.5456670, 7.5456675, 7.5456679", \
+					  "7.5561220, 7.5561221, 7.5562143, 7.5562146, 7.5562151, 7.5562156, 7.5562161", \
+					  "7.5348581, 7.5405939, 7.5532984, 7.5532988, 7.5532992, 7.5532997, 7.5533002", \
+					  "7.5293558, 7.5498150, 7.5549114, 7.5549119, 7.5549124, 7.5549129, 7.5549133");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4301930, 10.4770050, 10.7681850, 12.5520740, 19.3376780, 36.1717090, 132.6608600", \
+					  "10.4837140, 10.5307820, 10.8203500, 12.6051310, 19.3897130, 36.2253660, 132.7149900", \
+					  "10.4996860, 10.5464360, 10.8403890, 12.6203770, 19.4079420, 36.2403300, 132.7339600", \
+					  "10.5060320, 10.5566450, 10.8450540, 12.6293230, 19.4138680, 36.2496590, 132.7389500", \
+					  "10.5111310, 10.5583340, 10.8500760, 12.6322030, 19.4178330, 36.2536380, 132.7414600", \
+					  "10.5103010, 10.5572970, 10.8491840, 12.6307680, 19.4160150, 36.2520080, 132.7415200", \
+					  "10.5067170, 10.5538240, 10.8456800, 12.6270750, 19.4124560, 36.2481640, 132.7377100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2589746, 3.3441316, 3.8672523, 6.2947021, 9.3171764, 27.9069690, 171.6679100", \
+					  "3.2589684, 3.3440815, 3.8670493, 6.2949450, 9.3164108, 27.9118490, 171.6394000", \
+					  "3.2589369, 3.3447540, 3.8673011, 6.2944285, 9.3168655, 27.9057220, 171.6423800", \
+					  "3.2597574, 3.3443006, 3.8669938, 6.2947607, 9.3163921, 27.9093210, 171.6427800", \
+					  "3.2597089, 3.3447318, 3.8671741, 6.2947105, 9.3171817, 27.9133940, 171.6094100", \
+					  "3.2599323, 3.3446790, 3.8671106, 6.2947616, 9.3169614, 27.9097550, 171.6403500", \
+					  "3.2599123, 3.3446785, 3.8671129, 6.2947625, 9.3169161, 27.9077720, 171.6403900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4289940, 10.4289947, 10.4289957, 10.4462940, 10.4462944, 10.4462953, 10.5210610", \
+					  "10.4726920, 10.4733980, 10.4785320, 10.4785324, 10.4901300, 10.4901310, 10.5475340", \
+					  "10.5160420, 10.5164330, 10.5164333, 10.5164342, 10.5164352, 10.5164362, 10.5785800", \
+					  "10.5128570, 10.5301980, 10.5516670, 10.5516677, 10.5516687, 10.5516696, 10.5697020", \
+					  "10.5326340, 10.5326343, 10.5326353, 10.5326362, 10.5326372, 10.5326381, 10.5763740", \
+					  "10.5516480, 10.5519090, 10.5519100, 10.5519109, 10.5519119, 10.5519128, 10.6149600", \
+					  "10.5316060, 10.5316062, 10.5316072, 10.5316082, 10.5316091, 10.5316101, 10.5754490");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0584940, 11.1061030, 11.3966050, 13.1827870, 19.9670020, 36.8026320, 133.2920500", \
+					  "11.1613020, 11.2089100, 11.4999410, 13.2815650, 20.0674570, 36.9004450, 133.3929000", \
+					  "11.2161090, 11.2626970, 11.5571450, 13.3364150, 20.1253730, 36.9605360, 133.4488800", \
+					  "11.2669830, 11.3134640, 11.6063030, 13.3853920, 20.1723330, 37.0079400, 133.4982000", \
+					  "11.3097890, 11.3532250, 11.6469520, 13.4302810, 20.2117400, 37.0488560, 133.5410200", \
+					  "11.3500880, 11.3984290, 11.6882070, 13.4665510, 20.2571560, 37.0955210, 133.5859800", \
+					  "11.3855450, 11.4375960, 11.7233710, 13.5100780, 20.3011950, 37.1293360, 133.6260500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2603238, 3.3446621, 3.8672874, 6.2947580, 9.3162784, 27.9084470, 171.6416400", \
+					  "3.2601666, 3.3446941, 3.8677044, 6.2949339, 9.3164738, 27.8948010, 171.6407900", \
+					  "3.2595573, 3.3448182, 3.8677523, 6.2945715, 9.3166372, 27.9035430, 171.6373800", \
+					  "3.2594816, 3.3446814, 3.8676968, 6.2945733, 9.3161381, 27.9065430, 171.6438300", \
+					  "3.2602414, 3.3446603, 3.8678216, 6.2946612, 9.3158254, 27.9003470, 171.6401700", \
+					  "3.2602627, 3.3446907, 3.8678372, 6.2947469, 9.3166683, 27.8998850, 171.6420100", \
+					  "3.2594822, 3.3446830, 3.8677803, 6.2949539, 9.3165342, 27.8992700, 171.6400100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1362850, 14.1980290, 14.5718100, 16.6120580, 21.4623410, 42.5803500, 84.2840320", \
+					  "14.2354640, 14.2968170, 14.6750330, 16.7146880, 21.5656750, 42.6741100, 84.3880980", \
+					  "14.2938520, 14.3527530, 14.7325530, 16.7691430, 21.6214180, 42.7382890, 84.4533470", \
+					  "14.3417560, 14.3997650, 14.7796790, 16.8187610, 21.6699780, 42.7876150, 84.5052030", \
+					  "14.3810150, 14.4446070, 14.8202220, 16.8602470, 21.7123080, 42.8311180, 84.5444320", \
+					  "14.4200550, 14.4869340, 14.8641730, 16.8834530, 21.7536370, 42.8680770, 84.5724060", \
+					  "14.4581020, 14.5215610, 14.8999040, 16.9357420, 21.7880750, 42.9070860, 84.6123240");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7623940, 3.8221013, 4.1206696, 4.9160289, 7.9666780, 26.1204850, 57.2241060", \
+					  "3.7637031, 3.8248027, 4.1168411, 4.9114428, 7.9773503, 26.1411830, 57.3042130", \
+					  "3.7623948, 3.8238102, 4.1200519, 4.9160356, 7.9806126, 26.1204300, 57.2558140", \
+					  "3.7636552, 3.8249910, 4.1165196, 4.9142921, 7.9611153, 26.1588490, 57.1217880", \
+					  "3.7649439, 3.8244150, 4.1174637, 4.9147340, 7.9594242, 26.1475590, 57.2676380", \
+					  "3.7645194, 3.8220449, 4.1203423, 4.9157345, 7.9804590, 26.1204370, 57.3008730", \
+					  "3.7654844, 3.8233781, 4.1147339, 4.9001745, 7.9740428, 26.1204340, 57.3010260");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0609450, 11.1102640, 11.4021180, 13.1632560, 23.8804900, 89.8050700, 424.2420200", \
+					  "11.1619090, 11.2069230, 11.5063990, 13.2643200, 23.9783180, 89.9081480, 424.3596000", \
+					  "11.2205070, 11.2680530, 11.5615300, 13.3221980, 24.0336000, 89.9657730, 424.3927700", \
+					  "11.2736190, 11.3182730, 11.6056650, 13.3671220, 24.0850400, 90.0087330, 424.4408800", \
+					  "11.3157810, 11.3616060, 11.6450280, 13.4118420, 24.1200840, 90.0480330, 424.4911300", \
+					  "11.3512450, 11.4052630, 11.6898080, 13.4499310, 24.1651210, 90.0967120, 424.5681300", \
+					  "11.3914530, 11.4397120, 11.7333880, 13.4903330, 24.2016980, 90.1342860, 424.6092800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2104335, 3.2913974, 3.7793004, 6.7737873, 25.5178700, 141.5115800, 392.8846600", \
+					  "3.2103946, 3.2910206, 3.7793249, 6.7739476, 25.5214550, 141.3553200, 392.9077900", \
+					  "3.2108072, 3.2908440, 3.7784691, 6.7739183, 25.4774020, 141.3443900, 393.0281600", \
+					  "3.2118934, 3.2913858, 3.7788626, 6.7739885, 25.4820270, 141.3765000, 392.8981600", \
+					  "3.2114718, 3.2909460, 3.7793999, 6.7741444, 25.5033310, 141.0711000, 392.8820100", \
+					  "3.2104917, 3.2910459, 3.7793106, 6.7741293, 25.5036840, 141.3518900, 393.0213100", \
+					  "3.2108924, 3.2910206, 3.7793293, 6.7738823, 25.5012830, 141.3875900, 393.0212800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1442790, 14.2117680, 14.5978630, 16.9041420, 30.8854450, 116.6375300, 496.8247300", \
+					  "14.2462060, 14.3145190, 14.6962430, 16.9934410, 30.9347750, 116.8506500, 496.9416500", \
+					  "14.3017690, 14.3678730, 14.7559020, 17.0668330, 31.0634930, 116.7971500, 496.9638800", \
+					  "14.3536390, 14.4153390, 14.8049190, 17.1017990, 31.1086910, 116.8886100, 497.0582900", \
+					  "14.3961460, 14.4583190, 14.8434140, 17.1405960, 31.1519660, 116.9118000, 497.0199300", \
+					  "14.4342780, 14.5010610, 14.8847610, 17.1957470, 31.1726320, 116.9720800, 497.0942800", \
+					  "14.4736430, 14.5359450, 14.9236680, 17.2290240, 31.1817030, 116.9962700, 497.1690800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8606456, 3.9507739, 4.5129744, 8.0501250, 30.4591020, 169.3091600, 358.3612900", \
+					  "3.8578842, 3.9551247, 4.5106270, 8.0571354, 30.4644310, 169.0832800, 358.4829400", \
+					  "3.8615364, 3.9507366, 4.5129434, 8.0457321, 30.4420740, 169.3746600, 358.4685000", \
+					  "3.8577763, 3.9501074, 4.5141211, 8.0515425, 30.4589920, 169.3129000, 358.5228100", \
+					  "3.8581192, 3.9500514, 4.5144435, 8.0580875, 30.4597560, 169.3044400, 358.3547300", \
+					  "3.8603987, 3.9509183, 4.5092401, 8.0463662, 30.4413170, 169.3139400, 358.4712000", \
+					  "3.8575281, 3.9495229, 4.5059250, 8.0546609, 30.4272310, 169.3180000, 358.5373900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("8.1024414, 8.1050420, 8.1125888, 8.1128064, 8.1128068, 8.1128078, 8.1128088", \
+					  "8.1695273, 8.1695276, 8.1695285, 8.1695295, 8.1695304, 8.1695314, 8.2516793", \
+					  "8.1903533, 8.1903539, 8.1920710, 8.1920715, 8.1920724, 8.1920734, 8.3189615", \
+					  "8.2046832, 8.2046838, 8.2046848, 8.2046857, 8.2046867, 8.2046876, 8.3223481", \
+					  "8.2037204, 8.2037206, 8.2041245, 8.2041250, 8.2041259, 8.2041269, 8.3156380", \
+					  "8.2050917, 8.2050920, 8.2051805, 8.2051807, 8.2051816, 8.2051826, 8.3167961", \
+					  "8.2016616, 8.2016626, 8.2016635, 8.2016645, 8.2016655, 8.2016664, 8.3109351");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("7.5070918, 7.5070923, 7.5090583, 7.5090588, 7.5090592, 7.5090597, 7.5090602", \
+					  "7.5756832, 7.5792403, 7.5849123, 7.5849126, 7.5849131, 7.5849135, 7.6632141", \
+					  "7.5946565, 7.5966273, 7.5969524, 7.5969527, 7.5969532, 7.5969537, 7.6486071", \
+					  "7.6080831, 7.6081150, 7.6130995, 7.6130999, 7.6131003, 7.6131008, 7.6811295", \
+					  "7.6195974, 7.6195977, 7.6195982, 7.6195987, 7.6195992, 7.6195996, 7.6802165", \
+					  "7.6168787, 7.6182483, 7.6182488, 7.6182492, 7.6182497, 7.6182502, 7.6923454", \
+					  "7.6086959, 7.6102742, 7.6143953, 7.6143954, 7.6143959, 7.6143964, 7.6733686");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("6.5686567, 6.5774546, 6.5774549, 6.5926442, 6.5926445, 6.5926450, 6.5926455", \
+					  "6.6662125, 6.6682744, 6.6717001, 6.6717003, 6.6717008, 6.6717012, 6.7311383", \
+					  "6.7382229, 6.7382234, 6.7393335, 6.7403594, 6.7403596, 6.7403601, 6.7403605", \
+					  "6.7826869, 6.7838060, 6.7875177, 6.7875178, 6.7875183, 6.7875188, 6.8452213", \
+					  "6.8248309, 6.8248313, 6.8282060, 6.8282064, 6.8282068, 6.8282073, 6.8706356", \
+					  "6.8519190, 6.8702009, 6.8737123, 6.8737124, 6.8737128, 6.8737133, 6.9303336", \
+					  "6.9116646, 6.9116648, 6.9148420, 6.9148424, 6.9148429, 6.9148434, 6.9329489");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.0324910, 14.0926640, 14.4687500, 16.5061870, 21.4086380, 44.8303010, 141.2317900", \
+					  "14.1321660, 14.1944690, 14.5710300, 16.5945210, 21.5076540, 44.9477330, 141.3391300", \
+					  "14.1915250, 14.2500600, 14.6258630, 16.6636180, 21.5701250, 44.9882300, 141.3907400", \
+					  "14.2369270, 14.2991090, 14.6732640, 16.7061350, 21.6125590, 45.0483030, 141.4160100", \
+					  "14.2796860, 14.3416030, 14.7152750, 16.7484200, 21.6545320, 45.0879480, 141.4586700", \
+					  "14.3206840, 14.3819510, 14.7554720, 16.7925050, 21.7007660, 45.1154100, 141.5267300", \
+					  "14.3587110, 14.4189160, 14.7963450, 16.8226060, 21.7340650, 45.1660330, 141.5199500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.7590882, 3.8201757, 4.1116284, 4.9256008, 8.0210061, 39.8559410, 90.3707470", \
+					  "3.7590682, 3.8173478, 4.1150958, 4.9351492, 8.0205469, 39.8746210, 90.5764000", \
+					  "3.7589412, 3.8199959, 4.1116106, 4.9255515, 8.0184348, 39.8568470, 90.3741010", \
+					  "3.7570071, 3.8163610, 4.1169228, 4.9354760, 8.0205709, 39.8299490, 90.5208780", \
+					  "3.7570120, 3.8163637, 4.1157953, 4.9354760, 8.0205860, 39.8301870, 90.5211190", \
+					  "3.7599586, 3.8211860, 4.1135553, 4.9183666, 8.0116207, 39.8468850, 90.5640650", \
+					  "3.7569960, 3.8163539, 4.1109587, 4.9349955, 8.0162650, 39.8302080, 90.4805400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("6.5692949, 6.5706192, 6.5742012, 6.5742014, 6.5742019, 6.5742023, 6.5742028", \
+					  "6.6640640, 6.6676145, 6.6715882, 6.6715887, 6.6715892, 6.6715897, 6.6715901", \
+					  "6.7303101, 6.7303103, 6.7303107, 6.7303112, 6.7303117, 6.7303122, 6.7998536", \
+					  "6.7799784, 6.7810855, 6.7847172, 6.7847174, 6.7847178, 6.7847183, 6.8446995", \
+					  "6.8235901, 6.8240751, 6.8284569, 6.8284572, 6.8284576, 6.8284581, 6.8889241", \
+					  "6.8689254, 6.8695285, 6.8733330, 6.8733333, 6.8733337, 6.8733342, 6.9307364", \
+					  "6.9099491, 6.9103550, 6.9150436, 6.9150441, 6.9150446, 6.9150451, 6.9695352");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2846840, 18.5069100, 19.8430570, 28.0815460, 78.7201490, 390.4305600, 2311.9555000", \
+					  "18.3829090, 18.6058650, 19.9339740, 28.1811780, 78.8263890, 390.5347500, 2312.1015000", \
+					  "18.4436570, 18.6535000, 20.0085600, 28.2376860, 78.8766390, 390.5943800, 2312.1675000", \
+					  "18.4936390, 18.6985310, 20.0486310, 28.2886940, 78.9351380, 390.6434100, 2312.0999000", \
+					  "18.5362300, 18.7487710, 20.0923630, 28.3308770, 78.9770810, 390.6832000, 2312.7163000", \
+					  "18.5836450, 18.7887770, 20.1359370, 28.3761300, 79.0147750, 390.7222200, 2312.4005000", \
+					  "18.6138000, 18.8315660, 20.1757420, 28.4113640, 79.0588930, 390.7603900, 2312.4496000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6785160, 17.1263220, 19.7274550, 36.0839390, 136.9303500, 759.4691200, 4595.2747000", \
+					  "16.6706330, 17.1239950, 19.7697130, 36.0853680, 137.1533500, 759.4917400, 4596.3861000", \
+					  "16.7034330, 17.0970530, 19.7285090, 36.0853710, 136.9186200, 759.2407800, 4595.2343000", \
+					  "16.7038130, 17.1097500, 19.7272650, 36.0852680, 136.8835400, 758.2448200, 4595.4625000", \
+					  "16.7044490, 17.1006250, 19.7677290, 36.0853110, 136.8830200, 759.3395700, 4596.5899000", \
+					  "16.7009320, 17.1306950, 19.7687220, 36.0838190, 137.0364400, 759.4639400, 4597.1333000", \
+					  "16.6745570, 17.1302950, 19.7408010, 36.0860890, 136.8793100, 759.4655400, 4596.0578000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4884860, 21.7029790, 23.0130630, 31.1376420, 81.2018190, 390.0773300, 2292.4751000", \
+					  "21.5841760, 21.7891660, 23.1208850, 31.2866570, 81.3685330, 389.8002800, 2292.9230000", \
+					  "21.6456080, 21.8605720, 23.1825140, 31.2959120, 81.3156690, 390.2124800, 2293.3557000", \
+					  "21.6753550, 21.8905660, 23.2183070, 31.3362880, 81.5422960, 389.8616700, 2293.0819000", \
+					  "21.7239170, 21.9410980, 23.2491890, 31.3759720, 81.5848220, 389.9042700, 2293.8295000", \
+					  "21.7742620, 21.9899320, 23.2868430, 31.4283780, 81.3945110, 390.0913400, 2293.1579000", \
+					  "21.8042530, 22.0320860, 23.3282920, 31.4454350, 81.6328840, 389.9030800, 2293.7870000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8812950, 16.3114290, 18.9249430, 35.2045790, 135.2533300, 751.6345600, 4554.0260000", \
+					  "15.8930840, 16.3179000, 18.9235400, 35.1342320, 135.3931200, 751.6045500, 4555.8595000", \
+					  "15.8844740, 16.3093730, 18.9334130, 35.2069160, 135.2475900, 751.7247700, 4552.6217000", \
+					  "15.8841460, 16.2856410, 18.9194240, 35.1407830, 134.7572600, 751.4873900, 4552.4289000", \
+					  "15.8911020, 16.3167720, 18.8964700, 35.1401360, 134.7548700, 751.4971700, 4552.1224000", \
+					  "15.8882880, 16.3040730, 18.8900430, 35.2067570, 135.3395500, 751.3362400, 4551.8336000", \
+					  "15.8932000, 16.3083950, 18.8969800, 35.0837970, 135.3133100, 751.6530300, 4556.8963000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.4531500, 10.4998550, 10.7909550, 12.5729210, 19.3463270, 36.1777200, 132.6641700", \
+					  "10.5057100, 10.5528520, 10.8442190, 12.6262440, 19.3986140, 36.2310250, 132.7163400", \
+					  "10.5221630, 10.5697070, 10.8607730, 12.6428180, 19.4162590, 36.2481350, 132.7342100", \
+					  "10.5281100, 10.5761170, 10.8659030, 12.6490160, 19.4215490, 36.2540330, 132.7400600", \
+					  "10.5332140, 10.5800950, 10.8715880, 12.6529810, 19.4263450, 36.2577990, 132.7442600", \
+					  "10.5317620, 10.5787280, 10.8703040, 12.6517260, 19.4242720, 36.2574220, 132.7427800", \
+					  "10.5279610, 10.5750670, 10.8665460, 12.6480490, 19.4209840, 36.2542810, 132.7381700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2582155, 3.3430230, 3.8663015, 6.2840968, 9.3018677, 27.8878090, 171.6404400", \
+					  "3.2575034, 3.3431040, 3.8663548, 6.2840071, 9.3016421, 27.8900650, 171.6144300", \
+					  "3.2575036, 3.3430365, 3.8663135, 6.2839334, 9.3020391, 27.8982830, 171.6404400", \
+					  "3.2575420, 3.3442604, 3.8662553, 6.2842052, 9.3019867, 27.8945420, 171.6420300", \
+					  "3.2582095, 3.3432954, 3.8662837, 6.2840506, 9.3018668, 27.8879140, 171.6412000", \
+					  "3.2579623, 3.3433269, 3.8662775, 6.2840644, 9.3024664, 27.8996790, 171.6414500", \
+					  "3.2579615, 3.3432690, 3.8662886, 6.2840315, 9.3024504, 27.9092940, 171.6052400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("20.7362000, 20.9448780, 22.3114360, 30.5352330, 80.8253380, 389.6882400, 2292.8209000", \
+					  "20.8286350, 21.0573350, 22.4030410, 30.6207080, 80.9307750, 389.6582000, 2293.5897000", \
+					  "20.8934810, 21.1037180, 22.4693260, 30.6910270, 80.9841150, 389.4950600, 2292.8170000", \
+					  "20.9382630, 21.1570390, 22.5069900, 30.7248910, 80.8596280, 389.5586100, 2293.1918000", \
+					  "20.9752020, 21.2048370, 22.5515140, 30.7634450, 81.0694870, 389.4530800, 2293.7727000", \
+					  "21.0184140, 21.2430610, 22.5924970, 30.7960270, 81.0047570, 389.6005900, 2293.2331000", \
+					  "21.0675760, 21.2779150, 22.6416240, 30.8630210, 81.1537360, 389.6526800, 2292.9632000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8804450, 16.2889330, 18.9011190, 35.2002760, 135.3865300, 752.0812900, 4554.1738000", \
+					  "15.8618750, 16.2739690, 18.9188720, 35.1688310, 134.9911400, 751.8459600, 4555.6235000", \
+					  "15.8815130, 16.2949850, 18.8955730, 35.1998710, 135.3817700, 751.1221700, 4554.4639000", \
+					  "15.8723200, 16.2694000, 18.9188450, 35.2002840, 135.2831000, 751.2405200, 4553.4180000", \
+					  "15.8621190, 16.3042290, 18.9188970, 35.1972000, 135.0070600, 751.6067600, 4553.6240000", \
+					  "15.8657140, 16.2673980, 18.9178540, 35.1821400, 135.4096600, 751.2229600, 4554.3011000", \
+					  "15.8815610, 16.2984330, 18.8998650, 35.1998360, 135.3878400, 751.2146600, 4553.9418000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0616370, 11.1108610, 11.4057270, 13.1684490, 23.8784210, 89.8052190, 424.2533300", \
+					  "11.1620050, 11.2109480, 11.4992930, 13.2691940, 23.9784120, 89.9081480, 424.3573200", \
+					  "11.2177850, 11.2642330, 11.5575780, 13.3262510, 24.0349960, 89.9657020, 424.3943600", \
+					  "11.2734090, 11.3226180, 11.6047090, 13.3676310, 24.0790910, 90.0107150, 424.4433300", \
+					  "11.3175550, 11.3627370, 11.6494380, 13.4102590, 24.1214980, 90.0512380, 424.4892600", \
+					  "11.3577730, 11.3993940, 11.6918580, 13.4561220, 24.1673650, 90.0919450, 424.5904100", \
+					  "11.3954930, 11.4415370, 11.7264160, 13.4928500, 24.2032240, 90.1365950, 424.6101900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2112746, 3.2902832, 3.7789065, 6.7732229, 25.5179150, 141.5076600, 392.9697200", \
+					  "3.2098613, 3.2892777, 3.7778780, 6.7732784, 25.5215000, 141.3556600, 393.2480300", \
+					  "3.2114063, 3.2905170, 3.7790509, 6.7730990, 25.5193320, 141.3459600, 392.8626000", \
+					  "3.2113545, 3.2902920, 3.7788985, 6.7737260, 25.5036310, 141.3005200, 392.8603600", \
+					  "3.2106879, 3.2905283, 3.7789412, 6.7735355, 25.5188650, 141.1306000, 392.8755900", \
+					  "3.2109255, 3.2902361, 3.7789434, 6.7738974, 25.5029050, 141.1305100, 393.0931300", \
+					  "3.2115208, 3.2905265, 3.7789878, 6.7723844, 25.5036900, 141.3173000, 393.0238100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4770670, 21.6983820, 23.0312110, 31.1408040, 81.1947630, 389.6734600, 2292.3412000", \
+					  "21.5710490, 21.7968400, 23.1053750, 31.2330460, 81.4401490, 389.8048500, 2294.0912000", \
+					  "21.6509000, 21.8656770, 23.1880100, 31.3006900, 81.3465210, 390.1193100, 2293.0724000", \
+					  "21.6989150, 21.9052260, 23.2188900, 31.3462250, 81.4144770, 390.1119800, 2293.6099000", \
+					  "21.7271070, 21.9460410, 23.2610180, 31.3882290, 81.4561500, 390.2135300, 2293.1508000", \
+					  "21.7643560, 21.9977760, 23.3211090, 31.4353490, 81.4645630, 389.9619100, 2293.1865000", \
+					  "21.8030020, 22.0268730, 23.3381100, 31.4665480, 81.5043040, 390.2483200, 2293.6717000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8932710, 16.3132210, 18.9303200, 35.2026640, 135.2003700, 751.5415100, 4555.2520000", \
+					  "15.8849880, 16.3188790, 18.8966350, 35.1388540, 134.8679000, 751.4228700, 4554.8245000", \
+					  "15.8734660, 16.3170450, 18.9296080, 35.2051190, 135.2100700, 751.1177400, 4553.4593000", \
+					  "15.8806120, 16.3181500, 18.9197030, 35.1976190, 135.4487400, 752.4053000, 4554.4266000", \
+					  "15.8943130, 16.3181590, 18.9196640, 35.1978640, 135.4485600, 751.6840700, 4554.7536000", \
+					  "15.8937980, 16.3158200, 18.9321450, 35.2059710, 135.2361600, 751.5249600, 4555.1210000", \
+					  "15.8930260, 16.3191030, 18.9197810, 35.1961940, 135.2268800, 751.0926100, 4554.0010000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2854710, 18.4942800, 19.8296120, 28.0819080, 78.7217830, 390.4417800, 2311.8212000", \
+					  "18.3827160, 18.6059540, 19.9341410, 28.1935520, 78.8265740, 390.5385000, 2312.1829000", \
+					  "18.4413660, 18.6543700, 20.0005130, 28.2385850, 78.8890590, 390.5950100, 2312.3266000", \
+					  "18.4947380, 18.7042950, 20.0504560, 28.2884770, 78.9350660, 390.6452300, 2312.0538000", \
+					  "18.5339370, 18.7486220, 20.0931250, 28.3306800, 78.9786230, 390.6843900, 2312.3732000", \
+					  "18.5735000, 18.7838050, 20.1342130, 28.3719570, 79.0114500, 390.7291300, 2312.3221000", \
+					  "18.6193550, 18.8281050, 20.1795840, 28.4162060, 79.0574430, 390.7727800, 2312.2252000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.7039270, 17.1155690, 19.7307610, 36.0854810, 136.9008700, 758.3858500, 4595.2052000", \
+					  "16.6715760, 17.1241790, 19.7703450, 36.0748160, 137.1562600, 759.4223300, 4593.1429000", \
+					  "16.6748250, 17.1032040, 19.7284070, 36.0849160, 136.8547200, 759.1551700, 4594.9946000", \
+					  "16.7037850, 17.1095810, 19.7686330, 36.0855310, 137.0514400, 759.7959700, 4595.0032000", \
+					  "16.7052030, 17.1025840, 19.7685210, 36.0856060, 136.8569700, 758.5609300, 4593.3230000", \
+					  "16.6871780, 17.1105870, 19.7313490, 36.0866040, 137.0752900, 759.6204900, 4596.6808000", \
+					  "16.7037050, 17.1051720, 19.7305070, 36.0819290, 136.8560200, 757.9466800, 4594.9055000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("14.1523070, 14.2161260, 14.5986540, 16.9059860, 30.8804400, 116.6392100, 496.8455900", \
+					  "14.2462730, 14.3127830, 14.7015650, 16.9966890, 31.0013850, 116.7684500, 496.9381300", \
+					  "14.3093020, 14.3749310, 14.7543230, 17.0682010, 31.0435590, 116.8435400, 496.9991800", \
+					  "14.3532370, 14.4134550, 14.8054800, 17.1062420, 31.0878260, 116.8823400, 497.0119100", \
+					  "14.3916910, 14.4561220, 14.8482600, 17.1473770, 31.1640700, 116.9253600, 497.0471500", \
+					  "14.4404570, 14.5034930, 14.8847220, 17.1783620, 31.1775490, 116.9423900, 497.1199100", \
+					  "14.4736120, 14.5365130, 14.9229980, 17.2290870, 31.2404680, 116.9519200, 497.1314000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8567416, 3.9517190, 4.5132094, 8.0490752, 30.4718810, 169.3242500, 358.3816400", \
+					  "3.8570107, 3.9497121, 4.5122155, 8.0570022, 30.4557410, 169.3111100, 358.4400800", \
+					  "3.8572470, 3.9517123, 4.5167456, 8.0488958, 30.4664450, 168.8417500, 358.4538300", \
+					  "3.8576244, 3.9496539, 4.5169015, 8.0566833, 30.4723860, 169.3124900, 358.5286400", \
+					  "3.8571217, 3.9498800, 4.5168687, 8.0564506, 30.4760160, 169.3120300, 358.3899400", \
+					  "3.8579318, 3.9509680, 4.5166395, 8.0581781, 30.4627470, 169.2560800, 358.4951900", \
+					  "3.8577794, 3.9495602, 4.5167234, 8.0569649, 30.4684560, 169.3033900, 358.5287500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("11.0597120, 11.1054710, 11.3964420, 13.1803320, 19.9652350, 36.8001310, 133.2899000", \
+					  "11.1617030, 11.2086870, 11.4999450, 13.2816990, 20.0676670, 36.9024310, 133.3914700", \
+					  "11.2164420, 11.2638200, 11.5542830, 13.3362110, 20.1257890, 36.9574420, 133.4518600", \
+					  "11.2674230, 11.3147300, 11.6059800, 13.3889570, 20.1736390, 37.0095740, 133.4987400", \
+					  "11.3102570, 11.3573490, 11.6487170, 13.4303090, 20.2161200, 37.0503200, 133.5454400", \
+					  "11.3467570, 11.3937930, 11.6913920, 13.4667990, 20.2534980, 37.0948390, 133.5832600", \
+					  "11.3898160, 11.4381990, 11.7322370, 13.5096610, 20.2969680, 37.1305830, 133.6173500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.2595973, 3.3441461, 3.8672345, 6.2945413, 9.3160626, 27.9079670, 171.6068600", \
+					  "3.2589047, 3.3441085, 3.8671857, 6.2947745, 9.3164028, 27.8994840, 171.6403900", \
+					  "3.2589618, 3.3442373, 3.8672656, 6.2944157, 9.3166017, 27.9001070, 171.5664300", \
+					  "3.2588896, 3.3440528, 3.8671790, 6.2946524, 9.3160990, 27.9118030, 171.6438500", \
+					  "3.2596454, 3.3440959, 3.8673034, 6.2944969, 9.3157899, 27.9003970, 171.6395600", \
+					  "3.2589804, 3.3442076, 3.8673091, 6.2945786, 9.3161123, 27.9091400, 171.6397500", \
+					  "3.2596614, 3.3440990, 3.8673105, 6.2945262, 9.3166319, 27.9070030, 171.6397500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2842970, 18.4963160, 19.8425740, 28.0810240, 78.7204400, 390.4381500, 2311.8885000", \
+					  "18.3826610, 18.6058140, 19.9345930, 28.1932450, 78.8250890, 390.5388400, 2312.4394000", \
+					  "18.4451090, 18.6543510, 19.9896260, 28.2373250, 78.8848240, 390.5959400, 2312.2977000", \
+					  "18.4946210, 18.7054960, 20.0505050, 28.2886940, 78.9358620, 390.6403900, 2312.1409000", \
+					  "18.5382310, 18.7463470, 20.0930060, 28.3306870, 78.9778910, 390.6824000, 2312.7491000", \
+					  "18.5731060, 18.7990090, 20.1305550, 28.3740410, 79.0202250, 390.7262000, 2312.7948000", \
+					  "18.6210340, 18.8233620, 20.1752140, 28.4069110, 79.0586010, 390.7641400, 2312.6138000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.7051000, 17.0968020, 19.7284480, 36.0847530, 136.9194000, 758.6186800, 4597.3602000", \
+					  "16.6713310, 17.1233620, 19.7699550, 36.0711110, 137.1422100, 759.0820700, 4597.4684000", \
+					  "16.7038760, 17.1047000, 19.7288730, 36.0852820, 136.8831200, 758.5515500, 4597.6931000", \
+					  "16.7041120, 17.1007940, 19.7676840, 36.0851330, 136.8836000, 759.4274500, 4595.3116000", \
+					  "16.7045170, 17.0980150, 19.7676130, 36.0852250, 136.8823500, 758.7451000, 4598.8031000", \
+					  "16.7034920, 17.1261050, 19.7678350, 36.0855420, 136.8741100, 758.7878500, 4596.5744000", \
+					  "16.7044350, 17.1051710, 19.7669760, 36.0851620, 136.8815500, 758.5161400, 4596.6058000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("21.4868900, 21.6973340, 23.0250170, 31.1376700, 81.1088580, 389.7022500, 2292.8473000", \
+					  "21.5852810, 21.7932930, 23.1208990, 31.2867070, 81.4353170, 389.7960100, 2293.5967000", \
+					  "21.6458440, 21.8601690, 23.1816570, 31.2930130, 81.3159460, 390.1346900, 2293.4021000", \
+					  "21.6764470, 21.9012350, 23.2090280, 31.3498670, 81.5419480, 389.8564400, 2294.5064000", \
+					  "21.7150170, 21.9423040, 23.2514060, 31.3752220, 81.5834800, 389.8975600, 2292.7716000", \
+					  "21.7777030, 21.9900030, 23.3117950, 31.4080780, 81.4074210, 390.3097900, 2292.7375000", \
+					  "21.8061800, 22.0200430, 23.3293460, 31.4922880, 81.6608430, 390.0911700, 2293.2056000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("15.8887890, 16.3149650, 18.9335640, 35.2049130, 135.3341600, 751.9364500, 4551.9855000", \
+					  "15.8932670, 16.2980830, 18.9233620, 35.1344770, 134.7540900, 751.6060200, 4551.3198000", \
+					  "15.8846860, 16.3095170, 18.9328140, 35.2041880, 135.2484700, 750.9828500, 4553.0410000", \
+					  "15.8840430, 16.3179370, 18.8970850, 35.2078440, 134.7551100, 751.5013700, 4554.2438000", \
+					  "15.8839250, 16.3178860, 18.8963160, 35.1416280, 134.7551400, 751.5071200, 4553.5753000", \
+					  "15.8887640, 16.3043780, 18.9347310, 35.1066340, 135.3184400, 751.6872000, 4552.8077000", \
+					  "15.8818810, 16.3179070, 18.9006690, 35.0521890, 134.7554200, 751.3979200, 4553.3088000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("10.5846000, 10.6325540, 10.9281620, 12.7053300, 23.4858340, 89.4849990, 423.8008300", \
+					  "10.6382450, 10.6852590, 10.9850730, 12.7718320, 23.5401140, 89.5419990, 423.8911800", \
+					  "10.6554420, 10.7034320, 11.0019690, 12.7891770, 23.5590110, 89.5591230, 423.8990800", \
+					  "10.6653240, 10.7102270, 11.0087020, 12.7942900, 23.5630520, 89.5666900, 423.9125500", \
+					  "10.6647130, 10.7125660, 11.0081780, 12.7989840, 23.5715430, 89.5687790, 423.9065600", \
+					  "10.6634270, 10.7113920, 11.0066840, 12.7976570, 23.5704500, 89.5674930, 423.9056800", \
+					  "10.6596010, 10.7075700, 11.0033980, 12.7938580, 23.5661300, 89.5636770, 423.9017000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.1955287, 3.2751561, 3.7657859, 6.7695693, 25.5159400, 141.3742200, 392.8462300", \
+					  "3.1949190, 3.2745200, 3.7665782, 6.7694166, 25.4763410, 141.3384900, 392.8987300", \
+					  "3.1946965, 3.2746539, 3.7665786, 6.7694321, 25.4600110, 141.3581400, 392.7258100", \
+					  "3.1946972, 3.2745211, 3.7658525, 6.7681283, 25.5149410, 141.3170700, 392.8946300", \
+					  "3.1948311, 3.2748477, 3.7664178, 6.7693997, 25.4530690, 141.3693000, 392.7431200", \
+					  "3.1948479, 3.2748297, 3.7664165, 6.7693966, 25.4539410, 141.3701400, 392.7495400", \
+					  "3.1948177, 3.2747915, 3.7664192, 6.7694046, 25.4768720, 141.4047500, 392.7653400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.9734100, 13.0406640, 13.4344540, 15.7584200, 29.7676020, 115.6278700, 495.5089700", \
+					  "13.0749060, 13.1416590, 13.5327440, 15.8622590, 29.9210470, 115.6800000, 495.5522900", \
+					  "13.1293990, 13.1913160, 13.5922310, 15.9180510, 29.9118060, 115.8631100, 495.6098700", \
+					  "13.1869650, 13.2510590, 13.6359320, 15.9849520, 30.0254360, 115.7848600, 495.6801800", \
+					  "13.2278210, 13.2918640, 13.6771280, 16.0256630, 30.0653830, 115.8268400, 495.7114500", \
+					  "13.2642460, 13.3261770, 13.7270510, 16.0526450, 30.1157750, 115.8555700, 495.7417400", \
+					  "13.3122930, 13.3744390, 13.7604060, 16.1082030, 30.1473370, 115.9130200, 495.7808000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8184869, 3.9104213, 4.4802215, 8.0451121, 30.4307330, 169.2822700, 358.2180500", \
+					  "3.8191419, 3.9097352, 4.4780322, 8.0463431, 30.4657170, 169.3899800, 358.1974100", \
+					  "3.8141952, 3.9084354, 4.4791002, 8.0374898, 30.4475410, 169.0383000, 358.0831000", \
+					  "3.8167332, 3.9112833, 4.4765467, 8.0296649, 30.4505510, 169.3876200, 358.1962200", \
+					  "3.8170573, 3.9113810, 4.4766200, 8.0302609, 30.4496940, 169.3948700, 358.1874400", \
+					  "3.8141437, 3.9084012, 4.4790838, 8.0371461, 30.4591450, 169.3638300, 358.0817600", \
+					  "3.8176076, 3.9114876, 4.4767066, 8.0320612, 30.4461240, 169.3790900, 358.0912600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("18.2035610, 18.4228990, 19.7767400, 28.1238800, 79.0319770, 391.0404300, 2312.5542000", \
+					  "18.2510700, 18.4817170, 19.8325570, 28.1754350, 79.0836480, 391.0942000, 2312.8898000", \
+					  "18.2736470, 18.4918750, 19.8459840, 28.1929590, 79.0963880, 391.1108000, 2313.0731000", \
+					  "18.2799500, 18.5058070, 19.8574790, 28.1996420, 79.1066980, 391.1174000, 2312.6217000", \
+					  "18.2818330, 18.5012340, 19.8549600, 28.2024130, 79.1060510, 391.1142700, 2312.6834000", \
+					  "18.2805950, 18.4998100, 19.8540370, 28.2008940, 79.1043680, 391.1127100, 2312.5885000", \
+					  "18.2770300, 18.4959370, 19.8501770, 28.1970200, 79.1004950, 391.1129600, 2312.6681000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("16.6872540, 17.1270770, 19.7652380, 36.0844870, 136.8853500, 758.1160700, 4594.8741000", \
+					  "16.6670850, 17.1041580, 19.7637250, 36.0775130, 137.0706400, 757.8869400, 4595.8554000", \
+					  "16.6871070, 17.1270130, 19.7652560, 36.0833460, 136.8691600, 758.3689700, 4594.8154000", \
+					  "16.6867890, 17.1207790, 19.7633660, 36.0772570, 137.0536800, 759.1616500, 4594.5262000", \
+					  "16.6871990, 17.1269610, 19.7652450, 36.0846250, 136.8895100, 759.5139100, 4595.5862000", \
+					  "16.6872150, 17.1270290, 19.7652490, 36.0830900, 136.8653100, 759.5216400, 4595.7663000", \
+					  "16.6872330, 17.1270360, 19.7652490, 36.0831220, 136.8648600, 759.2395300, 4593.9610000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("12.7374600, 12.7987670, 13.1887570, 15.4802620, 29.4952100, 115.2458800, 495.4579800", \
+					  "12.8347660, 12.9005780, 13.2859070, 15.5957200, 29.5987590, 115.3063000, 495.4593500", \
+					  "12.8933290, 12.9546320, 13.3443050, 15.6362980, 29.6435600, 115.4155500, 495.5642800", \
+					  "12.9403170, 13.0064850, 13.3933160, 15.7011680, 29.7107140, 115.3756300, 495.5583100", \
+					  "12.9896560, 13.0527280, 13.4299650, 15.7463770, 29.7057080, 115.3619800, 495.6620500", \
+					  "13.0269260, 13.0877560, 13.4776800, 15.7742530, 29.7949110, 115.5673800, 495.6401700", \
+					  "13.0691710, 13.1303090, 13.5168690, 15.8107500, 29.8233580, 115.4591800, 495.6822300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.22276, 1.27443, 1.59305, 3.55797, 15.6752, 90.3999, 551.213");
+					values("3.8509849, 3.9425023, 4.5103619, 8.0561104, 30.4631310, 169.3219900, 358.5734000", \
+					  "3.8554830, 3.9447028, 4.5072279, 8.0457410, 30.4526430, 169.3773600, 358.5106800", \
+					  "3.8509760, 3.9426027, 4.5101385, 8.0560092, 30.4569450, 169.3086300, 358.5235200", \
+					  "3.8549470, 3.9453094, 4.5091508, 8.0490690, 30.4645340, 169.4212700, 358.4305500", \
+					  "3.8578034, 3.9500740, 4.5092734, 8.0512326, 30.4759350, 169.4737900, 358.5982700", \
+					  "3.8520862, 3.9445114, 4.5115094, 8.0558991, 30.4741230, 169.2813600, 358.5584800", \
+					  "3.8509143, 3.9421764, 4.5103272, 8.0569080, 30.4601820, 169.4835800, 358.5607000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1218392, -1.1538117, -1.1857842, -1.1881724, -1.1904651, -1.1928533, -1.1952415");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1350004, 1.1801873, 1.2253742, 1.2297782, 1.2340061, 1.2384101, 1.2428141");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157734;
+			capacitance : 0.157084;
+			fall_capacitance : 0.156434;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0506915, -0.0486500, -0.0466084, -0.0470285, -0.0474319, -0.0478520, -0.0482721");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0464420, 0.0466485, 0.0468551, 0.0471839, 0.0474996, 0.0478284, 0.0481572");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150934;
+			capacitance : 0.150216;
+			fall_capacitance : 0.149498;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0511628, -0.0489576, -0.0467524, -0.0471323, -0.0474969, -0.0478767, -0.0482565");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0469464, 0.0469784, 0.0470105, 0.0472893, 0.0475570, 0.0478359, 0.0481147");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v95.lib
new file mode 100644
index 0000000..ef17ece
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v95.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ss_100C_1v95_1v95") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.950000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.950000);
+	voltage_map("VDDIO_Q",1.950000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.950000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.487; 
+			 vih : 1.462; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p95v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 3.767540e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "376.1510000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "224.8850000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "354.2630000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "376.7540000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.4390000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.9760000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.6600000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.9290000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "349.7580000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "370.2000000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.3610000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.4860000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "353.8380000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "352.6460000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "349.9440000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.1360000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "375.8520000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "376.3280000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006916;
+			capacitance : 0.006789;
+			fall_capacitance : 0.006662;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.8920315, 2.8229939, 2.7941112, 2.7748639, 2.7725740, 2.7765533, 2.7748315", \
+					  "3.2140913, 3.1483232, 3.1168344, 3.0946632, 3.0979173, 3.0999765, 3.0955834", \
+					  "3.4478354, 3.3787977, 3.3554346, 3.3315924, 3.3329795, 3.3354266, 3.3340473", \
+					  "3.6161847, 3.5426607, 3.5182504, 3.5005044, 3.4911205, 3.5041439, 3.5150820", \
+					  "3.7559518, 3.6896300, 3.6540325, 3.6473376, 3.6475632, 3.6378168, 3.6524832", \
+					  "3.9038198, 3.8403217, 3.8069719, 3.7875288, 3.7881474, 3.7922343, 3.7834543", \
+					  "4.0170416, 3.9463475, 3.9276247, 3.8994921, 3.9009989, 3.9007507, 3.8951338");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5960274, 2.5025475, 2.4300062, 2.3744580, 2.3444864, 2.2869564, 2.2316187", \
+					  "2.9228829, 2.8217624, 2.7751732, 2.6911962, 2.6482603, 2.6158374, 2.5462670", \
+					  "3.1518326, 3.0583703, 2.9964924, 2.9342624, 2.8731860, 2.8308951, 2.7936949", \
+					  "3.3171306, 3.2236507, 3.1286083, 3.1060006, 3.0576333, 3.0088452, 2.9640617", \
+					  "3.4721542, 3.3634349, 3.2847705, 3.2421033, 3.1910375, 3.1316885, 3.1186181", \
+					  "3.5986601, 3.4898080, 3.4326389, 3.3670511, 3.3162615, 3.2750301, 3.2362997", \
+					  "3.7347701, 3.6260502, 3.5733263, 3.4903367, 3.4467314, 3.3723440, 3.3423675");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4363704, -2.3662751, -2.3615420, -2.3347774, -2.3193804, -2.3275961, -2.3161201", \
+					  "-2.7571218, -2.6865811, -2.6683624, -2.6610596, -2.6400470, -2.6515487, -2.6843070", \
+					  "-2.9890869, -2.9185462, -2.9098030, -2.8770499, -2.8751867, -2.8927302, -2.8876743", \
+					  "-3.1522091, -3.0795450, -3.0711171, -3.0671505, -3.0383795, -3.0432323, -3.0444202", \
+					  "-3.2976013, -3.2234130, -3.2118954, -3.2018223, -3.2007045, -3.2106559, -3.2139722", \
+					  "-3.4467777, -3.3805487, -3.3549458, -3.3408462, -3.3383491, -3.3362750, -3.3463638", \
+					  "-3.5539315, -3.4879685, -3.4784921, -3.4560006, -3.4352596, -3.4469243, -3.4831593");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4928452, -2.3963493, -2.3268362, -2.2609372, -2.2476540, -2.1915573, -2.1303556", \
+					  "-2.8135966, -2.7170881, -2.6448920, -2.5918369, -2.5558761, -2.5206323, -2.4526707", \
+					  "-3.0455618, -2.9453173, -2.8966176, -2.8214175, -2.7913187, -2.7492277, -2.6979383", \
+					  "-3.2138662, -3.1167213, -3.0570008, -2.9953972, -2.9517985, -2.9044489, -2.8725608", \
+					  "-3.3705029, -3.2526321, -3.1876976, -3.1388454, -3.1167144, -3.0452962, -3.0117715", \
+					  "-3.4863221, -3.3941358, -3.3322726, -3.2699092, -3.2421676, -3.1723725, -3.1349018", \
+					  "-3.6209062, -3.5347200, -3.4328562, -3.3885274, -3.3571898, -3.2972871, -3.2278406");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438783, 0.0442684, 0.0446585, 0.0468853, 0.0490231, 0.0512499, 0.0534767");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560602, 0.0570242, 0.0579881, 0.0608404, 0.0635786, 0.0664309, 0.0692832");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004887;
+			capacitance : 0.005011;
+			rise_capacitance : 0.005135;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4882760, -2.4095567, -2.3845245, -2.3692270, -2.3630140, -2.3572137, -2.3618964", \
+					  "-2.8090274, -2.7303079, -2.7072866, -2.6909003, -2.6759028, -2.6793718, -2.6859314", \
+					  "-3.0409923, -2.9634119, -2.9391447, -2.9219664, -2.9172233, -2.9111586, -2.9208746", \
+					  "-3.2056422, -3.1321347, -3.1001339, -3.0866198, -3.0689622, -3.0679339, -3.0808009", \
+					  "-3.3647678, -3.2819669, -3.2528122, -3.2468499, -3.2269869, -3.2252502, -3.2277069", \
+					  "-3.5017377, -3.4192932, -3.3936919, -3.3687903, -3.3634386, -3.3622176, -3.3677287", \
+					  "-3.6043144, -3.5240694, -3.4991249, -3.4867935, -3.4790139, -3.4744702, -3.4816565");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4867532, -2.3521291, -2.3039363, -2.2306212, -2.1962032, -2.1524055, -2.1045323", \
+					  "-2.8075046, -2.7030997, -2.6247315, -2.5559840, -2.5008386, -2.4711430, -2.4253481", \
+					  "-3.0394700, -2.9350650, -2.8541047, -2.7818309, -2.7354158, -2.7098019, -2.6586901", \
+					  "-3.2041180, -3.0767097, -3.0258786, -2.9379869, -2.9116700, -2.8504898, -2.8015512", \
+					  "-3.3434066, -3.2423042, -3.1820979, -3.0946900, -3.0447164, -3.0149791, -2.9875970", \
+					  "-3.4803759, -3.3655731, -3.2954339, -3.2437408, -3.1922395, -3.1626394, -3.0839126", \
+					  "-3.6043146, -3.4968579, -3.4505114, -3.3450073, -3.2981180, -3.2674072, -3.2264935");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.8951075, 2.8255059, 2.7946563, 2.7573086, 2.7702983, 2.7629806, 2.7645149", \
+					  "3.2189112, 3.1493095, 3.1212062, 3.1041372, 3.0941135, 3.0902252, 3.0864280", \
+					  "3.4509096, 3.3813079, 3.3450232, 3.3223400, 3.3219730, 3.3176169, 3.3107972", \
+					  "3.6192467, 3.5507586, 3.5196932, 3.5003432, 3.4866048, 3.4829198, 3.4980448", \
+					  "3.7605521, 3.6900301, 3.6533379, 3.6290273, 3.6292518, 3.6240840, 3.6204400", \
+					  "3.9114732, 3.8415149, 3.8101538, 3.7898660, 3.7762391, 3.7844148, 3.7824315", \
+					  "4.0185911, 3.9474635, 3.9088491, 3.8912281, 3.8904846, 3.8805970, 3.8861081");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5609395, 2.4591469, 2.3857534, 2.3532334, 2.2812721, 2.2515239, 2.1868165", \
+					  "2.8859018, 2.7753951, 2.7093599, 2.6825915, 2.6137555, 2.5391323, 2.5102254", \
+					  "3.1171841, 3.0121401, 2.9406424, 2.8766321, 2.8462442, 2.8000225, 2.7558309", \
+					  "3.2886023, 3.1796215, 3.1083638, 3.0752838, 3.0077310, 2.9655041, 2.9184369", \
+					  "3.4372450, 3.3221606, 3.2668082, 3.2146108, 3.1566079, 3.1270396, 3.0835079", \
+					  "3.5713009, 3.4619144, 3.4006929, 3.3314363, 3.2852017, 3.2514039, 3.2228625", \
+					  "3.6869481, 3.5978030, 3.4982067, 3.4636514, 3.4068746, 3.4097761, 3.3370782");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0525882, 0.0529264, 0.0532647, 0.0555015, 0.0576488, 0.0598856, 0.0621224");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0651412, 0.0659237, 0.0667062, 0.0694996, 0.0721812, 0.0749746, 0.0777679");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006557;
+			capacitance : 0.006682;
+			rise_capacitance : 0.006808;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9118677, 2.8458882, 2.8139524, 2.7947474, 2.7954302, 2.7937346, 2.7984380", \
+					  "3.2356711, 3.1681658, 3.1432686, 3.1131005, 3.1192469, 3.1160122, 3.1169456", \
+					  "3.4676571, 3.4016779, 3.3697330, 3.3497270, 3.3512389, 3.3550931, 3.3540626", \
+					  "3.6359836, 3.5679247, 3.5380648, 3.5203412, 3.5227173, 3.5163246, 3.5236048", \
+					  "3.7773138, 3.7104273, 3.6780452, 3.6580197, 3.6643489, 3.6637585, 3.6646921", \
+					  "3.9190778, 3.8579675, 3.8160771, 3.8104647, 3.8034987, 3.8015158, 3.8081918", \
+					  "4.0307738, 3.9678459, 3.9336750, 3.9198132, 3.9178086, 3.9212063, 3.9243571");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5731382, 2.4761678, 2.4132623, 2.3603224, 2.3186977, 2.2764577, 2.1958358", \
+					  "2.8979704, 2.8041108, 2.7212653, 2.7108078, 2.6600069, 2.6026894, 2.5300851", \
+					  "3.1314938, 3.0251999, 2.9730994, 2.9137297, 2.8862257, 2.8292436, 2.7566563", \
+					  "3.3025256, 3.2086660, 3.1426051, 3.0835055, 3.0408372, 2.9825574, 2.9458518", \
+					  "3.4646726, 3.3647099, 3.2910193, 3.2502383, 3.2005650, 3.1643661, 3.1080550", \
+					  "3.5797246, 3.4768511, 3.4259076, 3.3554076, 3.3073147, 3.2711945, 3.2490384", \
+					  "3.6817563, 3.5878969, 3.5081758, 3.4723023, 3.4388932, 3.4465602, 3.3375081");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5202942, -2.4482145, -2.4265010, -2.3969493, -2.4058115, -2.4072750, -2.4166460", \
+					  "-2.8410458, -2.7652939, -2.7477935, -2.7263338, -2.7265549, -2.7214970, -2.7360536", \
+					  "-3.0730273, -3.0009346, -2.9812319, -2.9528621, -2.9597817, -2.9509577, -2.9715578", \
+					  "-3.2413496, -3.1709859, -3.1495697, -3.1269424, -3.1182965, -3.1216909, -3.1350833", \
+					  "-3.3765861, -3.3151874, -3.3013070, -3.2745819, -3.2668321, -3.2876450, -3.2902494", \
+					  "-3.5183489, -3.4461185, -3.4256952, -3.4051583, -3.4023321, -3.4047937, -3.4118308", \
+					  "-3.6285190, -3.5567273, -3.5428013, -3.5159089, -3.5231244, -3.5108471, -3.5206422");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4821640, -2.3872672, -2.3207211, -2.2519297, -2.2085846, -2.1729731, -2.1073647", \
+					  "-2.8029154, -2.7094588, -2.6433622, -2.5763227, -2.5365771, -2.4907342, -2.4417472", \
+					  "-3.0348805, -2.9414239, -2.8719118, -2.8050695, -2.7652555, -2.7334572, -2.6682756", \
+					  "-3.1995286, -3.0965967, -3.0335081, -2.9663924, -2.9393163, -2.8938675, -2.8305429", \
+					  "-3.3418690, -3.2551816, -3.1960849, -3.1132416, -3.0758982, -3.0231279, -2.9887867", \
+					  "-3.4879936, -3.3774969, -3.3176816, -3.2565334, -3.2197851, -3.1658995, -3.1163935", \
+					  "-3.6043028, -3.5047427, -3.4297769, -3.3710119, -3.3479841, -3.2944969, -3.2492626");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439064, 0.0442959, 0.0446854, 0.0469109, 0.0490474, 0.0512729, 0.0534984");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0559461, 0.0568955, 0.0578449, 0.0607009, 0.0634427, 0.0662987, 0.0691547");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.027231;
+			capacitance : 0.027301;
+			fall_capacitance : 0.027371;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0398177, 0.0391747, 0.0385318, 0.0384125, 0.0382980, 0.0381788, 0.0380596");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0046878, -0.0057546, -0.0068215, -0.0069370, -0.0070479, -0.0071634, -0.0072789");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032912;
+			capacitance : 0.033164;
+			rise_capacitance : 0.033417;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1206561, 0.1304902, 0.1403243, 0.1519897, 0.1631885, 0.1748539, 0.1865194");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1426148, 0.1535193, 0.1644237, 0.1761560, 0.1874191, 0.1991514, 0.2108837");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051938;
+			capacitance : 0.052413;
+			rise_capacitance : 0.052887;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0209069, -0.0213329, -0.0217589, -0.0217611, -0.0217632, -0.0217654, -0.0217675");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0215435, 0.0216427, 0.0217419, 0.0217443, 0.0217466, 0.0217490, 0.0217514");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016887;
+			capacitance : 0.016854;
+			fall_capacitance : 0.016820;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263132, 0.0325647, 0.0388162, 0.0480764, 0.0569662, 0.0662263, 0.0754865");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0310981, 0.0372182, 0.0433382, 0.0521959, 0.0606993, 0.0695570, 0.0784148");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.037156;
+			capacitance : 0.037557;
+			rise_capacitance : 0.037958;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.7903708, -2.7435487, -2.7227846, -2.7170750, -2.7094535, -2.6983719, -2.7159003", \
+					  "-3.1065445, -3.0626159, -3.0404709, -3.0468927, -3.0302049, -3.0237501, -3.0441336", \
+					  "-3.3385096, -3.2977911, -3.2765785, -3.2773415, -3.2654858, -3.2585281, -3.2806659", \
+					  "-3.5046836, -3.4609133, -3.4415208, -3.4360561, -3.4268181, -3.4247020, -3.4299352", \
+					  "-3.6531275, -3.6073610, -3.5847840, -3.5811400, -3.5752620, -3.5792493, -3.5801830", \
+					  "-3.7931486, -3.7412475, -3.7284728, -3.7197660, -3.7216081, -3.7116412, -3.7247820", \
+					  "-3.9048802, -3.8576428, -3.8403358, -3.8301128, -3.8301026, -3.8266707, -3.8516991");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.6642762, -1.6056760, -1.5501522, -1.5251459, -1.4948539, -1.4576425, -1.4219551", \
+					  "-3.2209894, -1.9294792, -1.8754813, -1.8474232, -1.8095858, -1.7844974, -1.7289736", \
+					  "-2.2200448, -2.1568789, -2.1043948, -3.2405824, -3.1965574, -3.1532427, -3.1140099", \
+					  "-2.3831656, -2.3183339, -2.2690416, -2.2388544, -2.2106916, -2.1719543, -2.1423705", \
+					  "-2.5285573, -2.4682091, -2.4174851, -2.3863753, -2.3606610, -2.3173460, -2.2706199", \
+					  "-2.6640005, -2.6038746, -2.5483508, -2.5157149, -2.4838970, -2.4436339, -2.4064206", \
+					  "-2.7787836, -2.7186575, -2.6600819, -2.6378461, -2.6032576, -2.5813051, -2.5028930");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.1483756, 3.0817256, 3.0582970, 3.0506791, 3.0460948, 3.0516079, 3.0525416", \
+					  "3.4752308, 3.4085808, 3.3809460, 3.3742503, 3.3734715, 3.3708339, 3.3809227", \
+					  "3.7056996, 3.6354029, 3.6156210, 3.6058714, 3.6034187, 3.6135098, 3.6145238", \
+					  "3.8786115, 3.8134871, 3.7851001, 3.7763311, 3.7702271, 3.7757400, 3.7812516", \
+					  "4.0168724, 3.9497421, 3.9313448, 3.9190143, 3.9178312, 3.9201050, 3.9225643", \
+					  "4.1708444, 4.1010673, 4.0726896, 4.0636852, 4.0641595, 4.0732917, 4.0689068", \
+					  "4.2703332, 4.2015860, 4.1868320, 4.1678268, 4.1664426, 4.1705140, 4.1683961");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9271315, 2.8242726, 2.7641712, 2.7071215, 2.6630965, 2.6211702, 2.5856200", \
+					  "3.2490111, 3.1431007, 3.0860508, 3.0290011, 2.9865020, 2.9447130, 2.9105514", \
+					  "3.4815793, 3.3806181, 3.3220425, 3.2649925, 3.2224936, 3.1791787, 3.1435472", \
+					  "3.6609816, 3.5520192, 3.4949695, 3.4424974, 3.3938948, 3.3521057, 3.3118406", \
+					  "3.8111428, 3.7037043, 3.6426755, 3.5987620, 3.5424588, 3.5013015, 3.4745844", \
+					  "3.9537176, 3.8516606, 3.7724893, 3.7216943, 3.6814341, 3.6326771, 3.5954642", \
+					  "4.0634783, 3.9635711, 3.9019430, 3.8434685, 3.7856103, 3.7346662, 3.7143375");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2216372, 0.2891404, 0.3566437, 0.3877972, 0.4177047, 0.4488583, 0.4800119");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3073747, 0.3659302, 0.4244857, 0.4429484, 0.4606727, 0.4791354, 0.4975981");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.010793;
+			capacitance : 0.010979;
+			rise_capacitance : 0.011165;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0051864, 0.0879155, 0.1810175, 0.5853789, 0.9735659, 1.3779273, 1.7822887");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0672002, 0.1061275, 0.1450548, 0.5128662, 0.8659651, 1.2337764, 1.6015878");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006956;
+			capacitance : 0.007082;
+			rise_capacitance : 0.007207;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.0202052, 2.9530401, 2.9260554, 2.9046188, 2.9087657, 2.9095327, 2.9030054", \
+					  "3.3424830, 3.2768364, 3.2476253, 3.2329802, 3.2291101, 3.2381755, 3.2283350", \
+					  "3.5744785, 3.5087353, 3.4859089, 3.4744008, 3.4590020, 3.4593968, 3.4650363", \
+					  "3.7443389, 3.6714519, 3.6520121, 3.6281973, 3.6343362, 3.6277315, 3.6248132", \
+					  "3.8917539, 3.8252004, 3.7950654, 3.7755108, 3.7818400, 3.7751462, 3.7699766", \
+					  "4.0319120, 3.9598398, 3.9401889, 3.9312702, 3.9296276, 3.9199779, 3.9208968", \
+					  "4.1390205, 4.0727961, 4.0420279, 4.0308608, 4.0291066, 4.0300419, 4.0281749");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5761915, 2.4659080, 2.3854583, 2.3446354, 2.3041265, 2.2636431, 2.1912896", \
+					  "2.8999954, 2.7815259, 2.7322365, 2.6624390, 2.6293070, 2.6033525, 2.5119403", \
+					  "3.1320307, 3.0131018, 2.9572236, 2.8970982, 2.8572354, 2.8163421, 2.7601286", \
+					  "3.3019263, 3.1901346, 3.1161434, 3.0684709, 2.9966448, 2.9806458, 2.9268259", \
+					  "3.4431611, 3.3344037, 3.2710357, 3.2033050, 3.1787877, 3.1237726, 3.0650189", \
+					  "3.5818731, 3.4698598, 3.4158510, 3.3496462, 3.3131376, 3.2779415, 3.1959391", \
+					  "3.6994814, 3.5875523, 3.5166739, 3.4526920, 3.4275407, 3.3707499, 3.3009467");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5172419, -2.4467008, -2.4234820, -2.4001836, -2.4104616, -2.4073840, -2.4121302", \
+					  "-2.8379934, -2.7637843, -2.7447288, -2.7263293, -2.7311326, -2.7230040, -2.7314759", \
+					  "-3.0699585, -2.9995870, -2.9766342, -2.9543920, -2.9643545, -2.9567301, -2.9699869", \
+					  "-3.2346065, -3.1642863, -3.1377782, -3.1232532, -3.1238711, -3.1195261, -3.1250375", \
+					  "-3.3861022, -3.3156928, -3.2927642, -3.2807869, -3.2744067, -3.2783098, -3.2952240", \
+					  "-3.5245975, -3.4493714, -3.4307770, -3.4129337, -3.4085816, -3.4110430, -3.4135025", \
+					  "-3.6348031, -3.5642620, -3.5392473, -3.5176395, -3.5279441, -3.5197227, -3.5252889");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4791122, -2.3948381, -2.3222488, -1.6731561, -1.7759089, -2.1761117, -2.1225707", \
+					  "-2.1361064, -2.0502795, -1.9807668, -2.1480213, -2.5425577, -2.5141280, -2.4448860", \
+					  "-2.3680715, -2.2822446, -2.2500135, -2.8214175, -2.3407558, -2.1876769, -2.6876139", \
+					  "-2.5668935, -2.4551443, -2.4146227, -2.3834960, -2.9468922, -2.2938446, -2.2737587", \
+					  "-3.3354077, -3.2480747, -2.8717726, -3.1358040, -3.0782281, -3.0273408, -2.4035185", \
+					  "-3.4863221, -3.3834726, -2.7114757, -3.2745277, -2.6330253, -3.1739575, -2.4725075", \
+					  "-3.6056473, -3.5045617, -3.4328600, -3.3812868, -2.6969659, -2.7205513, -2.6234512");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0440218, 0.0443954, 0.0447689, 0.0469958, 0.0491337, 0.0513606, 0.0535876");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560062, 0.0569667, 0.0579272, 0.0607811, 0.0635209, 0.0663748, 0.0692287");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017812;
+			capacitance : 0.017776;
+			fall_capacitance : 0.017741;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1414426, 0.2078608, 0.2742789, 0.2996381, 0.3239830, 0.3493422, 0.3747014");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1938045, 0.2500689, 0.3063333, 0.3180856, 0.3293679, 0.3411202, 0.3528725");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005554;
+			capacitance : 0.005670;
+			rise_capacitance : 0.005786;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4958941, -2.4148738, -2.3895954, -2.3751982, -2.3704942, -2.3680242, -2.3634223", \
+					  "-2.8166455, -2.7371511, -2.7100779, -2.6992788, -2.6802851, -2.6946747, -2.6859130", \
+					  "-3.0486107, -2.9715296, -2.9419529, -2.9363538, -2.9246953, -2.9258864, -2.9227642", \
+					  "-3.2132587, -3.1327700, -3.1076177, -3.0931868, -3.0845597, -3.0874827, -3.0809514", \
+					  "-3.3555991, -3.2840177, -3.2584064, -3.2511362, -3.2549789, -3.2379943, -3.2322826", \
+					  "-3.4956202, -3.4192884, -3.3967413, -3.3808902, -3.3721923, -3.3744220, -3.3739047", \
+					  "-3.6088776, -3.5431162, -3.5076888, -3.4840514, -3.4879570, -3.4854406, -3.4876939");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4668809, -2.3851596, -2.3285198, -1.7416440, -2.1950681, -2.1414374, -2.1029065", \
+					  "-2.3222392, -2.7073330, -1.9471246, -2.5734476, -2.4961472, -2.4851007, -2.4236339", \
+					  "-3.0196001, -2.9393005, -2.8642848, -2.8051325, -2.7296409, -2.7196256, -2.6417716", \
+					  "-3.1879074, -2.4424256, -3.0394495, -2.9642028, -2.4066151, -2.8801803, -2.8052129", \
+					  "-3.3216498, -3.2505056, -2.8790130, -3.1142781, -3.0490099, -2.9958957, -2.9667286", \
+					  "-3.4681129, -3.3658749, -3.2989055, -3.2423384, -3.1926255, -3.1459117, -3.0965350", \
+					  "-3.5966250, -3.4919114, -3.4298601, -3.3655951, -3.2914069, -2.7405091, -3.2080895");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9897019, 2.9217347, 2.8951659, 2.8773664, 2.8499230, 2.8646461, 2.8648584", \
+					  "3.3119796, 3.2440133, 3.2142958, 3.1927486, 3.1736862, 3.1785730, 3.1779808", \
+					  "3.5455130, 3.4775634, 3.4450250, 3.4302384, 3.4057053, 3.4155623, 3.4156605", \
+					  "3.7099357, 3.6396544, 3.6137571, 3.5931358, 3.5700299, 3.5856845, 3.5775729", \
+					  "3.8583179, 3.7903323, 3.7632580, 3.7408603, 3.7239758, 3.7264374, 3.7243193", \
+					  "4.0013284, 3.9329307, 3.8993917, 3.8727126, 3.8703607, 3.8701733, 3.8662489", \
+					  "4.1099464, 4.0364839, 4.0071322, 3.9898123, 3.9856126, 3.9826434, 3.9775381");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5715983, 2.4530831, 2.3994875, 2.3636042, 2.2859777, 2.2562250, 2.1759643", \
+					  "2.8950274, 2.7915695, 2.7259671, 2.6979301, 2.6113594, 2.5675886, 2.5225964", \
+					  "3.1278660, 3.0072840, 2.9572798, 2.9090999, 2.8437055, 2.7864642, 2.7305449", \
+					  "3.2992804, 3.1942969, 3.1243222, 3.0862140, 3.0120866, 2.9764032, 2.9164160", \
+					  "3.4433428, 3.3460408, 3.2712309, 3.1992589, 3.1520427, 3.1329964, 3.0859340", \
+					  "3.5818353, 3.4693975, 3.4005681, 3.3484720, 3.2887278, 3.2536103, 3.2111012", \
+					  "3.6959758, 3.5894659, 3.5177592, 3.4853327, 3.4203114, 3.3310064, 3.3371033");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0521698, 0.0525490, 0.0529281, 0.0550674, 0.0571211, 0.0592603, 0.0613996");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0630739, 0.0637824, 0.0644909, 0.0673080, 0.0700123, 0.0728294, 0.0756464");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005353;
+			capacitance : 0.005111;
+			fall_capacitance : 0.004868;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0118577, 0.0118426, 0.0118275, 0.0118294, 0.0118311, 0.0118329, 0.0118348");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0117595, -0.0116539, -0.0115483, -0.0114831, -0.0114205, -0.0113553, -0.0112901");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.8920315, 3.2140913, 3.4478354, 3.6161847, 3.7559518, 3.9038198, 4.0170416", \
+					  "2.8229939, 3.1483232, 3.3787977, 3.5426607, 3.6896300, 3.8403217, 3.9463475", \
+					  "2.7941112, 3.1168344, 3.3554346, 3.5182504, 3.6540325, 3.8069719, 3.9276247", \
+					  "2.7748639, 3.0946632, 3.3315924, 3.5005044, 3.6473376, 3.7875288, 3.8994921", \
+					  "2.7725740, 3.0979173, 3.3329795, 3.4911205, 3.6475632, 3.7881474, 3.9009989", \
+					  "2.7765533, 3.0999765, 3.3354266, 3.5041439, 3.6378168, 3.7922343, 3.9007507", \
+					  "2.7748315, 3.0955834, 3.3340473, 3.5150820, 3.6524832, 3.7834543, 3.8951338");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4363704, -2.7571218, -2.9890869, -3.1522091, -3.2976013, -3.4467777, -3.5539315", \
+					  "-2.3662751, -2.6865811, -2.9185462, -3.0795450, -3.2234130, -3.3805487, -3.4879685", \
+					  "-2.3615420, -2.6683624, -2.9098030, -3.0711171, -3.2118954, -3.3549458, -3.4784921", \
+					  "-2.3347774, -2.6610596, -2.8770499, -3.0671505, -3.2018223, -3.3408462, -3.4560006", \
+					  "-2.3193804, -2.6400470, -2.8751867, -3.0383795, -3.2007045, -3.3383491, -3.4352596", \
+					  "-2.3275961, -2.6515487, -2.8927302, -3.0432323, -3.2106559, -3.3362750, -3.4469243", \
+					  "-2.3161201, -2.6843070, -2.8876743, -3.0444202, -3.2139722, -3.3463638, -3.4831593");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.7903708, -3.1065445, -3.3385096, -3.5046836, -3.6531275, -3.7931486, -3.9048802", \
+					  "-2.7435487, -3.0626159, -3.2977911, -3.4609133, -3.6073610, -3.7412475, -3.8576428", \
+					  "-2.7227846, -3.0404709, -3.2765785, -3.4415208, -3.5847840, -3.7284728, -3.8403358", \
+					  "-2.7170750, -3.0468927, -3.2773415, -3.4360561, -3.5811400, -3.7197660, -3.8301128", \
+					  "-2.7094535, -3.0302049, -3.2654858, -3.4268181, -3.5752620, -3.7216081, -3.8301026", \
+					  "-2.6983719, -3.0237501, -3.2585281, -3.4247020, -3.5792493, -3.7116412, -3.8266707", \
+					  "-2.7159003, -3.0441336, -3.2806659, -3.4299352, -3.5801830, -3.7247820, -3.8516991");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.1483756, 3.4752308, 3.7056996, 3.8786115, 4.0168724, 4.1708444, 4.2703332", \
+					  "3.0817256, 3.4085808, 3.6354029, 3.8134871, 3.9497421, 4.1010673, 4.2015860", \
+					  "3.0582970, 3.3809460, 3.6156210, 3.7851001, 3.9313448, 4.0726896, 4.1868320", \
+					  "3.0506791, 3.3742503, 3.6058714, 3.7763311, 3.9190143, 4.0636852, 4.1678268", \
+					  "3.0460948, 3.3734715, 3.6034187, 3.7702271, 3.9178312, 4.0641595, 4.1664426", \
+					  "3.0516079, 3.3708339, 3.6135098, 3.7757400, 3.9201050, 4.0732917, 4.1705140", \
+					  "3.0525416, 3.3809227, 3.6145238, 3.7812516, 3.9225643, 4.0689068, 4.1683961");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.0202052, 3.3424830, 3.5744785, 3.7443389, 3.8917539, 4.0319120, 4.1390205", \
+					  "2.9530401, 3.2768364, 3.5087353, 3.6714519, 3.8252004, 3.9598398, 4.0727961", \
+					  "2.9260554, 3.2476253, 3.4859089, 3.6520121, 3.7950654, 3.9401889, 4.0420279", \
+					  "2.9046188, 3.2329802, 3.4744008, 3.6281973, 3.7755108, 3.9312702, 4.0308608", \
+					  "2.9087657, 3.2291101, 3.4590020, 3.6343362, 3.7818400, 3.9296276, 4.0291066", \
+					  "2.9095327, 3.2381755, 3.4593968, 3.6277315, 3.7751462, 3.9199779, 4.0300419", \
+					  "2.9030054, 3.2283350, 3.4650363, 3.6248132, 3.7699766, 3.9208968, 4.0281749");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.5172419, -2.8379934, -3.0699585, -3.2346065, -3.3861022, -3.5245975, -3.6348031", \
+					  "-2.4467008, -2.7637843, -2.9995870, -3.1642863, -3.3156928, -3.4493714, -3.5642620", \
+					  "-2.4234820, -2.7447288, -2.9766342, -3.1377782, -3.2927642, -3.4307770, -3.5392473", \
+					  "-2.4001836, -2.7263293, -2.9543920, -3.1232532, -3.2807869, -3.4129337, -3.5176395", \
+					  "-2.4104616, -2.7311326, -2.9643545, -3.1238711, -3.2744067, -3.4085816, -3.5279441", \
+					  "-2.4073840, -2.7230040, -2.9567301, -3.1195261, -3.2783098, -3.4110430, -3.5197227", \
+					  "-2.4121302, -2.7314759, -2.9699869, -3.1250375, -3.2952240, -3.4135025, -3.5252889");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4958941, -2.8166455, -3.0486107, -3.2132587, -3.3555991, -3.4956202, -3.6088776", \
+					  "-2.4148738, -2.7371511, -2.9715296, -3.1327700, -3.2840177, -3.4192884, -3.5431162", \
+					  "-2.3895954, -2.7100779, -2.9419529, -3.1076177, -3.2584064, -3.3967413, -3.5076888", \
+					  "-2.3751982, -2.6992788, -2.9363538, -3.0931868, -3.2511362, -3.3808902, -3.4840514", \
+					  "-2.3704942, -2.6802851, -2.9246953, -3.0845597, -3.2549789, -3.3721923, -3.4879570", \
+					  "-2.3680242, -2.6946747, -2.9258864, -3.0874827, -3.2379943, -3.3744220, -3.4854406", \
+					  "-2.3634223, -2.6859130, -2.9227642, -3.0809514, -3.2322826, -3.3739047, -3.4876939");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.9897019, 3.3119796, 3.5455130, 3.7099357, 3.8583179, 4.0013284, 4.1099464", \
+					  "2.9217347, 3.2440133, 3.4775634, 3.6396544, 3.7903323, 3.9329307, 4.0364839", \
+					  "2.8951659, 3.2142958, 3.4450250, 3.6137571, 3.7632580, 3.8993917, 4.0071322", \
+					  "2.8773664, 3.1927486, 3.4302384, 3.5931358, 3.7408603, 3.8727126, 3.9898123", \
+					  "2.8499230, 3.1736862, 3.4057053, 3.5700299, 3.7239758, 3.8703607, 3.9856126", \
+					  "2.8646461, 3.1785730, 3.4155623, 3.5856845, 3.7264374, 3.8701733, 3.9826434", \
+					  "2.8648584, 3.1779808, 3.4156605, 3.5775729, 3.7243193, 3.8662489, 3.9775381");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4882760, -2.8090274, -3.0409923, -3.2056422, -3.3647678, -3.5017377, -3.6043144", \
+					  "-2.4095567, -2.7303079, -2.9634119, -3.1321347, -3.2819669, -3.4192932, -3.5240694", \
+					  "-2.3845245, -2.7072866, -2.9391447, -3.1001339, -3.2528122, -3.3936919, -3.4991249", \
+					  "-2.3692270, -2.6909003, -2.9219664, -3.0866198, -3.2468499, -3.3687903, -3.4867935", \
+					  "-2.3630140, -2.6759028, -2.9172233, -3.0689622, -3.2269869, -3.3634386, -3.4790139", \
+					  "-2.3572137, -2.6793718, -2.9111586, -3.0679339, -3.2252502, -3.3622176, -3.4744702", \
+					  "-2.3618964, -2.6859314, -2.9208746, -3.0808009, -3.2277069, -3.3677287, -3.4816565");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.8951075, 3.2189112, 3.4509096, 3.6192467, 3.7605521, 3.9114732, 4.0185911", \
+					  "2.8255059, 3.1493095, 3.3813079, 3.5507586, 3.6900301, 3.8415149, 3.9474635", \
+					  "2.7946563, 3.1212062, 3.3450232, 3.5196932, 3.6533379, 3.8101538, 3.9088491", \
+					  "2.7573086, 3.1041372, 3.3223400, 3.5003432, 3.6290273, 3.7898660, 3.8912281", \
+					  "2.7702983, 3.0941135, 3.3219730, 3.4866048, 3.6292518, 3.7762391, 3.8904846", \
+					  "2.7629806, 3.0902252, 3.3176169, 3.4829198, 3.6240840, 3.7844148, 3.8805970", \
+					  "2.7645149, 3.0864280, 3.3107972, 3.4980448, 3.6204400, 3.7824315, 3.8861081");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8117794, -3.1294791, -3.3614444, -3.5276184, -3.6760621, -3.8191350, -3.9293406", \
+					  "-2.7603885, -3.0781122, -3.3111249, -3.4757730, -3.6303446, -3.7662395, -3.8815632", \
+					  "-2.7483546, -3.0753031, -3.3137512, -3.4703375, -3.6153016, -3.7618852, -3.8659935", \
+					  "-2.7458491, -3.0576188, -3.3077718, -3.4692666, -3.6181999, -3.7525322, -3.8603584", \
+					  "-2.7308158, -3.0539049, -3.2914682, -3.4489333, -3.6057796, -3.7416512, -3.8453251", \
+					  "-2.7332773, -3.0525029, -3.2859939, -3.4586031, -3.6051892, -3.7500791, -3.8697642", \
+					  "-2.7433662, -3.0519105, -3.2869276, -3.4500498, -3.6076488, -3.7491958, -3.8487202");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2033523, 3.5302077, 3.7561024, 3.9244499, 4.0642203, 4.2075111, 4.3222584", \
+					  "3.1347168, 3.4615720, 3.6889927, 3.8593016, 3.9975910, 4.1358235, 4.2549424", \
+					  "3.1068812, 3.4367882, 3.6591046, 3.8259212, 3.9736554, 4.1105078, 4.2270563", \
+					  "3.0992749, 3.4276560, 3.6550767, 3.8188464, 3.9704180, 4.1019075, 4.2181812", \
+					  "3.0918710, 3.4202523, 3.6491988, 3.8129684, 3.9638269, 4.1021331, 4.2153550", \
+					  "3.0943324, 3.4196619, 3.6501345, 3.8123782, 3.9643558, 4.0954394, 4.2147644", \
+					  "3.0778158, 3.4236474, 3.6617492, 3.8209412, 3.9655548, 4.1015394, 4.2202760");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5136078, 2.8374115, 3.0694168, 3.2338506, 3.3912591, 3.5284476, 3.6369215", \
+					  "2.4475624, 2.7691567, 3.0051952, 3.1719514, 3.3175843, 3.4492316, 3.5728800", \
+					  "2.4233174, 2.7484846, 2.9785115, 3.1470509, 3.2965282, 3.4422257, 3.5452453", \
+					  "2.4002047, 2.7410106, 2.9567671, 3.1333832, 3.2820578, 3.4228913, 3.5225034", \
+					  "2.4129470, 2.7351326, 2.9683088, 3.1294590, 3.2728471, 3.4107630, 3.5345640", \
+					  "2.4036258, 2.7286620, 2.9609942, 3.1248780, 3.2882073, 3.4147503, 3.5332997", \
+					  "2.4161280, 2.7354759, 2.9755838, 3.1327719, 3.2806667, 3.4187358, 3.5446109");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.4302604, -2.7525377, -2.9845028, -3.1476252, -3.3036984, -3.4269349, -3.5417182", \
+					  "-2.3677684, -2.6895721, -2.9215374, -3.0809546, -3.2309386, -3.3570983, -3.4726492", \
+					  "-2.3412731, -2.6576636, -2.8919102, -3.0543514, -3.2042497, -3.3399669, -3.4480800", \
+					  "-2.3134259, -2.6290574, -2.8703837, -3.0317350, -3.1730418, -3.3377092, -3.4268237", \
+					  "-2.3193600, -2.6356310, -2.8736471, -3.0368516, -3.1757305, -3.3322478, -3.4352514", \
+					  "-2.3184485, -2.6425603, -2.8795341, -3.0371287, -3.1886244, -3.3271196, -3.4378784", \
+					  "-2.3161201, -2.6452948, -2.8730500, -3.0319589, -3.1834546, -3.3290583, -3.4380061");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.9118677, 3.2356711, 3.4676571, 3.6359836, 3.7773138, 3.9190778, 4.0307738", \
+					  "2.8458882, 3.1681658, 3.4016779, 3.5679247, 3.7104273, 3.8579675, 3.9678459", \
+					  "2.8139524, 3.1432686, 3.3697330, 3.5380648, 3.6780452, 3.8160771, 3.9336750", \
+					  "2.7947474, 3.1131005, 3.3497270, 3.5203412, 3.6580197, 3.8104647, 3.9198132", \
+					  "2.7954302, 3.1192469, 3.3512389, 3.5227173, 3.6643489, 3.8034987, 3.9178086", \
+					  "2.7937346, 3.1160122, 3.3550931, 3.5163246, 3.6637585, 3.8015158, 3.9212063", \
+					  "2.7984380, 3.1169456, 3.3540626, 3.5236048, 3.6646921, 3.8081918, 3.9243571");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.5202942, -2.8410458, -3.0730273, -3.2413496, -3.3765861, -3.5183489, -3.6285190", \
+					  "-2.4482145, -2.7652939, -3.0009346, -3.1709859, -3.3151874, -3.4461185, -3.5567273", \
+					  "-2.4265010, -2.7477935, -2.9812319, -3.1495697, -3.3013070, -3.4256952, -3.5428013", \
+					  "-2.3969493, -2.7263338, -2.9528621, -3.1269424, -3.2745819, -3.4051583, -3.5159089", \
+					  "-2.4058115, -2.7265549, -2.9597817, -3.1182965, -3.2668321, -3.4023321, -3.5231244", \
+					  "-2.4072750, -2.7214970, -2.9509577, -3.1216909, -3.2876450, -3.4047937, -3.5108471", \
+					  "-2.4166460, -2.7360536, -2.9715578, -3.1350833, -3.2902494, -3.4118308, -3.5206422");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2247894, 0.5424894, 0.7801252, 0.9421752, 1.0782871, 1.2060596, 1.2861465", \
+					  "0.1604017, 0.4610433, 0.7130150, 0.8864519, 1.0213962, 1.1190537, 1.2452064", \
+					  "0.1274050, 0.4496527, 0.6595254, 0.8659485, 0.9804632, 1.1222036, 1.2289900", \
+					  "0.1146058, 0.4399852, 0.6746785, 0.8274832, 0.9309350, 1.0896647, 1.1658017", \
+					  "0.1133054, 0.4365851, 0.6712185, 0.8486416, 0.9640145, 1.0711845, 1.1593625", \
+					  "0.1127152, 0.4381399, 0.6701117, 0.8499874, 0.9691068, 1.0837235, 1.1850114", \
+					  "0.1182264, 0.4285589, 0.6765788, 0.8531253, 0.9840470, 1.1036101, 1.2050994");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1561638, -0.1391346, -0.3670535, -0.5307428, -0.6624020, -0.7764833, -0.8744819", \
+					  "0.2275066, -0.0880790, -0.3085108, -0.4662470, -0.5963802, -0.7119874, -0.8084601", \
+					  "0.2434119, -0.0576072, -0.2814587, -0.4385595, -0.5705863, -0.6828601, -0.7802081", \
+					  "0.2647532, -0.0499739, -0.2681198, -0.4339786, -0.5657507, -0.6671121, -0.7495821", \
+					  "0.2564098, -0.0421303, -0.2666390, -0.4375547, -0.5777365, -0.6922171, -0.7860144", \
+					  "0.2572674, -0.0376073, -0.2605009, -0.4342850, -0.5821793, -0.6979609, -0.7945851", \
+					  "0.2519653, -0.0378282, -0.2625817, -0.4365413, -0.5710457, -0.6959080, -0.7998329");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.5096083, -2.8303597, -3.0623248, -3.2269729, -3.3677873, -3.5078087, -3.6210661", \
+					  "-2.4359812, -2.7567326, -2.9890290, -3.1536444, -3.3051004, -3.4317182, -3.5459131", \
+					  "-2.4143442, -2.7352726, -2.9674653, -3.1350817, -3.2866496, -3.4165776, -3.5275777", \
+					  "-2.3870483, -2.7263511, -2.9421665, -3.1080402, -3.2682181, -3.3961489, -3.5091267", \
+					  "-2.3949109, -2.7078987, -2.9471882, -3.1064046, -3.2581490, -3.3917968, -3.5123819", \
+					  "-2.3855935, -2.7109634, -2.9459017, -3.1057934, -3.2759701, -3.3942584, -3.5055816", \
+					  "-2.4076027, -2.7268984, -2.9592353, -3.1174081, -3.2739533, -3.4012954, -3.5192755");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.9393299, 3.2616075, 3.4951336, 3.6634718, 3.8079857, 3.9510060, 4.0626802", \
+					  "2.8748340, 3.1971117, 3.4306376, 3.5974371, 3.7428703, 3.8813431, 3.9966642", \
+					  "2.8460021, 3.1736925, 3.4017984, 3.5701416, 3.7101698, 3.8546045, 3.9657178", \
+					  "2.8251715, 3.1435939, 3.3823673, 3.5492592, 3.6947983, 3.8383592, 3.9489592", \
+					  "2.8276355, 3.1514451, 3.3834442, 3.5536772, 3.6968993, 3.8390778, 3.9505735", \
+					  "2.8212002, 3.1434780, 3.3855728, 3.5453421, 3.6883299, 3.8363693, 3.9491337", \
+					  "2.8346839, 3.1474632, 3.3843668, 3.5478017, 3.7045225, 3.8356085, 3.9458479");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024905;
+			capacitance : 0.025515;
+			rise_capacitance : 0.025728;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.8117794, -2.7603885, -2.7483546, -2.7458491, -2.7308158, -2.7332773, -2.7433662", \
+					  "-3.1294791, -3.0781122, -3.0753031, -3.0576188, -3.0539049, -3.0525029, -3.0519105", \
+					  "-3.3614444, -3.3111249, -3.3137512, -3.3077718, -3.2914682, -3.2859939, -3.2869276", \
+					  "-3.5276184, -3.4757730, -3.4703375, -3.4692666, -3.4489333, -3.4586031, -3.4500498", \
+					  "-3.6760621, -3.6303446, -3.6153016, -3.6181999, -3.6057796, -3.6051892, -3.6076488", \
+					  "-3.8191350, -3.7662395, -3.7618852, -3.7525322, -3.7416512, -3.7500791, -3.7491958", \
+					  "-3.9293406, -3.8815632, -3.8659935, -3.8603584, -3.8453251, -3.8697642, -3.8487202");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.6246049, -1.5558913, -1.5272639, -1.5510859, -1.4872244, -1.4614949, -1.4723090", \
+					  "-3.2347240, -1.8796946, -1.8648567, -1.8733632, -1.7927170, -1.7463505, -1.6795460", \
+					  "-2.1803734, -2.1202459, -2.0906620, -2.0397157, -3.2057128, -3.1532427, -3.1241944", \
+					  "-2.3563075, -2.2657054, -2.2299748, -2.1748332, -2.2005430, -2.1673984, -2.1134005", \
+					  "-2.5114179, -2.4198161, -2.4094992, -2.3692305, -2.3666617, -2.2897608, -2.2250983", \
+					  "-2.6089264, -2.5310575, -2.5290567, -2.5537181, -2.4430642, -2.3942652, -2.3581492", \
+					  "-2.7435105, -2.6837050, -2.6217557, -2.6394697, -2.5542503, -2.5506083, -2.4181789");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.2033523, 3.1347168, 3.1068812, 3.0992749, 3.0918710, 3.0943324, 3.0778158", \
+					  "3.5302077, 3.4615720, 3.4367882, 3.4276560, 3.4202523, 3.4196619, 3.4236474", \
+					  "3.7561024, 3.6889927, 3.6591046, 3.6550767, 3.6491988, 3.6501345, 3.6617492", \
+					  "3.9244499, 3.8593016, 3.8259212, 3.8188464, 3.8129684, 3.8123782, 3.8209412", \
+					  "4.0642203, 3.9975910, 3.9736554, 3.9704180, 3.9638269, 3.9643558, 3.9655548", \
+					  "4.2075111, 4.1358235, 4.1105078, 4.1019075, 4.1021331, 4.0954394, 4.1015394", \
+					  "4.3222584, 4.2549424, 4.2270563, 4.2181812, 4.2153550, 4.2147644, 4.2202760");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9378098, 2.8400791, 2.7779068, 2.7210385, 2.6722545, 2.6487875, 2.6067311", \
+					  "3.2631391, 3.1654084, 3.1057102, 3.0370311, 2.9869025, 2.9713361, 2.9007142", \
+					  "3.4951329, 3.3974022, 3.3337040, 3.2705508, 3.2326293, 3.2002832, 3.1612724", \
+					  "3.6665180, 3.5694304, 3.5066150, 3.4419359, 3.4067218, 3.3569004, 3.3317098", \
+					  "3.8047808, 3.7110828, 3.6508483, 3.5679917, 3.5392341, 3.4714967, 3.4724302", \
+					  "3.9480715, 3.8437030, 3.7967325, 3.7219637, 3.6888812, 3.6441957, 3.5943586", \
+					  "4.0627389, 3.9659922, 3.9084374, 3.8335826, 3.7956609, 3.7589547, 3.7359589");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006848;
+			capacitance : 0.006979;
+			rise_capacitance : 0.007110;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5136078, 2.4475624, 2.4233174, 2.4002047, 2.4129470, 2.4036258, 2.4161280", \
+					  "2.8374115, 2.7691567, 2.7484846, 2.7410106, 2.7351326, 2.7286620, 2.7354759", \
+					  "3.0694168, 3.0051952, 2.9785115, 2.9567671, 2.9683088, 2.9609942, 2.9755838", \
+					  "3.2338506, 3.1719514, 3.1470509, 3.1333832, 3.1294590, 3.1248780, 3.1327719", \
+					  "3.3912591, 3.3175843, 3.2965282, 3.2820578, 3.2728471, 3.2882073, 3.2806667", \
+					  "3.5284476, 3.4492316, 3.4422257, 3.4228913, 3.4107630, 3.4147503, 3.4187358", \
+					  "3.6369215, 3.5728800, 3.5452453, 3.5225034, 3.5345640, 3.5332997, 3.5446109");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.0675232, 2.9708612, 2.9030263, 2.8361494, 2.7947023, 2.7443432, 2.6985417", \
+					  "3.3924781, 3.2972217, 3.2127226, 3.1628995, 3.1181094, 3.0678269, 3.0234613", \
+					  "3.6237906, 3.5221202, 3.4517030, 3.3956300, 3.3477181, 3.3038686, 3.2599914", \
+					  "3.7952053, 3.6976176, 3.6171842, 3.5671748, 3.5238068, 3.4741113, 3.4363468", \
+					  "3.9423192, 3.8441635, 3.7803345, 3.7010597, 3.6553856, 3.6151225, 3.5566750", \
+					  "4.0820656, 3.9840303, 3.9193687, 3.8530319, 3.8073924, 3.7452235, 3.7048789", \
+					  "4.1873236, 4.0814026, 4.0095858, 3.9666784, 3.9130470, 3.8798283, 3.8199806");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4302604, -2.3677684, -2.3412731, -2.3134259, -2.3193600, -2.3184485, -2.3161201", \
+					  "-2.7525377, -2.6895721, -2.6576636, -2.6290574, -2.6356310, -2.6425603, -2.6452948", \
+					  "-2.9845028, -2.9215374, -2.8919102, -2.8703837, -2.8736471, -2.8795341, -2.8730500", \
+					  "-3.1476252, -3.0809546, -3.0543514, -3.0317350, -3.0368516, -3.0371287, -3.0319589", \
+					  "-3.3036984, -3.2309386, -3.2042497, -3.1730418, -3.1757305, -3.1886244, -3.1834546", \
+					  "-3.4269349, -3.3570983, -3.3399669, -3.3377092, -3.3322478, -3.3271196, -3.3290583", \
+					  "-3.5417182, -3.4726492, -3.4480800, -3.4268237, -3.4352514, -3.4378784, -3.4380061");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5767659, -2.4710546, -2.4107478, -2.3401909, -2.3030990, -2.2925994, -2.1902191", \
+					  "-2.8959914, -2.7930944, -2.7301934, -2.6738402, -2.6266637, -2.6008928, -2.5124200", \
+					  "-3.1294851, -3.0316174, -2.9741482, -2.9069890, -2.8516873, -2.8144877, -2.7577638", \
+					  "-3.2977924, -3.1997105, -3.1252427, -3.0753757, -2.9954856, -2.9765188, -2.9215094", \
+					  "-3.4330607, -3.3441340, -3.2700944, -3.2088840, -3.1539113, -3.1245575, -3.0594997", \
+					  "-3.5856271, -3.4819913, -3.4165572, -3.3513836, -3.3016502, -3.2708009, -3.2116451", \
+					  "-3.6973546, -3.5867011, -3.5222014, -3.4632912, -3.4161766, -3.3853300, -3.3176901");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0436947, 0.0442077, 0.0447207, 0.0468876, 0.0489679, 0.0511348, 0.0533017");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0562427, 0.0571653, 0.0580880, 0.0609906, 0.0637771, 0.0666797, 0.0695823");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.015877;
+			capacitance : 0.016621;
+			rise_capacitance : 0.017365;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0175042, 0.0106402, 0.0387846, 0.0497057, 0.0601899, 0.0711111, 0.0820322");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0911652, 0.2582067, 0.4252483, 1.4342783, 2.4029470, 3.4119770, 4.4210070");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.032154;
+			capacitance : 0.032074;
+			fall_capacitance : 0.031993;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1075523, 0.1248621, 0.1421718, 0.1419712, 0.1417787, 0.1415781, 0.1413775");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0065339, -0.0069787, -0.0074235, -0.0077089, -0.0079829, -0.0082683, -0.0085537");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007350;
+			capacitance : 0.007227;
+			fall_capacitance : 0.007104;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2247894, 0.1604017, 0.1274050, 0.1146058, 0.1133054, 0.1127152, 0.1182264", \
+					  "0.5424894, 0.4610433, 0.4496527, 0.4399852, 0.4365851, 0.4381399, 0.4285589", \
+					  "0.7801252, 0.7130150, 0.6595254, 0.6746785, 0.6712185, 0.6701117, 0.6765788", \
+					  "0.9421752, 0.8864519, 0.8659485, 0.8274832, 0.8486416, 0.8499874, 0.8531253", \
+					  "1.0782871, 1.0213962, 0.9804632, 0.9309350, 0.9640145, 0.9691068, 0.9840470", \
+					  "1.2060596, 1.1190537, 1.1222036, 1.0896647, 1.0711845, 1.0837235, 1.1036101", \
+					  "1.2861465, 1.2452064, 1.2289900, 1.1658017, 1.1593625, 1.1850114, 1.2050994");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0361816, -0.1481491, -0.2014381, -0.2697665, -0.3154666, -0.3679367, -0.4158153", \
+					  "0.2594761, 0.1726688, 0.0977987, 0.0566604, 0.0022106, -0.0471876, -0.0829755", \
+					  "0.5068862, 0.4041686, 0.3428664, 0.2702113, 0.2176693, 0.1403471, 0.1371780", \
+					  "0.6766921, 0.5414867, 0.4930083, 0.4414165, 0.3973916, 0.3606285, 0.3335483", \
+					  "0.8143300, 0.7101259, 0.6282297, 0.5774485, 0.5304518, 0.4993439, 0.4332987", \
+					  "0.9329472, 0.8001310, 0.7490443, 0.6866385, 0.6447034, 0.6027024, 0.5502302", \
+					  "1.0385396, 0.9214631, 0.8388766, 0.7585979, 0.7604634, 0.6655702, 0.6710815");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1561638, 0.2275066, 0.2434119, 0.2647532, 0.2564098, 0.2572674, 0.2519653", \
+					  "-0.1391346, -0.0880790, -0.0576072, -0.0499739, -0.0421303, -0.0376073, -0.0378282", \
+					  "-0.3670535, -0.3085108, -0.2814587, -0.2681198, -0.2666390, -0.2605009, -0.2625817", \
+					  "-0.5307428, -0.4662470, -0.4385595, -0.4339786, -0.4375547, -0.4342850, -0.4365413", \
+					  "-0.6624020, -0.5963802, -0.5705863, -0.5657507, -0.5777365, -0.5821793, -0.5710457", \
+					  "-0.7764833, -0.7119874, -0.6828601, -0.6671121, -0.6922171, -0.6979609, -0.6959080", \
+					  "-0.8744819, -0.8084601, -0.7802081, -0.7495821, -0.7860144, -0.7945851, -0.7998329");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8183821, 0.8839234, 0.8760462, 0.9132595, 0.3789764, 0.4161878, 0.9874587", \
+					  "-0.1955560, -0.1105250, -0.0559924, 0.6205700, 0.6695205, 0.7484616, 0.7398985", \
+					  "0.3041779, 0.3601361, 0.4360987, -0.2133190, -0.1470231, -0.1125483, -0.0562800", \
+					  "0.1390763, 0.2051121, 0.2620800, 0.2614360, 0.2444258, 0.3386491, -0.2430901", \
+					  "-0.0238827, 0.0357893, 0.1046050, 0.0978582, 0.1601937, -0.4373605, 0.2117302", \
+					  "-0.1245130, -0.0618241, -0.0458150, -0.0573801, 0.0400506, -0.5346155, -0.0412252", \
+					  "-0.1883034, -0.1674165, -0.1681921, -0.1539018, -0.0535555, -0.0054423, 0.0316587");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0437905, 0.0442857, 0.0447810, 0.0469482, 0.0490287, 0.0511959, 0.0533631");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0557955, 0.0566860, 0.0575764, 0.0604414, 0.0631917, 0.0660566, 0.0689216");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014501;
+			capacitance : 0.014448;
+			fall_capacitance : 0.014394;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5096083, -2.4359812, -2.4143442, -2.3870483, -2.3949109, -2.3855935, -2.4076027", \
+					  "-2.8303597, -2.7567326, -2.7352726, -2.7263511, -2.7078987, -2.7109634, -2.7268984", \
+					  "-3.0623248, -2.9890290, -2.9674653, -2.9421665, -2.9471882, -2.9459017, -2.9592353", \
+					  "-3.2269729, -3.1536444, -3.1350817, -3.1080402, -3.1064046, -3.1057934, -3.1174081", \
+					  "-3.3677873, -3.3051004, -3.2866496, -3.2682181, -3.2581490, -3.2759701, -3.2739533", \
+					  "-3.5078087, -3.4317182, -3.4165776, -3.3961489, -3.3917968, -3.3942584, -3.4012954", \
+					  "-3.6210661, -3.5459131, -3.5275777, -3.5091267, -3.5123819, -3.5055816, -3.5192755");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4653776, -2.3857309, -2.3191952, -2.2565025, -2.2046526, -2.1745556, -2.1089370", \
+					  "-2.3894004, -2.7063731, -2.6353710, -2.5810984, -2.5394306, -2.5124828, -2.4401742", \
+					  "-3.0180942, -2.9383382, -2.8688600, -2.8199220, -2.7946849, -2.7196447, -2.6683022", \
+					  "-3.1863987, -3.1182247, -3.0371645, -2.9745634, -2.9219278, -2.8969142, -2.8357252", \
+					  "-3.3247247, -3.2283622, -3.1788487, -3.1131779, -3.0770743, -3.0166169, -2.9866942", \
+					  "-3.4710614, -3.3705331, -3.3079692, -3.2592970, -3.2263680, -3.1707925, -3.1302958", \
+					  "-3.6134564, -3.4833462, -3.4276039, -3.3786264, -3.3495448, -3.2816858, -3.2325746");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.9393299, 2.8748340, 2.8460021, 2.8251715, 2.8276355, 2.8212002, 2.8346839", \
+					  "3.2616075, 3.1971117, 3.1736925, 3.1435939, 3.1514451, 3.1434780, 3.1474632", \
+					  "3.4951336, 3.4306376, 3.4017984, 3.3823673, 3.3834442, 3.3855728, 3.3843668", \
+					  "3.6634718, 3.5974371, 3.5701416, 3.5492592, 3.5536772, 3.5453421, 3.5478017", \
+					  "3.8079857, 3.7428703, 3.7101698, 3.6947983, 3.6968993, 3.6883299, 3.7045225", \
+					  "3.9510060, 3.8813431, 3.8546045, 3.8383592, 3.8390778, 3.8363693, 3.8356085", \
+					  "4.0626802, 3.9966642, 3.9657178, 3.9489592, 3.9505735, 3.9491337, 3.9458479");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5914493, 2.4827147, 2.4151122, 2.3533644, 2.3142422, 2.2748919, 2.2125220", \
+					  "2.9164303, 2.8163172, 2.7382004, 2.6980900, 2.6542775, 2.6183016, 2.5303231", \
+					  "3.1476409, 3.0495145, 2.9709369, 2.8986283, 2.8705982, 2.8332206, 2.7575711", \
+					  "3.3190671, 3.2208037, 3.1408372, 3.1029062, 3.0362440, 2.9979100, 2.9405814", \
+					  "3.4616148, 3.3694548, 3.2940660, 3.2532975, 3.1849874, 3.1314054, 3.0998249", \
+					  "3.5883803, 3.4847488, 3.4330392, 3.3539223, 3.3085748, 3.2750527, 3.2463037", \
+					  "3.7116086, 3.6069621, 3.5380168, 3.4900714, 3.4509480, 3.3947783, 3.3642578");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439212, 0.0444377, 0.0449542, 0.0471220, 0.0492032, 0.0513710, 0.0535389");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0560523, 0.0569857, 0.0579191, 0.0608469, 0.0636576, 0.0665854, 0.0695132");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.952830;
+			max_transition : 1.505041;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.6940513, 0.7046118, 0.7252380, 0.7604811, 0.8238023, 1.0744548, 1.2509089", \
+					  "-0.4647693, -0.4637836, -0.4628658, -0.4630038, -0.4641500, -0.3457081, -0.1739898", \
+					  "0.0916033, 0.0917987, 0.0926200, 0.0938811, 0.0938228, 0.0877752, -0.0112363", \
+					  "0.2015040, 0.2034697, 0.2044279, 0.2055404, 0.2039616, 0.1968290, 0.2092499", \
+					  "0.3005014, 0.3016297, 0.2993576, 0.3029179, 0.3014763, 0.2937847, 0.3110400", \
+					  "0.3817816, 0.3923268, 0.3882045, 0.3934366, 0.3790366, 0.3885898, 0.3989255", \
+					  "0.4624409, 0.4760468, 0.4665198, 0.4772223, 0.4700491, 0.4665749, 0.4868551");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.8547844, 0.8689458, 0.8957473, 0.9362711, 0.9951719, 1.1331682, 1.3762208", \
+					  "2.5778717, 2.5781983, 2.5952138, 2.5809264, 2.5830518, 2.5852935, 2.9005728", \
+					  "2.8191731, 2.8193511, 2.8390023, 2.8217509, 2.8430563, 2.8443558, 2.8267638", \
+					  "2.8410336, 2.8415044, 2.8635198, 2.8436563, 2.8653473, 2.8672999, 2.8496808", \
+					  "2.8657382, 2.8667821, 2.8896935, 2.8664642, 2.8866033, 2.8907267, 2.8729161", \
+					  "2.8840955, 2.8845325, 2.9043126, 2.8845110, 2.9096558, 2.9107630, 2.8926063", \
+					  "2.9029346, 2.9154333, 2.9198655, 2.9016065, 2.9261634, 2.9279842, 2.9244907");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.8630710, 0.8679583, 0.8804735, 0.9262459, 1.1654092, 1.2667934, 1.2485159", \
+					  "-0.2220396, -0.2173076, -0.2069942, -0.1669690, 0.0601427, 0.1692908, 0.1459441", \
+					  "0.7848762, 0.7858311, 0.7870893, 0.7866813, 0.7869933, 0.7843820, 0.6467027", \
+					  "1.3103039, 1.3109157, 1.3114659, 1.3091995, 1.3146979, 1.3180188, 1.2783348", \
+					  "1.8952526, 1.8986169, 1.8991002, 1.8977363, 1.9001847, 1.9039152, 1.9086538", \
+					  "2.5654168, 2.5641412, 2.5647011, 2.5514851, 2.5666940, 2.5707294, 2.5344622", \
+					  "3.2492522, 3.2509702, 3.2501157, 3.2379206, 3.2591397, 3.2646290, 3.2368964");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.2651568, 1.2775857, 1.3069878, 1.3623953, 1.4615451, 1.5502346, 1.5748019", \
+					  "2.7151924, 2.7287933, 2.7589697, 2.8184087, 2.9229165, 3.0149132, 3.0416722", \
+					  "2.9219843, 2.9234887, 2.9246031, 2.9271401, 2.9321968, 2.9468539, 3.0301989", \
+					  "2.9453781, 2.9459200, 2.9470721, 2.9507080, 2.9690744, 2.9719630, 2.9539001", \
+					  "2.9640314, 2.9668685, 2.9674373, 2.9689445, 2.9870225, 2.9831363, 2.9727291", \
+					  "2.9835431, 2.9853117, 2.9819135, 2.9849645, 3.0075382, 3.0069113, 2.9938255", \
+					  "3.0067262, 2.9970804, 3.0032172, 3.0183544, 3.0189802, 3.0299038, 3.0075525");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("0.7676792, 0.7736566, 0.7860708, 0.8314329, 1.0676766, 1.1708833, 1.1516012", \
+					  "-0.6477766, -0.6355826, -0.6377547, -0.6274177, -0.4269439, -0.3270045, -0.3529804", \
+					  "-0.1894554, -0.1735627, -0.1722789, -0.1738505, -0.1688591, -0.1696841, -0.3283806", \
+					  "-0.1537808, -0.1353916, -0.1326258, -0.1499619, -0.1323084, -0.1423100, -0.1457959", \
+					  "-0.1055171, -0.1038856, -0.0880835, -0.1036581, -0.0822498, -0.0977649, -0.1030844", \
+					  "-0.0529129, -0.0413275, -0.0308911, -0.0457324, -0.0316358, -0.0454636, -0.0489402", \
+					  "0.0147207, 0.0328453, 0.0316460, 0.0185664, 0.0341955, 0.0209467, 0.0075055");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.1552383, 1.1690198, 1.1999865, 1.2614586, 1.3724478, 1.4795706, 1.5145548", \
+					  "2.6404241, 2.6541670, 2.6839253, 2.7472774, 2.8585940, 2.9657062, 3.0022317", \
+					  "2.7365441, 2.7372462, 2.7385004, 2.7407798, 2.7526941, 2.9276865, 3.0022989", \
+					  "2.9049382, 2.9065292, 2.9074410, 2.9104748, 2.9123134, 2.9127089, 2.9344975", \
+					  "2.9284457, 2.9415433, 2.9325604, 2.9358918, 2.9371804, 2.9397227, 2.9378520", \
+					  "2.9513336, 2.9512033, 2.9451233, 2.9517545, 2.9509112, 2.9590013, 2.9592424", \
+					  "2.9823145, 2.9682702, 2.9715262, 2.9722991, 2.9937650, 2.9771838, 2.9697089");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("2.2941517, 2.2940311, 2.3034503, 2.3134100, 2.3258228, 2.3322755, 2.3195606", \
+					  "2.2912311, 2.2943009, 2.3014307, 2.3125140, 2.3233521, 2.3312245, 2.3191311", \
+					  "2.2950895, 2.2957880, 2.3026373, 2.3137883, 2.3243882, 2.3300004, 2.3160285", \
+					  "2.2915518, 2.2947350, 2.2989177, 2.3139009, 2.3204042, 2.3314999, 2.3204456", \
+					  "2.2931563, 2.2969090, 2.3018469, 2.3130367, 2.3245984, 2.3309588, 2.3189135", \
+					  "2.2931490, 2.2968769, 2.3019946, 2.3134630, 2.3245622, 2.3307539, 2.3190204", \
+					  "2.2909661, 2.2953974, 2.3020484, 2.3121976, 2.3222820, 2.3306874, 2.3201988");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.05801694, 0.1397437, 0.3365965, 0.8107501, 1.95283");
+					values("1.0773061, 1.0852415, 1.1002199, 1.1235573, 1.1579249, 1.2542829, 1.6121135", \
+					  "1.0754113, 1.0839267, 1.0962028, 1.1221076, 1.1521411, 1.2529475, 1.6106444", \
+					  "1.0763756, 1.0841710, 1.0976306, 1.1218291, 1.1573781, 1.2537710, 1.6124394", \
+					  "1.0755087, 1.0839854, 1.0989773, 1.1222050, 1.1567146, 1.2530725, 1.6107406", \
+					  "1.0759010, 1.0843783, 1.0993739, 1.1260565, 1.1543686, 1.2536110, 1.6111348", \
+					  "1.0761252, 1.0846025, 1.0995927, 1.1228246, 1.1567888, 1.2531783, 1.6118652", \
+					  "1.0725744, 1.0841729, 1.0991621, 1.1214013, 1.1557820, 1.2529777, 1.6137049");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.2378937, 1.2465836, 1.2662090, 1.3094286, 1.4079252, 1.6418212, 2.2035898", \
+					  "2.8023734, 2.8111797, 2.8306852, 2.8739697, 2.9729723, 3.2066954, 3.7679042", \
+					  "3.9500825, 3.9588457, 3.9783696, 4.0216368, 4.1207286, 4.3544848, 4.9151345", \
+					  "4.1979180, 4.2067239, 4.2262251, 4.2695119, 4.3684798, 4.6039306, 5.1634563", \
+					  "5.6208882, 5.6208885, 5.6392002, 5.6824749, 5.7926104, 6.0153025, 6.5761347", \
+					  "6.7125709, 6.7223103, 6.7266250, 6.7700703, 6.8820478, 7.1150188, 7.6648163", \
+					  "7.5307609, 7.5334530, 7.5400122, 7.6054789, 7.6826208, 7.9083726, 8.4861576", \
+					  "8.6652383, 8.6652389, 8.6876479, 8.7283132, 8.8295602, 9.0696162, 9.6239061", \
+					  "22.6600750, 22.8520380, 22.8520384, 22.8899420, 22.9581210, 23.2528010, 23.5706170", \
+					  "31.3781780, 31.4198270, 31.4198275, 31.4720910, 31.4720927, 31.7006400, 32.2511650", \
+					  "67.3277470, 67.3277474, 67.3277550, 67.4179860, 67.4697350, 67.7684970, 68.2815010", \
+					  "197.8684000, 198.0444800, 198.0444870, 198.0445023, 198.0445176, 198.3734600, 198.7839200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0596593, 0.0693775, 0.0929781, 0.1510671, 0.2951227, 0.6488474, 1.4995110", \
+					  "0.0595186, 0.0693842, 0.0929267, 0.1508390, 0.2948320, 0.6489269, 1.4991157", \
+					  "0.0595148, 0.0692124, 0.0930083, 0.1510769, 0.2954381, 0.6483040, 1.4996770", \
+					  "0.0595242, 0.0693791, 0.0929551, 0.1508552, 0.2947498, 0.6493134, 1.4989067", \
+					  "0.0596773, 0.0693807, 0.0929603, 0.1510400, 0.2950174, 0.6494366, 1.4998277", \
+					  "0.0595240, 0.0693691, 0.0930923, 0.1508816, 0.2946166, 0.6493879, 1.4987114", \
+					  "0.0595139, 0.0693996, 0.0930103, 0.1509291, 0.2950934, 0.6481910, 1.4986988", \
+					  "0.0596661, 0.0693825, 0.0928582, 0.1508793, 0.2953385, 0.6493130, 1.4986270", \
+					  "0.0596682, 0.0693539, 0.0930658, 0.1508883, 0.2954411, 0.6493967, 1.4986787", \
+					  "0.0596802, 0.0692589, 0.0930630, 0.1509289, 0.2954350, 0.6493480, 1.4985850", \
+					  "0.0594966, 0.0692580, 0.0930099, 0.1510927, 0.2952996, 0.6493003, 1.4997086", \
+					  "0.0595123, 0.0693371, 0.0929270, 0.1509049, 0.2954501, 0.6487350, 1.4997776");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("1.0347615, 1.0482737, 1.0765975, 1.1321667, 1.2400102, 1.4609248, 1.9607187", \
+					  "2.2601896, 2.2734754, 2.3016500, 2.3574445, 2.4652556, 2.6863145, 3.1858636", \
+					  "2.9410243, 2.9569796, 2.9825011, 3.0382712, 3.1462919, 3.3670964, 3.8666816", \
+					  "3.0784353, 3.0893483, 3.1199079, 3.1756779, 3.2836573, 3.5044738, 4.0040771", \
+					  "3.8237746, 3.8351669, 3.8648080, 3.9209436, 4.0292436, 4.2496180, 4.7494679", \
+					  "4.3667288, 4.3861510, 4.4173025, 4.4695505, 4.5773705, 4.8019904, 5.2924607", \
+					  "4.7666724, 4.7791868, 4.8057549, 4.8620707, 4.9686166, 5.1906093, 5.6923279", \
+					  "5.2931144, 5.3046469, 5.3362932, 5.3920175, 5.4927036, 5.7209779, 6.2189223", \
+					  "11.3302770, 11.3438620, 11.3756930, 11.4298520, 11.5308630, 11.7598760, 12.2554600", \
+					  "14.7791070, 14.7864370, 14.8201640, 14.8762170, 14.9829550, 15.2049270, 15.7048740", \
+					  "28.5878150, 28.6004270, 28.6296910, 28.6853550, 28.7932310, 29.0141420, 29.5135970", \
+					  "75.8834060, 75.8994220, 75.9395600, 75.9819510, 76.1003990, 76.3248380, 76.8184380");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0832984, 0.0939032, 0.1167812, 0.1647626, 0.2738341, 0.5401191, 1.2063558", \
+					  "0.0831048, 0.0939804, 0.1172764, 0.1656694, 0.2747083, 0.5402717, 1.2067877", \
+					  "0.0830957, 0.0939549, 0.1172706, 0.1663927, 0.2753925, 0.5404726, 1.2072500", \
+					  "0.0829496, 0.0939411, 0.1172783, 0.1663894, 0.2754218, 0.5403791, 1.2072567", \
+					  "0.0831898, 0.0940472, 0.1166517, 0.1656585, 0.2759168, 0.5398577, 1.2058942", \
+					  "0.0831205, 0.0939283, 0.1168596, 0.1656910, 0.2753797, 0.5398206, 1.2068112", \
+					  "0.0832160, 0.0938364, 0.1172773, 0.1656846, 0.2755586, 0.5398479, 1.2059012", \
+					  "0.0831715, 0.0940315, 0.1168987, 0.1655536, 0.2751389, 0.5401562, 1.2077092", \
+					  "0.0832331, 0.0940361, 0.1169099, 0.1661019, 0.2751672, 0.5399768, 1.2059047", \
+					  "0.0831943, 0.0939666, 0.1169048, 0.1657009, 0.2756609, 0.5398277, 1.2061799", \
+					  "0.0831646, 0.0939686, 0.1172534, 0.1664278, 0.2753462, 0.5399370, 1.2070728", \
+					  "0.0832172, 0.0940637, 0.1169707, 0.1663906, 0.2755212, 0.5406868, 1.2073390");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("2.7403013, 2.7489859, 2.7685050, 2.8112723, 2.9096301, 3.1442344, 3.7039882", \
+					  "4.3807518, 4.3894208, 4.4087063, 4.4517106, 4.5499893, 4.7847202, 5.3444724", \
+					  "5.6450902, 5.6536642, 5.6738156, 5.7159686, 5.8144125, 6.0481122, 6.6091710", \
+					  "5.9357954, 5.9444654, 5.9648229, 6.0067236, 6.1054735, 6.3398446, 6.8993522", \
+					  "7.7740010, 7.7825835, 7.8008142, 7.8446982, 7.9431208, 8.1770057, 8.7368166", \
+					  "9.5010773, 9.5111989, 9.5111995, 9.5407575, 9.6703410, 9.9040198, 10.4462640", \
+					  "10.8746350, 10.8896550, 10.8964000, 10.9549440, 11.0478440, 11.2776370, 11.8189440", \
+					  "12.7845410, 12.7884730, 12.8116400, 12.8532530, 12.9509610, 13.1802840, 13.7480510", \
+					  "36.0684780, 36.4519400, 36.4519406, 36.5039980, 36.5462040, 36.5462056, 37.1748140", \
+					  "51.0889570, 51.0889607, 51.0889645, 51.0889683, 51.2274380, 51.4096500, 52.0046160", \
+					  "113.0308500, 113.0308571, 113.0308648, 113.0482700, 113.0607400, 113.2939100, 113.8569600", \
+					  "332.0250500, 332.0250702, 332.0312200, 332.0312348, 332.1577300, 332.3798100, 332.9603300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0586470, 0.0684566, 0.0921332, 0.1502138, 0.2948961, 0.6476627, 1.4995195", \
+					  "0.0585897, 0.0683588, 0.0921844, 0.1502801, 0.2947720, 0.6469144, 1.4991538", \
+					  "0.0586730, 0.0685061, 0.0920653, 0.1503744, 0.2940231, 0.6476426, 1.4999657", \
+					  "0.0585494, 0.0683939, 0.0920900, 0.1502603, 0.2947232, 0.6471616, 1.5043358", \
+					  "0.0586007, 0.0683171, 0.0921505, 0.1501151, 0.2947141, 0.6476851, 1.4991219", \
+					  "0.0586081, 0.0684725, 0.0920615, 0.1501918, 0.2945562, 0.6476897, 1.4992412", \
+					  "0.0586017, 0.0684285, 0.0921053, 0.1503578, 0.2936588, 0.6476859, 1.4991941", \
+					  "0.0584564, 0.0684716, 0.0921458, 0.1502210, 0.2943215, 0.6476863, 1.5012810", \
+					  "0.0586235, 0.0684054, 0.0921503, 0.1502434, 0.2939273, 0.6475386, 1.4988987", \
+					  "0.0586721, 0.0685057, 0.0921127, 0.1503676, 0.2942982, 0.6476503, 1.4999016", \
+					  "0.0586665, 0.0685059, 0.0920568, 0.1503814, 0.2939467, 0.6476313, 1.4992146", \
+					  "0.0586708, 0.0685065, 0.0921130, 0.1503825, 0.2947100, 0.6476478, 1.4995499");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("2.6286093, 2.6420097, 2.6687808, 2.7221361, 2.8260383, 3.0435725, 3.5423098", \
+					  "4.4579056, 4.4703632, 4.4970854, 4.5504347, 4.6549480, 4.8723510, 5.3709828", \
+					  "5.8055214, 5.8180198, 5.8447158, 5.8980305, 6.0026837, 6.2201435, 6.7186217", \
+					  "6.0812524, 6.0938019, 6.1204628, 6.1738397, 6.2784005, 6.4958274, 6.9939583", \
+					  "7.5868876, 7.5993949, 7.6260784, 7.6816642, 7.7840543, 7.9991302, 8.4966398", \
+					  "8.7185050, 8.7248750, 8.7512948, 8.8094776, 8.9136929, 9.1286996, 9.6306767", \
+					  "9.5326840, 9.5372066, 9.5652277, 9.6218935, 9.7524699, 9.9433004, 10.4467950", \
+					  "10.6340330, 10.6460480, 10.6681930, 10.7188160, 10.8290020, 11.0467700, 11.5244730", \
+					  "23.8863700, 23.9173480, 23.9295000, 23.9883420, 24.0799750, 24.3038890, 24.8081630", \
+					  "31.7359050, 31.7359057, 31.7874370, 31.8187500, 31.9223550, 32.1402780, 32.6403970", \
+					  "64.1982470, 64.2108090, 64.2375260, 64.3101430, 64.3956640, 64.6322820, 65.1160330", \
+					  "180.1192600, 180.1514900, 180.2410700, 180.2625600, 180.3403600, 180.5429300, 181.1073500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0780269, 0.0883407, 0.1106411, 0.1585995, 0.2671294, 0.5355488, 1.2069383", \
+					  "0.0781229, 0.0883897, 0.1105309, 0.1593616, 0.2682521, 0.5353668, 1.2086171", \
+					  "0.0782198, 0.0884748, 0.1111738, 0.1591583, 0.2684554, 0.5353855, 1.2064114", \
+					  "0.0781053, 0.0885377, 0.1111037, 0.1592458, 0.2689682, 0.5357038, 1.2070519", \
+					  "0.0781223, 0.0885280, 0.1111803, 0.1590331, 0.2688802, 0.5356330, 1.2081962", \
+					  "0.0781214, 0.0885288, 0.1111309, 0.1589952, 0.2684361, 0.5354129, 1.2060339", \
+					  "0.0781161, 0.0885620, 0.1112247, 0.1594124, 0.2685932, 0.5356297, 1.2069502", \
+					  "0.0780915, 0.0885272, 0.1111837, 0.1596993, 0.2685179, 0.5351625, 1.2069409", \
+					  "0.0781320, 0.0885254, 0.1112064, 0.1593335, 0.2685535, 0.5357047, 1.2066050", \
+					  "0.0781484, 0.0886470, 0.1110302, 0.1590887, 0.2687963, 0.5357061, 1.2070200", \
+					  "0.0780388, 0.0884947, 0.1111384, 0.1592852, 0.2687563, 0.5356621, 1.2069969", \
+					  "0.0781283, 0.0885283, 0.1111814, 0.1593855, 0.2687010, 0.5356238, 1.2069433");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("2.7193749, 2.7284179, 2.7474563, 2.7903921, 2.8886480, 3.1230754, 3.6836187", \
+					  "3.9121590, 3.9206762, 3.9399826, 3.9826080, 4.0812611, 4.3152530, 4.8755315", \
+					  "4.4059916, 4.4155852, 4.4350035, 4.4787196, 4.5763371, 4.8100048, 5.3707856", \
+					  "4.4941939, 4.5024975, 4.5218949, 4.5647890, 4.6632267, 4.8973079, 5.4575469", \
+					  "4.9103144, 4.9217461, 4.9394435, 4.9821605, 5.0799791, 5.3142388, 5.8745502", \
+					  "5.1985958, 5.2058531, 5.2267826, 5.2678271, 5.3653717, 5.6001306, 6.1597429", \
+					  "5.4164659, 5.4243698, 5.4452061, 5.4860139, 5.5851244, 5.8187686, 6.3790062", \
+					  "5.7651999, 5.7723160, 5.7925660, 5.8327272, 5.9348371, 6.1690932, 6.7275558", \
+					  "12.0016090, 12.0033890, 12.0180550, 12.0539360, 12.1685680, 12.4037850, 12.9652710", \
+					  "14.4466140, 14.4905120, 14.5098060, 14.5606310, 14.6505180, 14.8534760, 15.4448510", \
+					  "22.6812740, 22.6812754, 22.6812773, 22.6812792, 22.9191850, 23.1521880, 23.5642260", \
+					  "42.5833590, 42.6036240, 42.6036244, 42.6415560, 42.7638870, 43.0458440, 43.2888530");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0586608, 0.0682948, 0.0921415, 0.1505520, 0.2948361, 0.6473023, 1.5024970", \
+					  "0.0586109, 0.0684037, 0.0921300, 0.1502356, 0.2943521, 0.6497139, 1.4980626", \
+					  "0.0586744, 0.0685059, 0.0921119, 0.1503749, 0.2939073, 0.6472626, 1.5031026", \
+					  "0.0586722, 0.0685064, 0.0921120, 0.1503546, 0.2938878, 0.6475736, 1.4993725", \
+					  "0.0585489, 0.0684221, 0.0921453, 0.1503772, 0.2937157, 0.6469588, 1.5019292", \
+					  "0.0586633, 0.0685088, 0.0920587, 0.1503784, 0.2940083, 0.6476486, 1.4996561", \
+					  "0.0586019, 0.0685066, 0.0921982, 0.1501076, 0.2938476, 0.6476853, 1.4989470", \
+					  "0.0585693, 0.0684042, 0.0921120, 0.1503763, 0.2946987, 0.6472044, 1.5009212", \
+					  "0.0586723, 0.0685012, 0.0921382, 0.1502358, 0.2939383, 0.6476036, 1.5009428", \
+					  "0.0586756, 0.0684055, 0.0921468, 0.1502385, 0.2943358, 0.6469487, 1.5029381", \
+					  "0.0586309, 0.0684016, 0.0921138, 0.1503752, 0.2940075, 0.6476497, 1.5014949", \
+					  "0.0586281, 0.0683971, 0.0921120, 0.1503149, 0.2938774, 0.6472973, 1.5002276");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("3.6220937, 3.6334384, 3.6616183, 3.7160408, 3.8200434, 4.0374903, 4.5361048", \
+					  "5.4847749, 5.4973670, 5.5240119, 5.5813270, 5.6820189, 5.8992411, 6.3979217", \
+					  "7.2189184, 7.2314359, 7.2672433, 7.3125705, 7.4161228, 7.6335285, 8.1320115", \
+					  "7.5764213, 7.5888975, 7.6152755, 7.6650997, 7.7719795, 7.9910265, 8.4895095", \
+					  "9.5219681, 9.5484012, 9.5752375, 9.6294590, 9.7243413, 9.9503152, 10.4489820", \
+					  "11.0097770, 11.0260410, 11.0509210, 11.1010730, 11.2278050, 11.4265540, 11.9241730", \
+					  "12.0851840, 12.0959500, 12.1224440, 12.1776530, 12.2776480, 12.4986310, 12.9988160", \
+					  "13.5358540, 13.5479380, 13.5720420, 13.6334100, 13.7150300, 13.9502170, 14.4449150", \
+					  "30.8357360, 30.8722220, 30.9032410, 30.9519200, 31.0812390, 31.2349360, 31.7550960", \
+					  "41.0710610, 41.0828350, 41.0919550, 41.1409890, 41.2642260, 41.4624000, 41.9825770", \
+					  "83.5164260, 83.5362070, 83.5610830, 83.6269790, 83.7200180, 83.9359440, 84.4345890", \
+					  "236.8353100, 236.8624300, 236.8699400, 236.9287000, 237.0266300, 237.2468700, 237.7455500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0240848, 0.0580078, 0.139711, 0.336491, 0.810432, 1.95191");
+					values("0.0779077, 0.0884618, 0.1106440, 0.1583356, 0.2673162, 0.5356063, 1.2070134", \
+					  "0.0781508, 0.0885904, 0.1111087, 0.1593280, 0.2683593, 0.5351101, 1.2057197", \
+					  "0.0781198, 0.0885883, 0.1111863, 0.1589808, 0.2683644, 0.5356374, 1.2069488", \
+					  "0.0781203, 0.0885267, 0.1111820, 0.1591078, 0.2687838, 0.5356372, 1.2069490", \
+					  "0.0781421, 0.0883565, 0.1111346, 0.1593181, 0.2688151, 0.5353784, 1.2058685", \
+					  "0.0781190, 0.0885793, 0.1111041, 0.1597283, 0.2685281, 0.5356486, 1.2062794", \
+					  "0.0781184, 0.0885230, 0.1111701, 0.1590831, 0.2685873, 0.5356293, 1.2069400", \
+					  "0.0781201, 0.0885655, 0.1111819, 0.1590134, 0.2687905, 0.5357051, 1.2069394", \
+					  "0.0782319, 0.0884955, 0.1111424, 0.1592469, 0.2684316, 0.5356701, 1.2069458", \
+					  "0.0782646, 0.0885848, 0.1111804, 0.1595130, 0.2683780, 0.5356632, 1.2069427", \
+					  "0.0782948, 0.0885366, 0.1108950, 0.1591520, 0.2686627, 0.5357054, 1.2070379", \
+					  "0.0780427, 0.0885994, 0.1111074, 0.1592657, 0.2684975, 0.5356807, 1.2069853");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("14.3590140, 14.3673780, 14.3868660, 14.4296500, 14.5288570, 14.7627010, 15.3252790", \
+					  "14.4641580, 14.4729900, 14.4922570, 14.5351440, 14.6339510, 14.8680320, 15.4311340", \
+					  "14.5344240, 14.5430930, 14.5620900, 14.6048600, 14.7041400, 14.9375370, 15.5002730", \
+					  "14.5959480, 14.6045100, 14.6222560, 14.6651450, 14.7655500, 14.9982980, 15.5613530", \
+					  "14.6445790, 14.6532110, 14.6729540, 14.7157590, 14.8141100, 15.0482540, 15.6112780", \
+					  "14.6934190, 14.7020500, 14.7218900, 14.7646770, 14.8629490, 15.0971060, 15.6601330", \
+					  "14.7400740, 14.7487170, 14.7657720, 14.8085730, 14.9097660, 15.1414400, 15.7041880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0585851, 0.0684981, 0.0920073, 0.1503059, 0.2943172, 0.6482836, 1.5029081", \
+					  "0.0586281, 0.0683049, 0.0921709, 0.1503293, 0.2943627, 0.6484470, 1.5037308", \
+					  "0.0585720, 0.0684051, 0.0919307, 0.1503300, 0.2949345, 0.6483605, 1.5023153", \
+					  "0.0586344, 0.0684089, 0.0920909, 0.1503511, 0.2949278, 0.6482606, 1.5036976", \
+					  "0.0586803, 0.0683866, 0.0921964, 0.1503407, 0.2940227, 0.6481228, 1.5025353", \
+					  "0.0586803, 0.0683866, 0.0919293, 0.1503304, 0.2940227, 0.6481402, 1.5025921", \
+					  "0.0585130, 0.0683176, 0.0920725, 0.1503031, 0.2947345, 0.6484752, 1.5028857");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("9.1391570, 9.1516785, 9.1782990, 9.2315879, 9.3361159, 9.5535873, 10.0521390", \
+					  "9.2047010, 9.2171977, 9.2438777, 9.2971622, 9.4016368, 9.6191188, 10.1177090", \
+					  "9.2282404, 9.2407788, 9.2674659, 9.3207069, 9.4254604, 9.6429371, 10.1412240", \
+					  "9.2397698, 9.2523189, 9.2789456, 9.3322310, 9.4368415, 9.6543173, 10.1527790", \
+					  "9.2438874, 9.2564356, 9.2830632, 9.3363477, 9.4415720, 9.6590895, 10.1568960", \
+					  "9.2449808, 9.2575290, 9.2841548, 9.3374419, 9.4419930, 9.6594714, 10.1579930", \
+					  "9.2417496, 9.2542987, 9.2809245, 9.3346770, 9.4392352, 9.6567359, 10.1547600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0240867, 0.0580169, 0.139744, 0.336597, 0.81075, 1.95283");
+					values("0.0779365, 0.0883658, 0.1108927, 0.1590039, 0.2686978, 0.5358422, 1.2051526", \
+					  "0.0781214, 0.0883418, 0.1108931, 0.1590101, 0.2686338, 0.5356705, 1.2051529", \
+					  "0.0779797, 0.0883829, 0.1104476, 0.1587846, 0.2686761, 0.5357576, 1.2046619", \
+					  "0.0781223, 0.0883535, 0.1108928, 0.1590083, 0.2686865, 0.5357797, 1.2051493", \
+					  "0.0781220, 0.0883531, 0.1108930, 0.1590093, 0.2683557, 0.5355794, 1.2051514", \
+					  "0.0781263, 0.0883570, 0.1108920, 0.1590015, 0.2686648, 0.5357330, 1.2051395", \
+					  "0.0781255, 0.0883563, 0.1108924, 0.1590560, 0.2685141, 0.5353296, 1.2051399");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.176100;
+			max_transition : 3.758029;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452202, 0.06013295, 0.1474581, 0.3615971, 0.8867092, 2.17439");
+					values("1.2551777, 1.2562361, 1.2616077, 1.2699196, 1.2770857, 1.2743741, 1.2499789", \
+					  "-0.1645549, -0.1629419, -0.1603788, -0.1534595, -0.1482510, -0.1531042, -0.1791534", \
+					  "0.0925046, 0.0936873, 0.0935137, 0.0948724, 0.0572687, -0.0129960, -0.0407236", \
+					  "0.2017101, 0.2037212, 0.2036793, 0.2053696, 0.2093559, 0.1999835, 0.0871934", \
+					  "0.2991033, 0.3012825, 0.2985985, 0.3064952, 0.3087573, 0.3123653, 0.1648266", \
+					  "0.3860171, 0.3760695, 0.3853604, 0.3930995, 0.3967976, 0.4066004, 0.3502110", \
+					  "0.4776721, 0.4607484, 0.4672874, 0.4846516, 0.4782513, 0.4850724, 0.4909104");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452202, 0.06013295, 0.1474581, 0.3615971, 0.8867092, 2.17439");
+					values("1.3108054, 1.3170465, 1.3315536, 1.3554349, 1.3801124, 1.3937315, 1.3997087", \
+					  "2.8252812, 2.8325768, 2.8487928, 2.8757840, 2.9043341, 2.9204588, 2.9274890", \
+					  "2.8199385, 2.8210806, 2.8232108, 2.8288669, 2.8356295, 2.8464861, 2.9409168", \
+					  "2.8414048, 2.8423164, 2.8448951, 2.8497695, 2.8583717, 2.8648516, 2.8826377", \
+					  "2.8657740, 2.8650801, 2.8654564, 2.8745836, 2.8818029, 2.8851806, 2.8895757", \
+					  "2.8976049, 2.8827511, 2.8850175, 2.8948916, 2.9148808, 2.9038701, 2.9125832", \
+					  "2.9163586, 2.9014595, 2.9037881, 2.9166801, 2.9335083, 2.9252850, 2.9307201");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.1646764, 1.1659658, 1.1712162, 1.1786061, 1.1828171, 1.1802009, 1.1562010", \
+					  "-0.3326902, -0.3308543, -0.3272740, -0.3214382, -0.3129746, -0.3231074, -0.3473564", \
+					  "-0.1740294, -0.1744324, -0.1720577, -0.1698385, -0.1679215, -0.2851816, -0.2983999", \
+					  "-0.1348150, -0.1333433, -0.1313428, -0.1294320, -0.1233733, -0.1396640, -0.2627897", \
+					  "-0.0916433, -0.0911669, -0.0827994, -0.0875580, -0.0781716, -0.0909995, -0.1570283", \
+					  "-0.0307074, -0.0332908, -0.0306792, -0.0268711, -0.0259361, -0.0353752, -0.0076547", \
+					  "0.0353273, 0.0321224, 0.0313529, 0.0259009, 0.0391588, 0.0320323, 0.0355122");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.4073526, 1.4166528, 1.4355273, 1.4690170, 1.5046896, 1.5290512, 1.5414304", \
+					  "2.8941211, 2.9035793, 2.9227751, 2.9554248, 2.9925634, 3.0170523, 3.0294352", \
+					  "2.8070510, 2.8193960, 2.8503675, 2.9081329, 2.9810089, 3.0168637, 3.0319894", \
+					  "2.9076956, 2.9248908, 2.9108467, 2.9144857, 2.9223570, 2.9521004, 3.0439746", \
+					  "2.9322469, 2.9569994, 2.9284227, 2.9343218, 2.9445001, 2.9582556, 3.0140469", \
+					  "2.9506083, 2.9678233, 2.9546070, 2.9608852, 2.9624105, 2.9739735, 2.9747485", \
+					  "2.9825246, 2.9847717, 2.9713469, 2.9776567, 2.9869828, 2.9923017, 2.9961923");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.2596622, 1.2618050, 1.2667125, 1.2738647, 1.2791846, 1.2787663, 1.2450628", \
+					  "0.1618503, 0.1663028, 0.1693888, 0.1779062, 0.1791545, 0.1794583, 0.1541486", \
+					  "0.7851891, 0.7726549, 0.7880711, 0.7875066, 0.7170294, 0.6837534, 0.6551253", \
+					  "1.3087198, 1.3084196, 1.3118449, 1.3151013, 1.3202486, 1.2739100, 1.1977765", \
+					  "1.8930745, 1.8851463, 1.8995055, 1.9034156, 1.8949007, 1.9108716, 1.7601924", \
+					  "2.5597031, 2.5486054, 2.5696147, 2.5659028, 2.5582982, 2.5755106, 2.4845321", \
+					  "3.2393738, 3.2396843, 3.2534169, 3.2557386, 3.2626692, 3.2711774, 3.2419486");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.4905577, 1.4972559, 1.5139088, 1.5421081, 1.5703318, 1.5889176, 1.5974008", \
+					  "2.9544017, 2.9623012, 2.9791814, 3.0069597, 3.0377907, 3.0463117, 3.0654815", \
+					  "2.9235308, 2.9239809, 2.9266123, 2.9328714, 2.9394865, 3.0603488, 3.0674723", \
+					  "2.9458252, 2.9518753, 2.9502871, 2.9555716, 2.9801630, 2.9905009, 3.0831975", \
+					  "2.9643931, 2.9830886, 2.9680171, 2.9744417, 2.9987438, 3.0095375, 3.0076219", \
+					  "2.9843456, 2.9999748, 2.9877218, 2.9927016, 3.0161407, 3.0257177, 3.0250943", \
+					  "3.0039140, 3.0163198, 3.0039506, 3.0063573, 3.0299758, 3.0411773, 3.0422724");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.9338319, 2.0042475, 2.2792405, 2.3101203, 2.3436124, 2.3516274, 2.3375122", \
+					  "1.9326608, 2.0025495, 2.2769665, 2.3121066, 2.3308143, 2.3547758, 2.3469299", \
+					  "1.9335420, 2.0042161, 2.2783447, 2.3081982, 2.3356539, 2.3466572, 2.3373392", \
+					  "1.9327165, 2.0026018, 2.2779770, 2.3102259, 2.3353870, 2.3408104, 2.3441293", \
+					  "1.9335872, 2.0038496, 2.2776032, 2.3143794, 2.3313860, 2.3558293, 2.3376242", \
+					  "1.9335560, 2.0038793, 2.2781666, 2.3097867, 2.3318860, 2.3534345, 2.3376579", \
+					  "1.9325171, 2.0034773, 2.2782976, 2.3087017, 2.3327994, 2.3509895, 2.3356038");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02452523, 0.0601487, 0.1475161, 0.3617867, 0.8872902, 2.1761");
+					values("1.5760054, 1.5852566, 1.5960402, 1.6263625, 1.6661312, 1.7029103, 1.7138201", \
+					  "1.5697714, 1.5796905, 1.5971737, 1.6218868, 1.6673301, 1.6967558, 1.7133673", \
+					  "1.5706595, 1.5817683, 1.5884166, 1.6256728, 1.6654542, 1.6959427, 1.7166962", \
+					  "1.5706277, 1.5787485, 1.5973709, 1.6217212, 1.6641034, 1.6966564, 1.7157273", \
+					  "1.5705553, 1.5820941, 1.5977487, 1.6222160, 1.6645735, 1.6995378, 1.7078045", \
+					  "1.5712085, 1.5813350, 1.5966309, 1.6214517, 1.6652796, 1.6968898, 1.7138906", \
+					  "1.5714016, 1.5813802, 1.5963634, 1.6232828, 1.6649165, 1.6995744, 1.7139529");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("3.3128960, 3.3476142, 3.4247256, 3.5837939, 3.8937538, 4.5379358, 6.0222658", \
+					  "4.8770938, 4.9122324, 4.9888360, 5.1462905, 5.4571001, 6.1012160, 7.5849167", \
+					  "6.0256373, 6.0605490, 6.1376859, 6.2955294, 6.6055432, 7.2504815, 8.7322345", \
+					  "6.2730381, 6.3079488, 6.3845267, 6.5429853, 6.8540325, 7.4978619, 8.9842622", \
+					  "7.6861069, 7.7305575, 7.8078397, 7.9560829, 8.2671923, 8.9103382, 10.3956120", \
+					  "8.7738430, 8.8236058, 8.8990966, 9.0428109, 9.3697921, 10.0006990, 11.4840720", \
+					  "9.6025170, 9.6150066, 9.7144488, 9.8780752, 10.1711260, 10.8130260, 12.3198030", \
+					  "10.7399070, 10.7654900, 10.8439340, 11.0018080, 11.3100180, 11.9541500, 13.4421390", \
+					  "24.8701170, 24.8701182, 24.8701201, 25.1998650, 25.4990960, 26.1239260, 27.4828640", \
+					  "33.4518870, 33.4518872, 33.5662130, 33.7836280, 34.0163100, 34.6876200, 36.1420190", \
+					  "69.4092960, 69.4383000, 69.5134900, 69.6990550, 69.9699920, 70.6350460, 72.1164070", \
+					  "199.8883300, 200.0261100, 200.0532500, 200.3129000, 200.5637900, 201.0979500, 202.8062100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("0.3602419, 0.3865060, 0.4457524, 0.5808788, 0.8959458, 1.6888739, 3.7455288", \
+					  "0.3598836, 0.3845064, 0.4441198, 0.5806848, 0.8923216, 1.6894759, 3.7455274", \
+					  "0.3548299, 0.3865090, 0.4478537, 0.5817397, 0.8951715, 1.6893321, 3.7321537", \
+					  "0.3590336, 0.3857737, 0.4464168, 0.5795341, 0.8960275, 1.6871337, 3.7372621", \
+					  "0.3589758, 0.3865477, 0.4465295, 0.5799168, 0.8950529, 1.6852241, 3.7440211", \
+					  "0.3589286, 0.3862320, 0.4456109, 0.5782710, 0.8959715, 1.6884814, 3.7383145", \
+					  "0.3572368, 0.3865559, 0.4460354, 0.5783564, 0.8944354, 1.6909918, 3.7500203", \
+					  "0.3590786, 0.3858805, 0.4461407, 0.5782708, 0.8955074, 1.6893715, 3.7424055", \
+					  "0.3587892, 0.3850435, 0.4461413, 0.5782715, 0.8952184, 1.6895543, 3.7485663", \
+					  "0.3587196, 0.3851325, 0.4459692, 0.5790937, 0.8948401, 1.6898231, 3.7532355", \
+					  "0.3572321, 0.3865328, 0.4458626, 0.5808672, 0.8947917, 1.6888281, 3.7449568", \
+					  "0.3557083, 0.3864841, 0.4450842, 0.5809595, 0.8957475, 1.6883898, 3.7492822");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("3.0208958, 3.0484641, 3.1128693, 3.2461187, 3.4973398, 3.9723989, 4.9753588", \
+					  "4.2455319, 4.2739230, 4.3379593, 4.4710813, 4.7219242, 5.1973625, 6.2009873", \
+					  "4.9256168, 4.9539932, 5.0184479, 5.1521263, 5.4022511, 5.8772582, 6.8819719", \
+					  "5.0637095, 5.0920894, 5.1560036, 5.2893081, 5.5393694, 6.0153327, 7.0177895", \
+					  "5.7953100, 5.8373493, 5.9009388, 6.0344458, 6.2858838, 6.7598838, 7.7642586", \
+					  "6.3574479, 6.3851622, 6.4487318, 6.5856649, 6.8341199, 7.3084054, 8.3138980", \
+					  "6.7487682, 6.7744930, 6.8383947, 6.9707191, 7.2253874, 7.7017441, 8.7022016", \
+					  "7.2746977, 7.3022304, 7.3679178, 7.4981186, 7.7500300, 8.2308951, 9.2345340", \
+					  "13.3127450, 13.3401740, 13.4066220, 13.5370510, 13.7882600, 14.2492270, 15.2665060", \
+					  "16.7681230, 16.7894800, 16.8521980, 16.9868710, 17.2350130, 17.7156600, 18.7059330", \
+					  "30.5568050, 30.5653030, 30.6571980, 30.7917820, 31.0315970, 31.5178990, 32.5202230", \
+					  "77.8708870, 77.8994080, 77.9636840, 78.0931730, 78.3448610, 78.8207970, 79.8204100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024522, 0.0601329, 0.147458, 0.361597, 0.886709, 2.17439");
+					values("0.2758931, 0.2996628, 0.3523298, 0.4592388, 0.6768407, 1.1711677, 2.4328188", \
+					  "0.2774412, 0.2997712, 0.3510766, 0.4577150, 0.6751012, 1.1707084, 2.4295530", \
+					  "0.2763628, 0.2987609, 0.3517056, 0.4597134, 0.6764016, 1.1718467, 2.4288305", \
+					  "0.2771247, 0.2995892, 0.3530310, 0.4597795, 0.6762049, 1.1715520, 2.4282014", \
+					  "0.2771213, 0.2985642, 0.3526404, 0.4585497, 0.6774712, 1.1705377, 2.4275895", \
+					  "0.2774460, 0.2985476, 0.3528499, 0.4583479, 0.6771456, 1.1708784, 2.4272662", \
+					  "0.2761827, 0.2997011, 0.3511625, 0.4585809, 0.6773104, 1.1718727, 2.4288789", \
+					  "0.2771276, 0.2995902, 0.3525031, 0.4591482, 0.6777027, 1.1716278, 2.4260742", \
+					  "0.2771568, 0.2990004, 0.3530389, 0.4588115, 0.6775777, 1.1704472, 2.4310094", \
+					  "0.2771202, 0.2998592, 0.3525313, 0.4586194, 0.6765881, 1.1714107, 2.4264770", \
+					  "0.2771105, 0.2996107, 0.3530529, 0.4591317, 0.6765962, 1.1713942, 2.4305202", \
+					  "0.2770270, 0.2997274, 0.3530232, 0.4589659, 0.6769344, 1.1714724, 2.4277838");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("3.5182564, 3.5532759, 3.6309258, 3.7861487, 4.0964316, 4.7395270, 6.2251173", \
+					  "4.7094155, 4.7449595, 4.8210893, 4.9774500, 5.2881410, 5.9301488, 7.4169235", \
+					  "5.2053908, 5.2404654, 5.3170508, 5.4737832, 5.7839413, 6.4273853, 7.9130249", \
+					  "5.2930718, 5.3281286, 5.4036002, 5.5592668, 5.8700009, 6.5144543, 7.9981319", \
+					  "5.7094200, 5.7446323, 5.8213478, 5.9779048, 6.2902061, 6.9303789, 8.4174063", \
+					  "5.9962169, 6.0305467, 6.1083418, 6.2638423, 6.5742531, 7.2158088, 8.7025693", \
+					  "6.2148446, 6.2515841, 6.3262333, 6.4849122, 6.7927544, 7.4370443, 8.9234504", \
+					  "6.5637531, 6.5992478, 6.6742807, 6.8331341, 7.1407462, 7.7863040, 9.2606074", \
+					  "12.7992150, 12.8341350, 12.9058170, 13.0667590, 13.3698570, 14.0125250, 15.5071390", \
+					  "15.2901350, 15.3147250, 15.4033710, 15.5608610, 15.8589340, 16.5044650, 18.0001900", \
+					  "23.5330400, 23.5926570, 23.5926581, 23.5969450, 23.8939710, 24.5355040, 26.2447040", \
+					  "43.1417110, 43.4555930, 43.4555951, 43.6178450, 43.9736030, 44.5486140, 46.0899660");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.3529396, 0.3838404, 0.4416565, 0.5751730, 0.8912285, 1.6849043, 3.7372767", \
+					  "0.3534040, 0.3816148, 0.4408825, 0.5756687, 0.8920080, 1.6893973, 3.7429513", \
+					  "0.3579078, 0.3841009, 0.4428351, 0.5777191, 0.8905701, 1.6887789, 3.7412766", \
+					  "0.3535018, 0.3799384, 0.4394934, 0.5750827, 0.8916342, 1.6928929, 3.7389887", \
+					  "0.3575943, 0.3816806, 0.4426686, 0.5782809, 0.8933129, 1.6883891, 3.7395584", \
+					  "0.3541333, 0.3849230, 0.4416449, 0.5761642, 0.8929000, 1.6898875, 3.7415115", \
+					  "0.3575588, 0.3831020, 0.4422529, 0.5771730, 0.8927458, 1.6895364, 3.7430294", \
+					  "0.3578226, 0.3813921, 0.4410198, 0.5751164, 0.8920803, 1.6898405, 3.7501833", \
+					  "0.3542802, 0.3813833, 0.4409584, 0.5755033, 0.8925839, 1.6846632, 3.7403831", \
+					  "0.3575839, 0.3830989, 0.4423107, 0.5782307, 0.8921075, 1.6847146, 3.7538914", \
+					  "0.3543464, 0.3832830, 0.4431571, 0.5782181, 0.8931436, 1.6889155, 3.7515271", \
+					  "0.3543231, 0.3835493, 0.4429973, 0.5750261, 0.8922861, 1.6898778, 3.7513632");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("4.1411350, 4.1707171, 4.2378829, 4.3776920, 4.6387045, 5.1270437, 6.1429919", \
+					  "6.0018208, 6.0315393, 6.0985501, 6.2385230, 6.4997390, 6.9887558, 8.0029237", \
+					  "7.7358600, 7.7654017, 7.8321358, 7.9722291, 8.2336795, 8.7216776, 9.7359631", \
+					  "8.0931839, 8.1229325, 8.1897049, 8.3299323, 8.5908587, 9.0797272, 10.0921310", \
+					  "10.0496160, 10.0799320, 10.1498820, 10.2894460, 10.5507440, 11.0390590, 12.0529230", \
+					  "11.5304810, 11.5577620, 11.6280790, 11.7653590, 12.0222590, 12.5156260, 13.5303430", \
+					  "12.6025020, 12.6214580, 12.7005580, 12.8699840, 13.1137230, 13.5871230, 14.5973360", \
+					  "14.0542310, 14.0825110, 14.1491950, 14.2905730, 14.5520320, 15.0389120, 16.0280320", \
+					  "31.3887440, 31.4208960, 31.4753700, 31.6074920, 31.8712910, 32.3630010, 33.3757840", \
+					  "41.5884140, 41.6188470, 41.6915360, 41.8257040, 42.0870770, 42.5597260, 43.5903080", \
+					  "84.0350150, 84.0703580, 84.1353510, 84.2772540, 84.5380370, 85.0342160, 86.0415380", \
+					  "237.3876800, 237.3929100, 237.4538000, 237.6055600, 237.8750000, 238.3638500, 239.3653100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.2823196, 0.3081670, 0.3634463, 0.4773926, 0.7003535, 1.1955565, 2.4410016", \
+					  "0.2829977, 0.3069760, 0.3633162, 0.4771683, 0.6989709, 1.1958047, 2.4443991", \
+					  "0.2828016, 0.3081511, 0.3630183, 0.4767999, 0.6997813, 1.1911868, 2.4456408", \
+					  "0.2822723, 0.3078160, 0.3634969, 0.4769129, 0.6999376, 1.1949389, 2.4383355", \
+					  "0.2827476, 0.3073611, 0.3630815, 0.4769537, 0.6993951, 1.1917002, 2.4470701", \
+					  "0.2828980, 0.3082018, 0.3638641, 0.4768460, 0.6966970, 1.1947323, 2.4451474", \
+					  "0.2824468, 0.3075475, 0.3634550, 0.4770247, 0.6999087, 1.1949741, 2.4410647", \
+					  "0.2819705, 0.3075597, 0.3633280, 0.4768300, 0.6999043, 1.1952930, 2.4438442", \
+					  "0.2827187, 0.3081218, 0.3637108, 0.4769905, 0.6999948, 1.1947254, 2.4468174", \
+					  "0.2830249, 0.3082757, 0.3636906, 0.4770244, 0.6999954, 1.1918078, 2.4433084", \
+					  "0.2827509, 0.3082031, 0.3636740, 0.4772845, 0.7001695, 1.1948831, 2.4464992", \
+					  "0.2830673, 0.3079816, 0.3641317, 0.4773314, 0.7003924, 1.1944266, 2.4458935");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("3.5398178, 3.5742154, 3.6507741, 3.8069090, 4.1169876, 4.7602788, 6.2457626", \
+					  "5.1797575, 5.2146172, 5.2916276, 5.4477955, 5.7578307, 6.4000067, 7.8866043", \
+					  "6.4436612, 6.4786816, 6.5556813, 6.7115002, 7.0215336, 7.6643571, 9.1454790", \
+					  "6.7346249, 6.7694788, 6.8463337, 7.0031003, 7.3130071, 7.9558138, 9.4428332", \
+					  "8.5665084, 8.6073078, 8.6839647, 8.8414014, 9.1496419, 9.7920738, 11.2782000", \
+					  "10.2985450, 10.3343750, 10.4099760, 10.5687460, 10.8776940, 11.4920490, 13.0117770", \
+					  "11.6753290, 11.7071780, 11.7976970, 11.9436990, 12.2514390, 12.8911580, 14.3849760", \
+					  "13.5818010, 13.6003390, 13.6958400, 13.8472310, 14.1609830, 14.8038610, 16.2898410", \
+					  "37.0177490, 37.2033600, 37.2033635, 37.3016820, 37.5922870, 38.2373100, 39.8187330", \
+					  "51.8182150, 51.8182164, 51.8869360, 52.1056800, 52.3722900, 53.0782850, 54.5276610", \
+					  "113.7573200, 113.7573205, 113.9761200, 113.9761239, 114.4623200, 114.9121600, 116.1469900", \
+					  "332.7586200, 332.7586213, 332.9168100, 333.0611400, 333.3407800, 333.8786000, 335.4601900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.3550485, 0.3829259, 0.4433290, 0.5771750, 0.8907115, 1.6867063, 3.7375032", \
+					  "0.3538937, 0.3842762, 0.4430656, 0.5777754, 0.8897215, 1.6879661, 3.7500745", \
+					  "0.3541621, 0.3813385, 0.4415326, 0.5759385, 0.8928483, 1.6898856, 3.7304373", \
+					  "0.3575578, 0.3834071, 0.4424380, 0.5783402, 0.8927710, 1.6896909, 3.7329890", \
+					  "0.3541258, 0.3834541, 0.4423460, 0.5759706, 0.8915247, 1.6892268, 3.7413628", \
+					  "0.3542402, 0.3833581, 0.4421876, 0.5770627, 0.8929015, 1.6885501, 3.7458263", \
+					  "0.3575433, 0.3834550, 0.4416608, 0.5750281, 0.8929167, 1.6898370, 3.7373424", \
+					  "0.3541384, 0.3833903, 0.4412669, 0.5749825, 0.8920108, 1.6895676, 3.7441668", \
+					  "0.3576071, 0.3835676, 0.4417800, 0.5770894, 0.8929134, 1.6898958, 3.7537999", \
+					  "0.3541428, 0.3813833, 0.4410379, 0.5782170, 0.8927358, 1.6845220, 3.7414813", \
+					  "0.3534762, 0.3817586, 0.4411411, 0.5750002, 0.8925106, 1.6895573, 3.7390366", \
+					  "0.3541018, 0.3816689, 0.4412467, 0.5749408, 0.8921687, 1.6896512, 3.7389185");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("3.1466767, 3.1764076, 3.2432135, 3.3837091, 3.6450645, 4.1328225, 5.1483413", \
+					  "4.9750963, 5.0049276, 5.0716986, 5.2117941, 5.4729523, 5.9608523, 6.9762116", \
+					  "6.3229333, 6.3524905, 6.4194174, 6.5591421, 6.8220878, 7.3092856, 8.3226155", \
+					  "6.5984134, 6.6280941, 6.6949872, 6.8348296, 7.0971287, 7.5843749, 8.5996801", \
+					  "8.1038793, 8.1335223, 8.2005442, 8.3405709, 8.6045162, 9.0915240, 10.1038900", \
+					  "9.2293568, 9.2589794, 9.3259871, 9.4656469, 9.7200115, 10.2200120, 11.2110940", \
+					  "10.0425500, 10.0621880, 10.1391380, 10.2788290, 10.5385980, 11.0326780, 12.0414930", \
+					  "11.1501700, 11.1782710, 11.2471470, 11.3871930, 11.6402520, 12.1295990, 13.1577660", \
+					  "24.4274630, 24.4451250, 24.4957210, 24.6620200, 24.9103990, 25.3993560, 26.4176610", \
+					  "32.2437440, 32.2755720, 32.3384520, 32.4804080, 32.7740110, 33.2298030, 34.2435310", \
+					  "64.7434960, 64.7455780, 64.8298480, 64.9525450, 65.2133140, 65.7215440, 66.7174050", \
+					  "180.6293100, 180.6807900, 180.8111100, 180.8935800, 181.2281900, 181.6256400, 182.6668100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245209, 0.0601276, 0.147438, 0.361533, 0.886512, 2.17381");
+					values("0.2827303, 0.3076236, 0.3640251, 0.4771719, 0.6998485, 1.1951655, 2.4406783", \
+					  "0.2829950, 0.3078162, 0.3630986, 0.4773004, 0.6997938, 1.1936145, 2.4460109", \
+					  "0.2830867, 0.3076378, 0.3637436, 0.4768151, 0.6999213, 1.1953872, 2.4368765", \
+					  "0.2826025, 0.3075875, 0.3637798, 0.4768693, 0.6998809, 1.1939437, 2.4435463", \
+					  "0.2818196, 0.3080379, 0.3636199, 0.4770796, 0.7001643, 1.1911508, 2.4417317", \
+					  "0.2819005, 0.3080150, 0.3635848, 0.4770649, 0.6999282, 1.1928276, 2.4378057", \
+					  "0.2828423, 0.3080655, 0.3631863, 0.4772042, 0.6997562, 1.1937357, 2.4452258", \
+					  "0.2818955, 0.3075520, 0.3629239, 0.4768676, 0.6998347, 1.1953075, 2.4455258", \
+					  "0.2829795, 0.3075486, 0.3638436, 0.4769473, 0.6993782, 1.1935883, 2.4438449", \
+					  "0.2828373, 0.3082935, 0.3632682, 0.4769702, 0.6999352, 1.1917133, 2.4452682", \
+					  "0.2830728, 0.3076874, 0.3636270, 0.4772311, 0.6998251, 1.1942818, 2.4456284", \
+					  "0.2824150, 0.3082688, 0.3638691, 0.4773246, 0.7002990, 1.1929200, 2.4432871");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("13.5726940, 13.6077110, 13.6843990, 13.8412610, 14.1523330, 14.7982650, 16.2857440", \
+					  "13.6778500, 13.7127190, 13.7892420, 13.9462980, 14.2573030, 14.9034760, 16.3909440", \
+					  "13.7482580, 13.7828150, 13.8595610, 14.0166670, 14.3276450, 14.9737200, 16.4589570", \
+					  "13.8096650, 13.8428000, 13.9195220, 14.0765760, 14.3875770, 15.0337310, 16.5228060", \
+					  "13.8584500, 13.8937550, 13.9705050, 14.1275860, 14.4385770, 15.0846680, 16.5693720", \
+					  "13.9072910, 13.9426200, 14.0193720, 14.1764690, 14.4874480, 15.1335280, 16.6182090", \
+					  "13.9516160, 13.9865510, 14.0633700, 14.2204740, 14.5314040, 15.1775250, 16.6671800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("0.3597718, 0.3842107, 0.4431893, 0.5773508, 0.8927865, 1.6926043, 3.7379655", \
+					  "0.3588342, 0.3842122, 0.4442413, 0.5767895, 0.8929238, 1.6932495, 3.7392507", \
+					  "0.3589560, 0.3852470, 0.4438106, 0.5772421, 0.8927912, 1.6908078, 3.7448435", \
+					  "0.3589182, 0.3849839, 0.4442254, 0.5767813, 0.8927796, 1.6933542, 3.7403529", \
+					  "0.3598637, 0.3852122, 0.4438346, 0.5772671, 0.8928047, 1.6902851, 3.7451389", \
+					  "0.3598636, 0.3852359, 0.4437912, 0.5772309, 0.8927968, 1.6905933, 3.7451291", \
+					  "0.3597371, 0.3853802, 0.4433071, 0.5773910, 0.8923753, 1.6926596, 3.7393333");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("11.8835790, 11.9232310, 12.0135780, 12.2060210, 12.5624380, 13.1791950, 14.3212140", \
+					  "11.9490090, 11.9888020, 12.0792640, 12.2718820, 12.6283820, 13.2431090, 14.3880000", \
+					  "11.9730250, 12.0125530, 12.1029660, 12.2954770, 12.6520940, 13.2668990, 14.4117220", \
+					  "11.9840540, 12.0237580, 12.1143200, 12.3069330, 12.6629220, 13.2784040, 14.4219130", \
+					  "11.9881870, 12.0290770, 12.1184410, 12.3110600, 12.6680980, 13.2842590, 14.4279400", \
+					  "11.9892040, 12.0291010, 12.1194480, 12.3117530, 12.6686780, 13.2834330, 14.4281800", \
+					  "11.9866300, 12.0266600, 12.1168790, 12.3095010, 12.6662630, 13.2804900, 14.4258300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0245252, 0.0601487, 0.147516, 0.361787, 0.88729, 2.1761");
+					values("0.4192966, 0.4528059, 0.5284022, 0.6804002, 0.9472891, 1.4627481, 2.6707243", \
+					  "0.4182349, 0.4527247, 0.5277870, 0.6811397, 0.9494335, 1.4643513, 2.6747120", \
+					  "0.4189211, 0.4527319, 0.5286542, 0.6798894, 0.9493346, 1.4641899, 2.6744531", \
+					  "0.4182288, 0.4528837, 0.5277871, 0.6811368, 0.9475531, 1.4661941, 2.6698357", \
+					  "0.4182324, 0.4529125, 0.5277871, 0.6811385, 0.9485276, 1.4656559, 2.6667781", \
+					  "0.4181065, 0.4527244, 0.5277824, 0.6801369, 0.9494184, 1.4642461, 2.6758233", \
+					  "0.4181933, 0.4529069, 0.5277915, 0.6811158, 0.9496278, 1.4665739, 2.6761418");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.189247;
+			max_capacitance : 551.189000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.2591939, 9.2477724, 9.2742391, 9.3598850, 9.2376304, 7.5485865, -16.2060242", \
+					  "9.1907062, 9.1864327, 9.2011544, 9.2403149, 9.2058642, 7.7543274, -15.7942934", \
+					  "9.1348715, 9.1369324, 9.1440126, 9.1934488, 9.1548746, 7.6931723, -15.7949537", \
+					  "9.1025584, 9.1093142, 9.1270613, 9.1441509, 9.1358659, 7.7311883, -16.2906062", \
+					  "9.1005027, 9.1093749, 9.1100949, 9.1321363, 9.1125235, 7.5878290, -15.9604867", \
+					  "9.0867727, 9.0878942, 9.0954454, 9.1374890, 9.1055814, 7.6911538, -16.1528433", \
+					  "9.0714996, 9.0731276, 9.0803181, 9.1088248, 9.0904410, 7.5784344, -16.0163309");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5887292, 5.5921301, 5.6286695, 5.8478592, 6.7463718, 8.1446005, 9.1198300", \
+					  "5.5282005, 5.5360575, 5.5821616, 5.7945985, 6.6976049, 8.0848333, 9.0434146", \
+					  "5.4823132, 5.4894056, 5.5360584, 5.7532870, 6.6444296, 8.0395979, 8.9888889", \
+					  "5.4776624, 5.4848862, 5.5326713, 5.7438050, 6.6473461, 8.0353018, 8.9939863", \
+					  "5.4765264, 5.4824305, 5.5305604, 5.7436634, 6.6387889, 8.0324276, 8.9983269", \
+					  "5.4728544, 5.4823221, 5.5200562, 5.7394241, 6.6370316, 8.0274871, 8.9878699", \
+					  "5.4710793, 5.4807690, 5.5187490, 5.7370484, 6.6302475, 8.0258920, 8.9856867");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598234, 0.2598316, 0.2598643, 0.2598214, 0.2598644, 0.2598665, 0.2597713", \
+					  "0.3963432, 0.3963681, 0.3964450, 0.3964539, 0.3965811, 0.3967195, 0.3966051", \
+					  "0.5663652, 0.5660153, 0.5663721, 0.5665213, 0.5668103, 0.5669744, 0.5670056", \
+					  "0.7914641, 0.7906269, 0.7903462, 0.7893975, 0.7877266, 0.7874079, 0.7873622", \
+					  "1.2606236, 1.2610469, 1.2616875, 1.2644999, 1.2611608, 1.2578376, 1.2571775", \
+					  "1.8111425, 1.8140015, 1.8289767, 1.8957777, 1.9891162, 2.0225940, 2.0290144", \
+					  "2.2500941, 2.2548139, 2.2807911, 2.3854199, 2.5296355, 2.5817557, 2.5932159");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0094169, 8.0099712, 8.0038641, 8.0191435, 8.0295475, 7.7705013, -0.2399028", \
+					  "8.0042804, 8.0042058, 8.0049084, 8.0081888, 7.9962920, 7.7236490, -1.1297280", \
+					  "8.0068834, 8.0084715, 8.0080942, 8.0117068, 8.0139073, 7.6581858, -1.1461675", \
+					  "8.0054122, 8.0065121, 8.0080568, 8.0107951, 7.9951037, 7.7559278, -0.3904207", \
+					  "8.0057500, 8.0061456, 8.0075481, 8.0097347, 8.0123038, 7.7895549, -0.2653562", \
+					  "8.0053423, 8.0062868, 8.0082184, 8.0144747, 8.0021408, 7.5328903, -0.2764459", \
+					  "8.0055201, 8.0063545, 8.0081378, 8.0146673, 7.9943832, 7.7548118, -0.3327074");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.0321047, 9.0332843, 9.0350563, 9.0459616, 9.0701055, 8.3904617, 0.5852239", \
+					  "8.9660925, 8.9658765, 8.9624209, 8.9765641, 8.9692557, 8.5715027, 0.5759460", \
+					  "8.9078786, 8.9076331, 8.9098319, 8.9147776, 8.9087989, 8.6421016, 0.5688199", \
+					  "8.8907894, 8.8904875, 8.8923628, 8.8964671, 8.8837186, 8.3981314, 0.5597086", \
+					  "8.8741967, 8.8754059, 8.8755585, 8.8886444, 8.8852756, 8.6066876, 0.5527217", \
+					  "8.8588829, 8.8602178, 8.8606497, 8.8713955, 8.8493367, 8.6248245, 0.5489486", \
+					  "8.8448649, 8.8443306, 8.8475902, 8.8517231, 8.8515930, 8.6105636, -0.3466898");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3862202, 5.3836949, 5.4064878, 5.5167434, 5.6903710, 5.5722840, 5.5473240", \
+					  "5.3364164, 5.3330792, 5.3607016, 5.4519442, 5.5710993, 5.5983024, 5.5730587", \
+					  "5.2896579, 5.2906462, 5.3248810, 5.3950506, 5.5248998, 5.5556018, 5.5970773", \
+					  "5.2865852, 5.2893105, 5.3162524, 5.3956529, 5.5190811, 5.5592495, 5.6235739", \
+					  "5.2801158, 5.2865980, 5.3191085, 5.3911667, 5.5424545, 5.5611484, 5.5364975", \
+					  "5.2804527, 5.2797380, 5.3038108, 5.3867488, 5.5094579, 5.5599895, 5.5856231", \
+					  "5.2816228, 5.2838879, 5.3026819, 5.3789611, 5.4834192, 5.5395735, 5.5631051");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588941, 0.2588949, 0.2590245, 0.2592581, 0.2589235, 0.2588683, 0.2592256", \
+					  "0.3722435, 0.3723506, 0.3722446, 0.3722422, 0.3722479, 0.3723328, 0.3722451", \
+					  "0.4875754, 0.4875796, 0.4875766, 0.4875751, 0.4876183, 0.4879904, 0.4875731", \
+					  "0.6729241, 0.6729485, 0.6728757, 0.6729100, 0.6729150, 0.6731150, 0.6728976", \
+					  "1.0426903, 1.0426898, 1.0426876, 1.0428281, 1.0430209, 1.0423776, 1.0430491", \
+					  "1.4668728, 1.4670668, 1.4668919, 1.4669166, 1.4669681, 1.4662987, 1.4669523", \
+					  "1.6041007, 1.6040819, 1.6040373, 1.6034038, 1.6030482, 1.6022741, 1.6028959");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5951689, 3.5679975, 3.5932455, 3.5460662, 3.5348430, 3.6580110, 3.5168259", \
+					  "3.5943735, 3.5964495, 3.5975936, 3.5994758, 3.5886867, 3.5470993, 3.6144391", \
+					  "3.6093433, 3.6028767, 3.5945167, 3.6023754, 3.6116635, 3.6162814, 3.6417024", \
+					  "3.5958597, 3.5982939, 3.6013878, 3.6026546, 3.6236989, 3.6409772, 3.6106889", \
+					  "3.5955634, 3.5976143, 3.6029165, 3.6007233, 3.5892039, 3.5715622, 3.6100423", \
+					  "3.5951223, 3.5985965, 3.5974889, 3.6018911, 3.6144193, 3.5687757, 3.6048311", \
+					  "3.5973041, 3.5977825, 3.6015975, 3.6263230, 3.6153162, 3.5980006, 3.5960538");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.6847206, 4.6954620, 4.7264329, 4.9642205, 6.5497018, 7.8294160, 8.0841992", \
+					  "4.6281938, 4.6383741, 4.6743162, 4.9038306, 6.4901129, 7.7787006, 8.0393246", \
+					  "4.5822567, 4.5878482, 4.6304404, 4.8563161, 6.4454200, 7.7328095, 8.0057335", \
+					  "4.5768430, 4.5852330, 4.6230452, 4.8541993, 6.4402028, 7.7084339, 7.9887375", \
+					  "4.5769851, 4.5858998, 4.6210440, 4.8496871, 6.4485700, 7.7243806, 8.0078613", \
+					  "4.5762703, 4.5827049, 4.6182667, 4.8478005, 6.4386270, 7.7223718, 7.9809176", \
+					  "4.5690768, 4.5780961, 4.6171699, 4.8454738, 6.4324674, 7.7205564, 7.9935940");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2833650, 0.2855938, 0.2841160, 0.2857998, 0.2852723, 0.2844446, 0.2852835", \
+					  "0.3919320, 0.3919252, 0.3920812, 0.3919222, 0.3919319, 0.3918850, 0.3918061", \
+					  "0.7500685, 0.7500742, 0.7503920, 0.7506370, 0.7504550, 0.7504818, 0.7507320", \
+					  "1.1780965, 1.1780588, 1.1780925, 1.1779689, 1.1777681, 1.1772783, 1.1771939", \
+					  "1.3537240, 1.3537176, 1.3538548, 1.3539059, 1.3538955, 1.3532625, 1.3533221", \
+					  "1.5263711, 1.5265414, 1.5265340, 1.5259596, 1.5266472, 1.5264160, 1.5263024", \
+					  "1.6592454, 1.6592387, 1.6586651, 1.6588373, 1.6581341, 1.6576586, 1.6571928");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2589422, 0.2587155, 0.2588854, 0.2589163, 0.2589202, 0.2588585, 0.2591135", \
+					  "0.3722461, 0.3722460, 0.3723324, 0.3722474, 0.3722500, 0.3723216, 0.3723356", \
+					  "0.4875818, 0.4875932, 0.4879722, 0.4875792, 0.4875790, 0.4879959, 0.4879945", \
+					  "0.6729180, 0.6731263, 0.6731207, 0.6728943, 0.6731018, 0.6731542, 0.6730972", \
+					  "1.0420242, 1.0414127, 1.0414588, 1.0422321, 1.0417021, 1.0417422, 1.0417480", \
+					  "1.4641331, 1.4634099, 1.4634059, 1.4640174, 1.4634663, 1.4634700, 1.4634696", \
+					  "1.6021244, 1.6010800, 1.6009114, 1.6011038, 1.6000327, 1.5999176, 1.5998639");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6034222, 3.6009182, 3.6073340, 3.6256536, 3.5903797, 3.5104148, 3.4918227", \
+					  "3.5897210, 3.5958706, 3.5959339, 3.5983764, 3.6086100, 3.6506588, 3.6291664", \
+					  "3.5996189, 3.5986509, 3.6004563, 3.6138887, 3.6299362, 3.6173838, 3.6573241", \
+					  "3.5990290, 3.5987749, 3.5996778, 3.6025657, 3.6102717, 3.5822521, 3.5931140", \
+					  "3.5983910, 3.5969213, 3.6001643, 3.6002936, 3.6102665, 3.6455616, 3.5968211", \
+					  "3.5958821, 3.5981892, 3.5922895, 3.5973414, 3.6005198, 3.6016596, 3.5255494", \
+					  "3.5966709, 3.5973097, 3.5986637, 3.6046676, 3.6157212, 3.6061698, 3.6176715");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.0632941, 5.0685841, 5.0945659, 5.1935028, 5.4648682, 7.8540734, 8.8407520", \
+					  "5.0063571, 5.0129893, 5.0384629, 5.1382711, 5.4099027, 7.8197658, 8.7723580", \
+					  "4.9612023, 4.9657334, 4.9934777, 5.0933874, 5.3618579, 7.7750777, 8.6944499", \
+					  "4.9538592, 4.9611402, 4.9906340, 5.0883735, 5.3618570, 7.7715545, 8.6577466", \
+					  "4.9550225, 4.9597145, 4.9868577, 5.0859139, 5.3587556, 7.7685389, 8.6647829", \
+					  "4.9522066, 4.9574810, 4.9847886, 5.0832407, 5.3563000, 7.7784571, 8.6726967", \
+					  "4.9498994, 4.9558521, 4.9838349, 5.0821608, 5.3505260, 7.7638880, 8.6804456");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2852536, 0.2852782, 0.2852514, 0.2852495, 0.2854709, 0.2850736, 0.2852735", \
+					  "0.3917599, 0.3917596, 0.3918066, 0.3917439, 0.3918301, 0.3918010, 0.3918033", \
+					  "0.7568660, 0.7568444, 0.7569086, 0.7568809, 0.7570792, 0.7574294, 0.7574446", \
+					  "1.2175169, 1.2176216, 1.2181521, 1.2171555, 1.2165000, 1.2155673, 1.2153244", \
+					  "1.4151085, 1.4151712, 1.4156124, 1.4154539, 1.4160750, 1.4142489, 1.4136717", \
+					  "1.5944155, 1.5943400, 1.5953437, 1.5945180, 1.5961387, 1.5956775, 1.5951371", \
+					  "1.7261379, 1.7266368, 1.7272613, 1.7262725, 1.7267693, 1.7258473, 1.7256612");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.8699629, 4.8813735, 4.9159309, 5.1380068, 6.0248004, 7.4417859, 8.3885980", \
+					  "4.8680352, 4.8760531, 4.9138684, 5.1330958, 6.0277842, 7.4215278, 8.3843809", \
+					  "4.8701937, 4.8781444, 4.9166778, 5.1356819, 6.0356416, 7.4253945, 8.3771471", \
+					  "4.8696246, 4.8777782, 4.9173026, 5.1322600, 6.0426472, 7.4224645, 8.3863966", \
+					  "4.8679107, 4.8775106, 4.9163216, 5.1346231, 6.0352273, 7.4232230, 8.3876685", \
+					  "4.8685320, 4.8758061, 4.9158236, 5.1342587, 6.0348590, 7.4208714, 8.3858617", \
+					  "4.8694870, 4.8752532, 4.9176897, 5.1351980, 6.0430409, 7.4237467, 8.3826594");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2589194, 0.2595998, 0.2589241, 0.2588881, 0.2589224, 0.2589240, 0.2587557", \
+					  "0.3723425, 0.3723425, 0.3722522, 0.3723364, 0.3723333, 0.3722470, 0.3722453", \
+					  "0.4880012, 0.4880012, 0.4875814, 0.4879903, 0.4879838, 0.4875690, 0.4879618", \
+					  "0.6730687, 0.6730692, 0.6728470, 0.6730547, 0.6730450, 0.6730761, 0.6730371", \
+					  "1.0493425, 1.0493430, 1.0499660, 1.0493868, 1.0495300, 1.0496759, 1.0497154", \
+					  "1.5207820, 1.5210940, 1.5216817, 1.5197496, 1.5196710, 1.5188511, 1.5186771", \
+					  "1.7359579, 1.7359457, 1.7365268, 1.7352989, 1.7332105, 1.7304770, 1.7295968");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7987852, 8.8568959, 8.8129024, 8.7751808, 8.6414207, 7.0933422, -16.9122812", \
+					  "8.7353482, 8.7325189, 8.7346058, 8.6933775, 8.4893280, 6.7836139, -16.8263204", \
+					  "8.6814981, 8.6778760, 8.6725113, 8.6345105, 8.4306380, 6.7461009, -16.6887947", \
+					  "8.6622795, 8.6662563, 8.6512310, 8.6208716, 8.4157067, 6.7443289, -16.7604482", \
+					  "8.6444829, 8.6346991, 8.6386066, 8.5992882, 8.3876788, 6.7368869, -16.8864577", \
+					  "8.6292506, 8.6263328, 8.6222259, 8.6047346, 8.3826493, 6.7370390, -16.9467913", \
+					  "8.6138778, 8.6091984, 8.6070794, 8.5713320, 8.3722412, 6.6990939, -17.2568109");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.2990989, 4.3039583, 4.3473780, 4.2813839, 4.4162556, 4.1732629, 4.1989837", \
+					  "4.2572978, 4.2647034, 4.2436950, 4.2608904, 4.2753086, 4.2779198, 4.2742712", \
+					  "4.2064566, 4.1967895, 4.2159625, 4.2167340, 4.1360802, 4.2786354, 4.1594716", \
+					  "4.2083912, 4.2221615, 4.2020608, 4.1885356, 4.1550684, 4.2928710, 4.1888383", \
+					  "4.1948780, 4.2004538, 4.2130826, 4.2001468, 4.2192413, 4.2561215, 4.2899222", \
+					  "4.2124620, 4.2065270, 4.1983600, 4.2060994, 4.2079599, 4.2252057, 4.2473676", \
+					  "4.2035832, 4.2021581, 4.1947044, 4.1987064, 4.2216061, 4.1946350, 4.2080809");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598714, 0.2598626, 0.2600669, 0.2598204, 0.2598207, 0.2597908, 0.2598094", \
+					  "0.3965030, 0.3965054, 0.3965156, 0.3965497, 0.3965010, 0.3963733, 0.3965958", \
+					  "0.5663378, 0.5663437, 0.5663729, 0.5665187, 0.5664917, 0.5666416, 0.5670039", \
+					  "0.7909524, 0.7908828, 0.7904983, 0.7890993, 0.7874654, 0.7864985, 0.7873565", \
+					  "1.2596037, 1.2603919, 1.2618727, 1.2647107, 1.2621786, 1.2589398, 1.2573528", \
+					  "1.8108623, 1.8137073, 1.8299896, 1.8967142, 1.9914947, 2.0252728, 2.0299874", \
+					  "2.2542052, 2.2589301, 2.2855605, 2.3900299, 2.5345363, 2.5877606, 2.5973222");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7828447, 9.7874677, 9.8249750, 10.0238199, 10.2076099, 8.3857764, -0.5638029", \
+					  "9.7777802, 9.7826417, 9.8201864, 10.0204083, 10.2001933, 8.3736109, -0.4664915", \
+					  "9.7822193, 9.7885433, 9.8261460, 10.0184437, 10.2126567, 8.3796156, -0.4247905", \
+					  "9.7798401, 9.7876932, 9.8235811, 10.0067818, 10.2193138, 8.3704701, -0.3780170", \
+					  "9.7762921, 9.7844999, 9.8230793, 10.0202712, 10.1915322, 8.3756746, -0.4643537", \
+					  "9.7782722, 9.7881601, 9.8232752, 10.0224043, 10.2093423, 8.3549316, -0.4280411", \
+					  "9.7792297, 9.7829282, 9.8238711, 10.0184535, 10.1970275, 8.3837852, -0.4254365");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7984045, 8.8583218, 8.7983082, 8.7905930, 8.4596459, 7.1182441, -17.3077792", \
+					  "8.7359450, 8.7325553, 8.7263320, 8.6906409, 8.4823518, 6.8360966, -16.8228714", \
+					  "8.6814426, 8.6615219, 8.6724957, 8.6352148, 8.4385258, 6.7418092, -17.0861187", \
+					  "8.6664168, 8.6680648, 8.6512232, 8.6209774, 8.4158221, 6.7586442, -16.6607542", \
+					  "8.6436130, 8.6418704, 8.6385979, 8.6019848, 8.3874576, 6.7705557, -17.0884807", \
+					  "8.6292203, 8.6263623, 8.6222137, 8.5974141, 8.3825200, 6.6996956, -17.1975823", \
+					  "8.6132455, 8.6125525, 8.6067654, 8.5715263, 8.3730886, 6.7072627, -17.0087989");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.2990525, 4.3076745, 4.3449741, 4.3238786, 4.4188239, 4.5164450, 4.1945229", \
+					  "4.2571230, 4.2574539, 4.2519744, 4.2623962, 4.2752882, 4.2596909, 4.2722373", \
+					  "4.2064770, 4.2050099, 4.2159777, 4.2088028, 4.2269181, 4.2686300, 4.1536300", \
+					  "4.2032369, 4.2090157, 4.2303312, 4.1957395, 4.1553065, 4.3073845, 4.2503343", \
+					  "4.2013659, 4.1935327, 4.2085307, 4.2008558, 4.2184616, 4.1752917, 4.2882404", \
+					  "4.2104991, 4.2125301, 4.1984042, 4.2059646, 4.1859090, 4.2245296, 4.2551774", \
+					  "4.2024036, 4.2019387, 4.1979041, 4.2041357, 4.2217375, 4.2307906, 4.1953923");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.9393924, 8.9414229, 8.9498979, 8.9427898, 8.9640385, 8.7111748, 0.7244327", \
+					  "8.8764793, 8.8766753, 8.8783293, 8.8878564, 8.8864131, 8.5679743, 0.5913172", \
+					  "8.8213176, 8.8184970, 8.8219708, 8.8222483, 8.8245833, 8.5763278, 0.5240695", \
+					  "8.8018735, 8.8043863, 8.8039491, 8.8096113, 8.8060958, 8.4612424, 0.5100035", \
+					  "8.7844847, 8.7879628, 8.7893983, 8.7884641, 8.7953059, 8.5280101, 0.5050309", \
+					  "8.7698378, 8.7714312, 8.7735744, 8.7832334, 8.7794543, 8.5018942, 0.4870143", \
+					  "8.7568008, 8.7564981, 8.7582129, 8.7660790, 8.7595720, 8.5065401, 0.4586398");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3300800, 0.3302466, 0.3299586, 0.3294978, 0.3280915, 0.3272892, 0.3271158", \
+					  "0.4215005, 0.4215078, 0.4215393, 0.4216771, 0.4208470, 0.4199318, 0.4196556", \
+					  "0.5614515, 0.5615581, 0.5613864, 0.5601044, 0.5591863, 0.5578586, 0.5573394", \
+					  "1.0206078, 1.0207820, 1.0214866, 1.0214531, 1.0160645, 1.0117067, 1.0103391", \
+					  "1.5541652, 1.5564178, 1.5699460, 1.6245369, 1.6969809, 1.7212196, 1.7254935", \
+					  "2.0025548, 2.0069727, 2.0317324, 2.1311574, 2.2710557, 2.3224680, 2.3322052", \
+					  "2.3278434, 2.3325454, 2.3617167, 2.4727052, 2.6241253, 2.6789876, 2.6891245");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2589310, 0.2589163, 0.2588806, 0.2589233, 0.2593043, 0.2588852, 0.2589204", \
+					  "0.3722454, 0.3722368, 0.3723290, 0.3722405, 0.3723180, 0.3723278, 0.3723266", \
+					  "0.4875899, 0.4875874, 0.4879998, 0.4875793, 0.4879910, 0.4880453, 0.4879895", \
+					  "0.6727672, 0.6727648, 0.6729771, 0.6727540, 0.6729646, 0.6729619, 0.6729596", \
+					  "1.0428806, 1.0428796, 1.0422461, 1.0429163, 1.0424111, 1.0425290, 1.0425629", \
+					  "1.4413754, 1.4413656, 1.4406479, 1.4410698, 1.4395860, 1.4379050, 1.4377284", \
+					  "1.6067211, 1.6067240, 1.6060860, 1.6070295, 1.6063486, 1.6054942, 1.6044811");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5605386, 4.5649699, 4.5927350, 4.6929301, 4.9628400, 7.3758829, 8.3094547", \
+					  "4.5589227, 4.5619971, 4.5873830, 4.6872493, 4.9652860, 7.3745975, 8.2960262", \
+					  "4.5604237, 4.5678947, 4.5925794, 4.6923212, 4.9631679, 7.3761327, 8.2925308", \
+					  "4.5609614, 4.5663329, 4.5887456, 4.6917357, 4.9565013, 7.3733215, 8.3007030", \
+					  "4.5589664, 4.5621691, 4.5866786, 4.6926234, 4.9674369, 7.3732967, 8.2962737", \
+					  "4.5588444, 4.5623494, 4.5883048, 4.6899943, 4.9604628, 7.3755344, 8.2964375", \
+					  "4.5580720, 4.5631188, 4.5911311, 4.6910421, 4.9707189, 7.3656977, 8.3247300");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.2764218, 11.2821428, 11.3388758, 11.5817648, 11.9746778, 10.3534788, 1.7538943", \
+					  "11.2087396, 11.2157486, 11.2648406, 11.5231816, 11.9102266, 10.2696006, 1.4834319", \
+					  "11.1520503, 11.1592023, 11.2071813, 11.4542143, 11.8505473, 10.1913763, 1.4684060", \
+					  "11.1310118, 11.1419838, 11.1921088, 11.4493378, 11.8313168, 10.1706438, 1.4360223", \
+					  "11.1211923, 11.1239713, 11.1744243, 11.4197763, 11.8073053, 10.1581683, 1.4447796", \
+					  "11.1046227, 11.1082487, 11.1618087, 11.4126847, 11.7993877, 10.1618667, 1.3841295", \
+					  "11.0896201, 11.0950061, 11.1461151, 11.3898331, 11.7865531, 10.1324631, 1.3555801");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6805889, 6.6908342, 6.7505685, 7.0456220, 8.0544782, 9.4834462, 10.4435943", \
+					  "6.6220330, 6.6329314, 6.6935660, 6.9886815, 7.9920022, 9.4232367, 10.3996068", \
+					  "6.5717586, 6.5810086, 6.6498125, 6.9418978, 7.9534472, 9.3801462, 10.3454383", \
+					  "6.5689067, 6.5787113, 6.6453525, 6.9386253, 7.9496724, 9.3738127, 10.3412936", \
+					  "6.5661786, 6.5754399, 6.6448427, 6.9343858, 7.9456203, 9.3706783, 10.3336263", \
+					  "6.5672394, 6.5771117, 6.6379324, 6.9347397, 7.9360646, 9.3684223, 10.3358716", \
+					  "6.5644985, 6.5770952, 6.6371751, 6.9309293, 7.9430025, 9.3665253, 10.3378749");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1850481, 4.1872196, 4.2249819, 4.4687439, 6.0540501, 7.3345045, 7.5875504", \
+					  "4.1790187, 4.1835637, 4.2246454, 4.4571699, 6.0440151, 7.3289352, 7.5913651", \
+					  "4.1846774, 4.1885567, 4.2250526, 4.4561464, 6.0486109, 7.3345341, 7.5947226", \
+					  "4.1786678, 4.1832357, 4.2249376, 4.4592207, 6.0443342, 7.3317055, 7.5920467", \
+					  "4.1807043, 4.1853876, 4.2241366, 4.4632725, 6.0439192, 7.3306234, 7.5953986", \
+					  "4.1815971, 4.1877359, 4.2233385, 4.4592301, 6.0619450, 7.3302989, 7.5958660", \
+					  "4.1801066, 4.1847621, 4.2277169, 4.4616865, 6.0568280, 7.3247105, 7.5915110");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588699, 0.2595614, 0.2593709, 0.2589217, 0.2588938, 0.2589276, 0.2583046", \
+					  "0.3723363, 0.3723351, 0.3722470, 0.3723285, 0.3723615, 0.3722441, 0.3723295", \
+					  "0.4877005, 0.4877555, 0.4880083, 0.4880006, 0.4879986, 0.4875839, 0.4879981", \
+					  "0.6731055, 0.6731047, 0.6731008, 0.6734092, 0.6732766, 0.6730909, 0.6730887", \
+					  "1.0354473, 1.0354484, 1.0354447, 1.0354524, 1.0355663, 1.0355468, 1.0355582", \
+					  "1.3993338, 1.3995281, 1.3995082, 1.3994394, 1.3990079, 1.3987083, 1.3987363", \
+					  "1.5430351, 1.5430310, 1.5435068, 1.5430908, 1.5433417, 1.5427917, 1.5426015");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588931, 0.2588682, 0.2589738, 0.2588645, 0.2589204, 0.2588961, 0.2588900", \
+					  "0.3723450, 0.3723223, 0.3722553, 0.3723374, 0.3722465, 0.3722500, 0.3722452", \
+					  "0.4882466, 0.4876761, 0.4875827, 0.4879891, 0.4875678, 0.4875703, 0.4875658", \
+					  "0.6731138, 0.6734268, 0.6728486, 0.6730548, 0.6728288, 0.6728259, 0.6728199", \
+					  "1.0493486, 1.0493498, 1.0495425, 1.0493930, 1.0501712, 1.0504427, 1.0507613", \
+					  "1.5203696, 1.5203453, 1.5210334, 1.5199970, 1.5196853, 1.5188656, 1.5186875", \
+					  "1.7287130, 1.7296294, 1.7304269, 1.7288539, 1.7278190, 1.7249486, 1.7239460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6459121, 3.6443318, 3.6575530, 3.7154490, 4.1388056, 5.6083957, 6.6584789", \
+					  "3.6388406, 3.6420975, 3.6514125, 3.7165778, 4.1180128, 5.6315382, 6.4266708", \
+					  "3.6420455, 3.6442325, 3.6555945, 3.7202386, 4.1165747, 5.6089547, 6.4707064", \
+					  "3.6412444, 3.6428815, 3.6547436, 3.7196569, 4.1333165, 5.5861500, 6.4703754", \
+					  "3.6436152, 3.6422630, 3.6546394, 3.7178436, 4.1188033, 5.6346524, 6.4726019", \
+					  "3.6404922, 3.6448104, 3.6540820, 3.7194044, 4.1184306, 5.5729364, 6.4590813", \
+					  "3.6395607, 3.6424342, 3.6544893, 3.7187712, 4.1202599, 5.6161540, 6.4487302");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588664, 0.2588683, 0.2586257, 0.2589279, 0.2593168, 0.2589294, 0.2588928", \
+					  "0.3723355, 0.3722495, 0.3724280, 0.3722501, 0.3722495, 0.3722497, 0.3722495", \
+					  "0.4879967, 0.4879987, 0.4879688, 0.4879958, 0.4875937, 0.4875768, 0.4875768", \
+					  "0.6731362, 0.6731372, 0.6731306, 0.6731204, 0.6728800, 0.6728899, 0.6728893", \
+					  "1.0414163, 1.0414837, 1.0413588, 1.0416097, 1.0423970, 1.0424361, 1.0424417", \
+					  "1.4634303, 1.4634281, 1.4634473, 1.4632784, 1.4641372, 1.4640677, 1.4642060", \
+					  "1.6011426, 1.6011149, 1.6009477, 1.6004787, 1.6006811, 1.6006016, 1.6005726");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6037735, 3.6010118, 3.6073618, 3.5901885, 3.6254034, 3.7423307, 3.5718559", \
+					  "3.5971343, 3.5957982, 3.5980524, 3.6003388, 3.6039661, 3.6551756, 3.6208931", \
+					  "3.5997117, 3.5997043, 3.5970680, 3.6090228, 3.6389516, 3.6173825, 3.6409439", \
+					  "3.6011714, 3.5986704, 3.5986877, 3.6030475, 3.6099114, 3.6416837, 3.5919275", \
+					  "3.5993026, 3.5970995, 3.5996027, 3.6002888, 3.6102118, 3.5709160, 3.5944493", \
+					  "3.5986190, 3.5981819, 3.5990783, 3.6049360, 3.6115948, 3.6470954, 3.6052526", \
+					  "3.5958838, 3.5974446, 3.5975882, 3.6051568, 3.6140399, 3.6043028, 3.6000372");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.7172628, 10.7226958, 10.7597888, 10.9581018, 11.1340628, 9.3105738, 0.4741757", \
+					  "10.6518946, 10.6592186, 10.6921586, 10.8847446, 11.0790216, 9.2568764, 0.4940143", \
+					  "10.5938803, 10.5976033, 10.6379013, 10.8293183, 11.0333033, 9.1881501, 0.3552133", \
+					  "10.5760798, 10.5824248, 10.6201748, 10.8139758, 11.0019798, 9.1775045, 0.3249786", \
+					  "10.5603103, 10.5656083, 10.6045823, 10.7947163, 11.0038773, 9.1769173, 0.3387316", \
+					  "10.5438807, 10.5519847, 10.5886217, 10.7786767, 10.9733817, 9.1515360, 0.3327428", \
+					  "10.5304801, 10.5380361, 10.5732101, 10.7719051, 10.9687801, 9.1368163, 0.2753862");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3296741, 0.3298777, 0.3300319, 0.3295818, 0.3280844, 0.3273312, 0.3272733", \
+					  "0.4214744, 0.4215088, 0.4215442, 0.4217241, 0.4209017, 0.4201197, 0.4198365", \
+					  "0.5616137, 0.5615694, 0.5614770, 0.5605345, 0.5592834, 0.5574078, 0.5574693", \
+					  "1.0208674, 1.0211331, 1.0216453, 1.0218713, 1.0164863, 1.0118349, 1.0106396", \
+					  "1.5548971, 1.5573934, 1.5709535, 1.6260179, 1.6976755, 1.7218967, 1.7261694", \
+					  "2.0057497, 2.0102056, 2.0350895, 2.1344675, 2.2744816, 2.3257487, 2.3353125", \
+					  "2.3337280, 2.3388244, 2.3675057, 2.4784462, 2.6290846, 2.6838993, 2.6943740");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7793249, 9.7869872, 9.8198394, 10.0278869, 10.1949229, 8.3820130, -0.5905982", \
+					  "9.7770082, 9.7799347, 9.8219063, 10.0075863, 10.2164043, 8.3840097, -0.4572533", \
+					  "9.7829531, 9.7885884, 9.8242396, 10.0174717, 10.2178237, 8.3668567, -0.4893881", \
+					  "9.7802911, 9.7878077, 9.8237320, 10.0200148, 10.2183228, 8.3700650, -0.3849163", \
+					  "9.7801059, 9.7876909, 9.8227887, 10.0205462, 10.2020802, 8.3748072, -0.3878945", \
+					  "9.7778767, 9.7883448, 9.8230150, 10.0213723, 10.1961073, 8.3400745, -0.4293102", \
+					  "9.7776746, 9.7829646, 9.8232518, 10.0211725, 10.1970505, 8.3395506, -0.4290863");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598245, 0.2598575, 0.2597973, 0.2598550, 0.2598141, 0.2600416, 0.2600541", \
+					  "0.3964104, 0.3965754, 0.3965389, 0.3965444, 0.3965769, 0.3965896, 0.3965904", \
+					  "0.5659934, 0.5663272, 0.5665146, 0.5668130, 0.5668072, 0.5669589, 0.5669931", \
+					  "0.7906949, 0.7901370, 0.7896784, 0.7885134, 0.7877186, 0.7874008, 0.7873549", \
+					  "1.2608074, 1.2603811, 1.2618724, 1.2647073, 1.2613389, 1.2580911, 1.2573498", \
+					  "1.8121341, 1.8132181, 1.8299274, 1.8966752, 1.9898329, 2.0235581, 2.0299809", \
+					  "2.2552267, 2.2588475, 2.2854855, 2.3899905, 2.5338298, 2.5870886, 2.5973185");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2598641, 0.2598226, 0.2598320, 0.2598022, 0.2598589, 0.2598688, 0.2598230", \
+					  "0.3964169, 0.3966029, 0.3965982, 0.3964656, 0.3965835, 0.3965233, 0.3965091", \
+					  "0.5663263, 0.5663312, 0.5663641, 0.5661846, 0.5668141, 0.5666405, 0.5666720", \
+					  "0.7909596, 0.7914335, 0.7905025, 0.7891757, 0.7878370, 0.7876502, 0.7875997", \
+					  "1.2598841, 1.2597108, 1.2613710, 1.2653269, 1.2611604, 1.2588347, 1.2583067", \
+					  "1.8102667, 1.8131090, 1.8288831, 1.8971637, 1.9888395, 2.0242853, 2.0304417", \
+					  "2.2492267, 2.2540389, 2.2792858, 2.3859557, 2.5296264, 2.5836522, 2.5939091");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0104126, 8.0115550, 8.0114188, 8.0215461, 7.9801755, 7.6198674, -1.3675458", \
+					  "8.0035640, 8.0049969, 8.0048191, 8.0101299, 8.0074801, 7.7149762, -1.1349252", \
+					  "8.0070942, 8.0056890, 8.0078427, 8.0116634, 7.9957699, 7.6554267, -0.3007043", \
+					  "8.0056152, 8.0064982, 8.0092946, 8.0095426, 7.9963128, 7.7537759, -0.2861289", \
+					  "8.0058489, 8.0060987, 8.0068585, 8.0088656, 7.9978145, 7.7546245, -0.2671199", \
+					  "8.0065123, 8.0056519, 8.0075566, 8.0163682, 8.0013914, 7.7542818, -0.3308644", \
+					  "8.0055791, 8.0052165, 8.0074690, 8.0140315, 8.0046822, 7.7499233, -0.3360889");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2588847, 0.2589197, 0.2589182, 0.2589346, 0.2588588, 0.2589145, 0.2588805", \
+					  "0.3723303, 0.3723366, 0.3723330, 0.3723307, 0.3722451, 0.3722411, 0.3722437", \
+					  "0.4880307, 0.4875638, 0.4879955, 0.4879921, 0.4879820, 0.4875742, 0.4875738", \
+					  "0.6731435, 0.6729448, 0.6731410, 0.6731280, 0.6731331, 0.6728630, 0.6729002", \
+					  "1.0419813, 1.0426533, 1.0420422, 1.0421957, 1.0422971, 1.0430491, 1.0430076", \
+					  "1.4661173, 1.4668412, 1.4661464, 1.4661581, 1.4661657, 1.4669149, 1.4669169", \
+					  "1.6037707, 1.6040411, 1.6032216, 1.6027322, 1.6023267, 1.6029959, 1.6028649");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5987459, 3.5975610, 3.6163793, 3.5815292, 3.6533025, 3.6614665, 3.6518124", \
+					  "3.5944026, 3.5963650, 3.6063128, 3.5991778, 3.5886134, 3.6461450, 3.6051457", \
+					  "3.6001029, 3.6027865, 3.5957652, 3.6023741, 3.6116895, 3.6196749, 3.5987789", \
+					  "3.5963432, 3.5992788, 3.6052445, 3.6024893, 3.6002424, 3.5685868, 3.6081085", \
+					  "3.5837053, 3.5979560, 3.6026667, 3.6008435, 3.5885894, 3.6625936, 3.6062185", \
+					  "3.5967577, 3.5980726, 3.6030170, 3.6021262, 3.6188017, 3.5891201, 3.6148968", \
+					  "3.5959627, 3.5946760, 3.5982088, 3.6046710, 3.6136617, 3.6118341, 3.6013968");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.8024448, 10.8195768, 10.8424128, 11.0478048, 11.2490368, 9.3685959, 0.5028800", \
+					  "10.7371906, 10.7455206, 10.7815686, 10.9705196, 11.1619196, 9.3226154, 0.4351707", \
+					  "10.6801813, 10.6871243, 10.7254843, 10.9194933, 11.0991733, 9.2839589, 0.3785923", \
+					  "10.6618628, 10.6695628, 10.7079468, 10.9043268, 11.0785328, 9.2676919, 0.3758093", \
+					  "10.6464603, 10.6574903, 10.6920483, 10.8847233, 11.0851953, 9.2557552, 0.4766709", \
+					  "10.6317027, 10.6405177, 10.6765267, 10.8679927, 11.0555657, 9.2387197, 0.3321468", \
+					  "10.6169501, 10.6264181, 10.6619831, 10.8509811, 11.0565611, 9.2231456, 0.3358152");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3873204, 5.3987596, 5.4139207, 5.5023456, 5.6317165, 5.7463909, 5.5939082", \
+					  "5.3423084, 5.3442088, 5.3677503, 5.4437706, 5.5769640, 5.6466830, 5.5920040", \
+					  "5.2971324, 5.2977712, 5.3188745, 5.3971721, 5.4697135, 5.5947268, 5.5768509", \
+					  "5.2939179, 5.2981215, 5.3183948, 5.4053079, 5.4844599, 5.5329914, 5.5669742", \
+					  "5.2901408, 5.2928534, 5.3114002, 5.4036524, 5.5093959, 5.5768853, 5.5543261", \
+					  "5.2859453, 5.2918772, 5.3104877, 5.3951648, 5.5469622, 5.5433852, 5.5285988", \
+					  "5.2772539, 5.2815438, 5.3064059, 5.3961852, 5.5253588, 5.5607150, 5.6042189");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4400608, 5.4394610, 5.4671143, 5.6132235, 6.1463990, 7.7350679, 8.5070363", \
+					  "5.3809858, 5.3866952, 5.4187784, 5.5626360, 6.0776516, 7.5974281, 8.4527456", \
+					  "5.3387111, 5.3436772, 5.3698528, 5.5083826, 6.0300738, 7.5572303, 8.4190799", \
+					  "5.3311641, 5.3366077, 5.3671297, 5.5085713, 6.0323424, 7.5340370, 8.4335371", \
+					  "5.3294717, 5.3356009, 5.3632312, 5.5072574, 6.0263427, 7.5584561, 8.4117084", \
+					  "5.3267521, 5.3320677, 5.3619470, 5.5080662, 6.0235120, 7.5438054, 8.4015282", \
+					  "5.3236113, 5.3307935, 5.3610284, 5.5062959, 6.0163961, 7.5592340, 8.4446551");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.4857938, 9.4796928, 9.4971597, 9.5552851, 9.7075019, 9.7545866, 1.8309796", \
+					  "9.4204304, 9.4202379, 9.4325778, 9.5090227, 9.6738380, 9.6115310, 1.6003041", \
+					  "9.3594774, 9.3642115, 9.3779410, 9.4421006, 9.6326799, 9.5655374, 0.7607756", \
+					  "9.3423379, 9.3460814, 9.3573658, 9.4253063, 9.6121091, 9.5522325, 1.5994459", \
+					  "9.3296033, 9.3314464, 9.3468759, 9.4178825, 9.6076223, 9.5343215, 1.4346328", \
+					  "9.3134871, 9.3155012, 9.3276607, 9.3941873, 9.5938797, 9.5256785, 1.5311800", \
+					  "9.2967378, 9.3009619, 9.3114040, 9.3785526, 9.5712371, 9.5319641, 1.4841056");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.3479670, 4.3528099, 4.3714356, 4.4420731, 4.8483831, 6.3420437, 7.2539579", \
+					  "4.2996658, 4.3041011, 4.3139890, 4.3792844, 4.7894125, 6.2614971, 7.1310190", \
+					  "4.2563100, 4.2584138, 4.2683477, 4.3355005, 4.7217194, 6.2713487, 7.1327955", \
+					  "4.2493724, 4.2532746, 4.2622336, 4.3341856, 4.7207574, 6.2430749, 7.1136250", \
+					  "4.2459652, 4.2509677, 4.2578732, 4.3322408, 4.7247254, 6.2208715, 6.9985272", \
+					  "4.2471725, 4.2487420, 4.2586329, 4.3246687, 4.7272529, 6.2116610, 7.0492019", \
+					  "4.2452994, 4.2460111, 4.2575240, 4.3268127, 4.7130971, 6.2085506, 7.0610821");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.2620215, 9.2371212, 9.2510129, 9.2293930, 9.2205407, 7.5443980, -15.2912462", \
+					  "9.1782552, 9.1799856, 9.1911616, 9.2072520, 9.1855593, 7.6489855, -15.8848894", \
+					  "9.1254919, 9.1217813, 9.1345046, 9.1737657, 9.1302693, 7.6391895, -15.5603277", \
+					  "9.1116613, 9.1113751, 9.1161031, 9.1393302, 9.1215189, 7.6037191, -15.5768172", \
+					  "9.0926999, 9.0995651, 9.1104028, 9.1336290, 9.1153632, 7.5786706, -15.6829607", \
+					  "9.0752749, 9.0838436, 9.0890443, 9.1126313, 9.0860666, 7.6240330, -15.8010503", \
+					  "9.0661644, 9.0778114, 9.0691438, 9.0938732, 9.0756005, 7.5559472, -15.7900969");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.2774448, 4.2767034, 4.2976877, 4.3808623, 4.8344579, 5.7963468, 6.2687854", \
+					  "4.4091407, 4.4130694, 4.4302055, 4.5204242, 4.9661863, 5.9299186, 6.4011246", \
+					  "4.5837451, 4.5865968, 4.6011657, 4.6945107, 5.1484029, 6.0918389, 6.5803205", \
+					  "4.8049261, 4.8081922, 4.8251369, 4.9148195, 5.3661901, 6.3089552, 6.7857764", \
+					  "5.2424551, 5.2466499, 5.2652061, 5.3573653, 5.7994273, 6.7516243, 7.2239541", \
+					  "5.6817510, 5.6871436, 5.7127571, 5.8448116, 6.3524421, 7.3106500, 7.7900932", \
+					  "5.9816867, 5.9872068, 6.0218634, 6.1802364, 6.7177886, 7.6902071, 8.1741248");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7033454, 8.7074089, 8.7126259, 8.7604180, 8.7233398, 7.4236179, -7.2039436", \
+					  "8.8146135, 8.8164220, 8.8256982, 8.8674045, 8.8492053, 7.5588666, -7.1174702", \
+					  "8.9347808, 8.9360680, 8.9432089, 8.9901292, 8.9618327, 7.6321846, -6.8909968", \
+					  "9.1177753, 9.1196587, 9.1292263, 9.1729418, 9.1428416, 7.8480682, -6.6354976", \
+					  "9.4873640, 9.4907359, 9.4994546, 9.5448497, 9.5107060, 8.2325840, -6.2866340", \
+					  "9.9231789, 9.9256030, 9.9342037, 9.9793547, 9.9450752, 8.6292511, -5.8352132", \
+					  "10.0935875, 10.0943585, 10.1038216, 10.1484978, 10.1137322, 8.8391565, -5.6019111");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.1567407, 16.1749664, 16.1881702, 16.3096348, 16.3715674, 14.2938268, -9.8791979", \
+					  "16.0807956, 16.0830758, 16.1081729, 16.2278567, 16.3045900, 14.2344683, -9.8940968", \
+					  "16.1075040, 16.1065503, 16.1351892, 16.2544350, 16.3351546, 14.2959392, -9.9276903", \
+					  "16.2237474, 16.2309362, 16.2526286, 16.3699133, 16.4494891, 14.3763621, -9.6816899", \
+					  "16.2610631, 16.2660472, 16.2916762, 16.4051008, 16.4929292, 14.4502189, -9.6831374", \
+					  "16.2939532, 16.2988693, 16.3232748, 16.4468195, 16.5217682, 14.5130891, -9.7267776", \
+					  "16.3142707, 16.3199694, 16.3412914, 16.4566234, 16.5484285, 14.5109562, -9.8543911");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.6394678, 8.6489155, 8.7019230, 8.8983220, 9.7705908, 11.3419418, 12.0730829", \
+					  "8.5835927, 8.5922684, 8.6314131, 8.8382841, 9.6661826, 11.2646849, 12.0649220", \
+					  "8.5525568, 8.5565719, 8.6077581, 8.8045283, 9.6055049, 11.2615118, 12.0313708", \
+					  "8.6985690, 8.7100629, 8.7552062, 8.9493867, 9.7497741, 11.3970421, 12.1966042", \
+					  "8.8709520, 8.8795504, 8.9329051, 9.1499208, 10.0045021, 11.6146527, 12.4225803", \
+					  "9.0233598, 9.0313800, 9.0765847, 9.3162571, 10.1857691, 11.8089219, 12.6187284", \
+					  "9.1249833, 9.1349192, 9.1844000, 9.4263101, 10.2985114, 11.9222079, 12.7429390");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3943667, 5.3968257, 5.3968261, 5.3974154, 5.3974159, 5.3974164, 5.4067857", \
+					  "5.4628586, 5.4641154, 5.4641159, 5.4641164, 5.4641169, 5.4641173, 5.4879665", \
+					  "5.4791629, 5.4812830, 5.4812835, 5.4812840, 5.4812844, 5.4812849, 5.5639422", \
+					  "5.4926232, 5.4942175, 5.4942177, 5.4942182, 5.4942186, 5.4942191, 5.5892269", \
+					  "5.4916383, 5.4916385, 5.4940843, 5.4940846, 5.4940851, 5.4940856, 5.5348623", \
+					  "5.5036882, 5.5036886, 5.5036891, 5.5036896, 5.5036901, 5.5036905, 5.5739795", \
+					  "5.4913403, 5.4934497, 5.4934500, 5.4934505, 5.4934509, 5.4934514, 5.5714811");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.9928660, 15.2107180, 16.5585020, 24.7646350, 74.8641750, 381.3079600, 2269.4937000", \
+					  "15.0497360, 15.2692420, 16.6158610, 24.8230410, 74.9208910, 381.3924500, 2269.4555000", \
+					  "15.0712920, 15.2903820, 16.6361320, 24.8436130, 74.9427900, 381.3727600, 2269.5463000", \
+					  "15.0786810, 15.2983690, 16.6458310, 24.8538670, 74.9520690, 381.3999000, 2270.0729000", \
+					  "15.0831450, 15.3017240, 16.6481020, 24.8549890, 74.9548830, 381.4327800, 2269.7398000", \
+					  "15.0809370, 15.3006460, 16.6484270, 24.8550870, 74.9540020, 381.4253100, 2269.3105000", \
+					  "15.0780210, 15.2977700, 16.6437140, 24.8510390, 74.9511880, 381.3867100, 2269.6638000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0656220, 16.5016660, 19.1029380, 35.1642590, 134.4026800, 746.6147200, 4518.9072000", \
+					  "16.0757790, 16.5014220, 19.1050380, 35.1652890, 134.4936700, 745.2353500, 4518.8849000", \
+					  "16.0533670, 16.5016390, 19.1079330, 35.1635660, 134.5465100, 746.6671300, 4519.6693000", \
+					  "16.0699760, 16.5013480, 19.1023710, 35.0270040, 134.5339500, 746.6279000, 4517.5257000", \
+					  "16.0338090, 16.4774970, 19.1076630, 35.0536060, 134.5215100, 745.4834800, 4518.9436000", \
+					  "16.0729850, 16.5016360, 19.1028700, 35.1616300, 134.5117400, 745.1580500, 4518.6889000", \
+					  "16.0718780, 16.5015500, 19.1071510, 35.0674760, 134.5496200, 746.5984600, 4518.5134000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.9604946, 9.0085397, 9.3031147, 10.6129900, 14.0326830, 28.3286120, 58.1291320", \
+					  "9.0584855, 9.1072954, 9.4016492, 10.7105500, 14.1300630, 28.4205940, 58.2254350", \
+					  "9.1177181, 9.1662047, 9.4621013, 10.7701290, 14.1889730, 28.4913500, 58.2918180", \
+					  "9.1686392, 9.2162420, 9.5130375, 10.8211140, 14.2404600, 28.5432780, 58.3372920", \
+					  "9.2106971, 9.2594155, 9.5553601, 10.8633470, 14.2821690, 28.5845390, 58.3839360", \
+					  "9.2548520, 9.3037498, 9.5975343, 10.9072720, 14.3279320, 28.6238960, 58.4287360", \
+					  "9.2948822, 9.3429220, 9.6377022, 10.9473530, 14.3672470, 28.6631310, 58.4691370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7086053, 2.7336224, 2.8597098, 3.3130860, 5.9512315, 17.2430210, 42.1266400", \
+					  "2.7069502, 2.7333893, 2.8639906, 3.3206036, 5.9583076, 17.2425520, 42.0932620", \
+					  "2.7060301, 2.7304587, 2.8647631, 3.3205956, 5.9566871, 17.2827760, 42.0961010", \
+					  "2.7061224, 2.7311562, 2.8648850, 3.3206817, 5.9583014, 17.2839220, 42.0960550", \
+					  "2.7059095, 2.7304647, 2.8648834, 3.3206562, 5.9565881, 17.2827330, 42.0982080", \
+					  "2.7085936, 2.7336626, 2.8597293, 3.3130256, 5.9509864, 17.2436110, 42.0990180", \
+					  "2.7085216, 2.7336835, 2.8598746, 3.3130976, 5.9513243, 17.2430320, 42.0986020");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("5.2531322, 5.2586246, 5.2956541, 5.5264131, 7.1245818, 17.5183550, 82.2890980", \
+					  "5.3184310, 5.3244791, 5.3612892, 5.5921650, 7.1901569, 17.5841760, 82.3546880", \
+					  "5.3424660, 5.3482858, 5.3851563, 5.6158131, 7.2133561, 17.6083470, 82.3830390", \
+					  "5.3527516, 5.3589888, 5.3956777, 5.6259646, 7.2237869, 17.6176340, 82.3937110", \
+					  "5.3567368, 5.3630771, 5.3996803, 5.6302785, 7.2278059, 17.6217760, 82.3979960", \
+					  "5.3568585, 5.3629572, 5.3998206, 5.6302452, 7.2269155, 17.6229110, 82.3932070", \
+					  "5.3547455, 5.3607616, 5.3976721, 5.6286220, 7.2264785, 17.6195820, 82.3940880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("1.0400537, 1.0539351, 1.1428481, 1.7385769, 5.7652412, 31.6213080, 191.2780000", \
+					  "1.0430458, 1.0571096, 1.1471405, 1.7391735, 5.7647873, 31.6008770, 191.2887100", \
+					  "1.0440661, 1.0594547, 1.1439112, 1.7396700, 5.7649316, 31.5816950, 191.2192600", \
+					  "1.0391104, 1.0529621, 1.1430337, 1.7412525, 5.7594920, 31.6052710, 191.7837400", \
+					  "1.0388748, 1.0527081, 1.1432671, 1.7411629, 5.7586940, 31.6076590, 191.7824200", \
+					  "1.0376612, 1.0536088, 1.1435883, 1.7407882, 5.7656449, 31.6106430, 191.2767300", \
+					  "1.0402330, 1.0540450, 1.1467377, 1.7385561, 5.7640488, 31.6050870, 191.1191400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("84.5755890, 84.5842080, 84.6321200, 84.9403390, 86.8191990, 95.6032120, 134.8268800", \
+					  "84.6781280, 84.6799180, 84.7346800, 85.0361130, 86.9131400, 95.6948090, 134.8832500", \
+					  "84.7325200, 84.7411240, 84.7890790, 85.0971630, 86.9757880, 95.7597520, 134.9837400", \
+					  "84.7807730, 84.7855550, 84.8372750, 85.1372800, 87.0242760, 95.8052910, 134.9314300", \
+					  "84.8269720, 84.8356270, 84.8835530, 85.1917150, 87.0692030, 95.8518740, 135.0781700", \
+					  "84.8700450, 84.8779110, 84.9265760, 85.2340070, 87.1135910, 95.8964320, 135.1204400", \
+					  "84.9120950, 84.9206360, 84.9683630, 85.2740530, 87.1459990, 95.9345530, 135.0492600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("42.7268000, 42.7156370, 42.6499300, 42.3250520, 40.4059360, 38.1871490, 110.6783900", \
+					  "42.5997120, 42.6938700, 42.5480200, 42.2799610, 40.4641830, 38.3870430, 111.4888600", \
+					  "42.7230870, 42.7130970, 42.6490100, 42.3248000, 40.4592800, 38.2014990, 110.6789800", \
+					  "42.5876830, 42.5430000, 42.5226360, 42.3437180, 40.5000480, 38.2579480, 111.4205000", \
+					  "42.7268850, 42.7169800, 42.6515320, 42.3251620, 40.4585630, 38.2658030, 110.6778500", \
+					  "42.7268000, 42.7168910, 42.6491550, 42.3239400, 40.4607830, 38.2129420, 110.6779300", \
+					  "42.5494870, 42.5417890, 42.4889670, 42.3794940, 40.4631320, 38.2361410, 111.3756600");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("5.2353424, 5.2406501, 5.2772089, 5.5063238, 7.0940400, 17.7508910, 85.0935610", \
+					  "5.3003393, 5.3063451, 5.3430291, 5.5716205, 7.1599553, 17.8165570, 85.1537580", \
+					  "5.3245164, 5.3302927, 5.3666405, 5.5953437, 7.1838548, 17.8383970, 85.1774120", \
+					  "5.3350724, 5.3407914, 5.3775375, 5.6059180, 7.1944997, 17.8509830, 85.1880630", \
+					  "5.3389613, 5.3451013, 5.3818727, 5.6103171, 7.1991235, 17.8551840, 85.1930370", \
+					  "5.3391811, 5.3451497, 5.3819322, 5.6104072, 7.1992980, 17.8551090, 85.1975630", \
+					  "5.3368518, 5.3428195, 5.3795937, 5.6081264, 7.1974182, 17.8528430, 85.1951970");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("1.0599105, 1.0753680, 1.1624427, 1.7494940, 5.7680412, 31.5776490, 191.6062800", \
+					  "1.0603958, 1.0744464, 1.1609771, 1.7550673, 5.7680847, 31.5754040, 191.7949800", \
+					  "1.0620326, 1.0739393, 1.1634991, 1.7548460, 5.7680927, 31.5815460, 191.7657600", \
+					  "1.0597210, 1.0737167, 1.1598211, 1.7548271, 5.7680345, 31.5745190, 191.7939000", \
+					  "1.0594595, 1.0732523, 1.1591754, 1.7547567, 5.7670091, 31.5745650, 191.7885300", \
+					  "1.0592306, 1.0730538, 1.1588893, 1.7547170, 5.7664868, 31.5744120, 191.5541400", \
+					  "1.0607758, 1.0750614, 1.1647006, 1.7506325, 5.7625797, 31.6213190, 191.5333600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("46.3183770, 46.3294900, 46.3864860, 46.7528240, 48.8456880, 70.4562380, 103.9130700", \
+					  "46.4142430, 46.4253520, 46.4825050, 46.8488890, 48.9377680, 70.5561900, 104.0125600", \
+					  "46.4753280, 46.4863850, 46.5433170, 46.9096900, 49.0026050, 70.6135030, 104.0741500", \
+					  "46.5268820, 46.5335290, 46.5933250, 46.9400130, 49.0596150, 70.7086440, 104.1146100", \
+					  "46.5697380, 46.5808870, 46.6378190, 47.0041820, 49.0971320, 70.7073940, 104.1686300", \
+					  "46.6128260, 46.6231750, 46.6801350, 47.0463850, 49.1402190, 70.7495720, 104.2108200", \
+					  "46.6552490, 46.6671890, 46.7257770, 47.0852830, 49.1795010, 70.8520820, 104.2478300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19611, 1.24778, 1.56641, 3.53132, 15.6486, 90.3733, 551.186");
+					values("71.5474610, 71.5459120, 71.5235300, 71.3772220, 70.9395070, 70.0938330, 72.1314070", \
+					  "71.5444410, 71.5430700, 71.5208020, 71.3717510, 70.9362030, 70.1019540, 72.0626050", \
+					  "71.5452020, 71.5436100, 71.5216260, 71.3766400, 70.9379220, 70.0916370, 72.0280580", \
+					  "71.5443490, 71.5651960, 71.5451450, 71.3656190, 70.9320320, 70.0911330, 72.1040440", \
+					  "71.5466020, 71.5451160, 71.5228910, 71.3764190, 70.9387750, 70.0919710, 72.0299060", \
+					  "71.5468500, 71.5453370, 71.5230470, 71.3638570, 70.9391090, 70.0921490, 72.0292020", \
+					  "71.5501760, 71.5469280, 71.5545170, 71.4063690, 70.9346320, 70.0920990, 72.1249620");
+				}
+			}	
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1466317, 7.1466320, 7.1626847, 7.1626852, 7.1626857, 7.1626862, 7.1626866", \
+					  "7.0369546, 7.0379289, 7.0379293, 7.0379298, 7.0379303, 7.0379308, 7.0379313", \
+					  "7.0016668, 7.0016673, 7.0016678, 7.0016683, 7.0016687, 7.0016692, 7.0016697", \
+					  "6.9244419, 6.9244421, 6.9244426, 6.9244431, 6.9244435, 6.9244440, 6.9244445", \
+					  "6.7943966, 6.8014416, 6.8014420, 6.8014424, 6.8014429, 6.8014434, 6.8014439", \
+					  "6.7621508, 6.7628765, 6.7628768, 6.7628773, 6.7628778, 6.7628782, 6.7628787", \
+					  "6.7118000, 6.7118004, 6.7118009, 6.7118013, 6.7118018, 6.7118023, 6.7118028");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8532010, 5.8654361, 5.8654364, 5.8654368, 5.8654373, 5.8654378, 5.8654383", \
+					  "5.9457039, 5.9457043, 5.9457047, 5.9457052, 5.9457057, 5.9457062, 5.9457067", \
+					  "5.9678928, 5.9678929, 5.9678934, 5.9678939, 5.9678944, 5.9678948, 5.9678953", \
+					  "5.9793357, 5.9793361, 5.9793366, 5.9793370, 5.9793375, 5.9793380, 5.9793385", \
+					  "5.9772156, 5.9827099, 5.9827102, 5.9827106, 5.9827111, 5.9827116, 5.9827121", \
+					  "5.9835430, 5.9835432, 5.9835437, 5.9835442, 5.9835446, 5.9835451, 5.9835456", \
+					  "5.9817382, 5.9817384, 5.9817389, 5.9817393, 5.9817398, 5.9817403, 5.9817408");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5394277, 7.5763609, 7.8163085, 9.2531431, 18.0023050, 71.7585420, 292.1144400", \
+					  "7.5981283, 7.6365705, 7.8748972, 9.3119148, 18.0608540, 71.8169990, 292.1731800", \
+					  "7.6184907, 7.6571869, 7.8949958, 9.3327586, 18.0812220, 71.8376900, 292.1974600", \
+					  "7.6269853, 7.6660092, 7.9027025, 9.3428376, 18.0900290, 71.8462940, 292.2074000", \
+					  "7.6302138, 7.6687421, 7.9069480, 9.3418748, 18.0932210, 71.8495490, 292.2089900", \
+					  "7.6296818, 7.6681248, 7.9064142, 9.3434460, 18.0926970, 71.8487740, 292.2079100", \
+					  "7.6254025, 7.6627193, 7.9031821, 9.3385673, 18.0898300, 71.8458390, 292.1938700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7159404, 2.7829006, 3.1963534, 5.7919132, 22.1413910, 122.9219500, 252.7863500", \
+					  "2.7162788, 2.7830660, 3.1962666, 5.7920531, 22.1306160, 122.5944400, 252.6916800", \
+					  "2.7166582, 2.7833023, 3.1961325, 5.7921365, 22.1095270, 122.8994100, 252.7460500", \
+					  "2.7171454, 2.7839264, 3.1959475, 5.7888130, 22.0827160, 122.8797700, 252.7555400", \
+					  "2.7163893, 2.7831470, 3.1962240, 5.7921477, 22.1417320, 122.8992700, 252.7572200", \
+					  "2.7163005, 2.7830669, 3.1962628, 5.7920606, 22.0630020, 122.9037300, 252.7597500", \
+					  "2.7159395, 2.7830207, 3.1970042, 5.7918803, 22.1401120, 122.9314800, 252.9940600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.4888640, 16.7029660, 18.0440660, 26.1281490, 75.6064650, 379.2604800, 2251.3730000", \
+					  "16.5875400, 16.7981270, 18.1420230, 26.2242350, 75.7427190, 379.5027200, 2251.4653000", \
+					  "16.6312790, 16.8490000, 18.1831400, 26.2999900, 75.6638770, 379.1697300, 2250.5931000", \
+					  "16.6819780, 16.9000800, 18.2343580, 26.3527530, 75.7001640, 379.4776500, 2250.9510000", \
+					  "16.7241390, 16.9419960, 18.2760790, 26.3927830, 75.7532850, 379.3336100, 2251.4307000", \
+					  "16.7828600, 16.9975520, 18.3377380, 26.4187690, 75.8872220, 379.5646500, 2251.1827000", \
+					  "16.8224460, 17.0366510, 18.3776760, 26.4616990, 75.9444350, 379.5904300, 2251.2511000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3874050, 15.7795540, 18.3371420, 34.2444260, 132.6912400, 739.4172600, 4480.5397000", \
+					  "15.3696430, 15.7688370, 18.3545780, 34.2725350, 132.7537400, 739.6290600, 4480.0331000", \
+					  "15.3657730, 15.7803250, 18.3516850, 34.2901990, 132.6350500, 738.4285800, 4480.8717000", \
+					  "15.3657800, 15.7800670, 18.3515900, 34.2647160, 132.6547900, 739.6581600, 4484.2755000", \
+					  "15.3658380, 15.7803570, 18.3516830, 34.2899040, 132.6423700, 739.9257800, 4479.8571000", \
+					  "15.3922600, 15.7795710, 18.3350930, 34.2527390, 132.3329100, 739.5761900, 4478.9708000", \
+					  "15.3865030, 15.7798180, 18.3380160, 34.2441490, 132.3299300, 739.5341300, 4480.7011000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1585391, 7.1585396, 7.1597777, 7.1597779, 7.1597784, 7.1597789, 7.1597794", \
+					  "7.0466180, 7.0470150, 7.0470155, 7.0470160, 7.0470164, 7.0470169, 7.0470174", \
+					  "6.9966695, 6.9966696, 6.9966701, 6.9966705, 6.9966710, 6.9966715, 6.9966720", \
+					  "6.9197186, 6.9197190, 6.9197195, 6.9197200, 6.9197205, 6.9197209, 6.9197214", \
+					  "6.8132406, 6.8132408, 6.8132413, 6.8132418, 6.8132422, 6.8132427, 6.8132432", \
+					  "6.7674990, 6.7735884, 6.7735885, 6.7735889, 6.7735894, 6.7735899, 6.7735904", \
+					  "6.7098447, 6.7098449, 6.7098454, 6.7098458, 6.7098463, 6.7098468, 6.7098473");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3914002, 5.3927787, 5.3927792, 5.3927796, 5.3927801, 5.3927806, 5.4256768", \
+					  "5.4398441, 5.4511298, 5.4511302, 5.4511307, 5.4511312, 5.4511316, 5.4511321", \
+					  "5.4674842, 5.4706422, 5.4706424, 5.4706429, 5.4706433, 5.4706438, 5.5744880", \
+					  "5.4757452, 5.4783738, 5.4783743, 5.4783748, 5.4783752, 5.4783757, 5.6815721", \
+					  "5.4851954, 5.4851959, 5.4851964, 5.4851969, 5.4851974, 5.4851978, 5.6050027", \
+					  "5.4774860, 5.4869917, 5.4869922, 5.4869926, 5.4869931, 5.4869936, 5.5916836", \
+					  "5.4764677, 5.4799560, 5.4799564, 5.4799569, 5.4799574, 5.4799579, 5.6928631");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.8819414, 8.9307344, 9.2305150, 11.0133510, 21.7882810, 75.4314090, 124.2079400", \
+					  "8.9801171, 9.0289598, 9.3287644, 11.1115730, 21.8862630, 75.5273320, 124.3081200", \
+					  "9.0408117, 9.0895727, 9.3893400, 11.1722150, 21.9472460, 75.5894550, 124.3652000", \
+					  "9.0904519, 9.1377395, 9.4365546, 11.2233190, 21.9965060, 75.7188090, 124.4237200", \
+					  "9.1337933, 9.1829255, 9.4827017, 11.2653000, 22.0405560, 75.6828840, 124.4736600", \
+					  "9.1761683, 9.2256647, 9.5246593, 11.3076390, 22.0826820, 75.7261560, 124.5031600", \
+					  "9.2177794, 9.2649994, 9.5629060, 11.3490890, 22.1239630, 75.7671540, 124.5582300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.1012113, 3.1762841, 3.6482846, 6.6056174, 25.1264520, 55.5094390, 61.2938680", \
+					  "3.1011840, 3.1786713, 3.6483172, 6.6055699, 25.1263810, 55.5146150, 61.2859030", \
+					  "3.1012488, 3.1762293, 3.6482335, 6.6056889, 25.1263280, 55.5107890, 61.2944430", \
+					  "3.1029874, 3.1760556, 3.6465992, 6.6039041, 25.1289300, 55.5039210, 61.3647230", \
+					  "3.1012570, 3.1762299, 3.6482362, 6.6057066, 25.1263610, 55.5117690, 61.2633430", \
+					  "3.1012477, 3.1762351, 3.6482388, 6.6056987, 25.1263770, 55.5120430, 61.2969730", \
+					  "3.1027583, 3.1779084, 3.6471595, 6.6056232, 25.1265040, 55.5126820, 61.2612890");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3932041, 5.3934834, 5.3934835, 5.3995626, 5.3995631, 5.3995636, 5.4270579", \
+					  "5.4516263, 5.4525309, 5.4525311, 5.4525316, 5.4525321, 5.4525326, 5.4525331", \
+					  "5.4683222, 5.4728448, 5.4728449, 5.4728454, 5.4728458, 5.4728463, 5.5767084", \
+					  "5.4782521, 5.4805245, 5.4805248, 5.4805253, 5.4805258, 5.4805263, 5.6831833", \
+					  "5.4805618, 5.4827964, 5.4827965, 5.4827970, 5.4827974, 5.4827979, 5.6084519", \
+					  "5.4998543, 5.4998544, 5.4998549, 5.4998553, 5.4998558, 5.4998563, 5.5941296", \
+					  "5.4782849, 5.4818075, 5.4818080, 5.4818085, 5.4818090, 5.4818094, 5.6942993");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.8632088, 8.9111554, 9.2059613, 10.5307390, 13.9867030, 31.4661540, 95.8302730", \
+					  "8.9614254, 9.0094110, 9.3042294, 10.6289890, 14.0849390, 31.5644150, 95.9192260", \
+					  "9.0220507, 9.0698862, 9.3647738, 10.6895010, 14.1454710, 31.6249530, 95.9793380", \
+					  "9.0712167, 9.1195371, 9.4129717, 10.7378160, 14.1941160, 31.6875100, 96.0198610", \
+					  "9.1153183, 9.1632950, 9.4582955, 10.7828260, 14.2388210, 31.7179990, 96.0735490", \
+					  "9.1573078, 9.2053654, 9.5009511, 10.8249040, 14.2816520, 31.7611180, 96.1156700", \
+					  "9.1969499, 9.2455146, 9.5401065, 10.8665560, 14.3224430, 31.8009940, 96.1543730");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7157179, 2.7408944, 2.8787230, 3.3508356, 5.9869683, 30.0067560, 61.0516510", \
+					  "2.7157232, 2.7408502, 2.8787102, 3.3508614, 5.9870109, 30.0065610, 61.1100930", \
+					  "2.7156659, 2.7408451, 2.8786789, 3.3507599, 5.9869643, 30.0067740, 61.0960100", \
+					  "2.7161788, 2.7425473, 2.8788802, 3.3426750, 5.9884560, 29.9913910, 61.1395090", \
+					  "2.7156941, 2.7408811, 2.8787146, 3.3508023, 5.9869727, 30.0068340, 61.0962870", \
+					  "2.7157063, 2.7408864, 2.8787386, 3.3508185, 5.9869758, 30.0068560, 61.0964290", \
+					  "2.7155449, 2.7413147, 2.8784393, 3.3508669, 5.9869896, 30.0079070, 61.1136880");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8909310, 15.1080940, 16.4344250, 24.5361670, 74.3268060, 380.4980500, 2269.1909000", \
+					  "14.9911690, 15.2076080, 16.5296950, 24.6345420, 74.4267720, 380.5717800, 2269.2418000", \
+					  "15.0519470, 15.2649520, 16.5916930, 24.6966090, 74.4855200, 380.6576700, 2269.1995000", \
+					  "15.1030480, 15.3176260, 16.6439770, 24.7467520, 74.5357200, 380.7170700, 2268.9428000", \
+					  "15.1454550, 15.3626290, 16.6850550, 24.7880670, 74.5740540, 380.7526500, 2268.8898000", \
+					  "15.1911960, 15.4018720, 16.7287690, 24.8318660, 74.6219800, 380.7951700, 2268.8969000", \
+					  "15.2322000, 15.4425770, 16.7686790, 24.8720370, 74.6620900, 380.8430300, 2268.7641000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0530610, 16.4886680, 19.1006610, 35.0578300, 134.5034200, 745.3546700, 4519.0754000", \
+					  "16.0549250, 16.5061510, 19.1092140, 35.0293520, 134.4141100, 745.8548900, 4518.6757000", \
+					  "16.0796960, 16.4844740, 19.0937830, 35.0649930, 134.5016000, 745.2491100, 4519.9713000", \
+					  "16.0528920, 16.4593900, 19.1088640, 35.1699430, 134.4854200, 745.7919100, 4519.4520000", \
+					  "16.0605910, 16.4578870, 19.0976600, 35.0201110, 134.5129600, 745.5337800, 4519.5789000", \
+					  "16.0626770, 16.4714230, 19.1066490, 35.0677460, 134.5280500, 745.5303700, 4517.6266000", \
+					  "16.0559390, 16.4708090, 19.1033570, 35.0706810, 134.5177100, 745.7756500, 4519.2342000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9154907, 9.9639754, 10.2583560, 11.5797750, 15.0031830, 29.3054040, 59.1149740", \
+					  "10.0143660, 10.0629860, 10.3571190, 11.6787390, 15.1026640, 29.4041000, 59.2138890", \
+					  "10.0740120, 10.1222040, 10.4168450, 11.7377940, 15.1615320, 29.4549190, 59.2648560", \
+					  "10.1252570, 10.1736220, 10.4675240, 11.7879700, 15.2134310, 29.5147480, 59.3242360", \
+					  "10.1664050, 10.2152570, 10.5098550, 11.8312880, 15.2546010, 29.5578140, 59.3652560", \
+					  "10.2107620, 10.2581110, 10.5545270, 11.8754970, 15.2979500, 29.6014800, 59.4113560", \
+					  "10.2511800, 10.2973190, 10.5941930, 11.9153490, 15.3386620, 29.6364110, 59.4503860");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7223923, 2.7470639, 2.8743739, 3.3277712, 5.9553948, 17.2769890, 42.0973340", \
+					  "2.7224010, 2.7471192, 2.8743694, 3.3277776, 5.9554108, 17.2772290, 42.0980510", \
+					  "2.7225149, 2.7472913, 2.8742686, 3.3277785, 5.9554632, 17.2453020, 42.0985950", \
+					  "2.7222908, 2.7470979, 2.8744991, 3.3268757, 5.9553980, 17.2764720, 42.0966340", \
+					  "2.7224256, 2.7471510, 2.8743627, 3.3277812, 5.9554286, 17.2773650, 42.0967830", \
+					  "2.7225278, 2.7471132, 2.8743425, 3.3277860, 5.9554761, 17.2767360, 42.0974120", \
+					  "2.7222176, 2.7472564, 2.8751697, 3.3277734, 5.9550778, 17.2592680, 42.0984280");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.5664100, 14.7825670, 16.1088970, 24.2112370, 74.0009090, 380.1657200, 2268.2461000", \
+					  "14.6238360, 14.8401260, 16.1664180, 24.2700420, 74.0592580, 380.1889900, 2267.9860000", \
+					  "14.6434690, 14.8589170, 16.1863710, 24.2913710, 74.0796300, 380.2521200, 2268.3953000", \
+					  "14.6523730, 14.8679430, 16.1957220, 24.3002450, 74.0884620, 380.2528000, 2268.8703000", \
+					  "14.6588450, 14.8704700, 16.1979030, 24.3010640, 74.0918220, 380.2546500, 2268.4576000", \
+					  "14.6555380, 14.8699760, 16.1979120, 24.3015830, 74.0907850, 380.2628900, 2268.2918000", \
+					  "14.6533430, 14.8695000, 16.1919760, 24.2986160, 74.0900600, 380.2054200, 2268.4812000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0310720, 16.5030320, 19.0948320, 35.0537380, 134.4748000, 745.1629900, 4518.8499000", \
+					  "16.0463480, 16.4611630, 19.0678190, 35.1644370, 134.4864800, 746.5942500, 4518.2132000", \
+					  "16.0492700, 16.4822400, 19.0835970, 35.0599980, 134.4829600, 745.5811300, 4519.3883000", \
+					  "16.0493170, 16.4822680, 19.0980210, 35.0586160, 134.4824900, 745.1771500, 4519.3974000", \
+					  "16.0169830, 16.4816800, 19.0882310, 35.0626730, 134.6091700, 745.0634600, 4519.1787000", \
+					  "16.0454120, 16.4818470, 19.0670930, 35.1639040, 134.4877800, 745.5086600, 4519.2337000", \
+					  "16.0359900, 16.5030760, 19.0365930, 35.0539860, 134.5477800, 746.6613900, 4517.9399000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.9137810, 16.1152620, 17.4221420, 25.3782190, 74.7497420, 378.1559300, 2250.8343000", \
+					  "16.0059150, 16.2308000, 17.5123030, 25.5001100, 74.7922190, 378.3773900, 2249.4844000", \
+					  "16.0730010, 16.2766560, 17.5847980, 25.5708240, 74.8828910, 378.2648200, 2249.5219000", \
+					  "16.1248900, 16.3420760, 17.6367610, 25.6017060, 74.7779940, 378.4872700, 2250.6508000", \
+					  "16.1658490, 16.3686240, 17.6776390, 25.6642650, 74.9754610, 378.3619900, 2251.0012000", \
+					  "16.2093570, 16.4100090, 17.7179890, 25.6708630, 75.0465010, 378.4404000, 2250.0899000", \
+					  "16.2452750, 16.4500750, 17.7522830, 25.7274130, 75.0694940, 378.5542900, 2250.1247000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3729850, 15.7676310, 18.3653710, 34.2075520, 132.7851000, 739.6627100, 4479.9685000", \
+					  "15.3551070, 15.7928910, 18.3653200, 34.2506180, 132.9674700, 739.4631300, 4477.4561000", \
+					  "15.3788130, 15.7872450, 18.3631300, 34.2537310, 132.9227900, 738.9352800, 4480.3451000", \
+					  "15.3744390, 15.7862700, 18.3410510, 34.2727340, 132.3221100, 738.9777500, 4480.3255000", \
+					  "15.3786440, 15.7843290, 18.3633410, 34.2553360, 132.9243400, 738.9434700, 4478.9394000", \
+					  "15.3740680, 15.7685280, 18.3652760, 34.2126080, 132.7779000, 739.3665000, 4479.0631000", \
+					  "15.3627670, 15.7793670, 18.3650040, 34.2211980, 132.8199200, 739.3867900, 4479.2960000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8423595, 5.8423598, 5.8556653, 5.8567875, 5.8567880, 5.8567884, 5.9329759", \
+					  "5.9191541, 5.9191545, 5.9191549, 5.9191554, 5.9191559, 5.9191564, 5.9733587", \
+					  "5.9345395, 5.9345396, 5.9345401, 5.9345406, 5.9345410, 5.9345415, 5.9983023", \
+					  "5.9457230, 5.9457233, 5.9457238, 5.9457243, 5.9457248, 5.9457253, 5.9992389", \
+					  "5.9321694, 5.9321697, 5.9444298, 5.9444302, 5.9444306, 5.9444311, 5.9960912", \
+					  "5.9459691, 5.9459694, 5.9459699, 5.9459703, 5.9459708, 5.9459713, 5.9927827", \
+					  "5.9260636, 5.9262337, 5.9262341, 5.9265211, 5.9265216, 5.9265221, 5.9576308");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8597953, 5.8630687, 5.8630689, 5.8630693, 5.8630698, 5.8630703, 5.8630708", \
+					  "5.9438290, 5.9438293, 5.9438298, 5.9438303, 5.9438308, 5.9438313, 5.9438317", \
+					  "5.9664833, 5.9664834, 5.9664839, 5.9664844, 5.9664848, 5.9664853, 5.9664858", \
+					  "5.9772813, 5.9772814, 5.9772819, 5.9772823, 5.9772828, 5.9772833, 5.9772838", \
+					  "5.9771481, 5.9810699, 5.9810703, 5.9810708, 5.9810713, 5.9810718, 5.9810722", \
+					  "5.9788867, 5.9812573, 5.9812577, 5.9812582, 5.9812587, 5.9812592, 5.9812596", \
+					  "5.9791594, 5.9791596, 5.9791601, 5.9791606, 5.9791611, 5.9791616, 5.9791620");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9226430, 7.9615505, 8.1996232, 9.6370281, 18.3877750, 72.1430380, 292.5409000", \
+					  "8.0212654, 8.0595042, 8.2979650, 9.7347082, 18.4849260, 72.2417250, 292.6312800", \
+					  "8.0829015, 8.1205540, 8.3558529, 9.7975104, 18.5459950, 72.3012830, 292.7230600", \
+					  "8.1316074, 8.1707494, 8.4072855, 9.8486828, 18.5950140, 72.3524350, 292.7805800", \
+					  "8.1708080, 8.2122433, 8.4500140, 9.8878878, 18.6388520, 72.3940940, 292.8041500", \
+					  "8.2116749, 8.2575591, 8.4922025, 9.9346051, 18.6825120, 72.4389580, 292.8532000", \
+					  "8.2588567, 8.2983647, 8.5303737, 9.9755733, 18.7221620, 72.4796510, 292.9068600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7178280, 2.7850082, 3.1975278, 5.7922773, 22.1324470, 122.9057700, 252.7821700", \
+					  "2.7177876, 2.7844831, 3.1974747, 5.7923568, 22.1391050, 122.9154900, 253.0209100", \
+					  "2.7188498, 2.7854716, 3.1984984, 5.7888223, 22.1416090, 122.6410500, 253.0733800", \
+					  "2.7187150, 2.7856193, 3.1971963, 5.7900440, 22.0888530, 122.8753200, 252.8390400", \
+					  "2.7178690, 2.7848552, 3.1984755, 5.7922676, 22.1357460, 122.8911900, 252.8448700", \
+					  "2.7180183, 2.7855556, 3.1974350, 5.7925025, 22.0999410, 122.8862800, 252.7784500", \
+					  "2.7185945, 2.7855147, 3.1974376, 5.7925273, 22.0809930, 122.8756500, 252.7127700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.3136293, 7.3136294, 7.3136299, 7.3136304, 7.3136309, 7.3136313, 7.3719524", \
+					  "7.3624893, 7.3650930, 7.3650935, 7.3650940, 7.3650945, 7.3650950, 7.4251201", \
+					  "7.3905344, 7.3906201, 7.3906205, 7.3917996, 7.3917997, 7.3918002, 7.4567632", \
+					  "7.3915749, 7.3915751, 7.3915756, 7.3915761, 7.3915766, 7.3915771, 7.4515745", \
+					  "7.3978521, 7.3978522, 7.3978527, 7.3978532, 7.3978537, 7.3978541, 7.4500464", \
+					  "7.3884099, 7.3884538, 7.3886737, 7.3887709, 7.3887713, 7.3887718, 7.4488726", \
+					  "7.3848661, 7.3849211, 7.3849214, 7.3855873, 7.3855875, 7.3855880, 7.4399913");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.5664370, 14.7826080, 16.1084730, 24.2111950, 74.0026990, 380.1658600, 2268.6413000", \
+					  "14.6226990, 14.8401300, 16.1666150, 24.2711130, 74.0607080, 380.2314000, 2268.0063000", \
+					  "14.6434860, 14.8579640, 16.1863770, 24.2891410, 74.0793240, 380.2516100, 2268.5999000", \
+					  "14.6523740, 14.8679480, 16.1957290, 24.3002650, 74.0885110, 380.2528000, 2268.7268000", \
+					  "14.6560270, 14.8704720, 16.1979050, 24.3013640, 74.0918440, 380.2624600, 2268.6263000", \
+					  "14.6555290, 14.8699830, 16.1979090, 24.3015940, 74.0908280, 380.2624600, 2268.4642000", \
+					  "14.6533780, 14.8695080, 16.1920110, 24.2985720, 74.0901030, 380.2061900, 2268.1952000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0327700, 16.5031050, 19.0942830, 35.0265630, 134.5475900, 745.0654500, 4519.5166000", \
+					  "16.0511160, 16.4613870, 19.1060890, 35.0348980, 134.5172600, 747.0368900, 4517.9208000", \
+					  "16.0493290, 16.4798820, 19.0840660, 35.0542490, 134.4865300, 745.2371200, 4518.0509000", \
+					  "16.0494250, 16.4823710, 19.0980870, 35.0581470, 134.4832900, 745.2064200, 4520.8990000", \
+					  "16.0452650, 16.4817870, 19.0882630, 35.0372670, 134.6088000, 745.4251000, 4518.5425000", \
+					  "16.0455450, 16.4819520, 19.0670180, 35.1639140, 134.4886900, 745.4789900, 4517.5971000", \
+					  "16.0363140, 16.5031150, 19.0366410, 35.0539510, 134.5475600, 746.6729200, 4517.8144000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.9137570, 16.1153440, 17.4222170, 25.3778810, 74.7502260, 378.1915700, 2249.6909000", \
+					  "16.0212660, 16.2199250, 17.5123040, 25.5106940, 74.7920480, 378.3318600, 2249.9721000", \
+					  "16.0730240, 16.2756510, 17.5846110, 25.5707690, 74.8830620, 378.2801900, 2249.3884000", \
+					  "16.1247660, 16.3413890, 17.6369690, 25.5956360, 74.7783420, 378.5249600, 2251.0626000", \
+					  "16.1658700, 16.3684430, 17.6775160, 25.6639560, 74.9751190, 378.3717400, 2249.2766000", \
+					  "16.2093650, 16.4110090, 17.7180490, 25.6714830, 75.0468200, 378.4551000, 2249.9803000", \
+					  "16.2455490, 16.4503880, 17.7522550, 25.7258070, 75.0694580, 378.5667700, 2250.7409000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3728390, 15.7678000, 18.3649900, 34.2077160, 132.7830400, 739.6120100, 4479.7157000", \
+					  "15.3709600, 15.7889220, 18.3653160, 34.2626580, 132.9673400, 739.6163800, 4479.3646000", \
+					  "15.3788020, 15.7847410, 18.3628400, 34.2790330, 132.9230200, 739.0302100, 4480.9990000", \
+					  "15.3744800, 15.7955800, 18.3404650, 34.2758070, 132.3231700, 738.9783100, 4479.3164000", \
+					  "15.3786460, 15.7837580, 18.3630110, 34.2531730, 132.9277000, 738.9850800, 4481.5952000", \
+					  "15.3739740, 15.7696790, 18.3648990, 34.2094070, 132.7766300, 739.3898000, 4482.0495000", \
+					  "15.3638790, 15.7803260, 18.3645880, 34.2186970, 132.8192400, 739.4334600, 4481.2473000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5242941, 7.5632514, 7.8012761, 9.2407797, 17.9875210, 71.7451410, 292.1760300", \
+					  "7.5830222, 7.6200939, 7.8598559, 9.2957269, 18.0470800, 71.8030510, 292.2300800", \
+					  "7.6033304, 7.6418161, 7.8798017, 9.3190673, 18.0670780, 71.8237270, 292.2555200", \
+					  "7.6123934, 7.6512201, 7.8887883, 9.3283132, 18.0762410, 71.8327020, 292.2653800", \
+					  "7.6154061, 7.6531350, 7.8881364, 9.3310106, 18.0782060, 71.8355860, 292.2677100", \
+					  "7.6150082, 7.6535702, 7.8917708, 9.3292165, 18.0786110, 71.8352100, 292.2664900", \
+					  "7.6114786, 7.6482296, 7.8881106, 9.3239745, 18.0754270, 71.8318130, 292.2561500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7178386, 2.7849512, 3.1975382, 5.7892846, 22.1352410, 122.9288800, 252.8211700", \
+					  "2.7180262, 2.7844063, 3.1975418, 5.7923817, 22.1403300, 122.6086300, 252.8423400", \
+					  "2.7183986, 2.7849965, 3.1975167, 5.7892025, 22.1170510, 122.8958000, 252.8075500", \
+					  "2.7187328, 2.7855682, 3.1972731, 5.7887206, 22.1242650, 122.8801100, 252.8219100", \
+					  "2.7183147, 2.7854288, 3.1976202, 5.7899081, 22.1350320, 122.9012800, 252.8166200", \
+					  "2.7182889, 2.7849476, 3.1974414, 5.7924887, 22.1285250, 122.9014800, 252.8184700", \
+					  "2.7177678, 2.7844123, 3.1976704, 5.7923470, 22.1302570, 122.9285300, 252.8743700");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("6.2750232, 6.2750233, 6.2750237, 6.2750242, 6.2750247, 6.2750252, 6.3584937", \
+					  "6.3366836, 6.3366840, 6.3366845, 6.3366850, 6.3366855, 6.3366859, 6.3868173", \
+					  "6.3594627, 6.3594630, 6.3594635, 6.3594640, 6.3594645, 6.3594649, 6.3925465", \
+					  "6.3677215, 6.3678649, 6.3679195, 6.3695689, 6.3695691, 6.3695696, 6.4201027", \
+					  "6.3702350, 6.3702353, 6.3702357, 6.3702362, 6.3702367, 6.3702372, 6.4168080", \
+					  "6.3637149, 6.3652559, 6.3652561, 6.3652566, 6.3652571, 6.3652576, 6.4219696", \
+					  "6.3498398, 6.3498400, 6.3498405, 6.3498409, 6.3498414, 6.3498419, 6.4148966");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.6287598, 7.6683762, 7.9094766, 9.4111323, 13.6565040, 25.2178470, 93.5350640", \
+					  "7.6873006, 7.7268165, 7.9682341, 9.4686996, 13.7151690, 25.2761940, 93.5936130", \
+					  "7.7076860, 7.7468183, 7.9881826, 9.4895967, 13.7354550, 25.2953210, 93.6139840", \
+					  "7.7162374, 7.7558440, 7.9969178, 9.4982902, 13.7444210, 25.3046700, 93.6215370", \
+					  "7.7193407, 7.7591649, 8.0002307, 9.4998471, 13.7472840, 25.3081640, 93.6270510", \
+					  "7.7189570, 7.7583096, 7.9995601, 9.5012078, 13.7467970, 25.3077670, 93.6249830", \
+					  "7.7162330, 7.7555615, 7.9971452, 9.4984500, 13.7443410, 25.3048070, 93.6223190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8042806, 2.8776224, 3.3181418, 4.3971999, 5.9522529, 19.8189340, 124.0876100", \
+					  "2.8042759, 2.8775802, 3.3178009, 4.3968917, 5.9525007, 19.8215240, 124.0649100", \
+					  "2.8043077, 2.8776479, 3.3176903, 4.3971284, 5.9491927, 19.8491250, 123.9938000", \
+					  "2.8039879, 2.8775751, 3.3178291, 4.3970920, 5.9476166, 19.8452650, 123.9823300", \
+					  "2.8043701, 2.8774247, 3.3178247, 4.3967239, 5.9419758, 19.8500650, 123.9629800", \
+					  "2.8042366, 2.8773213, 3.3179950, 4.3972395, 5.9494738, 19.8327680, 123.9473900", \
+					  "2.8041700, 2.8775935, 3.3181866, 4.3970458, 5.9544152, 19.8486080, 123.9407700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.1285193, 9.1786383, 9.4774872, 10.7174660, 14.1048700, 28.3632960, 58.1512690", \
+					  "9.2274952, 9.2775156, 9.5753867, 10.8163760, 14.2035090, 28.4692770, 58.2546380", \
+					  "9.2889554, 9.3374695, 9.6365316, 10.8780410, 14.2613830, 28.5292960, 58.3152050", \
+					  "9.3386623, 9.3869632, 9.6860422, 10.9275900, 14.3114950, 28.5855800, 58.3534150", \
+					  "9.3821138, 9.4311012, 9.7300275, 10.9704340, 14.3577850, 28.6224640, 58.4083570", \
+					  "9.4227417, 9.4739923, 9.7722985, 11.0129250, 14.4007210, 28.6658520, 58.4493590", \
+					  "9.4624504, 9.5129469, 9.8111750, 11.0524480, 14.4391290, 28.7047770, 58.4895720");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.6038622, 2.6260973, 2.7457412, 3.1694829, 5.9254650, 17.1960990, 42.1098890", \
+					  "2.6037272, 2.6254874, 2.7423377, 3.1697223, 5.9171810, 17.2024940, 42.0914540", \
+					  "2.6010811, 2.6238192, 2.7462848, 3.1755751, 5.9248002, 17.1936920, 42.0921080", \
+					  "2.6011004, 2.6241809, 2.7458642, 3.1756726, 5.9266134, 17.2384920, 42.0905370", \
+					  "2.6012559, 2.6235525, 2.7474718, 3.1698490, 5.9200644, 17.1935370, 42.0920830", \
+					  "2.6044225, 2.6265599, 2.7431080, 3.1697518, 5.9183445, 17.1980100, 42.0832470", \
+					  "2.6043969, 2.6266018, 2.7420641, 3.1700687, 5.9243934, 17.1979600, 42.0925090");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("6.3426908, 6.3426912, 6.3426917, 6.3426922, 6.3426927, 6.3426931, 6.3431909", \
+					  "6.4003691, 6.4022299, 6.4042438, 6.4042442, 6.4042447, 6.4042452, 6.4706560", \
+					  "6.4205192, 6.4292456, 6.4292458, 6.4292462, 6.4292467, 6.4292472, 6.4934933", \
+					  "6.4239289, 6.4239290, 6.4239295, 6.4239300, 6.4239305, 6.4239309, 6.5007932", \
+					  "6.4303558, 6.4303563, 6.4303568, 6.4309291, 6.4309295, 6.4309299, 6.4965016", \
+					  "6.4127179, 6.4133134, 6.4133137, 6.4133142, 6.4133146, 6.4133151, 6.5001484", \
+					  "6.4178085, 6.4178088, 6.4178093, 6.4178098, 6.4178103, 6.4178107, 6.4940813");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8601457, 5.8638072, 5.8638075, 5.8638080, 5.8638084, 5.8638089, 5.9402745", \
+					  "5.9062777, 5.9062779, 5.9062784, 5.9062789, 5.9062794, 5.9062799, 5.9486176", \
+					  "5.9190670, 5.9195591, 5.9195593, 5.9195598, 5.9195602, 5.9195607, 6.0055232", \
+					  "5.9306555, 5.9309055, 5.9309056, 5.9320016, 5.9320019, 5.9320024, 5.9627294", \
+					  "5.9088188, 5.9088190, 5.9295711, 5.9295714, 5.9295719, 5.9295724, 5.9911116", \
+					  "5.9527432, 5.9527433, 5.9527438, 5.9527443, 5.9527448, 5.9527452, 5.9951692", \
+					  "5.9455214, 5.9455216, 5.9455221, 5.9455226, 5.9455231, 5.9455236, 5.9899770");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8631722, 5.8631935, 5.8631938, 5.8631943, 5.8631948, 5.8631952, 5.8631957", \
+					  "5.9437997, 5.9437998, 5.9438003, 5.9438007, 5.9438012, 5.9438017, 5.9438022", \
+					  "5.9663381, 5.9663384, 5.9663389, 5.9663394, 5.9663399, 5.9663404, 5.9663408", \
+					  "5.9770882, 5.9770883, 5.9770887, 5.9770892, 5.9770897, 5.9770902, 5.9770906", \
+					  "5.9767027, 5.9808043, 5.9808047, 5.9808052, 5.9808057, 5.9808062, 5.9808066", \
+					  "5.9811534, 5.9811538, 5.9811543, 5.9811547, 5.9811552, 5.9811557, 5.9811562", \
+					  "5.9790217, 5.9790218, 5.9790223, 5.9790228, 5.9790233, 5.9790237, 5.9790242");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9180023, 7.9565527, 8.1963147, 9.6736095, 13.9937840, 25.5568350, 93.8677120", \
+					  "8.0167695, 8.0554461, 8.2934157, 9.7736219, 14.0910320, 25.6556840, 93.9668040", \
+					  "8.0783522, 8.1154257, 8.3529876, 9.8327257, 14.1509880, 25.7152170, 94.0245780", \
+					  "8.1268032, 8.1661051, 8.4043421, 9.8848760, 14.2017580, 25.7663380, 94.0778190", \
+					  "8.1706242, 8.2092084, 8.4468530, 9.9262563, 14.2440580, 25.8091340, 94.1195850", \
+					  "8.2131608, 8.2525178, 8.4865217, 9.9675814, 14.2857820, 25.8532700, 94.1634820", \
+					  "8.2540019, 8.2922034, 8.5308551, 10.0083280, 14.3286760, 25.8912430, 94.2030100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8065184, 2.8796163, 3.3248984, 4.4714712, 6.0564513, 19.8345040, 124.0055100", \
+					  "2.8064471, 2.8796714, 3.3249195, 4.4716533, 6.0586185, 19.8302550, 123.9878200", \
+					  "2.8064897, 2.8795502, 3.3248955, 4.4718211, 6.0591314, 19.8120260, 123.9896400", \
+					  "2.8066098, 2.8795188, 3.3248981, 4.4719983, 6.0583032, 19.8528340, 123.9590700", \
+					  "2.8063074, 2.8796596, 3.3248935, 4.4715307, 6.0519967, 19.8446790, 123.9603300", \
+					  "2.8064018, 2.8795322, 3.3248897, 4.4713366, 6.0583161, 19.8543080, 123.9871600", \
+					  "2.8063925, 2.8790517, 3.3248839, 4.4720152, 6.0583134, 19.8437730, 123.9642400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8188820, 17.0322440, 18.3337030, 26.3067990, 75.5688560, 379.2573000, 2251.5851000", \
+					  "16.9174260, 17.1309370, 18.4319810, 26.3990390, 75.6302820, 379.3679500, 2250.9450000", \
+					  "16.9719230, 17.1877430, 18.4830840, 26.4601430, 75.6828480, 379.3263900, 2250.3750000", \
+					  "17.0289930, 17.2420460, 18.5447870, 26.5166750, 75.7853020, 379.3915900, 2252.3589000", \
+					  "17.0693730, 17.2836430, 18.5852420, 26.5461550, 75.7480050, 379.5286400, 2251.2386000", \
+					  "17.1109210, 17.3283960, 18.6062970, 26.6032600, 75.8220860, 379.4754400, 2250.6147000", \
+					  "17.1564590, 17.3686350, 18.6706690, 26.6458620, 75.9254560, 379.4928300, 2251.3675000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3694120, 15.7931040, 18.3571100, 34.2775190, 132.3794800, 739.5979700, 4480.0659000", \
+					  "15.3724180, 15.7921200, 18.3557310, 34.2801200, 132.5470200, 739.5144600, 4480.0086000", \
+					  "15.3782410, 15.7942830, 18.3481980, 34.2522670, 132.3548400, 739.2005700, 4481.1791000", \
+					  "15.3660600, 15.7955280, 18.3610530, 34.2768520, 132.4854400, 739.7280800, 4480.6930000", \
+					  "15.3794420, 15.7890780, 18.3543620, 34.2811180, 132.6516600, 739.4580200, 4479.6138000", \
+					  "15.3796990, 15.7952900, 18.3684750, 34.2754410, 132.3503500, 739.7190400, 4481.1459000", \
+					  "15.3710820, 15.7958410, 18.3618120, 34.2724820, 132.9717100, 739.6915900, 4480.8712000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1594690, 7.1594694, 7.1607942, 7.1607945, 7.1607950, 7.1607955, 7.1607960", \
+					  "7.0464039, 7.0464042, 7.0464047, 7.0464051, 7.0464056, 7.0464061, 7.0464066", \
+					  "6.9973125, 6.9973128, 6.9973133, 6.9973138, 6.9973143, 6.9973147, 7.0192558", \
+					  "6.9205246, 6.9205249, 6.9205254, 6.9205258, 6.9205263, 6.9205268, 6.9205273", \
+					  "6.8141590, 6.8234995, 6.8235000, 6.8235004, 6.8235009, 6.8235014, 6.8235019", \
+					  "6.7732278, 6.7732280, 6.7732285, 6.7732289, 6.7732294, 6.7732299, 6.7732304", \
+					  "6.7101178, 6.7101181, 6.7101186, 6.7101191, 6.7101195, 6.7101200, 6.7101205");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3913074, 5.3953335, 5.3953336, 5.3961284, 5.3961285, 5.3961289, 5.4550044", \
+					  "5.4551306, 5.4623133, 5.4623135, 5.4623139, 5.4623144, 5.4623149, 5.4890981", \
+					  "5.4780394, 5.4843206, 5.4843209, 5.4843214, 5.4843219, 5.4843224, 5.5885803", \
+					  "5.4905285, 5.4924092, 5.4924095, 5.4924100, 5.4924105, 5.4924109, 5.5866503", \
+					  "5.4903562, 5.4923719, 5.4924505, 5.4924510, 5.4924515, 5.4924520, 5.5334515", \
+					  "5.4930851, 5.4975642, 5.4975646, 5.4975651, 5.4975656, 5.4975660, 5.5723817", \
+					  "5.4895413, 5.4918234, 5.4918235, 5.4918240, 5.4918244, 5.4918249, 5.5698206");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.8577626, 9.9056203, 10.2027580, 11.9914290, 22.7514980, 76.4288330, 125.1973700", \
+					  "9.9564907, 10.0048940, 10.3020360, 12.0904070, 22.8498160, 76.5285580, 125.2965400", \
+					  "10.0137510, 10.0634110, 10.3642220, 12.1467050, 22.9160670, 76.5161940, 125.3504800", \
+					  "10.0674270, 10.1158150, 10.4136430, 12.2014390, 22.9588760, 76.6177020, 125.4067400", \
+					  "10.1091450, 10.1575840, 10.4543740, 12.2424630, 23.0011730, 76.6827530, 125.4492600", \
+					  "10.1516270, 10.2022510, 10.4974560, 12.2825200, 23.0440150, 76.7263940, 125.5032800", \
+					  "10.1936890, 10.2392220, 10.5394320, 12.3274430, 23.0872000, 76.7072950, 125.5300900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.1011740, 3.1787477, 3.6489727, 6.6019190, 25.1218340, 55.5253730, 61.2666400", \
+					  "3.1012155, 3.1787448, 3.6489383, 6.6018515, 25.1217500, 55.5255290, 61.2670950", \
+					  "3.0986720, 3.1746377, 3.6495362, 6.6059327, 25.1174780, 55.5095420, 61.3330760", \
+					  "3.1004888, 3.1788074, 3.6495977, 6.6023960, 25.1214840, 55.5169560, 61.3485370", \
+					  "3.1011880, 3.1787513, 3.6489944, 6.6019417, 25.1217110, 55.5257640, 61.2671870", \
+					  "3.0987182, 3.1783607, 3.6490213, 6.5982584, 25.1204730, 55.5256500, 61.3843620", \
+					  "3.1002232, 3.1788232, 3.6495351, 6.6037562, 25.1214640, 55.5069060, 61.3389370");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8296954, 5.8296955, 5.8448109, 5.8448113, 5.8448117, 5.8448122, 5.9289915", \
+					  "5.8887113, 5.9028817, 5.9033862, 5.9033864, 5.9033869, 5.9033874, 5.9871570", \
+					  "5.8829022, 5.8829024, 5.8829029, 5.8829034, 5.8829039, 5.8829043, 5.9871992", \
+					  "5.9310494, 5.9310496, 5.9310501, 5.9310506, 5.9310511, 5.9310515, 5.9648984", \
+					  "5.9005010, 5.9005011, 5.9005015, 5.9014211, 5.9014214, 5.9014218, 5.9627605", \
+					  "5.8961103, 5.8961108, 5.8961113, 5.8968097, 5.8968099, 5.8968103, 5.9754783", \
+					  "5.9018936, 5.9018939, 5.9018944, 5.9058234, 5.9058235, 5.9058240, 5.9763412");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3966271, 5.3979621, 5.3979624, 5.3979629, 5.3979633, 5.3979638, 5.4595266", \
+					  "5.4620255, 5.4620650, 5.4620655, 5.4620660, 5.4620665, 5.4620669, 5.4873497", \
+					  "5.4800120, 5.4818257, 5.4818261, 5.4818266, 5.4818271, 5.4818275, 5.5607408", \
+					  "5.4927258, 5.4945590, 5.4945591, 5.4945596, 5.4945601, 5.4945605, 5.5889848", \
+					  "5.4928955, 5.4982499, 5.4982503, 5.4982508, 5.4982512, 5.4982517, 5.5379283", \
+					  "5.4953531, 5.4984377, 5.4984382, 5.4984386, 5.4984391, 5.4984396, 5.5713545", \
+					  "5.4918488, 5.4939346, 5.4939349, 5.4939354, 5.4939359, 5.4939364, 5.5703939");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.1456192, 7.1456197, 7.1597892, 7.1597894, 7.1597899, 7.1597903, 7.1597908", \
+					  "7.0355357, 7.0363422, 7.0363424, 7.0363429, 7.0363434, 7.0363439, 7.0363443", \
+					  "6.9997115, 6.9997118, 6.9997123, 6.9997128, 6.9997132, 6.9997137, 6.9997142", \
+					  "6.9228689, 6.9228690, 6.9228695, 6.9228700, 6.9228704, 6.9228709, 6.9228714", \
+					  "6.7935586, 6.7948909, 6.8029014, 6.8029016, 6.8029020, 6.8029025, 6.8041754", \
+					  "6.7615242, 6.7630412, 6.7630413, 6.7630418, 6.7630423, 6.7630427, 6.7630432", \
+					  "6.7112782, 6.7112783, 6.7112787, 6.7112792, 6.7112797, 6.7112802, 6.7112806");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8557026, 5.8641234, 5.8646248, 5.8646253, 5.8646257, 5.8646262, 5.8646267", \
+					  "5.9456413, 5.9456418, 5.9456423, 5.9456428, 5.9456432, 5.9456437, 5.9456442", \
+					  "5.9678249, 5.9678252, 5.9678257, 5.9678262, 5.9678267, 5.9678271, 5.9678276", \
+					  "5.9792891, 5.9792893, 5.9792898, 5.9792903, 5.9792908, 5.9792913, 5.9792917", \
+					  "5.9771188, 5.9826677, 5.9826682, 5.9826687, 5.9826692, 5.9826696, 5.9826701", \
+					  "5.9834933, 5.9834936, 5.9834941, 5.9834946, 5.9834950, 5.9834955, 5.9834960", \
+					  "5.9816827, 5.9816831, 5.9816835, 5.9816840, 5.9816845, 5.9816850, 5.9816855");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5198878, 7.5589810, 7.7966416, 9.2756274, 13.5939230, 25.1591780, 93.4685500", \
+					  "7.5787696, 7.6173823, 7.8551849, 9.3355101, 13.6525730, 25.2181510, 93.5292730", \
+					  "7.5983930, 7.6371167, 7.8748057, 9.3546557, 13.6728460, 25.2378810, 93.5468240", \
+					  "7.6073521, 7.6461726, 7.8839770, 9.3630579, 13.6811710, 25.2468020, 93.5561600", \
+					  "7.6103310, 7.6490476, 7.8872651, 9.3669152, 13.6849550, 25.2500210, 93.5593080", \
+					  "7.6102120, 7.6488860, 7.8868458, 9.3664623, 13.6845290, 25.2494040, 93.5587110", \
+					  "7.6070101, 7.6455819, 7.8835960, 9.3620978, 13.6815790, 25.2464040, 93.5572400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8064371, 2.8796310, 3.3248539, 4.4716644, 6.0521379, 19.8418850, 123.9573600", \
+					  "2.8063116, 2.8796301, 3.3246288, 4.4717385, 6.0568053, 19.8410820, 123.9882000", \
+					  "2.8067579, 2.8794824, 3.3248237, 4.4718016, 6.0585625, 19.8487790, 123.9935000", \
+					  "2.8065004, 2.8795311, 3.3248617, 4.4717545, 6.0584315, 19.8436520, 123.9894400", \
+					  "2.8066725, 2.8789895, 3.3248535, 4.4719228, 6.0578071, 19.8330580, 123.9581000", \
+					  "2.8067872, 2.8794807, 3.3248537, 4.4719353, 6.0583982, 19.8379220, 123.9615100", \
+					  "2.8063232, 2.8795948, 3.3248666, 4.4716977, 6.0588849, 19.8354240, 123.9011000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.3709741, 7.3709744, 7.3709748, 7.3709753, 7.3752884, 7.3752888, 7.4271886", \
+					  "7.4077287, 7.4115860, 7.4115861, 7.4115866, 7.4115870, 7.4115875, 7.5206668", \
+					  "7.4315345, 7.4315346, 7.4315351, 7.4315355, 7.4315360, 7.4315365, 7.4795254", \
+					  "7.4372921, 7.4372924, 7.4372929, 7.4372933, 7.4372938, 7.4372943, 7.5203337", \
+					  "7.4416731, 7.4477806, 7.4724067, 7.4724072, 7.4724076, 7.4724081, 7.5339335", \
+					  "7.4689446, 7.4689448, 7.4689453, 7.4689458, 7.4689463, 7.4689467, 7.5100157", \
+					  "7.4375976, 7.4376088, 7.4376090, 7.4380231, 7.4380234, 7.4380239, 7.5098878");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9190139, 7.9560269, 8.1944984, 9.6735366, 13.9918890, 25.5588550, 93.8664830", \
+					  "8.0165448, 8.0550269, 8.2930240, 9.7741317, 14.0911730, 25.6556310, 93.9668250", \
+					  "8.0777101, 8.1145934, 8.3529237, 9.8328421, 14.1514250, 25.7162910, 94.0241730", \
+					  "8.1265759, 8.1661460, 8.4046095, 9.8849444, 14.2015480, 25.7660170, 94.0773500", \
+					  "8.1704030, 8.2083398, 8.4456442, 9.9254178, 14.2442870, 25.8098840, 94.1195000", \
+					  "8.2131244, 8.2518845, 8.4901508, 9.9710054, 14.2861850, 25.8530280, 94.1605190", \
+					  "8.2537808, 8.2930898, 8.5302005, 10.0107900, 14.3279160, 25.8936130, 94.2021000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8071299, 2.8799381, 3.3252567, 4.4715986, 6.0539032, 19.8436380, 124.0167500", \
+					  "2.8069149, 2.8800518, 3.3251513, 4.4717914, 6.0586349, 19.8446790, 123.8981600", \
+					  "2.8068976, 2.8799443, 3.3252392, 4.4718544, 6.0586993, 19.8544450, 123.9991300", \
+					  "2.8072371, 2.8799130, 3.3252343, 4.4721351, 6.0589493, 19.8525050, 123.9828400", \
+					  "2.8067175, 2.8799496, 3.3251772, 4.4716648, 6.0595204, 19.8433540, 123.9892400", \
+					  "2.8068070, 2.8799085, 3.3252112, 4.4721054, 6.0583347, 19.8592310, 123.9777400", \
+					  "2.8068068, 2.8794498, 3.3252112, 4.4721031, 6.0583321, 19.8592480, 123.9775600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9144764, 9.9626751, 10.2577100, 11.5788670, 15.0033250, 29.2950290, 59.1080710", \
+					  "10.0132540, 10.0617510, 10.3564940, 11.6775950, 15.1017940, 29.3942680, 59.2095400", \
+					  "10.0725390, 10.1213080, 10.4165720, 11.7362400, 15.1608630, 29.4604380, 59.2695000", \
+					  "10.1237120, 10.1722810, 10.4673890, 11.7882470, 15.2109490, 29.5036560, 59.3173790", \
+					  "10.1646870, 10.2152430, 10.5090450, 11.8290280, 15.2545250, 29.5480850, 59.3606980", \
+					  "10.2096720, 10.2585890, 10.5529120, 11.8725390, 15.2977360, 29.5903920, 59.4042890", \
+					  "10.2503300, 10.2957200, 10.5944440, 11.9164250, 15.3387380, 29.6297140, 59.4460050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7227902, 2.7474507, 2.8744531, 3.3278365, 5.9554548, 17.2445880, 42.1222740", \
+					  "2.7228082, 2.7475757, 2.8744607, 3.3278391, 5.9553558, 17.2447340, 42.0914790", \
+					  "2.7224838, 2.7480138, 2.8747520, 3.3274343, 5.9539893, 17.2528020, 42.0977950", \
+					  "2.7227656, 2.7476210, 2.8744753, 3.3278285, 5.9554961, 17.2442740, 42.1223380", \
+					  "2.7228102, 2.7476847, 2.8744662, 3.3278404, 5.9551146, 17.2447730, 42.0906550", \
+					  "2.7227831, 2.7476237, 2.8742941, 3.3276175, 5.9544134, 17.2445260, 42.1222060", \
+					  "2.7227491, 2.7476643, 2.8744900, 3.3278245, 5.9554983, 17.2441920, 42.0932270");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9224254, 7.9606366, 8.1993230, 9.6368664, 18.3864660, 72.1429390, 292.5296800", \
+					  "8.0208808, 8.0593701, 8.2980645, 9.7351451, 18.4850780, 72.2417750, 292.6313400", \
+					  "8.0811198, 8.1181266, 8.3571301, 9.7976001, 18.5453770, 72.3008570, 292.7319300", \
+					  "8.1321598, 8.1703471, 8.4073468, 9.8479731, 18.5967080, 72.3516750, 292.7772900", \
+					  "8.1716029, 8.2100273, 8.4487661, 9.8859392, 18.6367450, 72.3929220, 292.8055700", \
+					  "8.2172349, 8.2556193, 8.4924769, 9.9335171, 18.6813460, 72.4384960, 292.8657900", \
+					  "8.2573957, 8.2981790, 8.5327789, 9.9751274, 18.7193920, 72.4790040, 292.9064600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7183793, 2.7853322, 3.1989087, 5.7924319, 22.1147370, 122.5868700, 253.0299800", \
+					  "2.7185227, 2.7850118, 3.1978804, 5.7925975, 22.1392820, 122.9164200, 253.0217700", \
+					  "2.7193439, 2.7855520, 3.1975982, 5.7887712, 22.0562980, 122.6375200, 252.8415700", \
+					  "2.7191709, 2.7860620, 3.1976168, 5.7902967, 22.0890590, 122.8776800, 252.8442500", \
+					  "2.7183324, 2.7853193, 3.1988829, 5.7925078, 22.1183270, 122.8896900, 252.8190900", \
+					  "2.7190192, 2.7855456, 3.1975906, 5.7927734, 22.0987460, 122.8763400, 252.7238200", \
+					  "2.7190590, 2.7859599, 3.1978424, 5.7927769, 22.0994160, 122.8771700, 252.7241100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9186153, 9.9681126, 10.2638560, 12.0540870, 22.8125290, 89.1978050, 314.6883200", \
+					  "10.0164550, 10.0671010, 10.3628030, 12.1528950, 22.9363830, 89.2902960, 314.7928900", \
+					  "10.0745870, 10.1250960, 10.4230340, 12.2137770, 22.9863590, 89.3204440, 314.9802400", \
+					  "10.1279060, 10.1779680, 10.4727880, 12.2630140, 23.0471980, 89.4079120, 314.8965100", \
+					  "10.1688290, 10.2195590, 10.5156360, 12.3062920, 23.0898730, 89.4389420, 315.0684100", \
+					  "10.2138130, 10.2633020, 10.5597340, 12.3520740, 23.1129870, 89.4943000, 315.0844300", \
+					  "10.2546630, 10.3017180, 10.5989020, 12.3883140, 23.1740400, 89.5336290, 315.1224300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0860920, 3.1600218, 3.6281369, 6.5888224, 25.2276670, 140.4019500, 200.6181700", \
+					  "3.0870391, 3.1601208, 3.6285255, 6.5887429, 25.2355740, 140.3577000, 200.8130100", \
+					  "3.0879512, 3.1624621, 3.6300625, 6.5860655, 25.3162750, 140.3526300, 200.5744300", \
+					  "3.0867946, 3.1601275, 3.6282093, 6.5893744, 25.3117650, 140.4069000, 200.6284200", \
+					  "3.0873610, 3.1606497, 3.6286423, 6.5885755, 25.3106850, 140.3506600, 200.5889700", \
+					  "3.0853431, 3.1613865, 3.6287400, 6.5820420, 25.3197640, 140.4071200, 200.6451600", \
+					  "3.0850935, 3.1590168, 3.6275722, 6.5896675, 25.2182080, 140.4106300, 200.6608400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.8301279, 5.8343326, 5.8418563, 5.8423080, 5.8423083, 5.8423088, 5.9202327", \
+					  "5.9008358, 5.9008363, 5.9008368, 5.9008372, 5.9008377, 5.9008382, 5.9532210", \
+					  "5.9204806, 5.9205960, 5.9205964, 5.9205969, 5.9205974, 5.9205978, 5.9842686", \
+					  "5.9318821, 5.9318822, 5.9318827, 5.9318831, 5.9318836, 5.9318841, 5.9857324", \
+					  "5.9322072, 5.9322074, 5.9322079, 5.9322083, 5.9322088, 5.9322093, 5.9653757", \
+					  "5.9311964, 5.9311965, 5.9311970, 5.9311975, 5.9311979, 5.9311984, 5.9785732", \
+					  "5.9213843, 5.9213846, 5.9213851, 5.9260215, 5.9260219, 5.9260223, 5.9565330");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("5.3938671, 5.3941736, 5.3941739, 5.3941744, 5.3941749, 5.3941753, 5.4289377", \
+					  "5.4490670, 5.4513789, 5.4513791, 5.4513796, 5.4513801, 5.4513805, 5.4513810", \
+					  "5.4692602, 5.4755009, 5.4755013, 5.4755018, 5.4755023, 5.4755028, 5.5769087", \
+					  "5.4751816, 5.4775660, 5.4775661, 5.4775665, 5.4775670, 5.4775675, 5.6823133", \
+					  "5.4832738, 5.4877844, 5.4877847, 5.4877852, 5.4877856, 5.4877861, 5.6086851", \
+					  "5.4791851, 5.4889000, 5.4889005, 5.4889009, 5.4889014, 5.4889019, 5.5942371", \
+					  "5.4754543, 5.4782325, 5.4782327, 5.4782331, 5.4782336, 5.4782341, 5.6939191");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("4.7115574, 4.7121027, 4.7121032, 4.7121037, 4.7121041, 4.7121046, 4.7121051", \
+					  "4.8094040, 4.8116835, 4.8116839, 4.8116844, 4.8116849, 4.8116854, 4.8503535", \
+					  "4.8734941, 4.8737858, 4.8737863, 4.8737867, 4.8737872, 4.8737877, 4.9336064", \
+					  "4.9261630, 4.9263176, 4.9263180, 4.9263185, 4.9263189, 4.9263194, 4.9852673", \
+					  "4.9704010, 4.9710205, 4.9710210, 4.9710215, 4.9710219, 4.9710224, 5.0422861", \
+					  "5.0144187, 5.0147797, 5.0147799, 5.0147803, 5.0147808, 5.0147813, 5.0718141", \
+					  "5.0570970, 5.0578204, 5.0578206, 5.0578211, 5.0578216, 5.0578220, 5.1339186");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.8398774, 9.8865751, 10.1798870, 11.5050920, 14.9624600, 32.4586690, 96.7954320", \
+					  "9.9380388, 9.9853326, 10.2781490, 11.6037850, 15.0617120, 32.5574270, 96.8946240", \
+					  "9.9963753, 10.0459060, 10.3396550, 11.6631510, 15.1214790, 32.6211200, 96.9636320", \
+					  "10.0472230, 10.0962460, 10.3894280, 11.7146460, 15.1726610, 32.6683210, 97.0103000", \
+					  "10.0908110, 10.1391330, 10.4295940, 11.7568620, 15.2127310, 32.7113130, 97.0475180", \
+					  "10.1350210, 10.1826500, 10.4741520, 11.8009140, 15.2562940, 32.7570500, 97.0919980", \
+					  "10.1750180, 10.2231150, 10.5159260, 11.8414150, 15.2977540, 32.7947910, 97.1354200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7179583, 2.7426583, 2.8774156, 3.3517829, 5.9884964, 29.9901290, 61.1288800", \
+					  "2.7177860, 2.7426452, 2.8777467, 3.3517904, 5.9883321, 29.9902180, 61.1291640", \
+					  "2.7160023, 2.7420568, 2.8766192, 3.3437304, 5.9934706, 30.0088980, 60.9978630", \
+					  "2.7157088, 2.7420348, 2.8771741, 3.3431407, 5.9935679, 29.9896290, 61.0263910", \
+					  "2.7178169, 2.7426896, 2.8777327, 3.3518042, 5.9884750, 29.9901790, 61.1286880", \
+					  "2.7177747, 2.7426510, 2.8770384, 3.3516967, 5.9879186, 30.0088170, 61.1290220", \
+					  "2.7166098, 2.7426421, 2.8795661, 3.3524850, 5.9884737, 29.9901440, 61.0788150");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("4.7098410, 4.7167541, 4.7214201, 4.7214206, 4.7214211, 4.7214215, 4.7214220", \
+					  "4.8171365, 4.8174482, 4.8174484, 4.8193507, 4.8193510, 4.8193515, 4.8561639", \
+					  "4.8775983, 4.8789635, 4.8789638, 4.8789642, 4.8789647, 4.8789652, 4.9363789", \
+					  "4.9314766, 4.9314769, 4.9314774, 4.9314778, 4.9314783, 4.9314788, 4.9861906", \
+					  "4.9746172, 4.9758522, 4.9758523, 4.9758528, 4.9758533, 4.9758537, 5.0036446", \
+					  "5.0191136, 5.0196114, 5.0196116, 5.0196121, 5.0196126, 5.0196131, 5.0578381", \
+					  "5.0623021, 5.0636335, 5.0636337, 5.0636342, 5.0636347, 5.0636352, 5.0965432");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8907740, 15.1079980, 16.4332940, 24.5359450, 74.3267710, 380.4545400, 2268.6468000", \
+					  "14.9912380, 15.2072110, 16.5291890, 24.6342470, 74.4269640, 380.5641000, 2268.6347000", \
+					  "15.0523290, 15.2647510, 16.5944200, 24.6945810, 74.4853640, 380.6579800, 2269.1297000", \
+					  "15.1055770, 15.3161150, 16.6431030, 24.7454410, 74.5363020, 380.7089700, 2268.9774000", \
+					  "15.1451070, 15.3624580, 16.6842290, 24.7876920, 74.5731160, 380.7456900, 2268.7977000", \
+					  "15.1898800, 15.4029710, 16.7272700, 24.8320990, 74.6230310, 380.7924700, 2268.8214000", \
+					  "15.2317020, 15.4426130, 16.7660800, 24.8718340, 74.6617700, 380.8343400, 2269.1856000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0526700, 16.4875540, 19.0934680, 35.0574960, 134.4992500, 746.7570000, 4520.7275000", \
+					  "16.0499450, 16.5056820, 19.1085580, 35.0316580, 134.5394800, 746.6898100, 4521.1596000", \
+					  "16.0796990, 16.4839240, 19.0953190, 35.0174290, 134.5048300, 745.6136500, 4520.7967000", \
+					  "16.0421080, 16.4762230, 19.1085420, 35.1748640, 134.4793800, 745.6391700, 4520.8035000", \
+					  "16.0715100, 16.4440670, 19.0983300, 35.0184240, 134.5038200, 746.5581000, 4518.2546000", \
+					  "16.0507590, 16.4693680, 19.1036480, 35.0706910, 134.5906200, 745.3106200, 4522.0586000", \
+					  "16.0537720, 16.4666080, 19.1066810, 35.0689400, 134.5337600, 747.3497600, 4521.3087000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8064020, 17.0262450, 18.3221060, 26.3035140, 75.5451670, 379.1463100, 2250.4171000", \
+					  "16.9043530, 17.1246750, 18.4168150, 26.4031850, 75.6485150, 379.1936900, 2251.4964000", \
+					  "16.9635840, 17.1755090, 18.4764900, 26.4604160, 75.7762420, 379.3726100, 2250.4803000", \
+					  "17.0185380, 17.2355450, 18.5332030, 26.5148450, 75.7322950, 379.3510100, 2250.7945000", \
+					  "17.0540080, 17.2752730, 18.5660430, 26.5543570, 75.8073360, 379.5964300, 2250.8543000", \
+					  "17.1064340, 17.3239060, 18.6081350, 26.6007070, 75.7650010, 379.4660300, 2250.9710000", \
+					  "17.1479420, 17.3650000, 18.6596160, 26.6314080, 75.8074210, 379.5250600, 2251.0048000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3774200, 15.8142640, 18.3545160, 34.2379390, 132.3483800, 739.2885100, 4481.4419000", \
+					  "15.3769530, 15.8163060, 18.3598490, 34.2309010, 132.3489600, 739.4321500, 4481.1209000", \
+					  "15.3687640, 15.7909220, 18.3679100, 34.2620150, 132.8563100, 739.5551100, 4481.8694000", \
+					  "15.3781570, 15.8126790, 18.3496450, 34.2725170, 132.3425900, 739.2886800, 4479.7930000", \
+					  "15.3756310, 15.8212860, 18.3629020, 34.2426570, 132.3692400, 739.7071600, 4479.8180000", \
+					  "15.3778590, 15.7972910, 18.3639890, 34.2754590, 132.3411600, 739.1435000, 4481.8726000", \
+					  "15.4038220, 15.7907960, 18.3501460, 34.2725390, 132.3325400, 739.1029200, 4479.8653000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.5349460, 7.5734432, 7.8115248, 9.2924548, 13.5989730, 25.1630200, 93.4708950", \
+					  "7.5934299, 7.6319191, 7.8700104, 9.3506802, 13.6572100, 25.2215190, 93.5276460", \
+					  "7.6136466, 7.6520772, 7.8899536, 9.3701660, 13.6769560, 25.2414600, 93.5477050", \
+					  "7.6226412, 7.6608782, 7.8988878, 9.3786481, 13.6856210, 25.2503260, 93.5564730", \
+					  "7.6257249, 7.6639823, 7.9020568, 9.3822585, 13.6893570, 25.2529130, 93.5616310", \
+					  "7.6251450, 7.6634405, 7.9015328, 9.3817123, 13.6887590, 25.2530320, 93.5591590", \
+					  "7.6216908, 7.6609616, 7.8988105, 9.3782830, 13.6862710, 25.2502980, 93.5581500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8059015, 2.8790610, 3.3239453, 4.4627151, 6.0507950, 19.8340080, 123.9887800", \
+					  "2.8058971, 2.8790343, 3.3238945, 4.4627355, 6.0505876, 19.8637020, 124.0149900", \
+					  "2.8061620, 2.8790526, 3.3239087, 4.4624642, 6.0490519, 19.8408350, 124.4110500", \
+					  "2.8058238, 2.8790261, 3.3238907, 4.4627408, 6.0495600, 19.8335210, 124.0036800", \
+					  "2.8061558, 2.8790310, 3.3238978, 4.4624371, 6.0506027, 19.8292010, 124.0322500", \
+					  "2.8061520, 2.8790366, 3.3239038, 4.4623860, 6.0505601, 19.8329850, 124.0073900", \
+					  "2.8059495, 2.8791418, 3.3239493, 4.4622563, 6.0508243, 19.8392750, 123.9125600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.4896100, 16.7022310, 18.0306510, 26.1417860, 75.6387170, 379.1568300, 2251.1463000", \
+					  "16.5748060, 16.7836360, 18.1297500, 26.2371760, 75.7084210, 379.0118200, 2250.9573000", \
+					  "16.6500360, 16.8652650, 18.2041760, 26.2982810, 75.7498810, 379.5438800, 2252.2415000", \
+					  "16.6994240, 16.9150380, 18.2535270, 26.3477600, 75.8853250, 379.3381900, 2251.0135000", \
+					  "16.7427330, 16.9590920, 18.2950600, 26.3728220, 75.7402600, 379.6446600, 2251.0271000", \
+					  "16.7841050, 16.9949960, 18.3391030, 26.4215940, 75.7870000, 379.6737600, 2250.3211000", \
+					  "16.8119790, 17.0336280, 18.3792390, 26.4576890, 75.8718030, 379.5964000, 2251.2531000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3741290, 15.7702630, 18.3504960, 34.2893000, 132.9349700, 739.5652200, 4480.5911000", \
+					  "15.3639930, 15.7831150, 18.3492810, 34.2739100, 132.9736800, 738.4504100, 4479.6866000", \
+					  "15.3699330, 15.7896400, 18.3256750, 34.2420710, 132.3235500, 739.6345200, 4480.0640000", \
+					  "15.3898260, 15.7923860, 18.3267640, 34.2442800, 132.6513800, 739.0237300, 4480.5965000", \
+					  "15.3722370, 15.8005560, 18.3381510, 34.2543060, 132.3597600, 739.6349700, 4482.2727000", \
+					  "15.3692370, 15.7677760, 18.3541060, 34.2724210, 132.3610400, 739.5754600, 4481.2737000", \
+					  "15.3616300, 15.7676700, 18.3486740, 34.2716820, 132.3585500, 738.5222600, 4480.8062000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9213240, 7.9612530, 8.1996081, 9.6369197, 18.3864750, 72.1437200, 292.5736700", \
+					  "8.0212450, 8.0596072, 8.2981018, 9.7347330, 18.4849450, 72.2417330, 292.6399500", \
+					  "8.0813676, 8.1199421, 8.3578229, 9.7991650, 18.5454670, 72.3010060, 292.7312200", \
+					  "8.1313765, 8.1712912, 8.4071257, 9.8480148, 18.5950010, 72.3527620, 292.7778000", \
+					  "8.1699323, 8.2120337, 8.4508800, 9.8878585, 18.6369800, 72.3946130, 292.8068500", \
+					  "8.2160989, 8.2571887, 8.4931013, 9.9310054, 18.6807100, 72.4394840, 292.8657900", \
+					  "8.2587377, 8.2975697, 8.5324352, 9.9757127, 18.7227050, 72.4799490, 292.9043900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7180176, 2.7849889, 3.1975373, 5.7922409, 22.1152800, 122.8857400, 252.8475400", \
+					  "2.7176652, 2.7846048, 3.1976337, 5.7924008, 22.1393450, 122.9151800, 252.7310100", \
+					  "2.7189140, 2.7855356, 3.1972451, 5.7888805, 22.0493790, 122.6318000, 252.8683200", \
+					  "2.7187428, 2.7856402, 3.1972553, 5.7902745, 22.0892900, 122.8758600, 252.8421400", \
+					  "2.7178833, 2.7848721, 3.1985079, 5.7923102, 22.1397090, 122.8882400, 252.7459600", \
+					  "2.7179281, 2.7855451, 3.1972320, 5.7923573, 22.1304750, 122.8760000, 252.8389000", \
+					  "2.7186318, 2.7855451, 3.1974730, 5.7925753, 22.0995260, 122.8759000, 252.7288800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8191670, 17.0322810, 18.3336880, 26.3018780, 75.5374290, 379.2537800, 2250.5974000", \
+					  "16.9175930, 17.1313700, 18.4320700, 26.4045090, 75.6298700, 379.3621800, 2251.0601000", \
+					  "16.9707360, 17.1879220, 18.4832450, 26.4563750, 75.6371680, 379.3246600, 2251.0383000", \
+					  "17.0298230, 17.2418990, 18.5443870, 26.5166180, 75.7827650, 379.4058900, 2252.0619000", \
+					  "17.0693450, 17.2831940, 18.5829150, 26.5485290, 75.7474370, 379.5361700, 2251.3716000", \
+					  "17.1127970, 17.3278090, 18.6298940, 26.6018270, 75.8651240, 379.3960600, 2251.4255000", \
+					  "17.1548390, 17.3678550, 18.6719670, 26.6449580, 75.9188410, 379.4966400, 2251.1842000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3679380, 15.7931610, 18.3570470, 34.2797220, 132.5361600, 739.5936400, 4480.3514000", \
+					  "15.3696520, 15.7919920, 18.3556760, 34.2783470, 132.5604600, 739.5563600, 4481.6775000", \
+					  "15.3781810, 15.7940880, 18.3510980, 34.2691210, 132.3503700, 739.1778400, 4481.8821000", \
+					  "15.3679220, 15.7953560, 18.3606340, 34.2773420, 132.4309300, 739.7266600, 4482.8944000", \
+					  "15.3733810, 15.7900110, 18.3535000, 34.2809900, 132.6511100, 739.4687000, 4481.9972000", \
+					  "15.3682610, 15.7955050, 18.3609570, 34.2750860, 132.4009700, 739.4937100, 4481.7402000", \
+					  "15.3708370, 15.7959420, 18.3620730, 34.2740340, 132.9598700, 739.7147800, 4481.9999000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8909700, 15.1080110, 16.4334960, 24.5361050, 74.3280070, 380.4636000, 2268.8182000", \
+					  "14.9913950, 15.2068810, 16.5296490, 24.6344620, 74.4266520, 380.5671200, 2269.1829000", \
+					  "15.0504090, 15.2681510, 16.5913670, 24.6976360, 74.4857760, 380.6575300, 2268.3857000", \
+					  "15.1036360, 15.3166100, 16.6430110, 24.7464570, 74.5305540, 380.7167300, 2268.9740000", \
+					  "15.1464000, 15.3622040, 16.6866700, 24.7879190, 74.5731940, 380.7505800, 2268.8571000", \
+					  "15.1904110, 15.4063910, 16.7284160, 24.8307530, 74.6244310, 380.8024800, 2269.0419000", \
+					  "15.2313580, 15.4428670, 16.7663480, 24.8720780, 74.6593900, 380.8438300, 2269.1167000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0529860, 16.4868440, 19.1085170, 35.0578940, 134.5673700, 746.7784800, 4520.5147000", \
+					  "16.0518740, 16.4892950, 19.1086030, 35.0304600, 134.4192700, 746.7847900, 4518.2414000", \
+					  "16.0485970, 16.4368910, 19.0938390, 35.0580760, 134.5021200, 745.2941900, 4519.9963000", \
+					  "16.0529830, 16.4790100, 19.1089460, 35.1743590, 134.4893700, 745.3151100, 4518.3615000", \
+					  "16.0595820, 16.4722140, 19.1006090, 35.0206120, 134.5189700, 745.5202000, 4517.8444000", \
+					  "16.0584860, 16.4456820, 19.1069240, 35.1699110, 134.5458300, 745.7949800, 4519.5989000", \
+					  "16.0576640, 16.4698810, 19.1061820, 35.0707050, 134.5292700, 745.7808200, 4521.5029000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.9205817, 9.9708899, 10.2631600, 12.0509380, 22.8394600, 89.1752950, 314.7173400", \
+					  "10.0192390, 10.0689700, 10.3610980, 12.1501400, 22.9390870, 89.2889670, 314.8179800", \
+					  "10.0768740, 10.1277080, 10.4222670, 12.2114850, 22.9990960, 89.3563910, 314.8702200", \
+					  "10.1302030, 10.1794490, 10.4725610, 12.2591870, 23.0480590, 89.3984050, 315.0079200", \
+					  "10.1727900, 10.2216750, 10.5138300, 12.3023720, 23.0909460, 89.4435810, 314.9671100", \
+					  "10.2146580, 10.2638280, 10.5580290, 12.3444770, 23.1290760, 89.4771470, 315.1103600", \
+					  "10.2547820, 10.3070870, 10.5994600, 12.3833570, 23.1684770, 89.5150620, 315.1154700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0835472, 3.1627760, 3.6344465, 6.5889072, 25.3110940, 140.4157600, 200.6573500", \
+					  "3.0837344, 3.1615495, 3.6329277, 6.5888384, 25.3123230, 140.4153200, 200.6598100", \
+					  "3.0858684, 3.1585730, 3.6285233, 6.5893988, 25.2155580, 140.4074500, 200.6433000", \
+					  "3.0841971, 3.1630805, 3.6348333, 6.5880341, 25.3053840, 140.4151700, 200.7091500", \
+					  "3.0840224, 3.1615373, 3.6328682, 6.5889809, 25.3146360, 140.4144700, 200.6402700", \
+					  "3.0852927, 3.1628133, 3.6349064, 6.5883383, 25.2293080, 140.4164000, 200.6345900", \
+					  "3.0851002, 3.1638019, 3.6351826, 6.5870225, 25.2269920, 140.4160200, 200.7220300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.9175848, 7.9566096, 8.1947569, 9.6740944, 13.9916430, 25.5569410, 93.8665390", \
+					  "8.0168290, 8.0553555, 8.2934797, 9.7737249, 14.0903880, 25.6556250, 93.9654190", \
+					  "8.0762383, 8.1148572, 8.3541067, 9.8328146, 14.1513000, 25.7151210, 94.0256300", \
+					  "8.1270999, 8.1666078, 8.4038128, 9.8844479, 14.2021300, 25.7670540, 94.0786080", \
+					  "8.1696019, 8.2091187, 8.4476337, 9.9262545, 14.2445610, 25.8092820, 94.1170770", \
+					  "8.2131439, 8.2518099, 8.4906020, 9.9698907, 14.2879210, 25.8512230, 94.1617490", \
+					  "8.2545144, 8.2907947, 8.5311092, 10.0084420, 14.3248730, 25.8921220, 94.1987610");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.8067035, 2.8795442, 3.3248546, 4.4719908, 6.0574892, 19.8295570, 123.9471700", \
+					  "2.8063181, 2.8795970, 3.3248477, 4.4715964, 6.0530034, 19.8443110, 123.9688900", \
+					  "2.8068650, 2.8794835, 3.3248704, 4.4717061, 6.0589262, 19.8396640, 123.9491600", \
+					  "2.8067559, 2.8794447, 3.3248326, 4.4718513, 6.0589298, 19.8524630, 123.9783200", \
+					  "2.8067706, 2.8795626, 3.3248517, 4.4715631, 6.0523955, 19.8422400, 123.9412500", \
+					  "2.8067741, 2.8794427, 3.3248295, 4.4718753, 6.0595964, 19.8591240, 123.9787800", \
+					  "2.8063267, 2.8789779, 3.3248180, 4.4719988, 6.0583121, 19.8340530, 123.9790200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.8907590, 15.1079200, 16.4342530, 24.5354010, 74.3277650, 380.4977700, 2268.1877000", \
+					  "14.9911990, 15.2068150, 16.5291020, 24.6350190, 74.4262750, 380.5607200, 2268.8002000", \
+					  "15.0523200, 15.2650980, 16.5928480, 24.6963410, 74.4853570, 380.6578100, 2269.2593000", \
+					  "15.1033670, 15.3171240, 16.6425740, 24.7459390, 74.5360540, 380.7111300, 2269.0251000", \
+					  "15.1447350, 15.3607700, 16.6856540, 24.7890920, 74.5729100, 380.7519100, 2269.4674000", \
+					  "15.1897890, 15.4028790, 16.7286900, 24.8309960, 74.6197700, 380.7962800, 2268.9180000", \
+					  "15.2318070, 15.4399120, 16.7666980, 24.8726710, 74.6629850, 380.8397700, 2269.1768000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0525870, 16.4869770, 19.0976980, 35.0576880, 134.5616300, 745.3283500, 4519.4388000", \
+					  "16.0499920, 16.4885740, 19.1067250, 35.0355160, 134.4136400, 746.7899600, 4518.8231000", \
+					  "16.0794540, 16.4838130, 19.0945040, 35.0759310, 134.4927400, 745.2918000, 4519.5106000", \
+					  "16.0538800, 16.4756050, 19.1085170, 35.1763030, 134.4772500, 745.6646400, 4520.8767000", \
+					  "16.0670710, 16.4619940, 19.0964860, 35.0200400, 134.5096200, 745.5485000, 4520.5134000", \
+					  "16.0797850, 16.4686220, 19.1083950, 35.0699590, 134.5219800, 745.7763900, 4520.3565000", \
+					  "16.0537290, 16.4654410, 19.1084410, 35.0692310, 134.5314600, 745.7424500, 4521.6202000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.8076430, 17.0186070, 18.3180940, 26.3025330, 75.5429850, 379.1693100, 2250.6331000", \
+					  "16.9049590, 17.1247440, 18.4162340, 26.4017820, 75.6471790, 379.1967900, 2251.1401000", \
+					  "16.9669910, 17.1711500, 18.4709650, 26.4602190, 75.7768530, 379.3840600, 2250.3832000", \
+					  "17.0200300, 17.2378310, 18.5351810, 26.5070050, 75.7301920, 379.3587400, 2250.6108000", \
+					  "17.0570490, 17.2775230, 18.5671570, 26.5548580, 75.8045500, 379.5994700, 2251.5865000", \
+					  "17.1074000, 17.3245420, 18.6042700, 26.5932660, 75.9030100, 379.4705200, 2251.5874000", \
+					  "17.1500270, 17.3635650, 18.6613800, 26.6313980, 75.8077190, 379.5233000, 2251.6444000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("15.3607030, 15.7935580, 18.3598910, 34.2473750, 132.3622000, 739.1885200, 4478.7857000", \
+					  "15.3774310, 15.8156780, 18.3611610, 34.2433490, 132.3594600, 739.3956000, 4479.4683000", \
+					  "15.3569390, 15.7831130, 18.3676980, 34.2602000, 132.8548200, 739.5621000, 4480.4669000", \
+					  "15.3780700, 15.8018470, 18.3465150, 34.2721340, 132.3548800, 739.2616200, 4480.0659000", \
+					  "15.3769920, 15.8199250, 18.3632430, 34.2325950, 132.3582100, 739.7001100, 4484.8325000", \
+					  "15.3776100, 15.7952120, 18.3666290, 34.2693060, 132.8595700, 739.1398100, 4481.8012000", \
+					  "15.4014330, 15.7915030, 18.3435900, 34.2745740, 132.3394100, 738.9813300, 4481.3023000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("7.6327176, 7.6695681, 7.9136004, 9.3668993, 18.1741410, 71.9873370, 292.2781200", \
+					  "7.6919644, 7.7320923, 7.9709377, 9.4282360, 18.2325570, 72.0464400, 292.3163200", \
+					  "7.7120212, 7.7504367, 7.9927460, 9.4470929, 18.2523060, 72.0662570, 292.3435400", \
+					  "7.7208728, 7.7601179, 8.0015701, 9.4578470, 18.2609250, 72.0751250, 292.3652600", \
+					  "7.7239219, 7.7634867, 8.0031359, 9.4604546, 18.2638720, 72.0779740, 292.3496000", \
+					  "7.7234423, 7.7629991, 8.0041751, 9.4603783, 18.2635400, 72.0776610, 292.3356400", \
+					  "7.7193310, 7.7595192, 7.9979383, 9.4546815, 18.2605770, 72.0740800, 292.3538000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("2.7080733, 2.7753708, 3.1902425, 5.7900365, 22.1240130, 122.9033300, 252.6402300", \
+					  "2.7080906, 2.7758720, 3.1895226, 5.7879190, 22.1327600, 122.8906800, 252.5739800", \
+					  "2.7079441, 2.7752498, 3.1898471, 5.7894547, 22.1406930, 122.8818100, 252.8902000", \
+					  "2.7081217, 2.7750722, 3.1899874, 5.7867799, 22.0876350, 122.8838500, 252.6690300", \
+					  "2.7087272, 2.7757554, 3.1895453, 5.7892735, 22.1278910, 122.8865800, 252.6494700", \
+					  "2.7087264, 2.7757514, 3.1898280, 5.7863803, 22.1306620, 122.8856500, 252.6107600", \
+					  "2.7083495, 2.7757596, 3.1899616, 5.7895981, 22.1391490, 122.9160900, 252.5569300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("9.1363193, 9.1868495, 9.4836556, 11.3057070, 22.1070750, 88.3498220, 313.7923300", \
+					  "9.2357340, 9.2854622, 9.5865653, 11.3947420, 22.2192260, 88.5826170, 313.9798800", \
+					  "9.2889554, 9.3400141, 9.6484740, 11.4543980, 22.2871940, 88.5610660, 314.0042700", \
+					  "9.3414156, 9.3896784, 9.7002646, 11.5058210, 22.3179380, 88.6630220, 314.0545500", \
+					  "9.3822070, 9.4332364, 9.7416262, 11.5468880, 22.3802790, 88.5976660, 314.0640700", \
+					  "9.4312433, 9.4818109, 9.7787707, 11.5990710, 22.4001870, 88.6555820, 314.0775400", \
+					  "9.4704555, 9.5209947, 9.8177679, 11.6393470, 22.4442310, 88.6817520, 314.1590800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0576499, 3.1326495, 3.6027379, 6.5743504, 25.2314920, 140.4212900, 200.3226600", \
+					  "3.0583835, 3.1368568, 3.6088943, 6.5697185, 25.2283460, 140.4130900, 200.3327500", \
+					  "3.0515435, 3.1313134, 3.6103500, 6.5789441, 25.2321700, 140.4205200, 200.4334300", \
+					  "3.0559970, 3.1280933, 3.6099792, 6.5757533, 25.2175680, 140.4015400, 200.4555700", \
+					  "3.0513108, 3.1314475, 3.6103427, 6.5806960, 25.2338720, 140.4060200, 200.5058800", \
+					  "3.0577298, 3.1328422, 3.6026166, 6.5764274, 25.2310330, 140.4286500, 200.3589300", \
+					  "3.0576146, 3.1328846, 3.6027443, 6.5755557, 25.2325960, 140.4066800, 200.5043300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("14.9917480, 15.2106080, 16.5572000, 24.7650380, 74.8635070, 381.3339000, 2269.9726000", \
+					  "15.0494000, 15.2691480, 16.6151230, 24.8246060, 74.9210050, 381.3922500, 2270.0026000", \
+					  "15.0694510, 15.2899100, 16.6367420, 24.8432530, 74.9427190, 381.3790700, 2269.5272000", \
+					  "15.0787560, 15.2984860, 16.6438010, 24.8530460, 74.9511390, 381.4216400, 2269.5776000", \
+					  "15.0811540, 15.3004010, 16.6481120, 24.8547600, 74.9508830, 381.4260500, 2269.9226000", \
+					  "15.0792460, 15.2999600, 16.6467000, 24.8541100, 74.9539520, 381.4241700, 2269.3071000", \
+					  "15.0774150, 15.2971080, 16.6453780, 24.8507930, 74.9438560, 381.4200500, 2270.1440000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("16.0794810, 16.4858740, 19.1079920, 35.1561940, 134.5218300, 745.1608300, 4519.8781000", \
+					  "16.0687610, 16.5011170, 19.1059610, 35.0272810, 134.4926200, 745.4366400, 4519.0754000", \
+					  "16.0687960, 16.4822310, 19.1028170, 35.1606810, 134.5468200, 746.6205100, 4520.5588000", \
+					  "16.0776100, 16.4825840, 19.0977560, 35.0370720, 134.5264600, 745.1815800, 4520.0595000", \
+					  "16.0726420, 16.4963010, 19.0247570, 35.0588710, 134.4823600, 745.5717500, 4519.3815000", \
+					  "16.0201400, 16.4970080, 19.1070130, 35.0690610, 134.5480200, 745.4556800, 4519.4279000", \
+					  "16.0728550, 16.5015930, 19.0938200, 35.0556810, 134.5089100, 745.4895000, 4521.1359000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("8.9624921, 9.0131813, 9.3109183, 11.1011000, 21.8594800, 88.1572790, 313.7751900", \
+					  "9.0641805, 9.1133758, 9.4075334, 11.1893890, 21.9679510, 88.2623970, 313.8078500", \
+					  "9.1244852, 9.1723829, 9.4721120, 11.2498620, 22.0362710, 88.2892620, 313.9763000", \
+					  "9.1735988, 9.2202725, 9.5229664, 11.3005930, 22.0880030, 88.2492230, 313.9649900", \
+					  "9.2175867, 9.2653698, 9.5653307, 11.3430250, 22.1297750, 88.3749180, 313.9868700", \
+					  "9.2573869, 9.3074624, 9.6062802, 11.3956120, 22.1521700, 88.4463420, 314.1206000", \
+					  "9.2985566, 9.3485708, 9.6441122, 11.4340680, 22.2097190, 88.5363250, 314.1350100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19925, 1.25091, 1.56954, 3.53445, 15.6517, 90.3764, 551.189");
+					values("3.0831666, 3.1584397, 3.6275576, 6.5814758, 25.3198160, 140.4316100, 200.6282900", \
+					  "3.0813330, 3.1615739, 3.6247716, 6.5768080, 25.2166040, 140.4316900, 200.6532600", \
+					  "3.0859091, 3.1600980, 3.6283732, 6.5818839, 25.2288660, 140.4199800, 200.6033500", \
+					  "3.0828826, 3.1572704, 3.6291601, 6.5884542, 25.2352860, 140.3899300, 200.8049200", \
+					  "3.0858156, 3.1598397, 3.6284196, 6.5824475, 25.2293490, 140.4152100, 200.5228900", \
+					  "3.0831375, 3.1586742, 3.6278818, 6.5821717, 25.3203220, 140.4322600, 200.5698700", \
+					  "3.0821146, 3.1566194, 3.6253591, 6.5860095, 25.3139620, 140.3485300, 200.7012800");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.5304429, -1.5722080, -1.6139732, -1.6167581, -1.6194317, -1.6222167, -1.6250017");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.5607734, 1.6207820, 1.6807905, 1.6837550, 1.6866009, 1.6895653, 1.6925298");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157310;
+			capacitance : 0.156833;
+			fall_capacitance : 0.156357;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0690246, -0.0668255, -0.0646263, -0.0650668, -0.0654896, -0.0659301, -0.0663705");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0642772, 0.0645148, 0.0647524, 0.0651916, 0.0656132, 0.0660523, 0.0664915");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.149982;
+			capacitance : 0.149490;
+			fall_capacitance : 0.148998;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0695285, -0.0670273, -0.0645261, -0.0649589, -0.0653744, -0.0658073, -0.0662401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0646083, 0.0650653, 0.0655224, 0.0657446, 0.0659579, 0.0661801, 0.0664023");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p95v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v65_1v65.lib
new file mode 100644
index 0000000..bdc801b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v65_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ss_n40C_1v65_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.650000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.650000);
+	voltage_map("VCCHIB",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.495; 
+			 vih : 1.155; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+	 operating_conditions ("ff_ss_1p65v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.650000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.421660e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4057600";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.0023800";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3397100";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4012500";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4179200";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3230500";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3230600";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4012700";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3275600";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2527200";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3271300";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3228200";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3434600";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3072700";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2496400";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3854700";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4010300";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.4216600";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006472;
+			capacitance : 0.006294;
+			fall_capacitance : 0.006116;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2821078, 4.1731532, 4.1069670, 4.0605793, 4.0272357, 4.0007054, 3.9818027", \
+					  "4.7234894, 4.6175866, 4.5498554, 4.5111162, 4.4716691, 4.4375093, 4.4070816", \
+					  "5.1820435, 5.0746143, 5.0114826, 4.9620408, 4.9302227, 4.9021669, 4.8762170", \
+					  "5.6147831, 5.5058281, 5.4380969, 5.3932541, 5.3599106, 5.3425360, 5.3031049", \
+					  "6.0371582, 5.8957162, 5.8325600, 5.7846681, 5.7497987, 5.7202170, 5.6921586", \
+					  "6.3724433, 6.2634882, 6.1972834, 6.2027945, 6.1496149, 6.0971448, 6.0927737", \
+					  "6.7872381, 6.6680739, 6.6019410, 6.5646553, 6.5164770, 6.4956261, 6.4869330");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0074657, 3.8469373, 3.6915329, 3.5835786, 3.4372581, 3.3419519, 3.2907832", \
+					  "4.4519271, 4.2899749, 4.1507591, 4.0198110, 3.9496364, 3.8073036, 3.7414945", \
+					  "4.9089682, 4.7323947, 4.5869107, 4.4772371, 4.3539655, 4.2767788, 4.2055060", \
+					  "5.3402125, 5.1782772, 5.0305300, 4.9419720, 4.8381368, 4.6530480, 4.6221194", \
+					  "5.7286138, 5.6034726, 5.3980325, 5.3143910, 5.2074170, 5.1011162, 5.0389851", \
+					  "6.1010133, 5.9359058, 5.7727719, 5.6728700, 5.5913090, 5.4662531, 5.3834291", \
+					  "6.5023252, 6.3519455, 6.1773003, 6.0810519, 5.9792942, 5.8640633, 5.7993963");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6997986, -3.5903835, -3.4971745, -3.4965823, -3.4769931, -3.4168719, -3.3898270", \
+					  "-4.1410228, -4.0198624, -3.9385044, -3.9271250, -3.8876779, -3.8641994, -3.8468746", \
+					  "-4.5964527, -4.4702692, -4.4640191, -4.3901848, -4.3644703, -4.3085990, -4.2861716", \
+					  "-5.0274844, -4.9281554, -4.8492740, -4.8547856, -4.7695618, -4.7476092, -4.7167396", \
+					  "-5.4278299, -5.3066695, -5.2313822, -5.2566571, -5.1607524, -5.1387998, -5.1562112", \
+					  "-5.8377069, -5.7180724, -5.6579707, -5.6680600, -5.5936226, -5.5593576, -5.5452005", \
+					  "-6.1966114, -6.0754510, -6.0168752, -5.9889002, -5.9586120, -5.9167362, -5.9191960");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7577987, -3.6618090, -3.4769662, -3.3470084, -3.2755633, -3.1909048, -3.0872620", \
+					  "-4.1990226, -4.0431038, -3.9204943, -3.8218679, -3.7047487, -3.5986964, -3.5285325", \
+					  "-4.6544530, -4.4936772, -4.3723937, -4.2487549, -4.1522316, -4.0697101, -3.9946088", \
+					  "-5.1037416, -5.0120625, -4.8245161, -4.6951742, -4.6245853, -4.5034156, -4.4314838", \
+					  "-5.5100393, -5.3525945, -5.2269559, -5.0990538, -5.0308537, -4.9494801, -4.8221455", \
+					  "-5.8957072, -5.7398612, -5.6140068, -5.4848301, -5.4226070, -5.3295055, -5.2184943", \
+					  "-6.2561371, -6.0971665, -5.9677740, -5.8455944, -5.7653391, -5.6608506, -5.6186684");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0303311, 0.0297232, 0.0291154, 0.0290206, 0.0289296, 0.0288349, 0.0287401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0379612, 0.0372196, 0.0364780, 0.0364483, 0.0364198, 0.0363902, 0.0363606");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004369;
+			capacitance : 0.004525;
+			rise_capacitance : 0.004681;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7990097, -3.6916165, -3.5979805, -3.5957645, -3.5425842, -3.5180625, -3.4666336", \
+					  "-4.2402337, -4.1313088, -4.0513137, -4.0522472, -3.9700751, -3.9341305, -3.9083014", \
+					  "-4.6956640, -4.5836869, -4.4946302, -4.4924186, -4.4346608, -4.4163288, -4.3632877", \
+					  "-5.1282211, -5.0162440, -4.9227237, -4.9330857, -4.8763733, -4.8472672, -4.7958448", \
+					  "-5.5270408, -5.4226363, -5.3243878, -5.3253213, -5.2614923, -5.2425182, -5.1885613", \
+					  "-5.9384437, -5.8249412, -5.7525755, -5.7351987, -5.6804923, -5.6577926, -5.6091897", \
+					  "-6.2973482, -6.1990472, -6.1069023, -6.0925768, -6.0335791, -6.0159182, -5.9762628");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6891545, -3.5969285, -3.4106650, -3.3302614, -3.2006994, -3.0491349, -3.0060702", \
+					  "-4.1288525, -4.0464065, -3.8518341, -3.7609291, -3.6487342, -3.5798506, -3.4685623", \
+					  "-4.5842829, -4.4876726, -4.3068950, -4.2269159, -4.1017440, -4.0179515, -3.8856879", \
+					  "-5.0168400, -4.9113044, -4.7398829, -4.6580581, -4.5428934, -4.4444947, -4.3387344", \
+					  "-5.4171860, -5.3332140, -5.1496591, -5.0574129, -4.9456312, -4.7652053, -4.7249775", \
+					  "-5.8270626, -5.7218165, -5.5481870, -5.4681697, -5.3477513, -5.1887207, -5.1026811", \
+					  "-6.1844411, -6.1004692, -5.9073028, -5.8169182, -5.7031537, -5.6054567, -5.4797426");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2806095, 4.1686248, 4.0916890, 4.0575275, 4.0058732, 3.9717139, 3.9655446", \
+					  "4.7220150, 4.6115567, 4.5529312, 4.5096147, 4.4488047, 4.4161713, 4.4064235", \
+					  "5.1805802, 5.0700899, 4.9947587, 4.9605505, 4.9073699, 4.8732105, 4.8430067", \
+					  "5.6118211, 5.5013134, 5.4320564, 5.3917913, 5.3386107, 5.3357450, 5.2738967", \
+					  "6.0017438, 5.8897592, 5.8189687, 5.7817132, 5.7392143, 5.7253756, 5.6606087", \
+					  "6.3710835, 6.3107620, 6.2384034, 6.1480015, 6.1480099, 6.0644865, 6.0905883", \
+					  "6.7739506, 6.6736490, 6.5967128, 6.5462913, 6.5007407, 6.4788977, 6.4619410");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9342194, 3.8066428, 3.6523143, 3.5505872, 3.3840828, 3.3164245, 3.2402059", \
+					  "4.3766886, 4.2491120, 4.1100601, 3.9867285, 3.8323156, 3.7443282, 3.6539530", \
+					  "4.8319249, 4.6969884, 4.5718494, 4.3976456, 4.3158120, 4.1839614, 4.1302973", \
+					  "5.2453435, 5.1192928, 4.9788252, 4.8566664, 4.6917721, 4.6110500, 4.5452418", \
+					  "5.6955168, 5.5694656, 5.4226462, 5.2918064, 5.1515288, 5.0483331, 4.9893248", \
+					  "6.0635912, 5.9344887, 5.8001868, 5.6563055, 5.5338128, 5.4278389, 5.3274658", \
+					  "6.4321648, 6.3061137, 6.1657850, 6.0387706, 5.9463361, 5.8303223, 5.7232919");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0363153, 0.0359920, 0.0356686, 0.0354764, 0.0352918, 0.0350996, 0.0349073");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439932, 0.0435211, 0.0430489, 0.0429732, 0.0429005, 0.0428248, 0.0427491");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006008;
+			capacitance : 0.006182;
+			rise_capacitance : 0.006356;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3049962, 4.1990929, 4.1329622, 4.0834676, 4.0485977, 4.0205419, 3.9948425", \
+					  "4.7463760, 4.6419986, 4.5757938, 4.5355287, 4.4930293, 4.4649735, 4.4445446", \
+					  "5.2049288, 5.0990259, 5.0343465, 4.9864520, 4.9515825, 4.9235263, 4.9023068", \
+					  "5.6361403, 5.5302374, 5.4655581, 5.4338097, 5.3812681, 5.3638932, 5.3320955", \
+					  "6.0260266, 5.9460630, 5.8554564, 5.8060235, 5.7726795, 5.7766674, 5.7272474", \
+					  "6.3998987, 6.2924704, 6.2232835, 6.1814214, 6.1877310, 6.1097032, 6.0836112", \
+					  "6.8101345, 6.7042312, 6.6380952, 6.5870796, 6.5506847, 6.5272062, 6.5128812");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9845436, 3.7861255, 3.6447434, 3.5020816, 3.4638433, 3.3881749, 3.1995891", \
+					  "4.4237376, 4.2433291, 4.1081887, 3.9580604, 3.9354571, 3.7931230, 3.7708426", \
+					  "4.8910625, 4.6911184, 4.5526252, 4.4000481, 4.3464399, 4.2877793, 4.1527304", \
+					  "5.2829490, 5.1028415, 4.9609457, 4.8325306, 4.7863511, 4.6405118, 4.5631945", \
+					  "5.7187777, 5.5386702, 5.3945584, 5.2531004, 5.2241920, 5.1385099, 4.9490712", \
+					  "6.1199075, 5.9399044, 5.7999701, 5.6664374, 5.6266980, 5.4999332, 5.4125298", \
+					  "6.5160018, 6.3160582, 6.1740731, 6.0426951, 5.9743173, 5.9020893, 5.7893883");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7944032, -3.6793463, -3.6317222, -3.5713503, -3.5349547, -3.5282610, -3.4727514", \
+					  "-4.2356271, -4.1220962, -4.0819012, -3.9988414, -3.9761787, -3.9437118, -3.9165526", \
+					  "-4.6895316, -4.5760006, -4.5298265, -4.4664785, -4.4316148, -4.4249153, -4.3775776", \
+					  "-5.1220887, -5.0085577, -4.9530342, -4.9144299, -4.8764554, -4.8467916, -4.8052506", \
+					  "-5.5209088, -5.3965092, -5.3245388, -5.2993820, -5.2584090, -5.2322792, -5.2024853", \
+					  "-5.8807712, -5.7672406, -5.6766216, -5.6793336, -5.6228597, -5.5921709, -5.5750308", \
+					  "-6.2956855, -6.1714737, -6.1238456, -6.0726328, -6.0412788, -5.9856828, -5.9803817");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7394880, -3.5904692, -3.4596752, -3.3179850, -3.2528528, -3.1494709, -3.0683837", \
+					  "-4.1807124, -4.0339485, -3.9004162, -3.8083716, -3.6542933, -3.5938287, -3.5096214", \
+					  "-4.6346164, -4.4858883, -4.3522605, -4.2131389, -4.1076544, -3.9920753, -3.9672982", \
+					  "-5.0671740, -4.9206063, -4.7833073, -4.6457542, -4.5850903, -4.4694428, -4.3976544", \
+					  "-5.4675195, -5.3207560, -5.1848549, -5.0477837, -4.9248625, -4.8772757, -4.7913506", \
+					  "-5.8773965, -5.7292555, -5.5938389, -5.4558986, -5.3877935, -5.2766051, -5.1971322", \
+					  "-6.2363010, -6.0880116, -5.9620688, -5.8125293, -5.7349514, -5.6088296, -5.5947249");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0377922, 0.0371147, 0.0364371, 0.0363938, 0.0363523, 0.0363090, 0.0362657");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0303497, 0.0297414, 0.0291332, 0.0290387, 0.0289481, 0.0288537, 0.0287593");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026263;
+			capacitance : 0.026317;
+			fall_capacitance : 0.026371;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031050, -0.0033608, -0.0036166, -0.0037283, -0.0038356, -0.0039474, -0.0040591");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251350, 0.0258826, 0.0266302, 0.0264941, 0.0263635, 0.0262275, 0.0260914");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032140;
+			capacitance : 0.032473;
+			rise_capacitance : 0.032807;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0773644, 0.0800679, 0.0827714, 0.0828741, 0.0829726, 0.0830752, 0.0831778");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0971981, 0.0989109, 0.1006237, 0.1007552, 0.1008815, 0.1010131, 0.1011447");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051191;
+			capacitance : 0.051443;
+			rise_capacitance : 0.051695;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0129460, -0.0131795, -0.0134130, -0.0134260, -0.0134385, -0.0134514, -0.0134644");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0132161, 0.0133194, 0.0134228, 0.0134159, 0.0134093, 0.0134024, 0.0133955");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016662;
+			capacitance : 0.016579;
+			fall_capacitance : 0.016496;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0180558, 0.0175901, 0.0171244, 0.0172483, 0.0173673, 0.0174912, 0.0176150");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0209379, 0.0205970, 0.0202562, 0.0203942, 0.0205267, 0.0206647, 0.0208028");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035430;
+			capacitance : 0.036059;
+			rise_capacitance : 0.036687;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8279706, -3.7434333, -3.6940961, -3.6430667, -3.6219298, -3.5999774, -3.5612381", \
+					  "-4.2600396, -4.1968644, -4.1321850, -4.0767747, -4.0509469, -4.0289942, -4.0009362", \
+					  "-4.7246249, -4.6400876, -4.5906671, -4.5398829, -4.5185839, -4.4981576, -4.4563666", \
+					  "-5.1541306, -5.0711188, -5.0217405, -4.9809403, -4.9511415, -4.9291946, -4.8904496", \
+					  "-5.5544762, -5.4639095, -5.4207485, -5.3728179, -5.3438575, -5.3234312, -5.2984245", \
+					  "-5.9628273, -5.8767637, -5.8307356, -5.7811433, -5.7613640, -5.7378855, -5.7128792", \
+					  "-6.3247835, -6.2295646, -6.1863987, -6.1429312, -6.1156908, -6.0922122, -6.0612210");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5843787, -2.4662505, -2.3542909, -2.2331543, -2.1647155, -2.0573136, -3.1166256", \
+					  "-3.0119224, -2.9090530, -2.7970936, -2.6759570, -2.6151474, -2.5062196, -2.4598509", \
+					  "-3.4795835, -3.3645069, -3.2464440, -3.1298850, -3.0599201, -2.9541955, -2.9015720", \
+					  "-3.9152541, -3.7971255, -4.8623347, -3.5625038, -3.4864353, -3.3916700, -3.3494496", \
+					  "-4.3019421, -4.1866019, -4.0662728, -3.9524563, -3.8761751, -3.7826291, -4.8195116", \
+					  "-4.7221824, -4.6005885, -4.4906955, -4.3729786, -4.3103221, -4.2007701, -4.1581611", \
+					  "-5.0764879, -4.9507302, -4.8387709, -4.7283157, -4.6587838, -4.5693353, -4.5195929");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1600357, 4.0543416, 4.0003301, 3.9584991, 3.9250019, 3.8969457, 3.8749913", \
+					  "4.5815785, 4.4863582, 4.4355602, 4.3981985, 4.3587514, 4.3230657, 4.3041631", \
+					  "5.0340277, 4.9525404, 4.9016438, 4.8621976, 4.8234104, 4.7984033, 4.7733971", \
+					  "5.4789713, 5.3868028, 5.3314307, 5.2864360, 5.2561442, 5.2280880, 5.2107108", \
+					  "5.8627488, 5.7858427, 5.7242156, 5.6846046, 5.6659551, 5.6225507, 5.5960172", \
+					  "6.2854524, 6.1597139, 6.1075552, 6.0501917, 6.0168477, 6.0360799, 5.9637848", \
+					  "6.6534367, 6.5623998, 6.4935415, 6.4519184, 6.4184025, 6.3926329, 6.3668660");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9006445, 3.7340573, 3.5868373, 3.4685342, 3.3482153, 3.2567589, 3.1771290", \
+					  "4.3613276, 4.1947102, 4.0564643, 3.9280142, 3.8324968, 3.7312880, 3.6363026", \
+					  "4.8001099, 4.6441742, 4.5018631, 4.3771549, 4.2520421, 4.1786266, 4.0857738", \
+					  "5.2303138, 5.0911624, 4.9254434, 4.7967069, 4.6783211, 4.6425337, 4.5127869", \
+					  "5.6663810, 5.4951864, 5.3554550, 5.2222718, 5.1193750, 5.0147134, 4.9447524", \
+					  "6.0435650, 5.8927497, 5.7493759, 5.6242054, 5.5154177, 5.4054510, 5.3351628", \
+					  "6.3878954, 6.2308557, 6.0819135, 5.9592591, 5.8662300, 5.7475511, 5.6737075");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1421489, 0.1670639, 0.1919789, 0.2314425, 0.2693276, 0.3087912, 0.3482549");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1997357, 0.2301227, 0.2605097, 0.2623937, 0.2642022, 0.2660861, 0.2679701");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009441;
+			capacitance : 0.009679;
+			rise_capacitance : 0.009917;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0040156, 0.0181896, 0.0403948, 0.2350375, 0.4218944, 0.6165371, 0.8111797");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352550, 0.0506936, 0.0661323, 0.1050706, 0.1424514, 0.1813897, 0.2203281");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006402;
+			capacitance : 0.006583;
+			rise_capacitance : 0.006763;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4026527, 4.2952231, 4.2290862, 4.1811237, 4.1447284, 4.1334570, 4.0903834", \
+					  "4.8425253, 4.7381479, 4.6720106, 4.6240485, 4.5952822, 4.5763813, 4.5362068", \
+					  "5.3026133, 5.1971501, 5.1137723, 5.0841360, 5.0538443, 5.0038505, 4.9933509", \
+					  "5.7460532, 5.6294687, 5.5618055, 5.5184211, 5.4820253, 5.4692280, 5.4380401", \
+					  "6.1222337, 6.0209067, 5.9840433, 5.9235925, 5.8996277, 5.8503667, 5.8295562", \
+					  "6.5448023, 6.3841308, 6.3696420, 6.2715578, 6.2929815, 6.2633994, 6.1791188", \
+					  "6.9077071, 6.7901061, 6.7223754, 6.6760067, 6.6558863, 6.6430886, 6.5888484");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9357507, 3.7796160, 3.6483552, 3.5306216, 3.4474717, 3.2926582, 3.2688253", \
+					  "4.3788686, 4.2242603, 4.0846715, 3.9731163, 3.8264412, 3.7718844, 3.7031780", \
+					  "4.8342435, 4.6798763, 4.5477522, 4.4342701, 4.3159678, 4.2496187, 4.1653563", \
+					  "5.2635103, 5.1057987, 4.9656455, 4.8605651, 4.7484108, 4.6511815, 4.6049887", \
+					  "5.6572247, 5.5059378, 5.3625760, 5.2515652, 5.1041911, 5.0682039, 4.9776870", \
+					  "6.0637422, 5.9121857, 5.7715875, 5.6621688, 5.5108205, 5.4298062, 5.3904417", \
+					  "6.4241921, 6.2662860, 6.1405750, 6.0299901, 5.9507064, 5.8310022, 5.7379997");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9225771, -3.8059944, -3.7474634, -3.7042380, -3.6707803, -3.6294252, -3.6405838", \
+					  "-4.3653269, -4.2478813, -4.1887149, -4.1331189, -4.1180856, -4.0823999, -4.0598797", \
+					  "-4.8192310, -4.7011228, -4.6501764, -4.5900747, -4.5554473, -4.5273436, -4.5036687", \
+					  "-5.2517885, -5.1342403, -5.0796817, -5.0226323, -4.9984485, -4.9597062, -4.9514847", \
+					  "-5.6506082, -5.5324995, -5.4757485, -5.4413493, -5.4081745, -5.3554745, -5.3411495", \
+					  "-6.0604852, -5.9393199, -5.8883784, -5.8343807, -5.7982194, -5.7684033, -5.7662161", \
+					  "-6.4193896, -6.3012810, -6.2503347, -6.1917593, -6.1706935, -6.1349367, -6.1115994");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.0253768, -2.8534950, -3.4229861, -3.3164075, -3.2543672, -3.1843057, -3.0472637", \
+					  "-4.1761347, -3.9942762, -3.8628769, -3.7535443, -3.0342082, -3.5938325, -3.5096245", \
+					  "-3.9205054, -3.7523799, -4.3215659, -4.2115562, -4.1334382, -3.9920757, -3.9598362", \
+					  "-5.0808537, -4.8989952, -4.7741346, -4.6532917, -3.9087526, -4.4369368, -3.9123595", \
+					  "-4.7928761, -4.6079662, -5.1732709, -5.0670674, -5.0081221, -4.9126434, -4.8068866", \
+					  "-5.8728189, -5.7212623, -4.8365087, -5.4543141, -5.3953128, -4.7692061, -5.1971322", \
+					  "-5.5221896, -6.0543274, -5.9185045, -5.8125093, -5.7349443, -4.8779129, -5.5793314");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0377417, 0.0371023, 0.0364630, 0.0364259, 0.0363902, 0.0363530, 0.0363159");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0304133, 0.0298044, 0.0291956, 0.0291034, 0.0290150, 0.0289229, 0.0288307");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017590;
+			capacitance : 0.017508;
+			fall_capacitance : 0.017426;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1232494, 0.1550963, 0.1869432, 0.1889779, 0.1909311, 0.1929657, 0.1950004");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0873076, 0.1134558, 0.1396039, 0.1794435, 0.2176896, 0.2575292, 0.2973689");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005037;
+			capacitance : 0.005194;
+			rise_capacitance : 0.005351;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9134351, -3.7770024, -3.7230045, -3.6644289, -3.6204870, -3.5896281, -3.5444534", \
+					  "-4.3546590, -4.2076082, -4.1581278, -4.1056529, -4.0570504, -4.0278245, -3.9902550", \
+					  "-4.8100894, -4.6736568, -4.6181327, -4.5687642, -4.5171695, -4.4832579, -4.4456853", \
+					  "-5.2426465, -5.0983308, -5.0461126, -5.0062778, -4.9466011, -4.9211521, -4.8812942", \
+					  "-5.6414666, -5.5050339, -5.4510358, -5.3894087, -5.3564928, -5.3132331, -5.2882345", \
+					  "-6.0513436, -5.9042968, -5.8609128, -5.8160700, -5.7584077, -5.7307538, -5.7055797", \
+					  "-6.4102476, -6.2738150, -6.2198173, -6.1719227, -6.1187426, -6.1074712, -6.0534730");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425167, -3.5829845, -3.4182332, -3.3641323, -3.1920832, -3.0525304, -3.0458482", \
+					  "-4.1837406, -4.0547261, -3.9203380, -3.7922787, -3.7188028, -3.5737550, -3.4180505", \
+					  "-4.6242825, -4.4739059, -4.2943224, -4.2456032, -4.1002896, -4.0126242, -3.9060215", \
+					  "-5.0884596, -4.9212982, -4.2973986, -4.7115660, -4.5526051, -4.4769230, -4.3181918", \
+					  "-5.4947573, -5.3657427, -5.1931909, -5.1162574, -4.9546560, -4.8817365, -4.7907284", \
+					  "-5.8804248, -5.7514102, -5.5644264, -4.8234480, -5.3416326, -5.1903696, -5.1512481", \
+					  "-6.2393292, -5.4477633, -5.9341227, -5.8550042, -5.6896529, -5.5621389, -5.5411082");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3858830, 4.2723334, 4.1985698, 4.1551822, 4.1111570, 4.0800495, 4.0489397", \
+					  "4.8304985, 4.7169489, 4.6446405, 4.5997977, 4.5603506, 4.5368720, 4.5027105", \
+					  "5.2871918, 5.1705904, 5.1044012, 5.0549652, 5.0124658, 4.9848706, 4.9505553", \
+					  "5.7132590, 5.6012349, 5.5274712, 5.4825578, 5.4400802, 5.4062024, 5.3764951", \
+					  "6.1104768, 5.9938759, 5.9291961, 5.8782497, 5.8357508, 5.8153240, 5.7826884", \
+					  "6.4746096, 6.3625854, 6.2903099, 6.2454342, 6.2029349, 6.1924966, 6.1819166", \
+					  "6.8760773, 6.7625277, 6.6887640, 6.6438506, 6.6013513, 6.5785655, 6.5574442");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9662551, 3.7909172, 3.6415618, 3.5008337, 3.3912851, 3.2723416, 3.1681480", \
+					  "4.4250202, 4.2527744, 4.0991293, 3.9726443, 3.8501383, 3.7377406, 3.6284911", \
+					  "4.8794123, 4.7128060, 4.5601445, 4.4247937, 4.2918556, 4.1854505, 4.0797866", \
+					  "5.3277425, 5.1264649, 4.9802019, 4.8522264, 4.7502427, 4.6511069, 4.5258533", \
+					  "5.7204013, 5.5019449, 5.3510283, 5.2640117, 5.1024885, 5.0253298, 4.9206997", \
+					  "6.1038506, 5.9420915, 5.7927177, 5.6635299, 5.5463827, 5.4214231, 5.3235841", \
+					  "6.4651247, 6.3000794, 6.1473505, 6.0154937, 5.9038186, 5.8271395, 5.7093208");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0360411, 0.0355566, 0.0350721, 0.0349580, 0.0348485, 0.0347344, 0.0346203");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0425909, 0.0420323, 0.0414737, 0.0413784, 0.0412870, 0.0411918, 0.0410965");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004849;
+			capacitance : 0.004652;
+			fall_capacitance : 0.004455;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074680, -0.0074481, -0.0074282, -0.0074065, -0.0073856, -0.0073638, -0.0073421");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074082, 0.0073736, 0.0073390, 0.0073491, 0.0073587, 0.0073688, 0.0073788");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2821078, 4.7234894, 5.1820435, 5.6147831, 6.0371582, 6.3724433, 6.7872381", \
+					  "4.1731532, 4.6175866, 5.0746143, 5.5058281, 5.8957162, 6.2634882, 6.6680739", \
+					  "4.1069670, 4.5498554, 5.0114826, 5.4380969, 5.8325600, 6.1972834, 6.6019410", \
+					  "4.0605793, 4.5111162, 4.9620408, 5.3932541, 5.7846681, 6.2027945, 6.5646553", \
+					  "4.0272357, 4.4716691, 4.9302227, 5.3599106, 5.7497987, 6.1496149, 6.5164770", \
+					  "4.0007054, 4.4375093, 4.9021669, 5.3425360, 5.7202170, 6.0971448, 6.4956261", \
+					  "3.9818027, 4.4070816, 4.8762170, 5.3031049, 5.6921586, 6.0927737, 6.4869330");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6997986, -4.1410228, -4.5964527, -5.0274844, -5.4278299, -5.8377069, -6.1966114", \
+					  "-3.5903835, -4.0198624, -4.4702692, -4.9281554, -5.3066695, -5.7180724, -6.0754510", \
+					  "-3.4971745, -3.9385044, -4.4640191, -4.8492740, -5.2313822, -5.6579707, -6.0168752", \
+					  "-3.4965823, -3.9271250, -4.3901848, -4.8547856, -5.2566571, -5.6680600, -5.9889002", \
+					  "-3.4769931, -3.8876779, -4.3644703, -4.7695618, -5.1607524, -5.5936226, -5.9586120", \
+					  "-3.4168719, -3.8641994, -4.3085990, -4.7476092, -5.1387998, -5.5593576, -5.9167362", \
+					  "-3.3898270, -3.8468746, -4.2861716, -4.7167396, -5.1562112, -5.5452005, -5.9191960");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8279706, -4.2600396, -4.7246249, -5.1541306, -5.5544762, -5.9628273, -6.3247835", \
+					  "-3.7434333, -4.1968644, -4.6400876, -5.0711188, -5.4639095, -5.8767637, -6.2295646", \
+					  "-3.6940961, -4.1321850, -4.5906671, -5.0217405, -5.4207485, -5.8307356, -6.1863987", \
+					  "-3.6430667, -4.0767747, -4.5398829, -4.9809403, -5.3728179, -5.7811433, -6.1429312", \
+					  "-3.6219298, -4.0509469, -4.5185839, -4.9511415, -5.3438575, -5.7613640, -6.1156908", \
+					  "-3.5999774, -4.0289942, -4.4981576, -4.9291946, -5.3234312, -5.7378855, -6.0922122", \
+					  "-3.5612381, -4.0009362, -4.4563666, -4.8904496, -5.2984245, -5.7128792, -6.0612210");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1600357, 4.5815785, 5.0340277, 5.4789713, 5.8627488, 6.2854524, 6.6534367", \
+					  "4.0543416, 4.4863582, 4.9525404, 5.3868028, 5.7858427, 6.1597139, 6.5623998", \
+					  "4.0003301, 4.4355602, 4.9016438, 5.3314307, 5.7242156, 6.1075552, 6.4935415", \
+					  "3.9584991, 4.3981985, 4.8621976, 5.2864360, 5.6846046, 6.0501917, 6.4519184", \
+					  "3.9250019, 4.3587514, 4.8234104, 5.2561442, 5.6659551, 6.0168477, 6.4184025", \
+					  "3.8969457, 4.3230657, 4.7984033, 5.2280880, 5.6225507, 6.0360799, 6.3926329", \
+					  "3.8749913, 4.3041631, 4.7733971, 5.2107108, 5.5960172, 5.9637848, 6.3668660");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4026527, 4.8425253, 5.3026133, 5.7460532, 6.1222337, 6.5448023, 6.9077071", \
+					  "4.2952231, 4.7381479, 5.1971501, 5.6294687, 6.0209067, 6.3841308, 6.7901061", \
+					  "4.2290862, 4.6720106, 5.1137723, 5.5618055, 5.9840433, 6.3696420, 6.7223754", \
+					  "4.1811237, 4.6240485, 5.0841360, 5.5184211, 5.9235925, 6.2715578, 6.6760067", \
+					  "4.1447284, 4.5952822, 5.0538443, 5.4820253, 5.8996277, 6.2929815, 6.6558863", \
+					  "4.1334570, 4.5763813, 5.0038505, 5.4692280, 5.8503667, 6.2633994, 6.6430886", \
+					  "4.0903834, 4.5362068, 4.9933509, 5.4380401, 5.8295562, 6.1791188, 6.5888484");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9225771, -4.3653269, -4.8192310, -5.2517885, -5.6506082, -6.0604852, -6.4193896", \
+					  "-3.8059944, -4.2478813, -4.7011228, -5.1342403, -5.5324995, -5.9393199, -6.3012810", \
+					  "-3.7474634, -4.1887149, -4.6501764, -5.0796817, -5.4757485, -5.8883784, -6.2503347", \
+					  "-3.7042380, -4.1331189, -4.5900747, -5.0226323, -5.4413493, -5.8343807, -6.1917593", \
+					  "-3.6707803, -4.1180856, -4.5554473, -4.9984485, -5.4081745, -5.7982194, -6.1706935", \
+					  "-3.6294252, -4.0823999, -4.5273436, -4.9597062, -5.3554745, -5.7684033, -6.1349367", \
+					  "-3.6405838, -4.0598797, -4.5036687, -4.9514847, -5.3411495, -5.7662161, -6.1115994");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9134351, -4.3546590, -4.8100894, -5.2426465, -5.6414666, -6.0513436, -6.4102476", \
+					  "-3.7770024, -4.2076082, -4.6736568, -5.0983308, -5.5050339, -5.9042968, -6.2738150", \
+					  "-3.7230045, -4.1581278, -4.6181327, -5.0461126, -5.4510358, -5.8609128, -6.2198173", \
+					  "-3.6644289, -4.1056529, -4.5687642, -5.0062778, -5.3894087, -5.8160700, -6.1719227", \
+					  "-3.6204870, -4.0570504, -4.5171695, -4.9466011, -5.3564928, -5.7584077, -6.1187426", \
+					  "-3.5896281, -4.0278245, -4.4832579, -4.9211521, -5.3132331, -5.7307538, -6.1074712", \
+					  "-3.5444534, -3.9902550, -4.4456853, -4.8812942, -5.2882345, -5.7055797, -6.0534730");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3858830, 4.8304985, 5.2871918, 5.7132590, 6.1104768, 6.4746096, 6.8760773", \
+					  "4.2723334, 4.7169489, 5.1705904, 5.6012349, 5.9938759, 6.3625854, 6.7625277", \
+					  "4.1985698, 4.6446405, 5.1044012, 5.5274712, 5.9291961, 6.2903099, 6.6887640", \
+					  "4.1551822, 4.5997977, 5.0549652, 5.4825578, 5.8782497, 6.2454342, 6.6438506", \
+					  "4.1111570, 4.5603506, 5.0124658, 5.4400802, 5.8357508, 6.2029349, 6.6013513", \
+					  "4.0800495, 4.5368720, 4.9848706, 5.4062024, 5.8153240, 6.1924966, 6.5785655", \
+					  "4.0489397, 4.5027105, 4.9505553, 5.3764951, 5.7826884, 6.1819166, 6.5574442");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7990097, -4.2402337, -4.6956640, -5.1282211, -5.5270408, -5.9384437, -6.2973482", \
+					  "-3.6916165, -4.1313088, -4.5836869, -5.0162440, -5.4226363, -5.8249412, -6.1990472", \
+					  "-3.5979805, -4.0513137, -4.4946302, -4.9227237, -5.3243878, -5.7525755, -6.1069023", \
+					  "-3.5957645, -4.0522472, -4.4924186, -4.9330857, -5.3253213, -5.7351987, -6.0925768", \
+					  "-3.5425842, -3.9700751, -4.4346608, -4.8763733, -5.2614923, -5.6804923, -6.0335791", \
+					  "-3.5180625, -3.9341305, -4.4163288, -4.8472672, -5.2425182, -5.6577926, -6.0159182", \
+					  "-3.4666336, -3.9083014, -4.3632877, -4.7958448, -5.1885613, -5.6091897, -5.9762628");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2806095, 4.7220150, 5.1805802, 5.6118211, 6.0017438, 6.3710835, 6.7739506", \
+					  "4.1686248, 4.6115567, 5.0700899, 5.5013134, 5.8897592, 6.3107620, 6.6736490", \
+					  "4.0916890, 4.5529312, 4.9947587, 5.4320564, 5.8189687, 6.2384034, 6.5967128", \
+					  "4.0575275, 4.5096147, 4.9605505, 5.3917913, 5.7817132, 6.1480015, 6.5462913", \
+					  "4.0058732, 4.4488047, 4.9073699, 5.3386107, 5.7392143, 6.1480099, 6.5007407", \
+					  "3.9717139, 4.4161713, 4.8732105, 5.3357450, 5.7253756, 6.0644865, 6.4788977", \
+					  "3.9655446, 4.4064235, 4.8430067, 5.2738967, 5.6606087, 6.0905883, 6.4619410");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8844574, -4.3165260, -4.7811117, -5.2090911, -5.6124889, -6.0238913, -6.3843217", \
+					  "-3.7831060, -4.2005068, -4.6782344, -5.0945956, -5.5080857, -5.9033016, -6.2768668", \
+					  "-3.7336855, -4.1733840, -4.6288140, -5.0613715, -5.4764359, -5.8708369, -6.2313427", \
+					  "-3.6842653, -4.1214300, -4.5908936, -5.0185284, -5.4444127, -5.8099665, -6.1908729", \
+					  "-3.6402405, -4.0814642, -4.5527854, -4.9786070, -5.3881084, -5.7766229, -6.1584153", \
+					  "-3.6367424, -4.0587855, -4.5318759, -4.9605464, -5.3578646, -5.7731630, -6.1334108", \
+					  "-3.5826004, -4.0490448, -4.4929875, -4.9346999, -5.3365718, -5.7539357, -6.1092346");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2073667, 4.6258808, 5.0783411, 5.5248377, 5.9590060, 6.3495092, 6.7124038", \
+					  "4.0953332, 4.5230027, 4.9907219, 5.4189078, 5.8576533, 6.2374757, 6.5917332", \
+					  "4.0557986, 4.4751086, 4.9404856, 5.3710128, 5.7890904, 6.1820924, 6.5316315", \
+					  "3.9939312, 4.4287399, 4.8957800, 5.3265954, 5.7538852, 6.1361261, 6.4903903", \
+					  "3.9616606, 4.3970474, 4.8600633, 5.3175058, 5.7090126, 6.1022674, 6.4550079", \
+					  "3.9387656, 4.3680173, 4.8387310, 5.2839691, 5.6781325, 6.0185446, 6.4259392", \
+					  "3.9135950, 4.3440605, 4.8148199, 5.2624645, 5.6373118, 5.9933972, 6.4082244");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9174155, 4.3603701, 4.8189460, 5.2502130, 5.6723966, 6.0595100, 6.4122323", \
+					  "3.8054162, 4.2534245, 4.7084725, 5.1384339, 5.5619232, 5.9459849, 6.3002326", \
+					  "3.7498922, 4.1821851, 4.6469307, 5.0781124, 5.5052121, 5.8919872, 6.2431831", \
+					  "3.6990262, 4.1374404, 4.6203133, 5.0272473, 5.4525414, 5.8380743, 6.1892649", \
+					  "3.6778092, 4.1177122, 4.5793398, 5.0106069, 5.4327905, 5.8244816, 6.1695740", \
+					  "3.6283907, 4.0972854, 4.5314475, 4.9718698, 5.3848981, 5.7721661, 6.1552508", \
+					  "3.6415316, 4.0635942, 4.5186479, 4.9575444, 5.3782022, 5.7744709, 6.1143409");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7531957, -4.1928942, -4.6483241, -5.0808817, -5.4797013, -5.8911042, -5.7220546", \
+					  "-3.0293219, -4.0961560, -3.9259762, -4.9810922, -4.7588792, -5.7913147, -6.1502192", \
+					  "-3.0073674, -4.0269647, -4.4899592, -4.9089299, -4.7460797, -5.7177558, -6.0783593", \
+					  "-3.5517713, -3.9820566, -4.4299193, -4.8901636, -4.7622725, -5.6711748, -6.0300762", \
+					  "-3.5242737, -3.9365060, -3.8940888, -4.8519590, -5.2492532, -5.6621820, -6.0241378", \
+					  "-3.5099505, -3.9193433, -4.4066046, -4.8406876, -5.2028867, -5.6348337, -5.9644980", \
+					  "-3.4515443, -3.8950867, -4.3428800, -4.7769368, -5.1726856, -5.5918800, -5.9560501");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3049962, 4.7463760, 5.2049288, 5.6361403, 6.0260266, 6.3998987, 6.8101345", \
+					  "4.1990929, 4.6419986, 5.0990259, 5.5302374, 5.9460630, 6.2924704, 6.7042312", \
+					  "4.1329622, 4.5757938, 5.0343465, 5.4655581, 5.8554564, 6.2232835, 6.6380952", \
+					  "4.0834676, 4.5355287, 4.9864520, 5.4338097, 5.8060235, 6.1814214, 6.5870796", \
+					  "4.0485977, 4.4930293, 4.9515825, 5.3812681, 5.7726795, 6.1877310, 6.5506847", \
+					  "4.0205419, 4.4649735, 4.9235263, 5.3638932, 5.7766674, 6.1097032, 6.5272062", \
+					  "3.9948425, 4.4445446, 4.9023068, 5.3320955, 5.7272474, 6.0836112, 6.5128812");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7944032, -4.2356271, -4.6895316, -5.1220887, -5.5209088, -5.8807712, -6.2956855", \
+					  "-3.6793463, -4.1220962, -4.5760006, -5.0085577, -5.3965092, -5.7672406, -6.1714737", \
+					  "-3.6317222, -4.0819012, -4.5298265, -4.9530342, -5.3245388, -5.6766216, -6.1238456", \
+					  "-3.5713503, -3.9988414, -4.4664785, -4.9144299, -5.2993820, -5.6793336, -6.0726328", \
+					  "-3.5349547, -3.9761787, -4.4316148, -4.8764554, -5.2584090, -5.6228597, -6.0412788", \
+					  "-3.5282610, -3.9437118, -4.4249153, -4.8467916, -5.2322792, -5.5921709, -5.9856828", \
+					  "-3.4727514, -3.9165526, -4.3775776, -4.8052506, -5.2024853, -5.5750308, -5.9803817");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1759602, 0.6234704, 1.0789843, 1.5025968, 1.8940461, 2.2579292, 2.6570926", \
+					  "0.0669503, 0.5125427, 0.9731485, 1.3715931, 1.8026028, 2.1720690, 2.5488778", \
+					  "0.0053229, 0.4639036, 0.9130886, 1.3229588, 1.7092669, 2.0870615, 2.4880436", \
+					  "-0.0383062, 0.4155711, 0.8868345, 1.3086433, 1.6772508, 2.0316653, 2.4407854", \
+					  "-0.0634137, 0.3817722, 0.8380638, 1.2638473, 1.6617445, 2.0207658, 2.3496614", \
+					  "-0.0954469, 0.3487104, 0.8100080, 1.2408673, 1.6245196, 2.0058626, 2.3451799", \
+					  "-0.1259260, 0.3202428, 0.7804238, 1.2156061, 1.6061964, 1.9681484, 2.3339067");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2278950, -0.2157450, -0.6540323, -1.0654389, -1.4571902, -1.8688874, -2.2262660", \
+					  "0.3398446, -0.1117543, -0.5572363, -0.9684306, -1.3450155, -1.7513189, -2.1051140", \
+					  "0.3923576, -0.0626633, -0.4994391, -0.9221535, -1.3057952, -1.6617126, -2.0495902", \
+					  "0.4369089, -0.0181035, -0.4691532, -0.8776268, -1.2654481, -1.6458430, -1.9864370", \
+					  "0.4565495, 0.0351428, -0.4379383, -0.8523029, -1.2354666, -1.6186028, -1.9683519", \
+					  "0.4979417, 0.0541599, -0.3888200, -0.8205875, -1.2269776, -1.6073313, -1.9618133", \
+					  "0.5175030, 0.0941120, -0.3808429, -0.8026705, -1.2058113, -1.5870279, -1.9462862");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9179937, -4.3592176, -4.8131221, -5.2472051, -5.6444993, -6.0559017, -6.4148062", \
+					  "-3.7998908, -4.2380630, -4.6950192, -5.1328312, -5.5263964, -5.9367841, -6.2951774", \
+					  "-3.7459673, -4.1841279, -4.6426154, -5.0766300, -5.4785018, -5.8853271, -6.2442311", \
+					  "-3.7028542, -4.1209207, -4.5855472, -5.0180546, -5.4275555, -5.8286155, -6.1902334", \
+					  "-3.6722838, -4.1119820, -4.5538948, -4.9999695, -5.3972637, -5.7934073, -6.1691307", \
+					  "-3.6265461, -4.0808740, -4.5228991, -4.9490254, -5.3524227, -5.7638256, -6.1349367", \
+					  "-3.6375320, -4.0539196, -4.4945134, -4.9301223, -5.3350459, -5.7617197, -6.1092346");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3324569, 4.7738660, 5.2324325, 5.6652028, 6.0902250, 6.4458370, 6.8273172", \
+					  "4.2280844, 4.6694935, 5.1280600, 5.5623562, 5.9815688, 6.3155266, 6.7214188", \
+					  "4.1634499, 4.6064200, 5.0769680, 5.5167851, 5.9031489, 6.2920454, 6.6567843", \
+					  "4.1124591, 4.5676012, 5.0170124, 5.4683567, 5.8458130, 6.2683230, 6.6088453", \
+					  "4.0775896, 4.5251019, 4.9851943, 5.4240681, 5.8063654, 6.2258241, 6.5755017", \
+					  "4.0510593, 4.4924684, 4.9479445, 5.3872687, 5.8060692, 6.1522337, 6.5560684", \
+					  "4.0336825, 4.4735653, 4.9300158, 5.3625951, 5.7888546, 6.1732551, 6.5315946");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023476;
+			capacitance : 0.024350;
+			rise_capacitance : 0.024655;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8844574, -3.7831060, -3.7336855, -3.6842653, -3.6402405, -3.6367424, -3.5826004", \
+					  "-4.3165260, -4.2005068, -4.1733840, -4.1214300, -4.0814642, -4.0587855, -4.0490448", \
+					  "-4.7811117, -4.6782344, -4.6288140, -4.5908936, -4.5527854, -4.5318759, -4.4929875", \
+					  "-5.2090911, -5.0945956, -5.0613715, -5.0185284, -4.9786070, -4.9605464, -4.9346999", \
+					  "-5.6124889, -5.5080857, -5.4764359, -5.4444127, -5.3881084, -5.3578646, -5.3365718", \
+					  "-6.0238913, -5.9033016, -5.8708369, -5.8099665, -5.7766229, -5.7731630, -5.7539357", \
+					  "-6.3843217, -6.2768668, -6.2313427, -6.1908729, -6.1584153, -6.1334108, -6.1092346");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5523481, -2.4402848, -2.3775252, -2.2515611, -2.2305064, -2.0997665, -1.9666945", \
+					  "-2.9814175, -2.8830873, -2.8203277, -2.7612179, -2.6990707, -2.4772279, -2.4618882", \
+					  "-3.4506044, -3.3370155, -3.2331397, -3.1512472, -3.0937323, -2.9967688, -2.8985538", \
+					  "-3.8847491, -3.7696341, -4.9110340, -3.6077825, -3.5157905, -3.4149825, -3.3082510", \
+					  "-4.2668595, -4.1710357, -4.0518928, -3.9459722, -3.9608656, -3.7672471, -3.7076144", \
+					  "-4.6420745, -4.5762351, -4.4592762, -4.3148700, -4.3305423, -4.1776606, -4.0709602", \
+					  "-5.0732167, -4.9214807, -4.8136976, -4.7449633, -4.6398078, -4.6192802, -4.5116233");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2073667, 4.0953332, 4.0557986, 3.9939312, 3.9616606, 3.9387656, 3.9135950", \
+					  "4.6258808, 4.5230027, 4.4751086, 4.4287399, 4.3970474, 4.3680173, 4.3440605", \
+					  "5.0783411, 4.9907219, 4.9404856, 4.8957800, 4.8600633, 4.8387310, 4.8148199", \
+					  "5.5248377, 5.4189078, 5.3710128, 5.3265954, 5.3175058, 5.2839691, 5.2624645", \
+					  "5.9590060, 5.8576533, 5.7890904, 5.7538852, 5.7090126, 5.6781325, 5.6373118", \
+					  "6.3495092, 6.2374757, 6.1820924, 6.1361261, 6.1022674, 6.0185446, 5.9933972", \
+					  "6.7124038, 6.5917332, 6.5316315, 6.4903903, 6.4550079, 6.4259392, 6.4082244");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9448985, 3.7733108, 3.6416022, 3.4987620, 3.3777230, 3.2897003, 3.2432772", \
+					  "4.3680076, 4.2128694, 4.0834592, 3.9252164, 3.8247177, 3.7328077, 3.7014812", \
+					  "4.8357343, 4.6702498, 4.5369648, 4.4021989, 4.2728534, 4.1966304, 4.1066468", \
+					  "5.2807232, 5.1165861, 4.9744660, 4.8334228, 4.7390290, 4.6440450, 4.5717974", \
+					  "5.6584571, 5.5082319, 5.3538185, 5.2379668, 5.1322300, 5.0360132, 4.9415772", \
+					  "6.0323984, 5.8562344, 5.7294647, 5.5855487, 5.4948249, 5.3837546, 5.3040432", \
+					  "6.4382102, 6.2738293, 6.1382400, 5.9894510, 5.9128589, 5.8341117, 5.7187888");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006311;
+			capacitance : 0.006489;
+			rise_capacitance : 0.006666;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9174155, 3.8054162, 3.7498922, 3.6990262, 3.6778092, 3.6283907, 3.6415316", \
+					  "4.3603701, 4.2534245, 4.1821851, 4.1374404, 4.1177122, 4.0972854, 4.0635942", \
+					  "4.8189460, 4.7084725, 4.6469307, 4.6203133, 4.5793398, 4.5314475, 4.5186479", \
+					  "5.2502130, 5.1384339, 5.0781124, 5.0272473, 5.0106069, 4.9718698, 4.9575444", \
+					  "5.6723966, 5.5619232, 5.5052121, 5.4525414, 5.4327905, 5.3848981, 5.3782022", \
+					  "6.0595100, 5.9459849, 5.8919872, 5.8380743, 5.8244816, 5.7721661, 5.7744709", \
+					  "6.4122323, 6.3002326, 6.2431831, 6.1892649, 6.1695740, 6.1552508, 6.1143409");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4331843, 4.2831910, 4.1358453, 4.0123214, 3.9094433, 3.7921180, 3.7022372", \
+					  "4.8965412, 4.7446147, 4.6017526, 4.4710958, 4.3471562, 4.2523698, 4.1518240", \
+					  "5.3509959, 5.1990694, 5.0561944, 4.9240414, 4.8317277, 4.7082452, 4.6184845", \
+					  "5.7686738, 5.6188470, 5.4805780, 5.3503458, 5.2256424, 5.1180458, 5.0314542", \
+					  "6.1487347, 5.9968078, 5.8515448, 5.7236702, 5.5993497, 5.5033616, 5.4212189", \
+					  "6.5873425, 6.4369419, 6.2978043, 6.1656958, 6.0717962, 5.9426973, 5.8496513", \
+					  "6.9335380, 6.7846633, 6.6406319, 6.5125730, 6.4083688, 6.2815191, 6.2145523");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7531957, -3.0293219, -3.0073674, -3.5517713, -3.5242737, -3.5099505, -3.4515443", \
+					  "-4.1928942, -4.0961560, -4.0269647, -3.9820566, -3.9365060, -3.9193433, -3.8950867", \
+					  "-4.6483241, -3.9259762, -4.4899592, -4.4299193, -3.8940888, -4.4066046, -4.3428800", \
+					  "-5.0808817, -4.9810922, -4.9089299, -4.8901636, -4.8519590, -4.8406876, -4.7769368", \
+					  "-5.4797013, -4.7588792, -4.7460797, -4.7622725, -5.2492532, -5.2028867, -5.1726856", \
+					  "-5.8911042, -5.7913147, -5.7177558, -5.6711748, -5.6621820, -5.6348337, -5.5918800", \
+					  "-5.7220546, -6.1502192, -6.0783593, -6.0300762, -6.0241378, -5.9644980, -5.9560501");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9256418, -3.7849219, -3.6476945, -3.5225222, -3.3862930, -3.3211460, -3.2484328", \
+					  "-4.3668657, -4.2265966, -4.0857515, -3.9652718, -3.8351932, -3.7534799, -3.7146368", \
+					  "-4.8222961, -4.6815762, -4.5296639, -4.4340191, -4.2843579, -4.1884182, -4.1668550", \
+					  "-5.2548532, -5.1123934, -4.9684654, -4.8620789, -4.7043549, -4.6359771, -4.5776442", \
+					  "-5.6536728, -5.5129529, -5.3749749, -5.2658706, -5.1026007, -5.0649566, -4.9795156", \
+					  "-6.0135347, -5.8612668, -5.7329387, -5.6231932, -5.4640294, -5.4288900, -5.3515847", \
+					  "-6.4284513, -6.2862739, -6.1446947, -6.0400629, -5.9223472, -5.7886671, -5.7196796");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0302287, 0.0297082, 0.0291877, 0.0290952, 0.0290065, 0.0289140, 0.0288216");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0380014, 0.0372679, 0.0365345, 0.0364537, 0.0363761, 0.0362953, 0.0362144");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014610;
+			capacitance : 0.015079;
+			rise_capacitance : 0.015548;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439334, 0.1026686, 0.1614037, 0.3963964, 0.6219893, 0.8569819, 1.0919746");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116096, -0.0014117, 0.0087861, 0.0208592, 0.0324493, 0.0445224, 0.0565954");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031071;
+			capacitance : 0.031083;
+			fall_capacitance : 0.031095;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0045120, -0.0043325, -0.0041530, -0.0042918, -0.0044251, -0.0045639, -0.0047027");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0529340, 0.0764632, 0.0999924, 0.0998953, 0.0998020, 0.0997048, 0.0996076");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006905;
+			capacitance : 0.006728;
+			fall_capacitance : 0.006552;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1759602, 0.0669503, 0.0053229, -0.0383062, -0.0634137, -0.0954469, -0.1259260", \
+					  "0.6234704, 0.5125427, 0.4639036, 0.4155711, 0.3817722, 0.3487104, 0.3202428", \
+					  "1.0789843, 0.9731485, 0.9130886, 0.8868345, 0.8380638, 0.8100080, 0.7804238", \
+					  "1.5025968, 1.3715931, 1.3229588, 1.3086433, 1.2638473, 1.2408673, 1.2156061", \
+					  "1.8940461, 1.8026028, 1.7092669, 1.6772508, 1.6617445, 1.6245196, 1.6061964", \
+					  "2.2579292, 2.1720690, 2.0870615, 2.0316653, 2.0207658, 2.0058626, 1.9681484", \
+					  "2.6570926, 2.5488778, 2.4880436, 2.4407854, 2.3496614, 2.3451799, 2.3339067");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0987569, -0.2591743, -0.3900261, -0.4933583, -0.6265732, -0.7268995, -0.8217268", \
+					  "0.3432419, 0.1922694, 0.0559321, -0.0870532, -0.1761849, -0.2990601, -0.4061229", \
+					  "0.7798858, 0.6403748, 0.4960877, 0.3811156, 0.2630466, 0.1710983, 0.0785249", \
+					  "1.2043378, 1.0587893, 0.9030174, 0.8192482, 0.6935545, 0.5875362, 0.5424283", \
+					  "1.6120922, 1.4467102, 1.3091378, 1.2362224, 1.1303838, 1.0162582, 0.9046355", \
+					  "1.9992157, 1.8568034, 1.6561225, 1.5902149, 1.4920079, 1.3719786, 1.3011304", \
+					  "2.3504217, 2.2156417, 2.0358533, 1.9474640, 1.8281261, 1.7535830, 1.6381162");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2278950, 0.3398446, 0.3923576, 0.4369089, 0.4565495, 0.4979417, 0.5175030", \
+					  "-0.2157450, -0.1117543, -0.0626633, -0.0181035, 0.0351428, 0.0541599, 0.0941120", \
+					  "-0.6540323, -0.5572363, -0.4994391, -0.4691532, -0.4379383, -0.3888200, -0.3808429", \
+					  "-1.0654389, -0.9684306, -0.9221535, -0.8776268, -0.8523029, -0.8205875, -0.8026705", \
+					  "-1.4571902, -1.3450155, -1.3057952, -1.2654481, -1.2354666, -1.2269776, -1.2058113", \
+					  "-1.8688874, -1.7513189, -1.6617126, -1.6458430, -1.6186028, -1.6073313, -1.5870279", \
+					  "-2.2262660, -2.1051140, -2.0495902, -1.9864370, -1.9683519, -1.9618133, -1.9462862");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2248342, 0.8842450, 0.5129100, 0.6461981, 0.7435272, 0.8141056, 0.9031842", \
+					  "-0.2371288, -0.0820821, 0.0625632, 0.1970169, 0.2769223, 0.3858634, 0.4890155", \
+					  "-0.6513425, -0.0083012, -0.3679622, -0.2752355, -0.1736454, -0.0253370, 0.0655353", \
+					  "-1.0669259, -0.9275235, -0.7757055, -0.6723572, -0.5970070, -0.0372715, -0.3939698", \
+					  "-0.8716053, -1.3025814, -1.1858635, -1.0557353, -0.9657309, -0.8462961, -0.4671893", \
+					  "-1.8625567, -1.7133204, -1.5303617, -0.8737319, -1.3319760, -1.2355323, -1.1836366", \
+					  "-2.1749144, -2.0745349, -1.8806858, -1.7618120, -1.6764776, -1.6194302, -1.5137696");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0302181, 0.0296823, 0.0291465, 0.0290532, 0.0289636, 0.0288703, 0.0287770");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0377735, 0.0370233, 0.0362732, 0.0362100, 0.0361493, 0.0360860, 0.0360228");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014072;
+			capacitance : 0.013972;
+			fall_capacitance : 0.013872;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9179937, -3.7998908, -3.7459673, -3.7028542, -3.6722838, -3.6265461, -3.6375320", \
+					  "-4.3592176, -4.2380630, -4.1841279, -4.1209207, -4.1119820, -4.0808740, -4.0539196", \
+					  "-4.8131221, -4.6950192, -4.6426154, -4.5855472, -4.5538948, -4.5228991, -4.4945134", \
+					  "-5.2472051, -5.1328312, -5.0766300, -5.0180546, -4.9999695, -4.9490254, -4.9301223", \
+					  "-5.6444993, -5.5263964, -5.4785018, -5.4275555, -5.3972637, -5.3524227, -5.3350459", \
+					  "-6.0559017, -5.9367841, -5.8853271, -5.8286155, -5.7934073, -5.7638256, -5.7617197", \
+					  "-6.4148062, -6.2951774, -6.2442311, -6.1902334, -6.1691307, -6.1349367, -6.1092346");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7715290, -3.5545333, -3.4261813, -3.3193794, -3.2619313, -3.1540927, -3.0683948", \
+					  "-4.2127530, -3.9957572, -3.8658309, -3.7563630, -3.6894038, -3.5954264, -3.5096117", \
+					  "-4.6681834, -4.4511876, -4.3315597, -4.2161343, -4.1428147, -3.9967040, -3.9687868", \
+					  "-5.1007405, -4.8815798, -4.7526345, -4.6472836, -4.5911419, -4.4693051, -4.3976655", \
+					  "-5.4995601, -5.2825643, -5.1476709, -5.0492980, -4.9885666, -4.8788673, -4.7897856", \
+					  "-5.8594220, -5.6424263, -5.5126852, -5.4923059, -5.3404771, -5.2499513, -5.1730568", \
+					  "-6.2698675, -6.0543017, -5.9199727, -5.8127847, -5.7547524, -5.6087758, -5.5932007");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3324569, 4.2280844, 4.1634499, 4.1124591, 4.0775896, 4.0510593, 4.0336825", \
+					  "4.7738660, 4.6694935, 4.6064200, 4.5676012, 4.5251019, 4.4924684, 4.4735653", \
+					  "5.2324325, 5.1280600, 5.0769680, 5.0170124, 4.9851943, 4.9479445, 4.9300158", \
+					  "5.6652028, 5.5623562, 5.5167851, 5.4683567, 5.4240681, 5.3872687, 5.3625951", \
+					  "6.0902250, 5.9815688, 5.9031489, 5.8458130, 5.8063654, 5.8060692, 5.7888546", \
+					  "6.4458370, 6.3155266, 6.2920454, 6.2683230, 6.2258241, 6.1522337, 6.1732551", \
+					  "6.8273172, 6.7214188, 6.6567843, 6.6088453, 6.5755017, 6.5560684, 6.5315946");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9891466, 3.8170803, 3.6461430, 3.5188819, 3.4908923, 3.3845478, 3.2846921", \
+					  "4.4472184, 4.2749980, 4.0983424, 3.9754283, 3.8878468, 3.7975665, 3.7198873", \
+					  "4.9014275, 4.7261553, 4.5660323, 4.4250593, 4.3189948, 4.2545188, 4.1617299", \
+					  "5.3103498, 5.1381293, 4.9767797, 4.8400855, 4.7510212, 4.7124578, 4.5830284", \
+					  "5.7633772, 5.5911562, 5.4310337, 5.3079557, 5.1821523, 5.1210110, 5.0298168", \
+					  "6.1414831, 5.9692627, 5.7931911, 5.6874272, 5.6417305, 5.4637857, 5.4285854", \
+					  "6.4916946, 6.3164223, 6.1508881, 6.0347561, 5.9198999, 5.9030463, 5.7988363");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0303321, 0.0298090, 0.0292860, 0.0291874, 0.0290928, 0.0289943, 0.0288957");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0378660, 0.0371689, 0.0364719, 0.0364231, 0.0363763, 0.0363276, 0.0362788");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.377880;
+			max_transition : 1.511918;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272411, 0.05163852, 0.1173439, 0.2666537, 0.6059467, 1.37696");
+					values("0.4600423, 0.4666176, 0.4801532, 0.5033687, 0.5345713, 0.6031333, 0.8671575", \
+					  "-0.5102679, -0.5075515, -0.5017280, -0.4985735, -0.4673420, -0.4002803, -0.1339575", \
+					  "-0.2624371, -0.2623291, -0.2622090, -0.2613133, -0.2615512, -0.2698201, -0.0556611", \
+					  "0.0884598, 0.0885196, 0.0885318, 0.0894604, 0.0899193, 0.0837387, 0.0492133", \
+					  "0.1524638, 0.1528679, 0.1514961, 0.1525193, 0.1526613, 0.1459729, 0.1538942", \
+					  "0.2093716, 0.2093643, 0.2093890, 0.2102753, 0.2111542, 0.2069411, 0.2110941", \
+					  "0.2617388, 0.2598779, 0.2624720, 0.2605273, 0.2636222, 0.2551661, 0.2638138");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272411, 0.05163852, 0.1173439, 0.2666537, 0.6059467, 1.37696");
+					values("0.5835043, 0.5922036, 0.6082285, 0.6303624, 0.6568653, 0.6870819, 0.7597489", \
+					  "1.6146834, 1.6254397, 1.6448950, 1.6726247, 1.6986986, 1.7280933, 1.7989899", \
+					  "1.6733335, 1.6737886, 1.6748897, 1.6766789, 1.6782068, 1.6792232, 1.7867128", \
+					  "1.7930569, 1.7954868, 1.7946610, 1.7962029, 1.7994350, 1.7991944, 1.7963405", \
+					  "1.9136348, 1.9256851, 1.9150697, 1.9189539, 1.9147453, 1.9197738, 1.9228820", \
+					  "1.9174907, 1.9300644, 1.9189073, 1.9323471, 1.9339990, 1.9228423, 1.9362519", \
+					  "1.9177214, 1.9293560, 1.9197096, 1.9334595, 1.9348928, 1.9240013, 1.9371000");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.5777081, 0.5802414, 0.5867376, 0.6069616, 0.7294196, 0.8429437, 0.8301386", \
+					  "-0.2868186, -0.2843779, -0.2768526, -0.2555246, -0.1350452, -0.0225967, -0.0341042", \
+					  "-0.0684081, -0.0779107, -0.0667158, -0.0523459, 0.0636483, 0.1783575, 0.1683637", \
+					  "0.4107747, 0.3989532, 0.4113535, 0.4122104, 0.4125698, 0.3932553, 0.3500353", \
+					  "0.5992911, 0.5902494, 0.6037154, 0.6031768, 0.6009569, 0.5960397, 0.5530758", \
+					  "0.7525303, 0.7436686, 0.7581512, 0.7597381, 0.7591838, 0.7522129, 0.7501037", \
+					  "0.8925698, 0.8956373, 0.8973912, 0.9081347, 0.8986135, 0.9012507, 0.8943651");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.8717910, 0.8789344, 0.8915012, 0.9105998, 0.9381481, 0.9982743, 1.0716240", \
+					  "1.8718469, 1.8797164, 1.8911294, 1.9110410, 1.9380868, 1.9975941, 2.0717067", \
+					  "1.8715227, 1.8776567, 1.8894279, 1.9092410, 1.9366034, 1.9957623, 2.0707329", \
+					  "1.8543012, 1.8618056, 1.8755002, 1.8983372, 1.9274239, 1.9924596, 2.0646232", \
+					  "1.8030985, 1.8107881, 1.8255779, 1.8469623, 1.8813338, 1.9672367, 2.0586050", \
+					  "1.9303858, 1.9308864, 1.9355155, 1.9342486, 1.9359002, 1.9481433, 2.0182493", \
+					  "1.9956454, 1.9953635, 2.0078947, 1.9998829, 2.0013572, 2.0137162, 2.0129879");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.5201684, 0.5227288, 0.5294126, 0.5517839, 0.6725205, 0.7846312, 0.7717976", \
+					  "-0.5342149, -0.5291716, -0.5232976, -0.5025265, -0.3814971, -0.2675644, -0.2759159", \
+					  "-0.4527252, -0.4520370, -0.4510010, -0.4426579, -0.3473954, -0.2493862, -0.2659279", \
+					  "-0.1609117, -0.1625694, -0.1601064, -0.1594902, -0.1588833, -0.1568776, -0.2497260", \
+					  "-0.1474994, -0.1502827, -0.1468082, -0.1458711, -0.1460777, -0.1425212, -0.1529788", \
+					  "-0.1430047, -0.1423537, -0.1310428, -0.1303686, -0.1406746, -0.1283900, -0.1354982", \
+					  "-0.1288972, -0.1289421, -0.1178114, -0.1172808, -0.1268164, -0.1150544, -0.1221693");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.8081716, 0.8152889, 0.8281274, 0.8501538, 0.8814528, 0.9473740, 1.0270191", \
+					  "1.8255586, 1.8324055, 1.8452037, 1.8666496, 1.8973757, 1.9621095, 2.0430322", \
+					  "1.8195510, 1.8265480, 1.8517373, 1.8601724, 1.8903288, 1.9545648, 2.0482878", \
+					  "1.8160221, 1.8230899, 1.8477407, 1.8596665, 1.8899517, 1.9518868, 2.0443009", \
+					  "1.8087152, 1.8127553, 1.8426059, 1.8527981, 1.8838865, 1.9485719, 2.0425489", \
+					  "1.7765105, 1.7864265, 1.8115708, 1.8331140, 1.8683778, 1.9455314, 2.0389101", \
+					  "1.7444330, 1.7644249, 1.7815181, 1.8021067, 1.8362996, 1.9115274, 2.0165042");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("1.5229184, 1.5176080, 1.5248349, 1.5263561, 1.5243087, 1.5296684, 1.5216035", \
+					  "1.5210218, 1.5225757, 1.5247943, 1.5269606, 1.5288605, 1.5282904, 1.5308271", \
+					  "1.5212544, 1.5227307, 1.5255201, 1.5271116, 1.5289999, 1.5284630, 1.5310727", \
+					  "1.5210808, 1.5225664, 1.5245101, 1.5269372, 1.5288129, 1.5283102, 1.5311259", \
+					  "1.5234103, 1.5149118, 1.5245396, 1.5235783, 1.5235064, 1.5261203, 1.5306390", \
+					  "1.5207262, 1.5221876, 1.5248932, 1.5265604, 1.5284380, 1.5279307, 1.5305314", \
+					  "1.5161410, 1.5211773, 1.5242924, 1.5252153, 1.5236926, 1.5250111, 1.5208630");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02272664, 0.05165002, 0.1173831, 0.2667724, 0.6062841, 1.37788");
+					values("0.7117790, 0.7155362, 0.7260108, 0.7397506, 0.7552663, 0.7771419, 0.8348487", \
+					  "0.7102588, 0.7180365, 0.7263089, 0.7398311, 0.7550611, 0.7765085, 0.8355691", \
+					  "0.7108280, 0.7163125, 0.7255413, 0.7392718, 0.7547823, 0.7767515, 0.8343989", \
+					  "0.7112637, 0.7083488, 0.7255805, 0.7393274, 0.7548277, 0.7764783, 0.8347103", \
+					  "0.7106490, 0.7163823, 0.7250804, 0.7385879, 0.7542986, 0.7761973, 0.8347628", \
+					  "0.7107674, 0.7155896, 0.7250392, 0.7386893, 0.7543644, 0.7764685, 0.8348559", \
+					  "0.7114379, 0.7138791, 0.7255057, 0.7390628, 0.7545383, 0.7758843, 0.8354895");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("1.4724211, 1.4822833, 1.5053855, 1.5576882, 1.6714671, 1.9302635, 2.5145936", \
+					  "4.0700812, 4.0806070, 4.1029633, 4.1543124, 4.2691419, 4.5268038, 5.1117199", \
+					  "6.3025771, 6.3130958, 6.3359615, 6.3873347, 6.5021224, 6.7532038, 7.3447297", \
+					  "6.7979351, 6.8083974, 6.8301378, 6.8814865, 6.9963129, 7.2548745, 7.8389109", \
+					  "9.7708650, 9.7813935, 9.8017257, 9.8534070, 9.9686979, 10.2326640, 10.8110230", \
+					  "12.2413680, 12.2517930, 12.2927330, 12.3351260, 12.4522610, 12.7192940, 13.3017450", \
+					  "14.1224800, 14.1329050, 14.1733260, 14.2041220, 14.3119690, 14.5713600, 15.1744390", \
+					  "16.7743510, 16.7850180, 16.8102850, 16.8623270, 16.9771630, 17.2644620, 17.8305620", \
+					  "53.1221540, 53.1221562, 53.1332240, 53.2015040, 53.2860690, 53.4933560, 54.1445000", \
+					  "76.1282240, 76.1370980, 76.1371041, 76.1371117, 76.2268400, 76.4909490, 77.0645700", \
+					  "174.6035000, 174.6035080, 174.6273600, 174.6273728, 174.6273881, 175.0385800, 175.6157800", \
+					  "527.4423000, 527.5072100, 527.5072327, 527.5072938, 527.5832700, 527.7309500, 528.4065300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("0.0720510, 0.0846988, 0.1144293, 0.1821071, 0.3376578, 0.6937501, 1.4999320", \
+					  "0.0721768, 0.0849102, 0.1143857, 0.1825325, 0.3380939, 0.6931203, 1.4981850", \
+					  "0.0721796, 0.0849127, 0.1144181, 0.1825170, 0.3379583, 0.6933924, 1.4997230", \
+					  "0.0719991, 0.0847694, 0.1143976, 0.1825093, 0.3380823, 0.6933381, 1.4996666", \
+					  "0.0720533, 0.0849195, 0.1143914, 0.1825203, 0.3381058, 0.6933946, 1.4996582", \
+					  "0.0721838, 0.0848530, 0.1143353, 0.1825517, 0.3387135, 0.6950240, 1.4992965", \
+					  "0.0721307, 0.0848684, 0.1143632, 0.1825243, 0.3380881, 0.6928937, 1.4997648", \
+					  "0.0720325, 0.0848069, 0.1142104, 0.1823994, 0.3387098, 0.6941620, 1.4988427", \
+					  "0.0720633, 0.0849198, 0.1143607, 0.1824204, 0.3381149, 0.6942989, 1.4994538", \
+					  "0.0720016, 0.0847716, 0.1144228, 0.1825149, 0.3381001, 0.6937846, 1.4997227", \
+					  "0.0720098, 0.0848360, 0.1144188, 0.1825385, 0.3380769, 0.6933009, 1.4996050", \
+					  "0.0720591, 0.0848336, 0.1144064, 0.1825020, 0.3379984, 0.6936190, 1.4996729");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("1.5074074, 1.5184800, 1.5429854, 1.5903346, 1.6780320, 1.8380170, 2.1582518", \
+					  "4.2809214, 4.2914245, 4.3153232, 4.3627795, 4.4504529, 4.6100461, 4.9305235", \
+					  "6.7055739, 6.7169910, 6.7405881, 6.7882775, 6.8758195, 7.0353376, 7.3556192", \
+					  "7.2370803, 7.2388722, 7.2714577, 7.3191693, 7.4065851, 7.5663591, 7.8863005", \
+					  "10.3892380, 10.4065330, 10.4240660, 10.4813700, 10.5649420, 10.7196360, 11.0504870", \
+					  "12.9610310, 12.9670030, 12.9936280, 13.0339840, 13.1221530, 13.2898490, 13.6037350", \
+					  "14.8791010, 14.8791013, 14.9081640, 14.9582070, 15.0426300, 15.2100090, 15.5199210", \
+					  "17.5308550, 17.5595110, 17.5824210, 17.6279440, 17.7164910, 17.8624440, 18.1982680", \
+					  "51.8617500, 51.9048730, 51.9101140, 51.9135700, 52.0070810, 52.2200060, 52.5175710", \
+					  "72.5024450, 72.5024453, 72.5123780, 72.5154620, 72.6098010, 72.8081990, 73.1185640", \
+					  "154.8490600, 154.8911000, 154.9429200, 154.9429245, 155.0453100, 155.1828200, 155.4958700", \
+					  "417.9161400, 418.0072900, 418.0214700, 418.0447200, 418.0819800, 418.2140000, 418.5702400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227241, 0.0516385, 0.117344, 0.266654, 0.605947, 1.37696");
+					values("0.0767125, 0.0863396, 0.1078824, 0.1493191, 0.2275746, 0.3893434, 0.7726854", \
+					  "0.0768481, 0.0866015, 0.1080184, 0.1502849, 0.2281214, 0.3920133, 0.7722247", \
+					  "0.0767260, 0.0865584, 0.1080130, 0.1504295, 0.2297147, 0.3931511, 0.7734682", \
+					  "0.0763942, 0.0865535, 0.1078856, 0.1504440, 0.2296770, 0.3923957, 0.7710110", \
+					  "0.0771428, 0.0869887, 0.1080726, 0.1503444, 0.2299854, 0.3928038, 0.7704145", \
+					  "0.0771686, 0.0863999, 0.1081471, 0.1503628, 0.2300161, 0.3926033, 0.7710307", \
+					  "0.0762696, 0.0863950, 0.1080906, 0.1504307, 0.2300206, 0.3925141, 0.7711332", \
+					  "0.0767243, 0.0863870, 0.1080980, 0.1496263, 0.2300256, 0.3907604, 0.7710939", \
+					  "0.0763434, 0.0866876, 0.1080356, 0.1500266, 0.2299239, 0.3932228, 0.7712440", \
+					  "0.0764896, 0.0867255, 0.1080385, 0.1499956, 0.2300787, 0.3928413, 0.7721221", \
+					  "0.0764856, 0.0867982, 0.1078852, 0.1500742, 0.2301033, 0.3928509, 0.7712245", \
+					  "0.0770834, 0.0866176, 0.1081874, 0.1507802, 0.2301052, 0.3930318, 0.7728206");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("3.9822252, 3.9906234, 4.0148285, 4.0658614, 4.1788852, 4.4378963, 5.0227746", \
+					  "6.5268129, 6.5373333, 6.5609029, 6.6115087, 6.7256445, 6.9833921, 7.5671265", \
+					  "8.6449505, 8.6554310, 8.6791712, 8.7296845, 8.8463095, 9.1012922, 9.6851656", \
+					  "9.1286108, 9.1390691, 9.1633403, 9.2133474, 9.3275618, 9.5851727, 10.1687790", \
+					  "11.9925220, 12.0005290, 12.0247490, 12.0748090, 12.1889000, 12.4466130, 13.0289570", \
+					  "14.3565830, 14.3737400, 14.4004200, 14.4471750, 14.5643670, 14.8195300, 15.3977330", \
+					  "16.1675010, 16.1750770, 16.2032730, 16.2444440, 16.3645010, 16.6204760, 17.2077800", \
+					  "18.7148090, 18.7237350, 18.7507080, 18.7942020, 18.9127860, 19.1700490, 19.7551180", \
+					  "53.6355210, 53.6675980, 53.7356900, 53.7382090, 54.0092520, 54.1133750, 54.6756630", \
+					  "76.1852450, 76.3220950, 76.4425180, 76.4425240, 76.5998460, 76.8495170, 77.2308440", \
+					  "171.4097900, 171.7918700, 171.8600900, 171.8684900, 171.8684922, 172.2380300, 172.8217300", \
+					  "509.6009100, 509.6009369, 509.9121300, 509.9121552, 509.9121857, 510.0117200, 510.0893100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0716010, 0.0843627, 0.1135449, 0.1813511, 0.3369544, 0.6935582, 1.4980421", \
+					  "0.0716946, 0.0846269, 0.1139638, 0.1818492, 0.3387038, 0.6916502, 1.4991028", \
+					  "0.0715920, 0.0847053, 0.1139596, 0.1820743, 0.3376741, 0.6926714, 1.4987825", \
+					  "0.0716622, 0.0845972, 0.1140945, 0.1821493, 0.3383810, 0.6917558, 1.4963412", \
+					  "0.0717981, 0.0845968, 0.1139700, 0.1821493, 0.3384173, 0.6917557, 1.4974808", \
+					  "0.0716760, 0.0845085, 0.1139682, 0.1819614, 0.3385810, 0.6933337, 1.4965951", \
+					  "0.0716932, 0.0845558, 0.1140144, 0.1821512, 0.3382219, 0.6918605, 1.4994259", \
+					  "0.0715759, 0.0847157, 0.1138716, 0.1821492, 0.3384401, 0.6916729, 1.4996261", \
+					  "0.0716063, 0.0845992, 0.1139798, 0.1821491, 0.3384254, 0.6917607, 1.4997223", \
+					  "0.0716766, 0.0846065, 0.1140176, 0.1819333, 0.3384283, 0.6917744, 1.4998599", \
+					  "0.0717334, 0.0846028, 0.1139444, 0.1818717, 0.3386354, 0.6928398, 1.4997772", \
+					  "0.0717876, 0.0845138, 0.1140672, 0.1821507, 0.3381493, 0.6928370, 1.4973539");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("4.4401354, 4.4504200, 4.4742667, 4.5178421, 4.6005466, 4.7572093, 5.0730438", \
+					  "7.1790307, 7.1898434, 7.2199309, 7.2572353, 7.3406370, 7.5044966, 7.8133828", \
+					  "10.3610910, 10.3712830, 10.3997810, 10.4383520, 10.5213830, 10.6823950, 10.9942330", \
+					  "11.0863510, 11.0952120, 11.1207420, 11.1635240, 11.2455140, 11.4042210, 11.7184270", \
+					  "15.2883230, 15.2980420, 15.3184540, 15.3662430, 15.4264740, 15.5857210, 15.9209770", \
+					  "18.6534040, 18.6534052, 18.6682920, 18.7311380, 18.8144220, 18.9506630, 19.2832220", \
+					  "21.1621710, 21.1692830, 21.1884090, 21.2415120, 21.3227460, 21.4785200, 21.7936760", \
+					  "24.6625580, 24.6625586, 24.6920850, 24.7196360, 24.8022070, 24.9752610, 25.2780530", \
+					  "69.2515980, 69.2515984, 69.2516060, 69.3243010, 69.3636440, 69.5495360, 69.8786880", \
+					  "96.0286850, 96.0325080, 96.0434010, 96.1057150, 96.1530520, 96.3328470, 96.6568830", \
+					  "204.3167800, 204.3167801, 204.3384800, 204.3888800, 204.4049100, 204.6035200, 204.9453100", \
+					  "561.2923800, 561.2923890, 561.2924500, 561.3439400, 561.3439637, 561.4796800, 561.8335300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0733560, 0.0819609, 0.1020404, 0.1415758, 0.2183885, 0.3813297, 0.7669680", \
+					  "0.0741930, 0.0826334, 0.1026186, 0.1425420, 0.2209061, 0.3842288, 0.7667533", \
+					  "0.0735784, 0.0828622, 0.1026069, 0.1425638, 0.2208855, 0.3842286, 0.7679927", \
+					  "0.0731860, 0.0821665, 0.1026120, 0.1425039, 0.2208305, 0.3842255, 0.7653164", \
+					  "0.0734807, 0.0822029, 0.1020489, 0.1425576, 0.2206997, 0.3846197, 0.7680009", \
+					  "0.0734967, 0.0826454, 0.1026289, 0.1427377, 0.2208149, 0.3842413, 0.7680753", \
+					  "0.0732685, 0.0822548, 0.1020953, 0.1423774, 0.2208729, 0.3842881, 0.7667489", \
+					  "0.0732533, 0.0825695, 0.1026164, 0.1423571, 0.2208782, 0.3842127, 0.7680296", \
+					  "0.0740400, 0.0822855, 0.1021866, 0.1425512, 0.2206503, 0.3842105, 0.7654267", \
+					  "0.0735559, 0.0821518, 0.1020265, 0.1425693, 0.2208441, 0.3843220, 0.7667333", \
+					  "0.0741687, 0.0828273, 0.1025979, 0.1425651, 0.2208795, 0.3842958, 0.7655653", \
+					  "0.0734943, 0.0822585, 0.1026307, 0.1426401, 0.2209634, 0.3844933, 0.7659826");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("3.9608041, 3.9711976, 3.9919961, 4.0459436, 4.1588755, 4.4172834, 5.0002846", \
+					  "6.2193637, 6.2297363, 6.2551107, 6.3039187, 6.4183610, 6.6787478, 7.2594659", \
+					  "7.8944620, 7.9070919, 7.9297298, 7.9805247, 8.0951681, 8.3516323, 8.9369356", \
+					  "8.2579890, 8.2684668, 8.2945757, 8.3463485, 8.4565448, 8.7174685, 9.2983141", \
+					  "10.3615890, 10.3742710, 10.3963180, 10.4495300, 10.5624810, 10.8182210, 11.4052140", \
+					  "12.0372610, 12.0483700, 12.0730020, 12.1222160, 12.2378040, 12.4954270, 13.0779340", \
+					  "13.2856500, 13.2967330, 13.3220560, 13.3808690, 13.4911950, 13.7458380, 14.3279640", \
+					  "15.0274900, 15.0397290, 15.0620420, 15.1122050, 15.2254580, 15.4856450, 16.0670930", \
+					  "37.6096170, 37.6283750, 37.6393810, 37.6497200, 37.7918710, 38.0631170, 38.6377290", \
+					  "51.4661810, 51.4705900, 51.5034840, 51.5707120, 51.6655550, 51.9219830, 52.5057720", \
+					  "108.8184200, 108.8772200, 108.9036300, 108.9036370, 109.0512400, 109.3303500, 109.8936800", \
+					  "307.2412900, 307.7782400, 308.0286000, 308.0286103, 308.1797200, 308.1797333, 308.2783500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0716537, 0.0842998, 0.1135815, 0.1813914, 0.3366963, 0.6920242, 1.4998608", \
+					  "0.0716967, 0.0845389, 0.1140768, 0.1819532, 0.3386142, 0.6916036, 1.4972419", \
+					  "0.0716569, 0.0847021, 0.1141273, 0.1821487, 0.3384586, 0.6935299, 1.4986931", \
+					  "0.0715848, 0.0847075, 0.1140455, 0.1821554, 0.3384571, 0.6924288, 1.4963479", \
+					  "0.0716546, 0.0846170, 0.1140286, 0.1821491, 0.3384341, 0.6917859, 1.4997621", \
+					  "0.0717504, 0.0844777, 0.1140687, 0.1815004, 0.3378810, 0.6934190, 1.4976184", \
+					  "0.0716495, 0.0845287, 0.1140851, 0.1820285, 0.3384513, 0.6917681, 1.4993145", \
+					  "0.0716713, 0.0844514, 0.1141595, 0.1821526, 0.3384505, 0.6928781, 1.4989933", \
+					  "0.0717996, 0.0845369, 0.1140261, 0.1821148, 0.3386710, 0.6917745, 1.4983199", \
+					  "0.0717200, 0.0844290, 0.1139917, 0.1821520, 0.3386443, 0.6917809, 1.4994380", \
+					  "0.0717783, 0.0845555, 0.1140327, 0.1821463, 0.3383675, 0.6920860, 1.5007882", \
+					  "0.0717517, 0.0845553, 0.1140037, 0.1820537, 0.3386535, 0.6929639, 1.4975023");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("6.6775083, 6.6895418, 6.7123027, 6.7556578, 6.8417387, 6.9966748, 7.3143527", \
+					  "9.0140544, 9.0248138, 9.0488523, 9.0914849, 9.1757517, 9.3311252, 9.6480459", \
+					  "12.1545300, 12.1652140, 12.1885720, 12.2304590, 12.3153610, 12.4712120, 12.7862420", \
+					  "12.9076870, 12.9191580, 12.9451330, 12.9868440, 13.0689720, 13.2246920, 13.5472190", \
+					  "17.5348960, 17.5425510, 17.5688900, 17.5889920, 17.6960670, 17.8517360, 18.1685740", \
+					  "21.2432240, 21.2573820, 21.2780210, 21.3209340, 21.4038690, 21.5607990, 21.8783550", \
+					  "23.9810660, 23.9993660, 24.0304770, 24.0731680, 24.1624850, 24.3075270, 24.6256580", \
+					  "27.8067280, 27.8067294, 27.8377550, 27.8830270, 27.9682160, 28.1205190, 28.4085270", \
+					  "75.6401800, 75.6401864, 75.6862590, 75.7032050, 75.7994130, 75.9522930, 76.2910590", \
+					  "104.1323400, 104.1323433, 104.1589900, 104.1954500, 104.2790600, 104.4030600, 104.7509500", \
+					  "218.8078800, 218.8078843, 218.8312300, 218.8846700, 218.9777000, 219.1111600, 219.4112300", \
+					  "596.2518200, 596.2518616, 596.3384000, 596.3384095, 596.3779000, 596.5330300, 596.8297500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227216, 0.0516271, 0.117305, 0.266536, 0.605613, 1.37605");
+					values("0.0731638, 0.0821829, 0.1018815, 0.1414529, 0.2184761, 0.3812217, 0.7645622", \
+					  "0.0734921, 0.0825775, 0.1023888, 0.1424581, 0.2207127, 0.3847402, 0.7667681", \
+					  "0.0732658, 0.0828458, 0.1021157, 0.1427662, 0.2208805, 0.3842698, 0.7678423", \
+					  "0.0734813, 0.0826333, 0.1021838, 0.1427428, 0.2208813, 0.3838497, 0.7668161", \
+					  "0.0735880, 0.0824653, 0.1021774, 0.1424577, 0.2208252, 0.3836056, 0.7680275", \
+					  "0.0734995, 0.0828794, 0.1026551, 0.1426541, 0.2209039, 0.3838701, 0.7659736", \
+					  "0.0743942, 0.0827188, 0.1026197, 0.1426519, 0.2205191, 0.3845288, 0.7678577", \
+					  "0.0732627, 0.0828297, 0.1021887, 0.1426379, 0.2207779, 0.3843424, 0.7679779", \
+					  "0.0733961, 0.0822375, 0.1020299, 0.1424002, 0.2208915, 0.3841408, 0.7655532", \
+					  "0.0732552, 0.0828610, 0.1020594, 0.1429393, 0.2207346, 0.3838699, 0.7672745", \
+					  "0.0733883, 0.0821818, 0.1020688, 0.1427455, 0.2208936, 0.3845642, 0.7671411", \
+					  "0.0732588, 0.0835152, 0.1020991, 0.1426019, 0.2206877, 0.3843287, 0.7682294");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("20.3995170, 20.4099710, 20.4325160, 20.4842190, 20.5986110, 20.8567810, 21.4422120", \
+					  "20.5605970, 20.5707020, 20.5944030, 20.6450230, 20.7589750, 21.0177320, 21.6046950", \
+					  "20.7115040, 20.7219360, 20.7461920, 20.7962500, 20.9102030, 21.1689390, 21.7571310", \
+					  "20.8390000, 20.8494660, 20.8728130, 20.9237780, 21.0377280, 21.2964670, 21.8844270", \
+					  "20.9484000, 20.9588310, 20.9809080, 21.0331010, 21.1474940, 21.4056520, 21.9921450", \
+					  "21.0591310, 21.0693440, 21.0925160, 21.1436590, 21.2576110, 21.5163440, 22.1032470", \
+					  "21.1539910, 21.1662810, 21.1882900, 21.2400250, 21.3549410, 21.6133460, 22.1972380");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("0.0717638, 0.0844803, 0.1139196, 0.1817178, 0.3390069, 0.6946740, 1.5081032", \
+					  "0.0715701, 0.0847164, 0.1139212, 0.1820774, 0.3387182, 0.6939421, 1.5119153", \
+					  "0.0715513, 0.0847148, 0.1139423, 0.1820734, 0.3387227, 0.6939379, 1.5119175", \
+					  "0.0715501, 0.0847148, 0.1139206, 0.1820734, 0.3387224, 0.6939420, 1.5119176", \
+					  "0.0717638, 0.0844803, 0.1139192, 0.1817176, 0.3390069, 0.6946746, 1.5119183", \
+					  "0.0715521, 0.0847148, 0.1139244, 0.1820736, 0.3387225, 0.6939420, 1.5119176", \
+					  "0.0717802, 0.0847105, 0.1139428, 0.1820916, 0.3390159, 0.6955024, 1.5078321");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("14.2274050, 14.2362850, 14.2576810, 14.3023980, 14.3853840, 14.5408060, 14.8581010", \
+					  "14.3316110, 14.3422980, 14.3640210, 14.4084420, 14.4915140, 14.6447810, 14.9643370", \
+					  "14.3862400, 14.3969270, 14.4192150, 14.4639180, 14.5469180, 14.7017290, 15.0196260", \
+					  "14.4301810, 14.4408120, 14.4669580, 14.5116690, 14.5946690, 14.7440460, 15.0673450", \
+					  "14.4573950, 14.4682050, 14.4892330, 14.5333790, 14.6168620, 14.7725850, 15.0897980", \
+					  "14.4916930, 14.5018160, 14.5219620, 14.5665740, 14.6496550, 14.8071000, 15.1224280", \
+					  "14.5216100, 14.5316550, 14.5536540, 14.5985960, 14.6816440, 14.8365350, 15.1545660");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0227266, 0.05165, 0.117383, 0.266772, 0.606284, 1.37788");
+					values("0.0728063, 0.0818073, 0.1016274, 0.1421089, 0.2201111, 0.3830675, 0.7687186", \
+					  "0.0728098, 0.0823709, 0.1019421, 0.1420235, 0.2202482, 0.3842718, 0.7674831", \
+					  "0.0728076, 0.0823704, 0.1016273, 0.1421088, 0.2201110, 0.3832081, 0.7687164", \
+					  "0.0731058, 0.0830513, 0.1016129, 0.1421024, 0.2201131, 0.3831667, 0.7686074", \
+					  "0.0731637, 0.0818347, 0.1016558, 0.1422079, 0.2201480, 0.3831037, 0.7695669", \
+					  "0.0728934, 0.0823302, 0.1016374, 0.1422430, 0.2201902, 0.3831569, 0.7688504", \
+					  "0.0728238, 0.0823169, 0.1022023, 0.1417907, 0.2202262, 0.3839241, 0.7678754");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.276030;
+			max_transition : 3.770334;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245435, 0.0504198, 0.1132144, 0.2542156, 0.5708247, 1.28175");
+					values("0.8739570, 0.8754216, 0.8770912, 0.8804171, 0.8832843, 0.8851862, 0.8820266", \
+					  "-0.1274360, -0.1258513, -0.1229737, -0.1209847, -0.1181774, -0.1190377, -0.1216836", \
+					  "-0.0480369, -0.0463072, -0.0547536, -0.0429659, -0.0424701, -0.0378459, -0.0373978", \
+					  "0.0854729, 0.0854022, 0.0705969, 0.0669156, 0.0311071, 0.0239903, 0.0243187", \
+					  "0.1403750, 0.1521502, 0.1421372, 0.1549412, 0.1568930, 0.1071153, 0.0753325", \
+					  "0.1994011, 0.2082862, 0.2022063, 0.2105776, 0.2079678, 0.2183114, 0.1291217", \
+					  "0.2502449, 0.2622474, 0.2472599, 0.2626254, 0.2543554, 0.2659341, 0.2000325");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245435, 0.0504198, 0.1132144, 0.2542156, 0.5708247, 1.28175");
+					values("0.9028703, 0.9066720, 0.9125672, 0.9243961, 0.9374417, 0.9494516, 0.9538773", \
+					  "1.9403799, 1.9438051, 1.9509149, 1.9698637, 1.9764570, 1.9875603, 1.9919819", \
+					  "1.9449848, 1.9481229, 1.9550691, 1.9772816, 1.9804757, 1.9915966, 1.9962844", \
+					  "1.9072808, 1.9125086, 1.9230427, 1.9542850, 1.9715124, 1.9881672, 1.9935919", \
+					  "1.9127393, 1.9140937, 1.9166001, 1.9321664, 1.9188188, 1.9533234, 1.9894854", \
+					  "1.9190834, 1.9179448, 1.9217854, 1.9349912, 1.9246063, 1.9391372, 1.9424757", \
+					  "1.9195453, 1.9187341, 1.9198497, 1.9378932, 1.9264193, 1.9400399, 1.9324477");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("0.7868063, 0.7864086, 0.7883107, 0.7905937, 0.7935663, 0.7974744, 0.7817686", \
+					  "-0.2655340, -0.2676639, -0.2644642, -0.2608873, -0.2580660, -0.2573300, -0.2532587", \
+					  "-0.2507348, -0.2597718, -0.2473237, -0.2462751, -0.2447882, -0.2383231, -0.2471047", \
+					  "-0.1602952, -0.1699193, -0.1588871, -0.1586349, -0.1857821, -0.2255028, -0.2459227", \
+					  "-0.1467086, -0.1574556, -0.1443852, -0.1427506, -0.1413123, -0.1456629, -0.2235644", \
+					  "-0.1313154, -0.1426754, -0.1303573, -0.1287655, -0.1277450, -0.1342251, -0.2011232", \
+					  "-0.1183528, -0.1296981, -0.1170375, -0.1171455, -0.1231030, -0.1201598, -0.1312225");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("0.9862546, 0.9896876, 0.9973031, 1.0109438, 1.0280387, 1.0431292, 1.0507948", \
+					  "2.0020227, 2.0058848, 2.0130308, 2.0263383, 2.0444805, 2.0590368, 2.0666810", \
+					  "1.9936706, 1.9990632, 2.0083882, 2.0195408, 2.0376632, 2.0524476, 2.0626014", \
+					  "1.9915634, 1.9954819, 2.0148295, 2.0159972, 2.0340947, 2.0487277, 2.0592048", \
+					  "1.9873495, 1.9919741, 2.0102741, 2.0117978, 2.0308384, 2.0460211, 2.0645254", \
+					  "1.9805574, 1.9851516, 2.0052560, 2.0098324, 2.0266980, 2.0438074, 2.0633536", \
+					  "1.9483276, 1.9533450, 1.9767870, 1.9915567, 2.0047026, 2.0403451, 2.0609981");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("0.8426419, 0.8429593, 0.8462816, 0.8493582, 0.8508370, 0.8556463, 0.8382223", \
+					  "-0.0207125, -0.0192789, -0.0184782, -0.0145515, -0.0121060, -0.0112634, -0.0274019", \
+					  "0.1780079, 0.1794179, 0.1816454, 0.1819679, 0.1859265, 0.1829911, 0.1820614", \
+					  "0.4175408, 0.4161074, 0.4100604, 0.3852798, 0.3707787, 0.3614907, 0.3640395", \
+					  "0.6031342, 0.6058582, 0.6049892, 0.5958280, 0.6061484, 0.5472888, 0.5148951", \
+					  "0.7562173, 0.7593677, 0.7599554, 0.7526653, 0.7631872, 0.7589441, 0.6807660", \
+					  "0.9054270, 0.9097547, 0.9061621, 0.9001356, 0.9062549, 0.9124821, 0.8521636");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("1.0335620, 1.0366841, 1.0438641, 1.0565755, 1.0733236, 1.0861437, 1.0922605", \
+					  "2.0341276, 2.0379987, 2.0440188, 2.0566597, 2.0732968, 2.0859462, 2.0921403", \
+					  "2.0275942, 2.0366582, 2.0437124, 2.0559544, 2.0721246, 2.0852820, 2.0913616", \
+					  "2.0372699, 2.0290265, 2.0480674, 2.0496465, 2.0653290, 2.0800204, 2.0858669", \
+					  "2.0152402, 2.0084119, 2.0294328, 2.0365233, 2.0594939, 2.0759635, 2.0815146", \
+					  "1.9743914, 1.9684829, 1.9879476, 1.9943723, 2.0205868, 2.0650335, 2.0785951", \
+					  "2.0072266, 1.9920105, 2.0088755, 1.9987232, 2.0005525, 2.0304563, 2.0584929");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("1.2306331, 1.2491454, 1.3751220, 1.5250369, 1.5306643, 1.5338340, 1.5410167", \
+					  "1.2297148, 1.2488642, 1.3742015, 1.5243978, 1.5305248, 1.5327999, 1.5419923", \
+					  "1.2299779, 1.2486508, 1.3746427, 1.5246708, 1.5307137, 1.5328673, 1.5318562", \
+					  "1.2291603, 1.2491295, 1.3745660, 1.5244844, 1.5305267, 1.5327015, 1.5309955", \
+					  "1.2298208, 1.2487097, 1.3745806, 1.5242711, 1.5298931, 1.5338315, 1.5406276", \
+					  "1.2289018, 1.2482360, 1.3741366, 1.5241789, 1.5299207, 1.5323241, 1.5316094", \
+					  "1.2282103, 1.2480852, 1.3734724, 1.5233923, 1.5282441, 1.5299086, 1.5406555");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02243762, 0.05034468, 0.1129615, 0.2534587, 0.5687011, 1.27603");
+					values("1.0375981, 1.0405100, 1.0464194, 1.0572262, 1.0753009, 1.0947741, 1.1084820", \
+					  "1.0368651, 1.0405572, 1.0463107, 1.0571517, 1.0751114, 1.0949849, 1.1082756", \
+					  "1.0364921, 1.0399823, 1.0458392, 1.0567672, 1.0749703, 1.0944171, 1.1079655", \
+					  "1.0362080, 1.0401586, 1.0458765, 1.0565569, 1.0741053, 1.0938494, 1.1077165", \
+					  "1.0364695, 1.0397328, 1.0452866, 1.0562143, 1.0741830, 1.0938196, 1.1075032", \
+					  "1.0368699, 1.0396001, 1.0458147, 1.0562147, 1.0744435, 1.0940214, 1.1074529", \
+					  "1.0364823, 1.0391828, 1.0457057, 1.0556621, 1.0749762, 1.0942582, 1.1080610");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.3455204, 4.3821879, 4.4584589, 4.6144648, 4.9246154, 5.5663478, 6.9738828", \
+					  "6.9436235, 6.9800370, 7.0565114, 7.2119688, 7.5218294, 8.1644922, 9.5721013", \
+					  "9.1741015, 9.2098107, 9.2870271, 9.4409227, 9.7517221, 10.3972760, 11.7994080", \
+					  "9.6687272, 9.7070076, 9.7830144, 9.9388622, 10.2477990, 10.8926210, 12.3031930", \
+					  "12.6414560, 12.6856370, 12.7554620, 12.9112440, 13.2213560, 13.8670790, 15.2778220", \
+					  "15.1335410, 15.1716700, 15.2363370, 15.3871670, 15.7031210, 16.3372180, 17.7614350", \
+					  "16.9888550, 17.0400370, 17.0839380, 17.2604540, 17.5561520, 18.2168730, 19.6269210", \
+					  "19.6748720, 19.7167760, 19.7635920, 19.8996430, 20.2173570, 20.8670610, 22.3044000", \
+					  "55.9360060, 56.0361460, 56.1099750, 56.1099759, 56.6096570, 57.1769620, 58.5446680", \
+					  "79.0563280, 79.0563317, 79.1418060, 79.1870180, 79.3944680, 80.2214900, 81.5643230", \
+					  "177.1757900, 177.4786300, 177.5467500, 177.7143100, 178.0118300, 178.5834800, 179.9868400", \
+					  "530.2073900, 530.2074280, 530.2075600, 530.5104200, 530.6628700, 531.5700400, 533.3407100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.4226250, 0.4542748, 0.5283534, 0.6824669, 1.0369605, 1.8539656, 3.7174361", \
+					  "0.4240285, 0.4566139, 0.5280942, 0.6833157, 1.0382980, 1.8461411, 3.7383132", \
+					  "0.4226232, 0.4569090, 0.5267493, 0.6854374, 1.0399330, 1.8531873, 3.7052834", \
+					  "0.4234452, 0.4564497, 0.5271508, 0.6833647, 1.0355630, 1.8577735, 3.6980707", \
+					  "0.4234746, 0.4564894, 0.5283324, 0.6843878, 1.0378826, 1.8572361, 3.6912611", \
+					  "0.4237343, 0.4563561, 0.5279103, 0.6823739, 1.0383262, 1.8573412, 3.7608201", \
+					  "0.4228422, 0.4547747, 0.5277627, 0.6824166, 1.0382893, 1.8464666, 3.7037011", \
+					  "0.4220142, 0.4563434, 0.5281932, 0.6842372, 1.0354331, 1.8475154, 3.7603018", \
+					  "0.4236876, 0.4561867, 0.5283749, 0.6843920, 1.0378759, 1.8564036, 3.7224703", \
+					  "0.4206140, 0.4565025, 0.5283803, 0.6823660, 1.0374724, 1.8517041, 3.7594474", \
+					  "0.4231937, 0.4564990, 0.5278469, 0.6847584, 1.0312396, 1.8543113, 3.7034211", \
+					  "0.4234127, 0.4564574, 0.5283320, 0.6823644, 1.0312460, 1.8542961, 3.7042975");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.8779616, 4.9009965, 4.9544839, 5.0575117, 5.2557554, 5.6149392, 6.2565819", \
+					  "7.6506650, 7.6756086, 7.7298035, 7.8310887, 8.0312308, 8.3865412, 9.0318535", \
+					  "10.0760820, 10.1015740, 10.1552020, 10.2584440, 10.4566050, 10.8134190, 11.4646990", \
+					  "10.6061930, 10.6322480, 10.6849640, 10.7895660, 10.9884390, 11.3510370, 11.9962100", \
+					  "13.7597180, 13.7826340, 13.8374110, 13.9390980, 14.1402690, 14.5042110, 15.1485860", \
+					  "16.3059400, 16.3466180, 16.4073040, 16.4982780, 16.7101710, 17.0645680, 17.6961610", \
+					  "18.2328840, 18.2711820, 18.3096900, 18.4262670, 18.6192950, 18.9703290, 19.6211600", \
+					  "20.9021140, 20.9373710, 20.9895870, 21.0956670, 21.2827480, 21.6503260, 22.3030780", \
+					  "55.2219180, 55.2959420, 55.3308230, 55.4376420, 55.6334610, 55.9922420, 56.6359650", \
+					  "75.8584590, 75.8925510, 75.9293360, 76.0471290, 76.2242110, 76.6121960, 77.2292030", \
+					  "158.2213100, 158.2513100, 158.3700900, 158.3700943, 158.6703000, 158.9815100, 159.6037300", \
+					  "421.3449400, 421.3449555, 421.5029900, 421.6379400, 421.8287300, 422.0903000, 422.6802700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.3225104, 0.3451588, 0.3834722, 0.4764232, 0.6501704, 0.9739288, 1.6586191", \
+					  "0.3225799, 0.3414251, 0.3832881, 0.4749925, 0.6504798, 0.9765824, 1.6605419", \
+					  "0.3224923, 0.3421426, 0.3834725, 0.4720379, 0.6502298, 0.9759019, 1.6504810", \
+					  "0.3235744, 0.3436857, 0.3827375, 0.4737661, 0.6488945, 0.9747187, 1.6569957", \
+					  "0.3247729, 0.3436611, 0.3845833, 0.4746711, 0.6499150, 0.9716361, 1.6603536", \
+					  "0.3248323, 0.3438662, 0.3848542, 0.4747840, 0.6503924, 0.9729411, 1.6577059", \
+					  "0.3247961, 0.3436065, 0.3846927, 0.4747073, 0.6507776, 0.9766811, 1.6599004", \
+					  "0.3247490, 0.3424375, 0.3861204, 0.4745219, 0.6502151, 0.9753614, 1.6602486", \
+					  "0.3229579, 0.3423830, 0.3854421, 0.4748533, 0.6507895, 0.9751464, 1.6605626", \
+					  "0.3232578, 0.3415424, 0.3860531, 0.4748132, 0.6508538, 0.9754790, 1.6587427", \
+					  "0.3233163, 0.3415410, 0.3853676, 0.4739666, 0.6509480, 0.9730156, 1.6568049", \
+					  "0.3229370, 0.3430084, 0.3844350, 0.4747859, 0.6510170, 0.9756496, 1.6605662");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("4.9237405, 4.9603770, 5.0366045, 5.1913513, 5.5022649, 6.1502248, 7.5653226", \
+					  "7.1824648, 7.2180084, 7.2949344, 7.4489139, 7.7612512, 8.4081941, 9.8258566", \
+					  "8.8577634, 8.8950829, 8.9714582, 9.1251877, 9.4343644, 10.0832220, 11.4991630", \
+					  "9.2208889, 9.2567234, 9.3333936, 9.4872696, 9.8001687, 10.4455790, 11.8637070", \
+					  "11.3271040, 11.3627960, 11.4393930, 11.5930760, 11.9059990, 12.5536000, 13.9699820", \
+					  "13.0034780, 13.0386350, 13.1156290, 13.2678080, 13.5796400, 14.2281140, 15.6460940", \
+					  "14.2551420, 14.2929030, 14.3666870, 14.5167570, 14.8284900, 15.4770130, 16.8895120", \
+					  "15.9899740, 16.0259930, 16.1024880, 16.2567280, 16.5671170, 17.2164470, 18.6339050", \
+					  "38.5390950, 38.5899280, 38.6692780, 38.8269190, 39.1422970, 39.7910900, 41.2120540", \
+					  "52.4414500, 52.4659110, 52.5429370, 52.6900370, 53.0014540, 53.6805120, 55.0738370", \
+					  "109.8352400, 109.8607800, 109.9257600, 110.1056600, 110.3986400, 111.0527600, 112.4760900", \
+					  "308.6154000, 308.6154023, 308.6154328, 308.7789700, 308.7789765, 309.4062600, 311.2304500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4166648, 0.4496246, 0.5221668, 0.6805257, 1.0368653, 1.8638699, 3.7302734", \
+					  "0.4179710, 0.4506926, 0.5188206, 0.6805839, 1.0359590, 1.8559947, 3.7327563", \
+					  "0.4147053, 0.4500393, 0.5187049, 0.6786123, 1.0388713, 1.8615692, 3.7272301", \
+					  "0.4177607, 0.4511666, 0.5205397, 0.6805484, 1.0295306, 1.8569148, 3.7342160", \
+					  "0.4179148, 0.4509362, 0.5189986, 0.6808162, 1.0341621, 1.8590584, 3.7509187", \
+					  "0.4183285, 0.4509475, 0.5198734, 0.6800532, 1.0344999, 1.8654744, 3.7578585", \
+					  "0.4169194, 0.4503991, 0.5200816, 0.6795081, 1.0346263, 1.8607229, 3.7392947", \
+					  "0.4181560, 0.4509514, 0.5190883, 0.6808104, 1.0352637, 1.8454015, 3.7473780", \
+					  "0.4176974, 0.4506916, 0.5188392, 0.6806971, 1.0379203, 1.8499082, 3.7339247", \
+					  "0.4181655, 0.4506280, 0.5190744, 0.6795839, 1.0364871, 1.8576833, 3.7347987", \
+					  "0.4169762, 0.4509160, 0.5188950, 0.6788534, 1.0358816, 1.8583963, 3.7370409", \
+					  "0.4178023, 0.4505898, 0.5188413, 0.6807208, 1.0351825, 1.8565145, 3.7337737");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("7.7075022, 7.7304465, 7.7849363, 7.8932683, 8.1046796, 8.4776133, 9.1398409", \
+					  "10.0425440, 10.0677180, 10.1209290, 10.2294010, 10.4413090, 10.8131460, 11.4753280", \
+					  "13.1815760, 13.2073200, 13.2604820, 13.3689220, 13.5809430, 13.9525210, 14.6147220", \
+					  "13.9379620, 13.9622920, 14.0139380, 14.1228340, 14.3341060, 14.7078780, 15.3689450", \
+					  "18.5606870, 18.5867840, 18.6402950, 18.7384400, 18.9583690, 19.3216270, 19.9810660", \
+					  "22.2698910, 22.2947990, 22.3513150, 22.4388740, 22.6656440, 23.0218300, 23.6892190", \
+					  "25.0223610, 25.0327440, 25.1079070, 25.2118520, 25.4064270, 25.7953570, 26.4574890", \
+					  "28.8334320, 28.8514740, 28.9088270, 29.0124100, 29.2210270, 29.5959270, 30.2581600", \
+					  "76.6649530, 76.6929060, 76.7447330, 76.8591590, 77.0713700, 77.4433600, 78.1085840", \
+					  "105.1553200, 105.1808300, 105.2352200, 105.3437900, 105.5543600, 105.9268600, 106.5933300", \
+					  "219.8322400, 219.8415700, 219.9027600, 220.0147500, 220.1988800, 220.5979700, 221.2603400", \
+					  "597.3809000, 597.3809510, 597.3823800, 597.4750900, 597.6266900, 598.0512000, 598.6920000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.3248307, 0.3453997, 0.3908148, 0.4874570, 0.6736699, 1.0066045, 1.6929377", \
+					  "0.3236612, 0.3460110, 0.3898595, 0.4877486, 0.6742479, 1.0073483, 1.6911766", \
+					  "0.3251075, 0.3458075, 0.3902652, 0.4876195, 0.6741877, 1.0069522, 1.6900038", \
+					  "0.3249539, 0.3446136, 0.3884898, 0.4876211, 0.6741584, 1.0066554, 1.6921599", \
+					  "0.3256927, 0.3436819, 0.3901061, 0.4874491, 0.6734405, 1.0071515, 1.6910172", \
+					  "0.3236777, 0.3458061, 0.3881318, 0.4869787, 0.6733743, 1.0063442, 1.6918626", \
+					  "0.3257101, 0.3457969, 0.3897830, 0.4872370, 0.6742208, 1.0069392, 1.6833247", \
+					  "0.3234477, 0.3455990, 0.3907366, 0.4870005, 0.6741478, 1.0068534, 1.6879220", \
+					  "0.3238939, 0.3436780, 0.3899957, 0.4868372, 0.6744150, 1.0069769, 1.6878552", \
+					  "0.3233908, 0.3443192, 0.3904657, 0.4869215, 0.6741057, 1.0045562, 1.6920116", \
+					  "0.3247196, 0.3441831, 0.3904973, 0.4878081, 0.6746100, 1.0078436, 1.6882499", \
+					  "0.3256842, 0.3457761, 0.3892840, 0.4884446, 0.6763614, 1.0076441, 1.6930434");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("4.9453583, 4.9821463, 5.0574775, 5.2120641, 5.5234084, 6.1699499, 7.5861033", \
+					  "7.4908577, 7.5270661, 7.6030355, 7.7577731, 8.0685529, 8.7169694, 10.1295030", \
+					  "9.6082111, 9.6478008, 9.7254835, 9.8746762, 10.1856040, 10.8328950, 12.2472840", \
+					  "10.0910620, 10.1236180, 10.1923310, 10.3575670, 10.6687700, 11.3169030, 12.7335080", \
+					  "12.9525000, 12.9893510, 13.0660010, 13.2209830, 13.5298920, 14.1772050, 15.6005060", \
+					  "15.3262450, 15.3644210, 15.4415630, 15.5954180, 15.9031240, 16.5525600, 17.9718300", \
+					  "17.1286840, 17.1658850, 17.2410020, 17.3968310, 17.7030000, 18.3539260, 19.7616070", \
+					  "19.6719140, 19.7126550, 19.7896170, 19.9438630, 20.2402520, 20.9028390, 22.3010570", \
+					  "54.6196900, 54.8826260, 54.8826275, 55.0273530, 55.1705990, 56.1125440, 57.3614790", \
+					  "77.3785230, 77.3823740, 77.3823815, 77.5461970, 77.8262290, 78.4913890, 79.9498990", \
+					  "172.2353000, 172.3747300, 172.6296300, 172.8258400, 173.3247600, 173.9104200, 175.3840500", \
+					  "509.7798500, 510.5221100, 510.5221406, 510.5221711, 510.5222016, 511.0393900, 512.3951600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4166093, 0.4498840, 0.5219237, 0.6795735, 1.0368619, 1.8653366, 3.7368402", \
+					  "0.4168339, 0.4502308, 0.5223813, 0.6793084, 1.0360643, 1.8600186, 3.7734544", \
+					  "0.4165299, 0.4511518, 0.5174299, 0.6774809, 1.0366625, 1.8466574, 3.7375627", \
+					  "0.4181733, 0.4514018, 0.5202864, 0.6810653, 1.0388622, 1.8663373, 3.7265275", \
+					  "0.4182496, 0.4509499, 0.5187257, 0.6808169, 1.0345057, 1.8579407, 3.7144656", \
+					  "0.4176958, 0.4507012, 0.5188476, 0.6806690, 1.0352065, 1.8545716, 3.7343963", \
+					  "0.4169701, 0.4509386, 0.5185015, 0.6806703, 1.0339140, 1.8524072, 3.7346801", \
+					  "0.4182601, 0.4511517, 0.5207640, 0.6799589, 1.0354224, 1.8616557, 3.7585863", \
+					  "0.4182552, 0.4506308, 0.5187280, 0.6788017, 1.0379044, 1.8586906, 3.7570786", \
+					  "0.4184021, 0.4509508, 0.5188727, 0.6806109, 1.0348239, 1.8575542, 3.7524077", \
+					  "0.4183039, 0.4506134, 0.5195893, 0.6807203, 1.0379323, 1.8551390, 3.7603072", \
+					  "0.4177350, 0.4506314, 0.5194420, 0.6800735, 1.0359762, 1.8566354, 3.7445473");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("5.4669025, 5.4916689, 5.5463398, 5.6542584, 5.8653824, 6.2381953, 6.9005326", \
+					  "8.2049825, 8.2315621, 8.2848457, 8.3929184, 8.6047134, 8.9766061, 9.6384110", \
+					  "11.3746320, 11.4122280, 11.4654660, 11.5743180, 11.7856970, 12.1571040, 12.8192820", \
+					  "12.1140880, 12.1358020, 12.1903150, 12.2993460, 12.5089400, 12.8817530, 13.5430600", \
+					  "16.3111520, 16.3382200, 16.3645630, 16.5018100, 16.6915600, 17.0794450, 17.7270910", \
+					  "19.6747010, 19.7012560, 19.7523440, 19.8631690, 20.0617900, 20.4327830, 21.1010280", \
+					  "22.1821580, 22.2153530, 22.2680650, 22.3752540, 22.5865460, 22.9581230, 23.6201390", \
+					  "25.6646690, 25.6918470, 25.7467510, 25.8497930, 26.0544330, 26.4286050, 27.0903460", \
+					  "70.2590840, 70.3067970, 70.3067971, 70.4745060, 70.6465140, 71.0409010, 71.6864430", \
+					  "96.9903340, 97.0588730, 97.0974840, 97.2295380, 97.4365120, 97.8171360, 98.4696700", \
+					  "205.3278900, 205.3440700, 205.3950000, 205.4982700, 205.7133500, 206.0859100, 206.7478000", \
+					  "562.1746500, 562.2646300, 562.2710500, 562.4507900, 562.6490600, 562.9874500, 563.6601900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.3249575, 0.3450094, 0.3902114, 0.4866943, 0.6731024, 1.0032229, 1.6897396", \
+					  "0.3240061, 0.3457792, 0.3888477, 0.4874253, 0.6741404, 1.0069238, 1.6867884", \
+					  "0.3250025, 0.3457348, 0.3896691, 0.4855420, 0.6744542, 1.0060318, 1.6939024", \
+					  "0.3237389, 0.3438426, 0.3899200, 0.4869622, 0.6717152, 1.0070922, 1.6920806", \
+					  "0.3250108, 0.3448711, 0.3899270, 0.4866173, 0.6740896, 1.0062793, 1.6898601", \
+					  "0.3239549, 0.3457560, 0.3897106, 0.4868277, 0.6740829, 1.0067933, 1.6917449", \
+					  "0.3233355, 0.3448622, 0.3897023, 0.4873461, 0.6738907, 1.0063794, 1.6904834", \
+					  "0.3253283, 0.3455632, 0.3885087, 0.4873696, 0.6718269, 1.0067358, 1.6913689", \
+					  "0.3238246, 0.3435862, 0.3900873, 0.4871044, 0.6739899, 1.0047990, 1.6921623", \
+					  "0.3258065, 0.3453329, 0.3887170, 0.4875971, 0.6743903, 1.0072759, 1.6921932", \
+					  "0.3237779, 0.3447083, 0.3911598, 0.4877438, 0.6749082, 1.0075372, 1.6880500", \
+					  "0.3258648, 0.3451523, 0.3892204, 0.4877951, 0.6752174, 1.0079882, 1.6884593");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("19.4611650, 19.4969870, 19.5729810, 19.7264110, 20.0355590, 20.6812660, 22.0894400", \
+					  "19.6216040, 19.6589300, 19.7333780, 19.8868180, 20.1960240, 20.8417230, 22.2487970", \
+					  "19.7729210, 19.8105590, 19.8847410, 20.0381630, 20.3472920, 20.9930150, 22.4023430", \
+					  "19.9004790, 19.9367720, 20.0122960, 20.1657200, 20.4748570, 21.1205630, 22.5298890", \
+					  "20.0100470, 20.0453750, 20.1218690, 20.2752940, 20.5844120, 21.2301390, 22.6383110", \
+					  "20.1203110, 20.1571040, 20.2323560, 20.3857770, 20.6946850, 21.3403960, 22.7497350", \
+					  "20.2176480, 20.2539090, 20.3279900, 20.4814230, 20.7925000, 21.4382560, 22.8437320");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("0.4153946, 0.4482713, 0.5199333, 0.6760048, 1.0285056, 1.8417879, 3.7165742", \
+					  "0.4154621, 0.4482713, 0.5199449, 0.6760146, 1.0283895, 1.8404082, 3.7125902", \
+					  "0.4154023, 0.4482266, 0.5198957, 0.6759192, 1.0284998, 1.8424380, 3.7202634", \
+					  "0.4154016, 0.4479011, 0.5198962, 0.6759203, 1.0285002, 1.8424037, 3.7202281", \
+					  "0.4153955, 0.4482713, 0.5199331, 0.6760052, 1.0285055, 1.8417992, 3.7165708", \
+					  "0.4154022, 0.4482693, 0.5198955, 0.6759188, 1.0284997, 1.8424121, 3.7202377", \
+					  "0.4158630, 0.4484094, 0.5199356, 0.6760165, 1.0285076, 1.8420964, 3.7058205");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("18.4389020, 18.4720990, 18.5404280, 18.6764950, 18.9410250, 19.4235240, 20.2174370", \
+					  "18.5409310, 18.5788520, 18.6456330, 18.7830200, 19.0476380, 19.5280360, 20.3239310", \
+					  "18.5974900, 18.6336320, 18.7020730, 18.8380230, 19.1025540, 19.5847480, 20.3789730", \
+					  "18.6397170, 18.6814000, 18.7443060, 18.8857230, 19.1504040, 19.6274210, 20.4271590", \
+					  "18.6707010, 18.7036310, 18.7721470, 18.9083430, 19.1727820, 19.6552200, 20.4495900", \
+					  "18.7045420, 18.7356420, 18.8060390, 18.9402980, 19.2047230, 19.6890260, 20.4813940", \
+					  "18.7318000, 18.7659630, 18.8365380, 18.9725120, 19.2367440, 19.7180620, 20.5147850");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224376, 0.0503447, 0.112962, 0.253459, 0.568701, 1.27603");
+					values("0.4775014, 0.5013733, 0.5524767, 0.6616165, 0.9008846, 1.2983762, 2.0028539", \
+					  "0.4792918, 0.5007687, 0.5511486, 0.6621733, 0.9013885, 1.3017905, 2.0038291", \
+					  "0.4785022, 0.5013629, 0.5517028, 0.6616087, 0.9008958, 1.3018181, 2.0029232", \
+					  "0.4783727, 0.5003148, 0.5519278, 0.6647967, 0.9025340, 1.3014456, 2.0029036", \
+					  "0.4775733, 0.5020450, 0.5524336, 0.6619588, 0.9005530, 1.2990248, 2.0050828", \
+					  "0.4784392, 0.5019433, 0.5520770, 0.6618790, 0.9005945, 1.3006619, 2.0050375", \
+					  "0.4792624, 0.5015322, 0.5506948, 0.6656344, 0.9002493, 1.3011056, 1.9982658");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195195;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1795265, 7.1696325, 7.1695163, 7.1728452, 7.0820953, 6.0832441, -10.1873019", \
+					  "7.1452474, 7.1443679, 7.1345928, 7.1439429, 7.0651774, 6.0430566, -10.3580389", \
+					  "7.1208650, 7.1210784, 7.1164033, 7.1141456, 7.0257506, 6.0500371, -10.4444379", \
+					  "7.0793388, 7.0774879, 7.0659389, 7.0781575, 6.9809895, 5.9779551, -10.0255672", \
+					  "7.0424278, 7.0419030, 7.0402897, 7.0387502, 6.9546143, 5.9546057, -10.2751356", \
+					  "7.0022694, 7.0022573, 7.0010551, 6.9999492, 6.9184571, 5.9088689, -10.2701441", \
+					  "6.9588271, 6.9621378, 6.9580014, 6.9602019, 6.8776598, 5.9343059, -10.8619909");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7360995, 3.7400499, 3.7620219, 3.8706945, 4.1941610, 5.1391252, 5.5533754", \
+					  "3.7117706, 3.7151541, 3.7349391, 3.8327831, 4.1654237, 5.1087937, 5.5162464", \
+					  "3.6707731, 3.6729025, 3.7018915, 3.8035484, 4.1310278, 5.0770809, 5.4827148", \
+					  "3.6679446, 3.6705328, 3.6939710, 3.7997983, 4.1295432, 5.0749983, 5.4835048", \
+					  "3.6654738, 3.6688635, 3.6897283, 3.7975054, 4.1279372, 5.0713024, 5.4766522", \
+					  "3.6621913, 3.6652947, 3.6871332, 3.7946444, 4.1254349, 5.0700721, 5.4698382", \
+					  "3.6604431, 3.6644902, 3.6852266, 3.7923761, 4.1221536, 5.0671294, 5.4693394");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269420, 0.1267791, 0.1279176, 0.1269378, 0.1269337, 0.1269180, 0.1268666", \
+					  "0.1794138, 0.1791287, 0.1795966, 0.1791204, 0.1794079, 0.1791164, 0.1791158", \
+					  "0.2461848, 0.2461884, 0.2459375, 0.2461946, 0.2459911, 0.2461880, 0.2461867", \
+					  "0.3164232, 0.3164285, 0.3163997, 0.3165010, 0.3175562, 0.3166575, 0.3166659", \
+					  "0.3888684, 0.3888763, 0.3883349, 0.3890341, 0.3888501, 0.3894708, 0.3895054", \
+					  "0.4542379, 0.4542451, 0.4542283, 0.4544579, 0.4550445, 0.4553902, 0.4554808", \
+					  "0.5961562, 0.5960620, 0.5950507, 0.5933890, 0.5903818, 0.5901199, 0.5900192");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5477192, 5.5477023, 5.5494994, 5.5571795, 5.5433078, 5.3361055, -0.5652874", \
+					  "5.5459375, 5.5478574, 5.5493541, 5.5549945, 5.5401323, 5.3091486, -0.5697445", \
+					  "5.5481093, 5.5487299, 5.5505141, 5.5562959, 5.5480000, 5.3019824, -0.5777756", \
+					  "5.5475344, 5.5473558, 5.5488077, 5.5560051, 5.5481299, 5.3559199, -0.5657659", \
+					  "5.5473573, 5.5458763, 5.5465203, 5.5549615, 5.5395255, 5.3131237, -0.5714950", \
+					  "5.5464866, 5.5472976, 5.5489559, 5.5505896, 5.5476744, 5.3622910, -0.5594678", \
+					  "5.5465725, 5.5458934, 5.5487140, 5.5542838, 5.5466233, 5.2750185, -0.5615266");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8646217, 6.8756797, 6.9372511, 7.0076939, 6.9852830, 6.8091188, 0.9298726", \
+					  "6.8398758, 6.8442825, 6.9105376, 6.9826071, 6.9882177, 6.7441768, 0.8149990", \
+					  "6.8120539, 6.8234345, 6.8818093, 6.9512633, 6.9304019, 6.7422902, 0.8394720", \
+					  "6.7719519, 6.7833508, 6.8432351, 6.9125742, 6.8948913, 6.7371000, 0.7894942", \
+					  "6.7341943, 6.7408981, 6.8069343, 6.8780935, 6.8562707, 6.6308291, 0.7501447", \
+					  "6.6949627, 6.7066205, 6.7658964, 6.8353205, 6.8148742, 6.5643077, 0.4909906", \
+					  "6.6523622, 6.6657187, 6.7283041, 6.7985781, 6.7780806, 6.5573371, 0.6663704");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6911484, 3.6707503, 3.7017671, 3.7459089, 3.7287243, 3.7185380, 3.8123072", \
+					  "3.6625599, 3.6638952, 3.6694082, 3.7015131, 3.7401246, 3.7512819, 3.7576141", \
+					  "3.6256321, 3.6286310, 3.6384396, 3.6715286, 3.7221886, 3.7220862, 3.7235686", \
+					  "3.6187890, 3.6223187, 3.6336174, 3.6696667, 3.7117476, 3.7220133, 3.7217839", \
+					  "3.6229753, 3.6224609, 3.6325908, 3.6677676, 3.7101096, 3.7156845, 3.7190998", \
+					  "3.6187439, 3.6236835, 3.6333233, 3.6713528, 3.7156637, 3.7423845, 3.7088532", \
+					  "3.6163312, 3.6185673, 3.6278129, 3.6672488, 3.7003560, 3.7200867, 3.7163749");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269403, 0.1269381, 0.1269398, 0.1269444, 0.1269390, 0.1269486, 0.1269431", \
+					  "0.1784050, 0.1780998, 0.1781058, 0.1784062, 0.1784009, 0.1781080, 0.1781061", \
+					  "0.2338782, 0.2340307, 0.2340371, 0.2338815, 0.2338753, 0.2340402, 0.2340384", \
+					  "0.2808178, 0.2799104, 0.2799162, 0.2808211, 0.2808150, 0.2799197, 0.2799177", \
+					  "0.3353236, 0.3361328, 0.3361375, 0.3357097, 0.3357019, 0.3361358, 0.3361346", \
+					  "0.4350630, 0.4350407, 0.4350434, 0.4350554, 0.4350450, 0.4350319, 0.4350300", \
+					  "0.5947310, 0.5952879, 0.5952960, 0.5947804, 0.5948204, 0.5953918, 0.5953914");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4170889, 2.4041585, 2.4191293, 2.4153045, 2.5219486, 2.4523478, 2.4591674", \
+					  "2.4166113, 2.4203965, 2.4174032, 2.4162032, 2.3964989, 2.4279100, 2.4268882", \
+					  "2.4209582, 2.4170937, 2.4153256, 2.4181909, 2.4244292, 2.4372290, 2.4184400", \
+					  "2.4166541, 2.4204245, 2.4178368, 2.4166216, 2.4361058, 2.4335393, 2.4256237", \
+					  "2.4156669, 2.4108621, 2.4100464, 2.4162951, 2.4065878, 2.4244502, 2.4096079", \
+					  "2.4151203, 2.4154187, 2.4155789, 2.4150648, 2.4176777, 2.4234763, 2.4193611", \
+					  "2.4156031, 2.4221072, 2.4171251, 2.4174261, 2.3206777, 2.4212615, 2.4326775");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0880909, 3.0899189, 3.0997801, 3.1370804, 3.3514167, 4.7153561, 4.9903210", \
+					  "3.0544285, 3.0552207, 3.0652627, 3.1055448, 3.3201201, 4.6866426, 4.9697127", \
+					  "3.0229448, 3.0237557, 3.0304440, 3.0727497, 3.2825691, 4.6542173, 4.9381456", \
+					  "3.0200704, 3.0196123, 3.0293605, 3.0711188, 3.2871849, 4.6517461, 4.9354480", \
+					  "3.0180870, 3.0192859, 3.0265702, 3.0687361, 3.2788616, 4.6493743, 4.9306888", \
+					  "3.0160673, 3.0163902, 3.0252735, 3.0647957, 3.2599820, 4.6476522, 4.9289632", \
+					  "3.0125984, 3.0146517, 3.0191084, 3.0643277, 3.2750339, 4.6444342, 4.9289414");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1403588, 0.1403549, 0.1403485, 0.1403586, 0.1403504, 0.1403496, 0.1403530", \
+					  "0.1700957, 0.1700977, 0.1701067, 0.1701008, 0.1700979, 0.1700557, 0.1700599", \
+					  "0.2228193, 0.2228201, 0.2228214, 0.2228190, 0.2228174, 0.2228787, 0.2228222", \
+					  "0.2873698, 0.2873716, 0.2873724, 0.2873668, 0.2873523, 0.2873152, 0.2873582", \
+					  "0.4847634, 0.4847663, 0.4847653, 0.4847637, 0.4847720, 0.4845472, 0.4848054", \
+					  "0.6783514, 0.6783438, 0.6783087, 0.6782480, 0.6780639, 0.6775242, 0.6779742", \
+					  "0.7446704, 0.7446695, 0.7446786, 0.7446594, 0.7445975, 0.7440555, 0.7442221");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269352, 0.1269378, 0.1269802, 0.1268014, 0.1269344, 0.1267657, 0.1268998", \
+					  "0.1781044, 0.1784028, 0.1781045, 0.1784046, 0.1781082, 0.1780998, 0.1781048", \
+					  "0.2340376, 0.2338787, 0.2340380, 0.2340432, 0.2340428, 0.2340345, 0.2340394", \
+					  "0.2799178, 0.2799209, 0.2799180, 0.2799235, 0.2799230, 0.2799144, 0.2799199", \
+					  "0.3361375, 0.3361406, 0.3361371, 0.3361401, 0.3361379, 0.3361288, 0.3361335", \
+					  "0.4350557, 0.4350600, 0.4350550, 0.4350520, 0.4350455, 0.4350362, 0.4350386", \
+					  "0.5951132, 0.5951190, 0.5951304, 0.5951608, 0.5952030, 0.5952153, 0.5952203");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4210152, 2.4215350, 2.4202157, 2.4069386, 2.4150495, 2.4430774, 2.4332493", \
+					  "2.4156932, 2.4105205, 2.4160555, 2.4216194, 2.4226661, 2.4269461, 2.4235172", \
+					  "2.4178719, 2.4191140, 2.4176223, 2.4185508, 2.4178433, 2.4180829, 2.4217802", \
+					  "2.4171891, 2.4202897, 2.4159847, 2.4171444, 2.4227341, 2.4275809, 2.4175620", \
+					  "2.4177700, 2.4211673, 2.4158876, 2.4160752, 2.4216864, 2.4243166, 2.4215916", \
+					  "2.4161154, 2.4093988, 2.4152136, 2.4156353, 2.4219764, 2.4290480, 2.4199641", \
+					  "2.4164104, 2.4071669, 2.4158462, 2.4164251, 2.4174651, 2.4177221, 2.4117518");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3983688, 3.4097962, 3.4146129, 3.4813336, 3.5557598, 3.8234536, 5.4134084", \
+					  "3.3727193, 3.3753771, 3.3865472, 3.4442137, 3.5278469, 3.7862088, 5.3863746", \
+					  "3.3410154, 3.3433367, 3.3544881, 3.4126515, 3.4905258, 3.7541491, 5.3547828", \
+					  "3.3332093, 3.3360085, 3.3524187, 3.4094599, 3.4877974, 3.7514043, 5.3498097", \
+					  "3.3307863, 3.3336843, 3.3499049, 3.4074758, 3.4851923, 3.7491786, 5.3530454", \
+					  "3.3329035, 3.3354050, 3.3462520, 3.4057146, 3.4825997, 3.7460426, 5.3357328", \
+					  "3.3310136, 3.3336094, 3.3448567, 3.4032807, 3.4795192, 3.7443573, 5.3492818");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1403591, 0.1403511, 0.1403645, 0.1403272, 0.1403581, 0.1403479, 0.1403550", \
+					  "0.1701050, 0.1700986, 0.1701084, 0.1700606, 0.1701022, 0.1700525, 0.1701028", \
+					  "0.2227758, 0.2227696, 0.2227728, 0.2227697, 0.2227699, 0.2228213, 0.2227675", \
+					  "0.2866487, 0.2866450, 0.2866508, 0.2866488, 0.2866344, 0.2865812, 0.2866225", \
+					  "0.4898683, 0.4898637, 0.4898602, 0.4898370, 0.4898542, 0.4896669, 0.4899471", \
+					  "0.6908969, 0.6908880, 0.6908653, 0.6907318, 0.6902661, 0.6895696, 0.6898993", \
+					  "0.7733977, 0.7733949, 0.7734210, 0.7734440, 0.7730848, 0.7718245, 0.7717498");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3942768, 5.3936827, 5.3878288, 5.3561866, 5.1852804, 4.0917423, -12.3220742", \
+					  "5.3931851, 5.3925043, 5.3872775, 5.3619068, 5.2087389, 4.1056959, -12.2497219", \
+					  "5.3954731, 5.3948391, 5.3895061, 5.3625824, 5.2093677, 4.0904355, -12.3599556", \
+					  "5.3936493, 5.3938084, 5.3881996, 5.3594011, 5.1929830, 4.0822361, -12.3560900", \
+					  "5.3945750, 5.3933299, 5.3884475, 5.3614938, 5.2046111, 4.0957273, -12.2432637", \
+					  "5.3930364, 5.3920368, 5.3889871, 5.3644859, 5.1943056, 4.0670493, -12.2978292", \
+					  "5.3937959, 5.3930512, 5.3882747, 5.3613262, 5.2091584, 4.0781399, -12.3049737");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269223, 0.1268285, 0.1269286, 0.1269337, 0.1269378, 0.1269334, 0.1269305", \
+					  "0.1791243, 0.1791308, 0.1791343, 0.1791331, 0.1791257, 0.1794139, 0.1794168", \
+					  "0.2461807, 0.2461873, 0.2461919, 0.2461930, 0.2461877, 0.2461888, 0.2461922", \
+					  "0.3163736, 0.3163809, 0.3163867, 0.3163920, 0.3163909, 0.3163931, 0.3163975", \
+					  "0.3888882, 0.3888959, 0.3889033, 0.3889130, 0.3889162, 0.3889208, 0.3889260", \
+					  "0.4545146, 0.4545233, 0.4545327, 0.4545481, 0.4545562, 0.4545626, 0.4545692", \
+					  "0.5907393, 0.5907270, 0.5906650, 0.5904695, 0.5903073, 0.5902571, 0.5902540");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9525320, 2.9681098, 2.9530598, 2.9403449, 2.9233434, 2.9209223, 2.9056889", \
+					  "2.9110933, 2.9130262, 2.9151612, 2.9168099, 2.9198877, 2.9352346, 2.9571895", \
+					  "2.8795840, 2.8817350, 2.8770205, 2.8807397, 2.8850034, 2.8877493, 2.8837876", \
+					  "2.8765997, 2.8761582, 2.8785521, 2.8805204, 2.8810011, 2.8837194, 2.8924893", \
+					  "2.8744471, 2.8746626, 2.8738291, 2.8771010, 2.8802766, 2.8843920, 2.8896276", \
+					  "2.8719133, 2.8720662, 2.8725942, 2.8733536, 2.8779751, 2.8819185, 2.8845137", \
+					  "2.8698738, 2.8700881, 2.8697444, 2.8684626, 2.8928320, 2.8768539, 2.8756800");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8535537, 6.8522362, 6.8451958, 6.8142917, 6.6546920, 5.4919519, -10.9775859", \
+					  "6.8241319, 6.8216513, 6.8112039, 6.7815033, 6.6227912, 5.5064611, -10.7729599", \
+					  "6.7956954, 6.7972454, 6.7837419, 6.7582597, 6.5881705, 5.4738066, -10.9429779", \
+					  "6.7589762, 6.7531891, 6.7451964, 6.7149836, 6.5579161, 5.4273061, -10.9751445", \
+					  "6.7147437, 6.7166831, 6.7096436, 6.6800423, 6.5222896, 5.4021444, -11.0121716", \
+					  "6.6777890, 6.6774693, 6.6650543, 6.6381310, 6.4779158, 5.3009012, -11.2463812", \
+					  "6.6377402, 6.6350523, 6.6273249, 6.5999441, 6.4430964, 5.3061214, -11.0896209");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1267595, 0.1265597, 0.1269744, 0.1276521, 0.1269238, 0.1274827, 0.1265355", \
+					  "0.1791224, 0.1791262, 0.1791253, 0.1794887, 0.1791150, 0.1795239, 0.1794064", \
+					  "0.2461822, 0.2461863, 0.2461890, 0.2458170, 0.2461905, 0.2459209, 0.2461891", \
+					  "0.3164240, 0.3164299, 0.3164431, 0.3167617, 0.3166129, 0.3170265, 0.3166722", \
+					  "0.3888756, 0.3888851, 0.3889130, 0.3886812, 0.3893269, 0.3888631, 0.3895189", \
+					  "0.4542603, 0.4542695, 0.4542988, 0.4545169, 0.4550429, 0.4557367, 0.4555107", \
+					  "0.5961349, 0.5960380, 0.5955147, 0.5928226, 0.5907771, 0.5896034, 0.5899948");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7081720, 6.7124385, 6.7427736, 6.8595383, 6.7621587, 5.5775417, -0.2317138", \
+					  "6.7091962, 6.7171048, 6.7415406, 6.8614273, 6.7775127, 5.5545118, -0.2176466", \
+					  "6.7067142, 6.7176811, 6.7428619, 6.8605156, 6.7817536, 5.5541201, -0.2145261", \
+					  "6.7063141, 6.7165368, 6.7418113, 6.8601815, 6.7618096, 5.5632506, -0.1873488", \
+					  "6.7058729, 6.7107735, 6.7410977, 6.8588707, 6.7874873, 5.5543079, -0.1051091", \
+					  "6.7063023, 6.7161217, 6.7408567, 6.8588201, 6.7806348, 5.5526370, -0.1324333", \
+					  "6.7059008, 6.7166149, 6.7409995, 6.8591077, 6.7770236, 5.5525278, -0.2162648");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8535715, 6.8522141, 6.8439273, 6.8136503, 6.6609413, 5.5367538, -10.8006189", \
+					  "6.8240309, 6.8218750, 6.8112941, 6.7874295, 6.6255286, 5.5081338, -10.8255269", \
+					  "6.7957609, 6.7972606, 6.7852767, 6.7555283, 6.5954442, 5.5034414, -11.0523849", \
+					  "6.7590373, 6.7532845, 6.7453026, 6.7158158, 6.5624485, 5.4307266, -11.0591135", \
+					  "6.7149180, 6.7168228, 6.7096918, 6.6785543, 6.5207808, 5.4326794, -11.0342346", \
+					  "6.6792474, 6.6795835, 6.6650517, 6.6381531, 6.4722793, 5.3335118, -10.9081142", \
+					  "6.6379202, 6.6335127, 6.6273926, 6.5998361, 6.4419919, 5.3169907, -11.1038049");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9438617, 2.9392139, 2.9472860, 2.9618440, 2.9546673, 2.9032995, 2.9949365", \
+					  "2.9142939, 2.9109728, 2.9151890, 2.9150069, 2.9172596, 2.9122337, 2.9036813", \
+					  "2.8796327, 2.8816960, 2.8781470, 2.8808314, 2.8976138, 2.8870849, 2.8834233", \
+					  "2.8765255, 2.8741056, 2.8787228, 2.8806826, 2.8808251, 2.8822373, 2.8896539", \
+					  "2.8744265, 2.8746912, 2.8756497, 2.8771927, 2.8830450, 2.8833900, 2.8839922", \
+					  "2.8718864, 2.8720410, 2.8733228, 2.8738243, 2.8776999, 2.8840182, 2.8881707", \
+					  "2.8698745, 2.8701076, 2.8722736, 2.8700742, 2.8756672, 2.8771657, 2.8685210");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7958685, 6.8120821, 6.8701572, 6.9439935, 6.9214304, 6.7460399, 0.7808585", \
+					  "6.7689907, 6.7793761, 6.8412849, 6.9171955, 6.8903914, 6.7303731, 0.5739722", \
+					  "6.7457150, 6.7533508, 6.8157224, 6.8916504, 6.8758496, 6.6957576, 0.7703484", \
+					  "6.7025816, 6.7139102, 6.7768893, 6.8509391, 6.8291418, 6.5933430, 0.7772465", \
+					  "6.6648084, 6.6776024, 6.7403673, 6.8121411, 6.7900723, 6.5264265, 0.5853606", \
+					  "6.6265209, 6.6371158, 6.6989955, 6.7738055, 6.7468912, 6.5228070, 0.6426703", \
+					  "6.5900232, 6.6013587, 6.6587278, 6.7282438, 6.7140793, 6.5230672, 0.6072181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1577331, 0.1577920, 0.1577621, 0.1574470, 0.1570150, 0.1565191, 0.1565667", \
+					  "0.2001303, 0.2001748, 0.2000696, 0.1999886, 0.1992462, 0.1987452, 0.1986130", \
+					  "0.2229753, 0.2229209, 0.2229685, 0.2230908, 0.2227816, 0.2221291, 0.2219612", \
+					  "0.2630224, 0.2630026, 0.2629670, 0.2633384, 0.2634278, 0.2629969, 0.2628234", \
+					  "0.3046970, 0.3046995, 0.3047501, 0.3050441, 0.3056323, 0.3054527, 0.3053139", \
+					  "0.4162310, 0.4160561, 0.4157266, 0.4142815, 0.4132324, 0.4124412, 0.4122034", \
+					  "0.6038388, 0.6038807, 0.6029616, 0.5994136, 0.5919146, 0.5883651, 0.5874699");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.2732688, 8.2875473, 8.3347907, 8.5563834, 8.6765729, 7.5537948, 1.9533485", \
+					  "8.2506870, 8.2543767, 8.3056482, 8.5301735, 8.6094746, 7.5459998, 1.8607235", \
+					  "8.2284807, 8.2366261, 8.2813716, 8.5140726, 8.5970592, 7.5027470, 1.8312230", \
+					  "8.1798179, 8.1946437, 8.2414292, 8.4743245, 8.5741266, 7.4569448, 1.8242469", \
+					  "8.1500713, 8.1523585, 8.2036612, 8.4375505, 8.5355494, 7.4248588, 1.7510209", \
+					  "8.1026834, 8.1176819, 8.1643511, 8.3975587, 8.4969228, 7.3787582, 1.7659016", \
+					  "8.0636187, 8.0788505, 8.1238197, 8.3486893, 8.4570367, 7.3471935, 1.6696552");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5030914, 4.4910117, 4.5305373, 4.6660977, 5.0252028, 5.9827702, 6.3788336", \
+					  "4.4673372, 4.4721419, 4.4909910, 4.6271442, 4.9935417, 5.9373787, 6.3494532", \
+					  "4.4358057, 4.4280905, 4.4613239, 4.5955425, 4.9608692, 5.9133521, 6.3221314", \
+					  "4.4188838, 4.4260365, 4.4612215, 4.5928397, 4.9596062, 5.9030772, 6.3192326", \
+					  "4.4305472, 4.4368429, 4.4639250, 4.5907593, 4.9574334, 5.9080445, 6.3105143", \
+					  "4.4287465, 4.4332321, 4.4557696, 4.5882270, 4.9530628, 5.8974922, 6.3141797", \
+					  "4.4186599, 4.4320476, 4.4593773, 4.5839756, 4.9542532, 5.8875769, 6.3122233");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269328, 0.1269490, 0.1268641, 0.1269334, 0.1269471, 0.1269242, 0.1269433", \
+					  "0.1784015, 0.1781071, 0.1781048, 0.1784010, 0.1784001, 0.1783920, 0.1781058", \
+					  "0.2340334, 0.2340341, 0.2340320, 0.2338704, 0.2340323, 0.2338650, 0.2340339", \
+					  "0.2799264, 0.2799272, 0.2799251, 0.2808237, 0.2799246, 0.2808178, 0.2799266", \
+					  "0.3361275, 0.3361280, 0.3361261, 0.3356937, 0.3361243, 0.3356871, 0.3361244", \
+					  "0.4348750, 0.4348755, 0.4348730, 0.4348845, 0.4348629, 0.4348683, 0.4348582", \
+					  "0.5963465, 0.5963494, 0.5963490, 0.5957738, 0.5964141, 0.5959320, 0.5964868");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0285169, 3.0335268, 3.0432000, 3.1037128, 3.1752699, 3.4480528, 5.0315643", \
+					  "3.0290819, 3.0303738, 3.0450207, 3.1043303, 3.1782291, 3.4431671, 5.0442598", \
+					  "3.0259350, 3.0288426, 3.0451633, 3.0987947, 3.1800183, 3.4446075, 5.0405665", \
+					  "3.0248490, 3.0286739, 3.0435203, 3.1021906, 3.1795371, 3.4425054, 5.0397574", \
+					  "3.0250221, 3.0279245, 3.0429245, 3.1010928, 3.1789203, 3.4416884, 5.0416156", \
+					  "3.0242084, 3.0315812, 3.0381900, 3.1030286, 3.1788982, 3.4429177, 5.0440590", \
+					  "3.0239164, 3.0272395, 3.0436747, 3.1010038, 3.1783853, 3.4427433, 5.0391759");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7122501, 2.7131077, 2.7207550, 2.7610650, 2.9906698, 4.3426658, 4.6188532", \
+					  "2.7119543, 2.7117756, 2.7209271, 2.7633118, 2.9776150, 4.3428183, 4.6199534", \
+					  "2.7127344, 2.7138010, 2.7207455, 2.7712307, 2.9747934, 4.3449979, 4.6261606", \
+					  "2.7108929, 2.7137515, 2.7211581, 2.7637042, 2.9735860, 4.3427499, 4.6225573", \
+					  "2.7109741, 2.7117493, 2.7190516, 2.7553010, 2.9737764, 4.3422189, 4.6244814", \
+					  "2.7110247, 2.7119573, 2.7228149, 2.7626563, 2.9743535, 4.3420334, 4.6171670", \
+					  "2.7108530, 2.7115766, 2.7194984, 2.7630443, 2.9729775, 4.3419649, 4.6242474");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269492, 0.1266836, 0.1267499, 0.1269367, 0.1269377, 0.1269337, 0.1269392", \
+					  "0.1784052, 0.1784029, 0.1781101, 0.1781044, 0.1784021, 0.1784010, 0.1784063", \
+					  "0.2340359, 0.2340333, 0.2340361, 0.2340304, 0.2340330, 0.2338695, 0.2338766", \
+					  "0.2799253, 0.2799227, 0.2799255, 0.2799194, 0.2799218, 0.2808189, 0.2808257", \
+					  "0.3361416, 0.3361393, 0.3361419, 0.3361360, 0.3361378, 0.3357036, 0.3361428", \
+					  "0.4350922, 0.4350905, 0.4350925, 0.4350866, 0.4350876, 0.4350993, 0.4350908", \
+					  "0.5942382, 0.5942373, 0.5942401, 0.5942440, 0.5942640, 0.5937274, 0.5942847");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269315, 0.1269342, 0.1269525, 0.1268344, 0.1267343, 0.1269474, 0.1267872", \
+					  "0.1783950, 0.1784019, 0.1784052, 0.1784014, 0.1781023, 0.1781293, 0.1784036", \
+					  "0.2340356, 0.2338778, 0.2338814, 0.2338774, 0.2340369, 0.2340441, 0.2338806", \
+					  "0.2799190, 0.2808215, 0.2808247, 0.2808212, 0.2799197, 0.2800921, 0.2808237", \
+					  "0.3361185, 0.3356899, 0.3356935, 0.3356892, 0.3361170, 0.3361234, 0.3356890", \
+					  "0.4348191, 0.4348367, 0.4348383, 0.4348321, 0.4348074, 0.4348075, 0.4348182", \
+					  "0.5977768, 0.5971924, 0.5971951, 0.5972098, 0.5978520, 0.5979050, 0.5973407");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4264189, 2.4286909, 2.4305924, 2.4549741, 2.5881849, 3.4465039, 4.2118442", \
+					  "2.4277775, 2.4282643, 2.4308022, 2.4533829, 2.5861651, 3.4487013, 4.2156895", \
+					  "2.4279838, 2.4289876, 2.4314565, 2.4527533, 2.5930109, 3.4529057, 4.2135354", \
+					  "2.4276707, 2.4275859, 2.4300399, 2.4525347, 2.5893181, 3.4453800, 4.2161454", \
+					  "2.4259605, 2.4269727, 2.4291697, 2.4513762, 2.5860549, 3.4356314, 4.2065908", \
+					  "2.4263407, 2.4273178, 2.4288598, 2.4523692, 2.5809022, 3.4384140, 4.2138746", \
+					  "2.4261034, 2.4268749, 2.4292046, 2.4514002, 2.5908357, 3.4482254, 4.2158332");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269277, 0.1269332, 0.1269333, 0.1269263, 0.1269434, 0.1269455, 0.1269426", \
+					  "0.1784068, 0.1784038, 0.1781065, 0.1781074, 0.1781092, 0.1784039, 0.1781059", \
+					  "0.2338817, 0.2340410, 0.2340392, 0.2340406, 0.2340429, 0.2338799, 0.2340398", \
+					  "0.2808209, 0.2799200, 0.2799183, 0.2799200, 0.2799222, 0.2808194, 0.2799189", \
+					  "0.3357112, 0.3361409, 0.3361387, 0.3361371, 0.3361375, 0.3357040, 0.3361339", \
+					  "0.4350789, 0.4350630, 0.4350588, 0.4350525, 0.4350476, 0.4350586, 0.4350430", \
+					  "0.5945744, 0.5951335, 0.5951441, 0.5951750, 0.5952191, 0.5946759, 0.5952334");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4203705, 2.3899368, 2.4155807, 2.4029801, 2.4164798, 2.4161760, 2.3944288", \
+					  "2.4175749, 2.4128862, 2.4160369, 2.4086669, 2.4232156, 2.4278731, 2.4305472", \
+					  "2.4153327, 2.4204517, 2.4182982, 2.4252367, 2.4167081, 2.4333092, 2.4206423", \
+					  "2.4158329, 2.4183934, 2.4171051, 2.4143194, 2.4258284, 2.4319260, 2.4357734", \
+					  "2.4177011, 2.4118017, 2.4153136, 2.4136041, 2.4236505, 2.4333862, 2.4271566", \
+					  "2.4139325, 2.4203264, 2.4177937, 2.4034450, 2.4201447, 2.4237354, 2.4287049", \
+					  "2.4166339, 2.4139865, 2.4157557, 2.4081197, 2.4161163, 2.4173534, 2.4310898");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7122833, 6.7175681, 6.7430642, 6.8599555, 6.7640496, 5.5605557, -0.2015409", \
+					  "6.7122155, 6.7166265, 6.7416187, 6.8518066, 6.7779672, 5.5689955, -0.1933090", \
+					  "6.7075208, 6.7177297, 6.7408058, 6.8602606, 6.7775338, 5.5574655, -0.2235856", \
+					  "6.7062170, 6.7168829, 6.7415836, 6.8592738, 6.7632070, 5.5671997, -0.1149567", \
+					  "6.7068959, 6.7163437, 6.7411892, 6.8507513, 6.7801125, 5.5533196, -0.1425820", \
+					  "6.7103221, 6.7105259, 6.7392278, 6.8582229, 6.7733333, 5.5517827, -0.2786385", \
+					  "6.7048743, 6.7160698, 6.7408897, 6.8587395, 6.7754623, 5.5524489, -0.2242895");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1267257, 0.1269321, 0.1269513, 0.1269224, 0.1267356, 0.1269305, 0.1269196", \
+					  "0.1792153, 0.1791276, 0.1794168, 0.1793954, 0.1791163, 0.1794055, 0.1791122", \
+					  "0.2461843, 0.2461850, 0.2461896, 0.2459888, 0.2461891, 0.2459826, 0.2461820", \
+					  "0.3164203, 0.3164223, 0.3164375, 0.3164968, 0.3166067, 0.3175975, 0.3166611", \
+					  "0.3888777, 0.3888826, 0.3889128, 0.3890414, 0.3894585, 0.3890108, 0.3895113", \
+					  "0.4542652, 0.4542697, 0.4543012, 0.4544838, 0.4550421, 0.4554438, 0.4555047", \
+					  "0.5961364, 0.5960407, 0.5955180, 0.5933657, 0.5907765, 0.5896793, 0.5899920");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8735304, 7.8877629, 7.9284179, 8.1391347, 8.1713824, 6.9322486, 1.1412001", \
+					  "7.8455995, 7.8605138, 7.9006623, 8.1101631, 8.1217406, 6.9245134, 1.0762006", \
+					  "7.8199594, 7.8346751, 7.8749484, 8.0842289, 8.0885285, 6.8839174, 1.2226843", \
+					  "7.7801185, 7.7948602, 7.8340207, 8.0393634, 8.0504023, 6.8414315, 1.1654245", \
+					  "7.7420348, 7.7559091, 7.7969770, 8.0060354, 8.0369968, 6.7957995, 0.8311669", \
+					  "7.7032174, 7.7179096, 7.7581734, 7.9673698, 7.9951575, 6.7573230, 1.0816594", \
+					  "7.6628178, 7.6718219, 7.7184920, 7.9276450, 7.9564050, 6.7176633, 1.0539379");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1577332, 0.1577940, 0.1577080, 0.1575756, 0.1568480, 0.1564839, 0.1564505", \
+					  "0.2001691, 0.2001762, 0.2001359, 0.1998999, 0.1992782, 0.1987290, 0.1985817", \
+					  "0.2229132, 0.2229248, 0.2229709, 0.2226270, 0.2227192, 0.2222267, 0.2220327", \
+					  "0.2630314, 0.2630147, 0.2630606, 0.2632949, 0.2634630, 0.2627000, 0.2628433", \
+					  "0.3047199, 0.3047248, 0.3047798, 0.3051913, 0.3056678, 0.3055639, 0.3053438", \
+					  "0.4161229, 0.4160570, 0.4155988, 0.4145784, 0.4130907, 0.4125956, 0.4122046", \
+					  "0.6039087, 0.6037804, 0.6033212, 0.5991594, 0.5919463, 0.5883993, 0.5872024");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1268428, 0.1266556, 0.1268852, 0.1267754, 0.1269460, 0.1268098, 0.1269483", \
+					  "0.1781030, 0.1781016, 0.1781065, 0.1781054, 0.1783995, 0.1784039, 0.1781104", \
+					  "0.2340137, 0.2340357, 0.2340407, 0.2340398, 0.2338765, 0.2338811, 0.2340454", \
+					  "0.2799242, 0.2799227, 0.2799280, 0.2799268, 0.2808234, 0.2808282, 0.2799321", \
+					  "0.3361152, 0.3361139, 0.3361187, 0.3361172, 0.3356817, 0.3356848, 0.3361196", \
+					  "0.4347719, 0.4347704, 0.4347745, 0.4347701, 0.4347754, 0.4347729, 0.4347591", \
+					  "0.5979339, 0.5979334, 0.5979773, 0.5979493, 0.5974397, 0.5974880, 0.5980796");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4269388, 2.4281299, 2.4332014, 2.4543126, 2.5838008, 3.4808423, 4.2044248", \
+					  "2.4266096, 2.4271330, 2.4310056, 2.4522737, 2.5838616, 3.4447685, 4.2130606", \
+					  "2.4268760, 2.4281426, 2.4318139, 2.4538234, 2.5848260, 3.4465252, 4.2088906", \
+					  "2.4262911, 2.4271524, 2.4308168, 2.4527312, 2.5841855, 3.4454256, 4.2118607", \
+					  "2.4256352, 2.4268782, 2.4303353, 2.4519341, 2.5835810, 3.4436000, 4.2123610", \
+					  "2.4254753, 2.4265270, 2.4295393, 2.4497274, 2.5938946, 3.4484142, 4.2129300", \
+					  "2.4256994, 2.4264030, 2.4299436, 2.4521997, 2.5826886, 3.4440892, 4.2184734");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1269307, 0.1269399, 0.1266532, 0.1269344, 0.1269131, 0.1269398, 0.1269267", \
+					  "0.1783918, 0.1781057, 0.1781029, 0.1781023, 0.1781070, 0.1784054, 0.1783984", \
+					  "0.2338683, 0.2340376, 0.2340348, 0.2340349, 0.2340400, 0.2338809, 0.2338737", \
+					  "0.2808084, 0.2799174, 0.2799143, 0.2799146, 0.2799200, 0.2808205, 0.2808135", \
+					  "0.3357019, 0.3361395, 0.3361361, 0.3361338, 0.3361371, 0.3357070, 0.3357002", \
+					  "0.4350571, 0.4350491, 0.4350437, 0.4350367, 0.4350354, 0.4350498, 0.4350439", \
+					  "0.5947164, 0.5952753, 0.5952850, 0.5953168, 0.5953623, 0.5948217, 0.5948232");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4172452, 2.4159773, 2.4197818, 2.4134535, 2.4235826, 2.3952279, 2.4083062", \
+					  "2.4165996, 2.4156203, 2.4181231, 2.4265114, 2.4229326, 2.4188957, 2.4438861", \
+					  "2.4172231, 2.4167289, 2.4121601, 2.4221606, 2.4231979, 2.4269434, 2.4210885", \
+					  "2.4164765, 2.4157174, 2.4178608, 2.4182143, 2.4230127, 2.4265821, 2.4244458", \
+					  "2.4163373, 2.4155864, 2.4169425, 2.4233641, 2.4191673, 2.4246102, 2.4239220", \
+					  "2.4154078, 2.4149252, 2.4170795, 2.4184928, 2.4217344, 2.4098507, 2.4234320", \
+					  "2.4154758, 2.4151961, 2.4171962, 2.4269358, 2.4221554, 2.4315612, 2.4177953");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9479118, 7.9531698, 7.9955699, 8.2001944, 8.2407453, 7.0069493, 1.2849668", \
+					  "7.9126579, 7.9264654, 7.9674162, 8.1764729, 8.1879871, 6.9798108, 1.2404172", \
+					  "7.8909617, 7.9021229, 7.9394281, 8.1503106, 8.1822165, 6.9692237, 1.2391185", \
+					  "7.8545052, 7.8600650, 7.9016507, 8.1055292, 8.1436593, 6.9301942, 1.1331200", \
+					  "7.8093664, 7.8228747, 7.8635956, 8.0726367, 8.1017453, 6.8627460, 1.1361235", \
+					  "7.7743272, 7.7853626, 7.8254269, 8.0280981, 8.0646887, 6.8513632, 1.1512562", \
+					  "7.7387241, 7.7443689, 7.7852511, 7.9944544, 8.0232430, 7.0689864, 1.0597022");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6919399, 3.6928897, 3.7076170, 3.7532823, 3.7323542, 3.7432461, 3.8381862", \
+					  "3.6708211, 3.6634802, 3.6714066, 3.7074290, 3.7348337, 3.7713145, 3.7577030", \
+					  "3.6301142, 3.6327840, 3.6379153, 3.6798778, 3.7124368, 3.7291518, 3.7232260", \
+					  "3.6180379, 3.6284909, 3.6345229, 3.6770718, 3.7294674, 3.7198830, 3.7322057", \
+					  "3.6341222, 3.6287359, 3.6344366, 3.6665797, 3.7097657, 3.7085045, 3.7521645", \
+					  "3.6198272, 3.6250409, 3.6278052, 3.6685122, 3.7248391, 3.7022704, 3.7326887", \
+					  "3.5963251, 3.6220393, 3.6320829, 3.6717031, 3.7238468, 3.7338075, 3.7296251");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7061339, 3.7100799, 3.7194140, 3.7680158, 3.9443244, 4.8076308, 5.6093883", \
+					  "3.6722093, 3.6742411, 3.6842205, 3.7347305, 3.9008103, 4.7692298, 5.5490700", \
+					  "3.6400065, 3.6420383, 3.6522072, 3.7010293, 3.8715244, 4.7522696, 5.5110203", \
+					  "3.6371289, 3.6393793, 3.6494238, 3.7005548, 3.8681650, 4.7395435, 5.5156393", \
+					  "3.6345073, 3.6366141, 3.6473681, 3.6979145, 3.8654892, 4.7372523, 5.5096679", \
+					  "3.6320479, 3.6344795, 3.6450999, 3.6929016, 3.8592507, 4.7365203, 5.5476861", \
+					  "3.6302662, 3.6328015, 3.6430230, 3.6951184, 3.8619815, 4.7247952, 5.5011260");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1954764, 7.2079621, 7.2716464, 7.3704649, 7.4162703, 7.2943678, 1.3936150", \
+					  "7.1637682, 7.1817946, 7.2464625, 7.3415895, 7.3967052, 7.3363472, 1.4751475", \
+					  "7.1402132, 7.1502157, 7.2174102, 7.3152815, 7.3553250, 7.2198648, 1.4224621", \
+					  "7.0989568, 7.1113523, 7.1808921, 7.2770522, 7.3307029, 7.2395561, 1.3115194", \
+					  "7.0661180, 7.0695632, 7.1395766, 7.2370455, 7.2930060, 7.1819550, 1.3551018", \
+					  "7.0222890, 7.0368451, 7.1026067, 7.1968386, 7.2526499, 7.0872518, 1.3351624", \
+					  "6.9828843, 6.9932206, 7.0636140, 7.1582978, 7.2124541, 7.1137926, 1.2973052");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9562344, 2.9571193, 2.9578164, 2.9827062, 3.1210940, 3.9586116, 4.7318433", \
+					  "2.9218471, 2.9228383, 2.9261427, 2.9493863, 3.0793704, 3.9402475, 4.7166109", \
+					  "2.8907489, 2.8921882, 2.8947558, 2.9153602, 3.0488108, 3.9082433, 4.6904544", \
+					  "2.8879686, 2.8884854, 2.8914190, 2.9114722, 3.0440938, 3.9056745, 4.6787501", \
+					  "2.8853895, 2.8858358, 2.8894216, 2.9096177, 3.0433690, 3.9032541, 4.6752325", \
+					  "2.8823106, 2.8829147, 2.8867311, 2.9072772, 3.0383707, 3.9001212, 4.6695097", \
+					  "2.8798728, 2.8805721, 2.8843740, 2.9059999, 3.0337263, 3.8870131, 4.6667830");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1736015, 7.1715025, 7.1648759, 7.1686376, 7.0790457, 6.0869439, -10.2961559", \
+					  "7.1422472, 7.1414666, 7.1309733, 7.1383606, 7.0588391, 6.0527108, -10.3721129", \
+					  "7.1146105, 7.1157961, 7.1120994, 7.1138767, 7.0317774, 6.0579193, -10.2017519", \
+					  "7.0751434, 7.0775122, 7.0741884, 7.0737539, 6.9821783, 5.9967799, -10.4325965", \
+					  "7.0346935, 7.0367223, 7.0330577, 7.0365800, 6.9528141, 5.9076385, -10.2396673", \
+					  "6.9972266, 6.9972882, 6.9929505, 6.9952802, 6.9134329, 5.9359561, -10.4762992", \
+					  "6.9591437, 6.9600328, 6.9530566, 6.9576935, 6.8703710, 5.8770839, -10.5035709");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7954673, 2.7927622, 2.8044317, 2.8362963, 2.9717128, 3.4916561, 3.9083788", \
+					  "2.8472806, 2.8477249, 2.8557954, 2.8917416, 3.0123741, 3.5397625, 3.9648886", \
+					  "2.9139740, 2.9153803, 2.9227923, 2.9607697, 3.0819440, 3.6088656, 4.0276153", \
+					  "2.9832002, 2.9861766, 2.9927269, 3.0281695, 3.1535725, 3.6788566, 4.1004450", \
+					  "3.0556949, 3.0567326, 3.0628737, 3.0991637, 3.2220820, 3.7493195, 4.1675896", \
+					  "3.1202542, 3.1219620, 3.1293552, 3.1641274, 3.2892933, 3.8111449, 4.2369857", \
+					  "3.2600417, 3.2608800, 3.2686719, 3.3036604, 3.4143957, 3.9483086, 4.3729311");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9615148, 5.9628706, 5.9699417, 5.9986183, 5.9014512, 5.0351293, -5.0582668", \
+					  "6.0124211, 6.0147160, 6.0203735, 6.0426806, 5.9576710, 5.0736795, -4.9389316", \
+					  "6.0687210, 6.0716909, 6.0777663, 6.1002949, 6.0154434, 5.1382386, -4.9079805", \
+					  "6.1136963, 6.1160255, 6.1226085, 6.1471543, 6.0520534, 5.2091631, -4.8678873", \
+					  "6.1695365, 6.1716327, 6.1778530, 6.2009746, 6.1130709, 5.2387290, -4.7925856", \
+					  "6.2682496, 6.2700423, 6.2762534, 6.3021513, 6.2120586, 5.3358575, -4.7059949", \
+					  "6.4291433, 6.4321439, 6.4383695, 6.4624878, 6.3750969, 5.4875877, -4.5189284");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.2152748, 12.2250825, 12.2736769, 12.4113292, 12.3615278, 10.9703517, -5.7495155", \
+					  "12.1762395, 12.1860610, 12.2333818, 12.3749332, 12.3178422, 10.9519486, -5.8245027", \
+					  "12.1516518, 12.1628992, 12.2089676, 12.3490344, 12.2860184, 10.9241175, -5.8117758", \
+					  "12.1057410, 12.1156121, 12.1637944, 12.3027515, 12.2467406, 10.8675390, -5.8195649", \
+					  "12.1080013, 12.1176612, 12.1697367, 12.3086717, 12.2564609, 10.8489828, -5.8629230", \
+					  "12.1082969, 12.1212276, 12.1681226, 12.3065808, 12.2535999, 10.8346904, -5.8442375", \
+					  "12.0670216, 12.0773565, 12.1270140, 12.2652812, 12.2153500, 10.8797370, -5.9481378");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.8304945, 5.8307543, 5.8515638, 5.9370551, 6.1408185, 7.0043261, 7.7568843", \
+					  "5.7932299, 5.7944498, 5.8099123, 5.8890750, 6.1162905, 6.9993400, 7.7101417", \
+					  "5.7436910, 5.7455006, 5.7620954, 5.8432628, 6.0746784, 6.9549567, 7.6595414", \
+					  "5.7468686, 5.7511909, 5.7715429, 5.8533031, 6.0843871, 6.9599990, 7.6740307", \
+					  "5.7633632, 5.7651836, 5.7821590, 5.8618142, 6.0921299, 6.9702323, 7.6852238", \
+					  "5.7948320, 5.7987768, 5.8141050, 5.8949105, 6.1235336, 7.0055882, 7.7174240", \
+					  "5.8488344, 5.8577727, 5.8740271, 5.9535233, 6.1838718, 7.0566640, 7.7654280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9539353, 7.9539354, 8.0213436, 8.0414999, 8.0415006, 8.0415016, 8.1050544", \
+					  "8.0564604, 8.0670590, 8.0670591, 8.0670600, 8.0670610, 8.0670620, 8.1041556", \
+					  "8.1083398, 8.1180547, 8.1180549, 8.1203027, 8.1203037, 8.1203046, 8.1837932", \
+					  "8.1342986, 8.1354345, 8.1354347, 8.1354356, 8.1354366, 8.1354375, 8.1853493", \
+					  "8.1816580, 8.1938918, 8.1938921, 8.1938930, 8.1938940, 8.1938949, 8.2210647", \
+					  "8.2147178, 8.2147184, 8.2147193, 8.2147203, 8.2147213, 8.2147222, 8.2147232", \
+					  "8.2350446, 8.2350450, 8.2350460, 8.2350469, 8.2350479, 8.2350488, 8.2437923");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+				timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("7.7796987, 7.7882039, 7.8215656, 8.0539175, 9.6985895, 20.3428970, 86.8441030", \
+					  "7.8821074, 7.8882039, 7.9240037, 8.1564249, 9.8003294, 20.4446890, 86.9551680", \
+					  "7.9401552, 7.9457907, 7.9819058, 8.2147453, 9.8592299, 20.5036490, 87.0014960", \
+					  "7.9821687, 7.9880991, 8.0240943, 8.2567739, 9.9009529, 20.5410140, 87.0832440", \
+					  "8.0132398, 8.0193621, 8.0552525, 8.2874703, 9.9317905, 20.5733690, 87.0831940", \
+					  "8.0418836, 8.0482856, 8.0838749, 8.3161948, 9.9605870, 20.6006160, 87.1292580", \
+					  "8.0633376, 8.0699918, 8.1051015, 8.3377474, 9.9820925, 20.6258900, 87.1242700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("0.9939224, 1.0099578, 1.1036092, 1.6986187, 5.7548593, 31.6452860, 191.2220600", \
+					  "0.9947120, 1.0083779, 1.1046936, 1.6998993, 5.7549392, 31.6381090, 191.6742900", \
+					  "0.9954770, 1.0085301, 1.1052886, 1.7006067, 5.7547132, 31.6344640, 191.4476500", \
+					  "0.9944295, 1.0091364, 1.1033827, 1.6993601, 5.7546603, 31.6591020, 191.4055300", \
+					  "0.9953427, 1.0103240, 1.1029500, 1.6993795, 5.7543974, 31.6362650, 191.5999900", \
+					  "0.9947819, 1.0098228, 1.1033274, 1.6988262, 5.7546128, 31.6537200, 191.3640700", \
+					  "0.9958947, 1.0116850, 1.1053125, 1.7010428, 5.7546941, 31.6140960, 191.6101100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("150.6163300, 150.6230800, 150.6727200, 150.9532800, 152.7792600, 161.4198600, 198.0099700", \
+					  "150.7826500, 150.7894800, 150.8394000, 151.1258200, 152.9510300, 161.5812000, 198.2037400", \
+					  "150.9272900, 150.9341000, 150.9846900, 151.2691900, 153.0958700, 161.7475500, 198.3368100", \
+					  "151.0503200, 151.0571200, 151.1066200, 151.3951700, 153.2186900, 161.8406000, 198.4510000", \
+					  "151.1570200, 151.1570206, 151.1879800, 151.5030400, 153.3262700, 161.8966800, 198.5495900", \
+					  "151.2439500, 151.2532200, 151.2532273, 151.5917100, 153.4090800, 162.0416400, 198.5600700", \
+					  "151.3104000, 151.3152500, 151.3643200, 151.6886700, 153.5007400, 162.1587400, 198.7253200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("59.4166250, 59.4070390, 59.2772480, 58.9939440, 57.0334710, 46.8149750, 92.3635850", \
+					  "59.4154200, 59.4068580, 59.2765130, 58.9964060, 57.0375210, 46.9756110, 92.3662360", \
+					  "59.4159320, 59.4071920, 59.2788640, 58.9865830, 57.0371480, 46.8015640, 92.3626400", \
+					  "59.4161800, 59.4073590, 59.2785230, 58.9991910, 57.0408750, 46.9082590, 92.3698240", \
+					  "59.4164360, 59.3049340, 59.2430740, 58.9930810, 57.0407330, 46.9850190, 92.3518970", \
+					  "59.3783440, 59.3046070, 59.2165290, 58.9932410, 57.0596550, 47.1387230, 92.3282140", \
+					  "59.3066360, 59.2979750, 59.2569800, 58.9921210, 57.0406510, 46.8188720, 92.3543910");
+				}
+			}
+						timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("7.7511917, 7.7570421, 7.7928046, 8.0206801, 9.6438848, 20.3731200, 89.1043830", \
+					  "7.8530578, 7.8589215, 7.8953093, 8.1238518, 9.7464881, 20.4770390, 89.2103240", \
+					  "7.9103968, 7.9164675, 7.9522851, 8.1802574, 9.8033937, 20.5342620, 89.2680920", \
+					  "7.9526918, 7.9585769, 7.9944718, 8.2228642, 9.8458477, 20.5876520, 89.3304700", \
+					  "7.9829920, 7.9890867, 8.0247400, 8.2528402, 9.8751407, 20.6175270, 89.3582950", \
+					  "8.0124902, 8.0188487, 8.0542577, 8.2831315, 9.9056932, 20.6365800, 89.3717810", \
+					  "8.0348102, 8.0414573, 8.0766069, 8.3053848, 9.9277573, 20.6586570, 89.4144850");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("1.0214157, 1.0363067, 1.1284681, 1.7203385, 5.7590741, 31.6499000, 191.3127200", \
+					  "1.0209535, 1.0353040, 1.1262326, 1.7203540, 5.7601990, 31.6503270, 191.3030000", \
+					  "1.0214911, 1.0351227, 1.1267907, 1.7200481, 5.7600928, 31.6373130, 191.2890000", \
+					  "1.0209872, 1.0350388, 1.1261810, 1.7196897, 5.7590346, 31.6470260, 191.3243900", \
+					  "1.0201003, 1.0351705, 1.1284071, 1.7197649, 5.7591696, 31.6463870, 191.2716400", \
+					  "1.0206455, 1.0359966, 1.1276803, 1.7203441, 5.7600742, 31.6442520, 191.2831400", \
+					  "1.0207594, 1.0352842, 1.1277648, 1.7203248, 5.7593343, 31.6342370, 191.3983300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("101.4009900, 101.4156500, 101.4744300, 101.8714100, 104.5282800, 122.3378200, 158.6917500", \
+					  "101.5676000, 101.5818800, 101.6471700, 102.0444000, 104.7021800, 122.5267100, 158.8443700", \
+					  "101.7153900, 101.7266800, 101.7934700, 102.1948500, 104.8465100, 122.6786100, 158.9854400", \
+					  "101.8357700, 101.8499900, 101.9154400, 102.3241800, 104.9696800, 122.7778300, 159.1615300", \
+					  "101.9435500, 101.9451300, 102.0232500, 102.4319900, 105.0649600, 122.8862800, 159.2782200", \
+					  "102.0246500, 102.0386100, 102.1044700, 102.5140600, 105.1590900, 122.8705700, 159.3618900", \
+					  "102.1163500, 102.1301200, 102.1946600, 102.6043700, 105.2503000, 122.9647800, 159.4455600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20207, 1.25374, 1.57236, 3.53728, 15.6545, 90.3792, 551.192");
+					values("124.4104300, 124.4088100, 124.3796700, 124.2519400, 123.8846900, 122.0805200, 109.8625900", \
+					  "124.4102500, 124.4092700, 124.3841900, 124.2520300, 123.8904300, 122.0631000, 109.7961500", \
+					  "124.4152700, 124.4109500, 124.3874700, 124.2285500, 123.8924300, 122.1480900, 109.7943800", \
+					  "124.4130600, 124.4129900, 124.3886300, 124.3265800, 123.8956700, 122.0884200, 109.8413000", \
+					  "124.4229800, 124.4011500, 124.3961200, 124.2884800, 123.8731500, 122.0864000, 109.8428900", \
+					  "124.4076100, 124.4077800, 124.3783900, 124.2994300, 123.8885700, 122.1228000, 109.8475300", \
+					  "124.3932100, 124.3926800, 124.3802500, 124.2638100, 123.8754100, 122.1330700, 109.8255300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.7868470, 16.9800480, 18.1957930, 25.6070580, 71.0227610, 350.2816800, 2071.9003000", \
+					  "16.8752780, 17.0567740, 18.2812790, 25.6921190, 71.1256120, 350.3875800, 2072.4399000", \
+					  "16.9282170, 17.1199890, 18.3366900, 25.7503000, 71.1918990, 350.4508500, 2072.1675000", \
+					  "16.9545960, 17.1490250, 18.3710110, 25.7702620, 71.1391830, 350.4714800, 2072.5522000", \
+					  "16.9941680, 17.1844440, 18.4057910, 25.8196560, 71.2177840, 350.5024300, 2072.1970000", \
+					  "17.0100680, 17.2045380, 18.4319190, 25.8394690, 71.2452820, 350.5315400, 2071.9456000", \
+					  "17.0331590, 17.2268810, 18.4499580, 25.8632320, 71.2643460, 350.5586500, 2071.9001000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5863400, 14.9701780, 17.3284710, 31.8822020, 121.9285700, 678.0618900, 4110.8729000", \
+					  "14.5778310, 14.9712260, 17.3275010, 31.8857860, 122.0303000, 678.4001100, 4109.5755000", \
+					  "14.5827890, 14.9708420, 17.3296470, 31.9061440, 121.9996800, 677.7885900, 4109.4740000", \
+					  "14.5852200, 14.9688920, 17.3251670, 31.9331010, 122.1390700, 678.1493800, 4111.5477000", \
+					  "14.5873660, 14.9716260, 17.3293150, 31.9193210, 122.0190200, 678.2349300, 4109.6391000", \
+					  "14.5872900, 14.9667030, 17.3292080, 31.8838790, 121.9908800, 679.0090200, 4111.0329000", \
+					  "14.5878690, 14.9606730, 17.3260390, 31.8845470, 122.0231800, 679.0536400, 4107.8465000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("12.9937550, 13.0385800, 13.3483400, 15.1751610, 20.2751020, 39.5199640, 82.5361890", \
+					  "13.1576140, 13.2086580, 13.5180360, 15.3484820, 20.4447290, 39.6933760, 82.7055690", \
+					  "13.3024270, 13.3486310, 13.6622660, 15.4835100, 20.5834990, 39.8350400, 82.8445080", \
+					  "13.4212860, 13.4715850, 13.7811400, 15.6068350, 20.7075190, 39.9544610, 82.9662880", \
+					  "13.5263650, 13.5766490, 13.8856990, 15.7121040, 20.8127470, 40.0598670, 83.0709010", \
+					  "13.6215510, 13.6718180, 13.9816190, 15.8070130, 20.9078320, 40.1551250, 83.1670020", \
+					  "13.7189290, 13.7692350, 14.0789360, 15.9045030, 21.0044040, 40.2523100, 83.2656180");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0220155, 3.0906639, 3.5292336, 5.0934585, 6.9763235, 29.0333800, 48.3920030", \
+					  "3.0212630, 3.0914646, 3.5302319, 5.0866298, 6.9663830, 29.0326660, 48.3970520", \
+					  "3.0219736, 3.0903315, 3.5298540, 5.0934528, 6.9763360, 29.0326800, 48.3935030", \
+					  "3.0219782, 3.0910834, 3.5298169, 5.0940332, 6.9766477, 29.0326890, 48.3964440", \
+					  "3.0218965, 3.0914507, 3.5313488, 5.0939564, 6.9768435, 29.0327660, 48.4046900", \
+					  "3.0219662, 3.0903431, 3.5290251, 5.0942224, 6.9762600, 29.0324120, 48.3923050", \
+					  "3.0220291, 3.0906564, 3.5293179, 5.0935300, 6.9758705, 29.0338880, 48.3865850");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6451805, 7.6451810, 7.6451815, 7.6451819, 7.6451824, 7.6451829, 7.6451834", \
+					  "7.7423206, 7.7423208, 7.7423213, 7.7423218, 7.7423223, 7.7423227, 7.7423232", \
+					  "7.8034441, 7.8034442, 7.8034447, 7.8034452, 7.8034456, 7.8034461, 7.8034466", \
+					  "7.8441937, 7.8441942, 7.8441947, 7.8441952, 7.8441957, 7.8441961, 7.8441966", \
+					  "7.8776532, 7.8776534, 7.8776539, 7.8776544, 7.8776548, 7.8776553, 7.8776558", \
+					  "7.8722886, 7.8875582, 7.8875583, 7.8875587, 7.8875592, 7.8875597, 7.8875602", \
+					  "7.9265083, 7.9265087, 7.9265092, 7.9265097, 7.9265101, 7.9265106, 7.9265111");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4472282, 7.4472287, 7.4860287, 7.4860290, 7.4860294, 7.4860299, 7.4860304", \
+					  "7.5538447, 7.5560758, 7.5560759, 7.5560763, 7.5560768, 7.5560773, 7.5560778", \
+					  "7.6070510, 7.6089064, 7.6089066, 7.6089070, 7.6089075, 7.6089080, 7.6089085", \
+					  "7.6474285, 7.6474288, 7.6474293, 7.6474298, 7.6474302, 7.6474307, 7.6474312", \
+					  "7.6770386, 7.6775795, 7.6775797, 7.6775802, 7.6775806, 7.6775811, 7.6775816", \
+					  "7.7005122, 7.7048634, 7.7048638, 7.7048643, 7.7048648, 7.7048653, 7.7048657", \
+					  "7.7195885, 7.7209030, 7.7209032, 7.7209037, 7.7209042, 7.7209046, 7.7209051");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2690000, 10.3182540, 10.6262190, 12.5237230, 24.1336690, 95.6630610, 416.2658600", \
+					  "10.3613040, 10.4060110, 10.7166120, 12.6138860, 24.2248830, 95.7793490, 416.3678400", \
+					  "10.4124500, 10.4608490, 10.7686160, 12.6661980, 24.2759630, 95.8184780, 416.3652000", \
+					  "10.4508360, 10.5000850, 10.8080300, 12.7056380, 24.3136320, 95.8446050, 416.4573700", \
+					  "10.4740610, 10.5275190, 10.8377710, 12.7355920, 24.3465110, 95.8869610, 416.4823500", \
+					  "10.5069660, 10.5562280, 10.8638900, 12.7616640, 24.3692390, 95.9140540, 416.4568800", \
+					  "10.5221900, 10.5728090, 10.8864990, 12.7832540, 24.3939340, 95.9311210, 416.5378300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0333542, 3.1105307, 3.5946868, 6.6021166, 24.9994350, 138.6499100, 350.4063700", \
+					  "3.0327538, 3.1128340, 3.5940444, 6.6032264, 25.0123300, 138.8229300, 350.6429800", \
+					  "3.0333300, 3.1105223, 3.5946788, 6.6021055, 25.0003360, 138.7015400, 350.5986100", \
+					  "3.0333562, 3.1105294, 3.5946826, 6.6021246, 24.9961100, 138.6439300, 350.6326600", \
+					  "3.0331213, 3.1127776, 3.5940295, 6.6034032, 24.9975670, 138.6884600, 350.6298500", \
+					  "3.0333387, 3.1105254, 3.5947134, 6.6022134, 24.9958370, 138.6795200, 350.5947500", \
+					  "3.0335021, 3.1106184, 3.5940400, 6.6033250, 24.9968350, 138.9116900, 350.6297300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.6014190, 20.7969230, 21.9653980, 29.1687170, 73.2635710, 344.7788400, 2020.3402000", \
+					  "20.7585080, 20.9554760, 22.1314520, 29.3706300, 73.4784820, 344.8718600, 2018.9307000", \
+					  "20.9028850, 21.0979910, 22.2815860, 29.4915560, 73.5659570, 345.0505200, 2019.8647000", \
+					  "21.0216320, 21.2173590, 22.3963530, 29.5962130, 73.7902400, 345.2570100, 2021.8590000", \
+					  "21.1211740, 21.3179610, 22.4997550, 29.6981000, 73.8192510, 345.2871300, 2018.7988000", \
+					  "21.2344290, 21.4272240, 22.5915380, 29.8316460, 73.9108050, 345.3646400, 2021.8442000", \
+					  "21.3343440, 21.5264200, 22.7051370, 29.9341250, 74.0974140, 345.5541000, 2022.8131000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5321830, 13.8919500, 16.2002360, 30.4224520, 118.3913300, 660.4404300, 4005.7666000", \
+					  "13.5304820, 13.8951580, 16.2012930, 30.4249000, 118.3448100, 660.5402500, 4008.1768000", \
+					  "13.5320350, 13.8845890, 16.2028490, 30.4211380, 118.3667800, 660.5262100, 4005.1600000", \
+					  "13.5321920, 13.8886350, 16.1972960, 30.4216880, 118.4335400, 660.7618800, 4003.4297000", \
+					  "13.5311340, 13.8927090, 16.2018130, 30.3962300, 118.4544900, 660.4096800, 4002.8926000", \
+					  "13.5253120, 13.8967910, 16.2008560, 30.4247900, 118.4185100, 660.9192300, 4005.8640000", \
+					  "13.5213030, 13.8997960, 16.1884530, 30.4251240, 118.6128000, 660.4755600, 4005.4588000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6139743, 7.6139744, 7.6233428, 7.6233433, 7.6233438, 7.6233442, 7.6233447", \
+					  "7.7297138, 7.7315168, 7.7315171, 7.7315176, 7.7315181, 7.7315186, 7.7315190", \
+					  "7.7866149, 7.7866152, 7.7866157, 7.7866161, 7.7866166, 7.7866171, 7.7866176", \
+					  "7.8303390, 7.8303392, 7.8303397, 7.8303402, 7.8303407, 7.8303412, 7.8303416", \
+					  "7.8628615, 7.8628619, 7.8628624, 7.8628629, 7.8628634, 7.8628638, 7.8628643", \
+					  "7.8921323, 7.8921326, 7.8921330, 7.8921335, 7.8921340, 7.8921345, 7.8921350", \
+					  "7.9105655, 7.9105657, 7.9105661, 7.9105666, 7.9105671, 7.9105676, 7.9105680");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9388176, 7.9388178, 7.9442408, 7.9442409, 7.9442413, 7.9442418, 7.9442423", \
+					  "8.0312255, 8.0342160, 8.0342165, 8.0342174, 8.0342184, 8.0342193, 8.0878344", \
+					  "8.0892839, 8.0892845, 8.0892854, 8.0892864, 8.0892873, 8.0892883, 8.1297520", \
+					  "8.1293567, 8.1336102, 8.1336103, 8.1336113, 8.1336122, 8.1336132, 8.1717415", \
+					  "8.1658955, 8.1665652, 8.1665655, 8.1665664, 8.1665674, 8.1665683, 8.2210310", \
+					  "8.1833980, 8.1927549, 8.1927553, 8.1927562, 8.1927572, 8.1927581, 8.2554097", \
+					  "8.2116909, 8.2120808, 8.2120815, 8.2157889, 8.2157894, 8.2157903, 8.2695299");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("12.9036980, 12.9562660, 13.2754020, 15.1636660, 26.5596470, 93.2210900, 184.8425300", \
+					  "13.0710570, 13.1234850, 13.4414440, 15.3291690, 26.6963410, 93.3918830, 185.0056700", \
+					  "13.2135330, 13.2654170, 13.5837550, 15.4716630, 26.8672070, 93.5332240, 185.1503000", \
+					  "13.3334620, 13.3850340, 13.7035150, 15.5913950, 26.9817340, 93.6531920, 185.2743600", \
+					  "13.4386860, 13.4894410, 13.8081810, 15.6951390, 27.0623170, 93.7606540, 185.3716000", \
+					  "13.5348470, 13.5867140, 13.9061860, 15.7895990, 27.1702040, 93.8538850, 185.4172600", \
+					  "13.6346730, 13.6906180, 14.0018970, 15.8919460, 27.2724050, 93.9491190, 185.5589000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0565914, 3.1226846, 3.5761432, 6.3948935, 24.2517530, 97.1871760, 63.6884540", \
+					  "3.0558742, 3.1234235, 3.5686092, 6.3957897, 24.2805810, 97.2322450, 63.6621140", \
+					  "3.0558096, 3.1235090, 3.5686620, 6.3958638, 24.3030150, 97.1901530, 63.6593920", \
+					  "3.0560527, 3.1231773, 3.5684602, 6.3956631, 24.3031460, 97.1876300, 63.6282990", \
+					  "3.0547136, 3.1259952, 3.5682390, 6.3955610, 24.2803090, 97.2388610, 63.6758130", \
+					  "3.0571790, 3.1293643, 3.5729515, 6.3869048, 24.2987820, 97.2148800, 63.6905990", \
+					  "3.0565828, 3.1236176, 3.5764456, 6.3948233, 24.3004660, 97.1767590, 63.6722750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9387554, 7.9453155, 7.9453156, 7.9453161, 7.9453166, 7.9453171, 7.9453176", \
+					  "8.0346965, 8.0356806, 8.0356813, 8.0356823, 8.0356832, 8.0356842, 8.0835703", \
+					  "8.0954257, 8.0954261, 8.0954271, 8.0954280, 8.0954290, 8.0954299, 8.1683673", \
+					  "8.1337603, 8.1351299, 8.1351305, 8.1351314, 8.1351324, 8.1351333, 8.1804208", \
+					  "8.1621181, 8.1705060, 8.1705061, 8.1705070, 8.1705080, 8.1705089, 8.2215283", \
+					  "8.1908507, 8.1945304, 8.1945310, 8.1945320, 8.1945329, 8.1945339, 8.2504554", \
+					  "8.2105149, 8.2136244, 8.2136245, 8.2136255, 8.2136264, 8.2136274, 8.2722886");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("12.8704850, 12.9216240, 13.2290150, 15.0515600, 20.2327200, 39.5703490, 141.5209600", \
+					  "13.0354430, 13.0866620, 13.3954810, 15.2201080, 20.3989060, 39.7370100, 141.6731000", \
+					  "13.1774030, 13.2285070, 13.5371830, 15.3635910, 20.5412200, 39.8790260, 141.8211800", \
+					  "13.2978200, 13.3484160, 13.6570900, 15.4833500, 20.6615380, 40.0123810, 141.9525700", \
+					  "13.4024260, 13.4525710, 13.7619030, 15.5882630, 20.7657570, 40.1177510, 142.1005200", \
+					  "13.4984150, 13.5508000, 13.8587740, 15.6838720, 20.8609630, 40.2048310, 142.1949100", \
+					  "13.5964790, 13.6506720, 13.9603160, 15.7842500, 20.9567440, 40.3140810, 142.2502200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0292144, 3.1006064, 3.5360388, 5.1181774, 7.0409003, 32.0401020, 98.0715460", \
+					  "3.0304481, 3.1004725, 3.5360532, 5.1175197, 7.0411530, 32.0415160, 98.0639210", \
+					  "3.0304599, 3.0996314, 3.5360959, 5.1174669, 7.0406476, 32.0423400, 98.0697480", \
+					  "3.0319198, 3.1024823, 3.5355259, 5.1100635, 7.0443940, 32.0534460, 98.0652080", \
+					  "3.0318763, 3.1023828, 3.5349639, 5.1164117, 7.0464816, 32.0525790, 98.0716520", \
+					  "3.0269609, 3.1007190, 3.5363497, 5.1128182, 7.0305135, 32.0453350, 98.0232500", \
+					  "3.0273934, 3.1006704, 3.5357204, 5.1147322, 7.0397372, 32.0527570, 98.0489080");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8073250, 16.9990080, 18.1982710, 25.5491970, 70.8071470, 349.8034600, 2071.4613000", \
+					  "16.9768150, 17.1644640, 18.3643060, 25.6905310, 70.9504280, 349.9522700, 2071.9363000", \
+					  "17.1184240, 17.3102070, 18.5109370, 25.8359890, 71.0917690, 350.0808000, 2071.3317000", \
+					  "17.2310450, 17.4251350, 18.6183640, 25.9557670, 71.2159580, 350.2104800, 2072.0106000", \
+					  "17.3415700, 17.5254670, 18.7171150, 26.0597520, 71.3097780, 350.3578800, 2072.2644000", \
+					  "17.4330560, 17.6262970, 18.8189550, 26.2031210, 71.3902110, 350.4382600, 2071.7068000", \
+					  "17.5401150, 17.7283950, 18.9257020, 26.2581800, 71.5136890, 350.5116400, 2072.3714000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5838020, 14.9704940, 17.3260850, 31.8965870, 122.1512100, 678.3201900, 4111.0038000", \
+					  "14.5851990, 14.9715170, 17.3316540, 31.8872570, 121.9900100, 678.2598800, 4110.7951000", \
+					  "14.5850670, 14.9699860, 17.3318550, 31.8874350, 121.9964900, 678.0593400, 4109.9802000", \
+					  "14.5839010, 14.9698420, 17.3301640, 31.8736890, 121.9839600, 678.1081100, 4109.9192000", \
+					  "14.5866720, 14.9692580, 17.3301500, 31.8873920, 121.9910900, 678.9530300, 4112.1311000", \
+					  "14.5884900, 14.9748760, 17.3311210, 31.8788730, 122.0696600, 677.9801500, 4110.7455000", \
+					  "14.5850800, 14.9699750, 17.3333380, 31.8868060, 121.9942600, 677.6654700, 4110.9934000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.3874600, 14.4364050, 14.7478500, 16.5767520, 21.6910700, 40.9388540, 83.9553420", \
+					  "14.5524870, 14.6027510, 14.9190100, 16.7396820, 21.8549410, 41.1030570, 84.1184400", \
+					  "14.6957260, 14.7450350, 15.0553280, 16.8830480, 21.9969820, 41.2440540, 84.2426290", \
+					  "14.8099860, 14.8707110, 15.1701620, 16.9982590, 22.1134930, 41.3710130, 84.3790960", \
+					  "14.9148300, 14.9606050, 15.2717680, 17.1130060, 22.2131260, 41.4659420, 84.4782950", \
+					  "15.0199640, 15.0602290, 15.3792390, 17.1986800, 22.3232600, 41.5728540, 84.5792200", \
+					  "15.1157900, 15.1633180, 15.4756650, 17.3020820, 22.4175360, 41.6661900, 84.6848490");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0275791, 3.0977760, 3.5360979, 5.1077769, 6.9859509, 29.0348100, 48.3981570", \
+					  "3.0275640, 3.0982494, 3.5361338, 5.1077831, 6.9860171, 29.0348010, 48.3983630", \
+					  "3.0267551, 3.0977723, 3.5356402, 5.1069455, 6.9843202, 29.0367290, 48.3462410", \
+					  "3.0279239, 3.0987086, 3.5358598, 5.1077853, 6.9900068, 29.0348120, 48.3880600", \
+					  "3.0271354, 3.0977689, 3.5362346, 5.1081979, 6.9853305, 29.0352330, 48.3927100", \
+					  "3.0275280, 3.0977758, 3.5362699, 5.1077884, 6.9838335, 29.0341880, 48.4067830", \
+					  "3.0279239, 3.0977716, 3.5358554, 5.1074820, 6.9853487, 29.0363200, 48.3881130");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.3588470, 16.5493270, 17.7374200, 25.0846700, 70.3608620, 349.3438500, 2070.7730000", \
+					  "16.4417030, 16.6384060, 17.8286470, 25.1777660, 70.4844330, 349.4741600, 2070.4606000", \
+					  "16.4979050, 16.6906680, 17.9198270, 25.2324420, 70.4448130, 349.5210600, 2071.0179000", \
+					  "16.5371130, 16.7621010, 17.9201400, 25.2689120, 70.5529860, 349.5800300, 2071.2157000", \
+					  "16.5709460, 16.7604030, 17.9486270, 25.2994050, 70.5715020, 349.5526900, 2071.0272000", \
+					  "16.5904040, 16.7855190, 17.9837800, 25.3273280, 70.6089690, 349.6294000, 2070.9413000", \
+					  "16.6432150, 16.8125070, 17.9952890, 25.3440040, 70.6062690, 349.6132300, 2070.9781000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5903340, 14.9731730, 17.3326010, 31.8936840, 122.0016900, 677.7146400, 4108.3567000", \
+					  "14.5873520, 14.9723030, 17.3297840, 31.8941100, 122.1157600, 678.2068500, 4110.2985000", \
+					  "14.5891890, 14.9657940, 17.3261410, 31.9173380, 122.1418100, 678.7243500, 4109.5946000", \
+					  "14.5935800, 14.9715690, 17.3301090, 31.8942450, 122.1220700, 677.7584600, 4110.2444000", \
+					  "14.5927880, 14.9729490, 17.3335830, 31.8946580, 122.0171300, 677.6402300, 4109.4640000", \
+					  "14.5899410, 14.9699420, 17.3222770, 31.8755160, 122.1287000, 677.7626100, 4108.6787000", \
+					  "14.5919150, 14.9725330, 17.3306990, 31.8767450, 121.9261600, 678.3223500, 4111.0470000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.1030000, 20.2834460, 21.4597280, 28.5921440, 72.7219320, 343.9115200, 2018.8775000", \
+					  "20.2725940, 20.4665740, 21.6309410, 28.8129340, 72.8333090, 344.0825400, 2019.4300000", \
+					  "20.4220850, 20.5914560, 21.7723390, 28.8993080, 73.0309610, 344.5187500, 2019.1007000", \
+					  "20.5414100, 20.7303530, 21.8938680, 29.0720500, 73.0234930, 344.5923700, 2020.3888000", \
+					  "20.6453040, 20.8357810, 21.9881220, 29.1823380, 73.1372440, 344.4592100, 2020.0080000", \
+					  "20.7401100, 20.9305280, 22.0935310, 29.2779700, 73.2318170, 344.5762200, 2019.9113000", \
+					  "20.8356890, 21.0276100, 22.1899580, 29.3745440, 73.3935930, 344.6331800, 2019.0905000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5164570, 13.8924920, 16.2097450, 30.4044330, 118.3896800, 660.4478200, 4008.6661000", \
+					  "13.5375170, 13.9090340, 16.2092770, 30.4030190, 118.3618000, 660.4578300, 4008.0790000", \
+					  "13.5208370, 13.8939140, 16.2098260, 30.4019070, 118.4009100, 660.2271600, 4009.3660000", \
+					  "13.5221020, 13.9096810, 16.1967240, 30.3830030, 118.5158600, 661.2447100, 4009.2973000", \
+					  "13.5275670, 13.9064190, 16.1873700, 30.3892730, 118.4651900, 660.4614100, 4010.9089000", \
+					  "13.5272950, 13.9069040, 16.1913630, 30.3931490, 118.4515700, 660.4717600, 4009.6616000", \
+					  "13.5364090, 13.8998870, 16.2038810, 30.3899590, 118.4238300, 660.4402100, 4007.8471000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9879428, 7.9884979, 7.9890512, 7.9890516, 7.9890521, 7.9890526, 8.0217726", \
+					  "8.0372891, 8.0372892, 8.0372901, 8.0826617, 8.0826622, 8.0826631, 8.0826641", \
+					  "8.1451903, 8.1451908, 8.1452685, 8.1452690, 8.1452699, 8.1452709, 8.1539344", \
+					  "8.1837905, 8.1837907, 8.1837917, 8.1837926, 8.1837936, 8.1837945, 8.2004661", \
+					  "8.2193097, 8.2208480, 8.2208486, 8.2208496, 8.2208505, 8.2208515, 8.2371034", \
+					  "8.1623392, 8.1632408, 8.2624565, 8.2664799, 8.2664800, 8.2664810, 8.2664819", \
+					  "8.2685014, 8.2685663, 8.2685667, 8.2685676, 8.2685686, 8.2685695, 8.2830338");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4476243, 7.4544735, 7.4863173, 7.4863174, 7.4863179, 7.4863184, 7.4863189", \
+					  "7.5526971, 7.5526975, 7.5526979, 7.5526984, 7.5526989, 7.5526994, 7.5526999", \
+					  "7.6063884, 7.6079774, 7.6079777, 7.6079782, 7.6079786, 7.6079791, 7.6079796", \
+					  "7.6469471, 7.6469906, 7.6469911, 7.6469915, 7.6469920, 7.6469925, 7.6469930", \
+					  "7.6730693, 7.6777686, 7.6777690, 7.6777695, 7.6777699, 7.6777704, 7.6777709", \
+					  "7.7005238, 7.7028002, 7.7028006, 7.7028010, 7.7028015, 7.7028020, 7.7028025", \
+					  "7.7192910, 7.7210762, 7.7210763, 7.7210768, 7.7210773, 7.7210777, 7.7210782");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7720160, 10.8227240, 11.1322880, 13.0294750, 24.6427980, 96.1672270, 416.8515800", \
+					  "10.9377860, 10.9841500, 11.2995040, 13.1912950, 24.8108480, 96.3397750, 416.9884300", \
+					  "11.0838910, 11.1289120, 11.4400360, 13.3383950, 24.9541210, 96.4817420, 417.1391500", \
+					  "11.2004820, 11.2498150, 11.5668380, 13.4609650, 25.0718650, 96.5994860, 417.2875900", \
+					  "11.3088480, 11.3489430, 11.6565280, 13.5621580, 25.1720870, 96.6909180, 417.3179800", \
+					  "11.3998510, 11.4539110, 11.7656930, 13.6566150, 25.2875550, 96.8053370, 417.4963500", \
+					  "11.5041020, 11.5536530, 11.8619000, 13.7596450, 25.3761510, 96.8995270, 417.5886900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0345866, 3.1117524, 3.5955501, 6.6025239, 25.0077900, 138.6749500, 350.7109100", \
+					  "3.0351961, 3.1115912, 3.5955654, 6.6023946, 25.0016720, 138.7178500, 350.7078400", \
+					  "3.0338037, 3.1116105, 3.5955545, 6.6023427, 25.0078610, 138.7371900, 350.7036900", \
+					  "3.0349177, 3.1117624, 3.5959351, 6.6050552, 25.0081960, 138.6522700, 350.7302900", \
+					  "3.0350682, 3.1128671, 3.5955647, 6.6024497, 25.0016610, 138.6862500, 350.6940800", \
+					  "3.0341294, 3.1116099, 3.5955281, 6.6020829, 25.0082340, 138.6769800, 350.7130400", \
+					  "3.0337992, 3.1128686, 3.5955232, 6.6024239, 25.0079420, 138.7243000, 350.7131500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.1611360, 10.1631950, 10.1631952, 10.2599980, 10.2599988, 10.2599998, 10.2722610", \
+					  "10.3976750, 10.4019240, 10.4019247, 10.4019256, 10.4019266, 10.4019275, 10.4219330", \
+					  "10.3781050, 10.4542010, 10.4551370, 10.4551378, 10.4551387, 10.4551397, 10.5347150", \
+					  "10.4151460, 10.4912460, 10.4912468, 10.4912477, 10.4912487, 10.4912496, 10.5009640", \
+					  "10.5461200, 10.5461202, 10.5461212, 10.5461221, 10.5461231, 10.5461240, 10.5461250", \
+					  "10.5196660, 10.5196662, 10.5196672, 10.5196682, 10.5196691, 10.5196701, 10.5317440", \
+					  "10.5026340, 10.5026346, 10.5030060, 10.5030065, 10.5030075, 10.5030084, 10.5030094");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.3588590, 16.5493880, 17.7445400, 25.0859580, 70.3644790, 349.3515000, 2071.2218000", \
+					  "16.4421900, 16.6384060, 17.8286560, 25.1933730, 70.4840630, 349.4062900, 2070.9888000", \
+					  "16.4979160, 16.6906810, 17.9194970, 25.2286480, 70.5379360, 349.5298100, 2070.8237000", \
+					  "16.5371240, 16.7621080, 17.9201490, 25.2689300, 70.5512730, 349.5792700, 2070.6805000", \
+					  "16.5702140, 16.7603100, 17.9486790, 25.2992130, 70.5794750, 349.5555600, 2071.2000000", \
+					  "16.5924750, 16.7855280, 17.9837710, 25.3273210, 70.6249140, 349.6302800, 2071.5136000", \
+					  "16.6429540, 16.8124800, 17.9951240, 25.3441530, 70.6306270, 349.6086800, 2071.0388000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5914120, 14.9727980, 17.3281230, 31.9174940, 121.9449100, 677.8292300, 4111.4531000", \
+					  "14.5874160, 14.9732650, 17.3296420, 31.9044420, 122.0177100, 678.0915100, 4110.3140000", \
+					  "14.5891240, 14.9663110, 17.3290480, 31.8996460, 122.0689100, 678.2227000, 4108.2913000", \
+					  "14.5912890, 14.9716770, 17.3302030, 31.8930870, 122.1582400, 679.0916700, 4108.9688000", \
+					  "14.5913010, 14.9713270, 17.3331980, 31.8732520, 122.1557800, 678.0997500, 4110.5513000", \
+					  "14.5799480, 14.9655130, 17.3223180, 31.8765670, 121.9624600, 677.7914900, 4112.4072000", \
+					  "14.5916200, 14.9734300, 17.3308000, 31.8760160, 121.9192000, 678.2706800, 4109.8751000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.1070950, 20.2972770, 21.4590590, 28.6464930, 72.5997470, 344.0860900, 2019.1419000", \
+					  "20.2777370, 20.4643340, 21.6307900, 28.8128450, 72.8211020, 344.0782700, 2018.7531000", \
+					  "20.4225700, 20.5915780, 21.7739020, 28.8965620, 72.9631680, 344.5233600, 2019.5291000", \
+					  "20.5417090, 20.7297980, 21.8932000, 29.0699450, 73.0205370, 344.4126300, 2019.3750000", \
+					  "20.6455580, 20.8358410, 21.9979640, 29.1816460, 73.2472070, 344.4508300, 2020.4541000", \
+					  "20.7404330, 20.9304790, 22.0925940, 29.2767730, 73.2287400, 344.5797700, 2020.4957000", \
+					  "20.8362270, 21.0276100, 22.1892580, 29.3735680, 73.3300350, 344.6376700, 2019.2415000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5271070, 13.9038020, 16.2073640, 30.4041980, 118.4503400, 660.4136000, 4008.2896000", \
+					  "13.5234800, 13.8938780, 16.2095560, 30.4013310, 118.4670900, 660.7720600, 4008.8148000", \
+					  "13.5229940, 13.8934230, 16.1951110, 30.3954410, 118.4687700, 660.2643900, 4007.9235000", \
+					  "13.5271070, 13.9098990, 16.2001650, 30.3813080, 118.5279700, 660.5240500, 4006.7589000", \
+					  "13.5252960, 13.9061040, 16.1942530, 30.3867400, 118.6613500, 660.4402600, 4007.3010000", \
+					  "13.5236260, 13.9066570, 16.1948320, 30.3879410, 118.4714900, 660.5569600, 4009.1036000", \
+					  "13.5340020, 13.8996400, 16.2005520, 30.3864840, 118.4590600, 660.4374200, 4009.4365000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2452780, 10.2950100, 10.6010580, 12.4989010, 24.1074180, 95.6487370, 416.2850500", \
+					  "10.3355970, 10.3854650, 10.6912880, 12.5901320, 24.1975990, 95.7537410, 416.3273400", \
+					  "10.3885510, 10.4379240, 10.7433970, 12.6416910, 24.2519660, 95.7870580, 416.4068900", \
+					  "10.4192900, 10.4694400, 10.7851510, 12.6844240, 24.2922300, 95.8178320, 416.4115000", \
+					  "10.4491870, 10.4994950, 10.8141670, 12.7110540, 24.3216650, 95.8500340, 416.4471600", \
+					  "10.4832410, 10.5331550, 10.8391530, 12.7377720, 24.3452510, 95.9033600, 416.4956500", \
+					  "10.4975420, 10.5489540, 10.8616880, 12.7600380, 24.3707790, 95.8907690, 416.5586100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0351883, 3.1117864, 3.5956058, 6.6035843, 24.9955080, 138.6811200, 350.6992500", \
+					  "3.0351621, 3.1128515, 3.5956145, 6.6024750, 24.9955490, 138.6436600, 350.6567600", \
+					  "3.0339660, 3.1128531, 3.5956036, 6.6033126, 24.9994180, 138.9128500, 350.6733900", \
+					  "3.0350202, 3.1121801, 3.5959584, 6.6038330, 24.9978720, 138.8673900, 350.5240600", \
+					  "3.0349592, 3.1121803, 3.5950634, 6.6036328, 24.9979680, 138.8666200, 350.6844500", \
+					  "3.0351579, 3.1128486, 3.5956158, 6.6024808, 24.9955170, 138.8116600, 350.6573900", \
+					  "3.0341012, 3.1116021, 3.5955943, 6.6024737, 24.9955360, 138.6442200, 350.6825400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("9.0094563, 9.0129255, 9.0129257, 9.0137213, 9.0137220, 9.0137229, 9.0137239", \
+					  "9.1000727, 9.1000734, 9.1000743, 9.1000753, 9.1000762, 9.1000772, 9.1371675", \
+					  "9.1622443, 9.1631351, 9.1632941, 9.1632944, 9.1800283, 9.1800285, 9.1800294", \
+					  "9.2018091, 9.2021617, 9.2021670, 9.2021671, 9.2021680, 9.2021690, 9.2139256", \
+					  "9.2331707, 9.2332435, 9.2332445, 9.2332454, 9.2332464, 9.2332473, 9.2650065", \
+					  "9.2612149, 9.2612157, 9.2615160, 9.2615161, 9.2615171, 9.2615181, 9.2737489", \
+					  "9.2816501, 9.2830312, 9.2830320, 9.2830329, 9.2830339, 9.2830349, 9.2949719");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.4090130, 10.4597440, 10.7738810, 12.7394840, 19.0919620, 39.1815180, 160.8077400", \
+					  "10.4993800, 10.5503400, 10.8646290, 12.8292810, 19.1768880, 39.2829700, 160.8954100", \
+					  "10.5537310, 10.6057400, 10.9196580, 12.8837910, 19.2299240, 39.3287360, 160.9612900", \
+					  "10.5904350, 10.6411970, 10.9556160, 12.9200010, 19.2732780, 39.3631860, 160.9967500", \
+					  "10.6222680, 10.6735160, 10.9882520, 12.9523710, 19.3046560, 39.3952140, 161.0252600", \
+					  "10.6463100, 10.6971110, 11.0114640, 12.9759490, 19.3292870, 39.4191130, 161.0521100", \
+					  "10.6693220, 10.7200230, 11.0346980, 12.9996020, 19.3471620, 39.4429310, 161.0725200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0904734, 3.1744325, 3.7006438, 5.6412284, 8.4094118, 33.4591840, 200.3282000", \
+					  "3.0895611, 3.1740173, 3.7005889, 5.6412470, 8.4200291, 33.4658740, 200.2219600", \
+					  "3.0889356, 3.1743954, 3.7005976, 5.6409162, 8.4200176, 33.4757960, 200.2193500", \
+					  "3.0904648, 3.1744127, 3.7006427, 5.6417702, 8.4097405, 33.4628860, 200.2645700", \
+					  "3.0892426, 3.1745235, 3.7003507, 5.6415099, 8.4155873, 33.4677890, 200.2141500", \
+					  "3.0904699, 3.1743996, 3.7006394, 5.6417711, 8.4097413, 33.4627510, 200.3303800", \
+					  "3.0904839, 3.1744414, 3.7005485, 5.6415415, 8.4190956, 33.4677460, 200.2122300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.1696230, 13.2217240, 13.5336390, 15.3672720, 20.3482510, 39.5872850, 82.5498820", \
+					  "13.3371990, 13.3880000, 13.6974890, 15.5365850, 20.5175730, 39.7387230, 82.7183510", \
+					  "13.4792040, 13.5315660, 13.8399860, 15.6788150, 20.6530810, 39.8966690, 82.8074680", \
+					  "13.5989580, 13.6503360, 13.9616510, 15.7982340, 20.7725840, 40.0090910, 82.9638150", \
+					  "13.7031270, 13.7538300, 14.0645500, 15.9010460, 20.8797090, 40.1203730, 83.0849700", \
+					  "13.7991560, 13.8499890, 14.1614390, 15.9990150, 20.9736740, 40.2104800, 83.1652900", \
+					  "13.9043570, 13.9545110, 14.2631170, 16.1060460, 21.0746440, 40.3067130, 83.2595010");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("2.9818810, 3.0542737, 3.4966572, 4.9699223, 6.9021366, 29.0086160, 48.4258780", \
+					  "2.9816785, 3.0540008, 3.4951264, 4.9723017, 6.8967103, 29.0041630, 48.3918150", \
+					  "2.9816947, 3.0527871, 3.4926395, 4.9722382, 6.9023232, 29.0085910, 48.3558940", \
+					  "2.9768925, 3.0502563, 3.4968621, 4.9722759, 6.8875443, 29.0093410, 48.4105160", \
+					  "2.9819121, 3.0537799, 3.4961496, 4.9725282, 6.8988983, 29.0085560, 48.3774440", \
+					  "2.9816380, 3.0539238, 3.4971250, 4.9725783, 6.8837491, 29.0084880, 48.4047540", \
+					  "2.9792353, 3.0529617, 3.4961418, 4.9699911, 6.8852106, 29.0356270, 48.4719780");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("9.0769934, 9.0810692, 9.0810695, 9.1082724, 9.1082731, 9.1082740, 9.2021706", \
+					  "9.2096331, 9.2096334, 9.2096344, 9.2096353, 9.2096363, 9.2096372, 9.2096382", \
+					  "9.2326253, 9.2383470, 9.2383476, 9.2383485, 9.2586259, 9.2586265, 9.3019823", \
+					  "9.2744781, 9.2752792, 9.3119246, 9.3119255, 9.3119264, 9.3119274, 9.3437960", \
+					  "9.3300132, 9.3300138, 9.3300148, 9.3300157, 9.3300167, 9.3300176, 9.3352561", \
+					  "9.3670494, 9.3715435, 9.3715444, 9.3715454, 9.3715463, 9.3715473, 9.4049071", \
+					  "9.3595780, 9.3600443, 9.3600450, 9.3600460, 9.3600469, 9.3600479, 9.4314005");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9973814, 7.9973815, 7.9973820, 7.9974969, 7.9974974, 7.9974978, 8.0564506", \
+					  "8.0858795, 8.0878086, 8.1115150, 8.1115156, 8.1119156, 8.1119161, 8.1214431", \
+					  "8.1554443, 8.1554447, 8.1554456, 8.1554466, 8.1554475, 8.1554485, 8.1554494", \
+					  "8.1899127, 8.1899133, 8.1899143, 8.1899152, 8.1899162, 8.1899171, 8.1987048", \
+					  "8.2280369, 8.2284073, 8.2284074, 8.2284084, 8.2284093, 8.2284103, 8.2549176", \
+					  "8.1967704, 8.2414067, 8.2414070, 8.2414079, 8.2414089, 8.2414098, 8.2414108", \
+					  "8.2770955, 8.2770963, 8.2770973, 8.2770982, 8.2770992, 8.2771001, 8.3065501");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4465381, 7.4545525, 7.5263031, 7.5263036, 7.5263041, 7.5263045, 7.5263050", \
+					  "7.5528632, 7.5528634, 7.5528639, 7.5528644, 7.5528648, 7.5528653, 7.5528658", \
+					  "7.6055731, 7.6079214, 7.6079219, 7.6079224, 7.6079228, 7.6079233, 7.6079238", \
+					  "7.6468307, 7.6469417, 7.6469420, 7.6469424, 7.6469429, 7.6469434, 7.6469439", \
+					  "7.6730382, 7.6777047, 7.6777051, 7.6777056, 7.6777061, 7.6777065, 7.6777070", \
+					  "7.7014501, 7.7025568, 7.7025569, 7.7025574, 7.7025579, 7.7025583, 7.7025588", \
+					  "7.7212103, 7.7212108, 7.7212112, 7.7212117, 7.7212122, 7.7212127, 7.7212132");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7684130, 10.8198940, 11.1296130, 13.0740770, 19.5337420, 39.6227730, 161.2220200", \
+					  "10.9308200, 10.9830780, 11.2998850, 13.2408290, 19.6949500, 39.7824460, 161.3954800", \
+					  "11.0803130, 11.1276510, 11.4411560, 13.3818700, 19.8405200, 39.9313010, 161.5522800", \
+					  "11.1918510, 11.2402280, 11.5539360, 13.4957130, 19.9547260, 40.0420430, 161.6590600", \
+					  "11.2925270, 11.3536200, 11.6562190, 13.5966180, 20.0538150, 40.1510330, 161.7643900", \
+					  "11.3970660, 11.4473520, 11.7532790, 13.7017070, 20.1670570, 40.2514250, 161.8647800", \
+					  "11.5038880, 11.5501590, 11.8598380, 13.8000090, 20.2566370, 40.3495300, 161.9711000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0867069, 3.1710989, 3.6961347, 5.7393761, 8.5402183, 33.4704890, 200.2882300", \
+					  "3.0867944, 3.1718670, 3.6961376, 5.7393845, 8.5407166, 33.4727130, 200.2141300", \
+					  "3.0868497, 3.1718701, 3.6960668, 5.7397540, 8.5404102, 33.4640550, 200.3427800", \
+					  "3.0868577, 3.1718697, 3.6960670, 5.7389808, 8.5355065, 33.4618310, 200.2207300", \
+					  "3.0865885, 3.1718705, 3.6961112, 5.7397549, 8.5376097, 33.4643850, 200.3339300", \
+					  "3.0865990, 3.1710665, 3.6961059, 5.7391150, 8.5405247, 33.4750470, 200.3188700", \
+					  "3.0866840, 3.1718725, 3.6960670, 5.7389808, 8.5404119, 33.4640400, 200.3392000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4539000, 21.6439790, 22.8031250, 29.9555690, 74.0797360, 345.5765200, 2020.5975000", \
+					  "21.6134470, 21.8046060, 22.9658990, 30.1076990, 74.2508990, 345.7475400, 2021.0168000", \
+					  "21.7631070, 21.9464610, 23.1120100, 30.2618840, 74.3763310, 345.8512500, 2019.5750000", \
+					  "21.8760570, 22.0705450, 23.2245810, 30.3721740, 74.5021890, 345.9678900, 2019.0050000", \
+					  "21.9857730, 22.1709410, 23.3342940, 30.4703680, 74.6042160, 346.0295700, 2022.3265000", \
+					  "22.0768580, 22.2741360, 23.4305250, 30.5831730, 74.7086870, 346.1790900, 2021.4727000", \
+					  "22.1829810, 22.3755800, 23.5323970, 30.6783610, 74.7973910, 346.2584700, 2021.6596000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5226120, 13.9047320, 16.1846840, 30.4229420, 118.5221900, 660.6964000, 4006.4747000", \
+					  "13.5183610, 13.9039990, 16.1834150, 30.3583790, 118.5051300, 660.7074900, 4006.9608000", \
+					  "13.5340440, 13.8786810, 16.1984470, 30.4227470, 118.4388700, 660.8615300, 4006.1095000", \
+					  "13.5216900, 13.8989830, 16.1834780, 30.4090190, 118.5153900, 660.8687500, 4005.4965000", \
+					  "13.5237350, 13.9118030, 16.1860180, 30.3889680, 118.4960300, 660.8052600, 4006.6575000", \
+					  "13.5340310, 13.9051110, 16.1859790, 30.4222430, 118.4221600, 660.8566400, 4006.0568000", \
+					  "13.5339170, 13.9121400, 16.1984450, 30.4227220, 118.4395200, 660.8650000, 4004.5261000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6106828, 7.6313844, 7.6313847, 7.6313851, 7.6313856, 7.6313861, 7.6313866", \
+					  "7.7306339, 7.7306340, 7.7306345, 7.7306350, 7.7306355, 7.7306359, 7.7306364", \
+					  "7.7885796, 7.7885798, 7.7885802, 7.7885807, 7.7885812, 7.7885817, 7.7885821", \
+					  "7.8288025, 7.8297591, 7.8297594, 7.8297599, 7.8297604, 7.8297608, 7.8297613", \
+					  "7.8628668, 7.8628672, 7.8628676, 7.8628681, 7.8628686, 7.8628691, 7.8628696", \
+					  "7.8802715, 7.8802717, 7.8802722, 7.8802727, 7.8802732, 7.8802736, 7.8802741", \
+					  "7.9108604, 7.9108608, 7.9108613, 7.9108618, 7.9108623, 7.9108627, 7.9108632");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9491187, 7.9491189, 8.0202671, 8.0421581, 8.0421587, 8.0421596, 8.0421606", \
+					  "8.0542755, 8.0646156, 8.0646158, 8.0646167, 8.0646177, 8.0646186, 8.1028153", \
+					  "8.1065066, 8.1158271, 8.1158281, 8.1365341, 8.1365343, 8.1365352, 8.1365362", \
+					  "8.1324050, 8.1337532, 8.1337533, 8.1337543, 8.1337553, 8.1337562, 8.1880946", \
+					  "8.1921465, 8.1950731, 8.1950737, 8.2070635, 8.2070642, 8.2070652, 8.2070661", \
+					  "8.2133180, 8.2133184, 8.2133194, 8.2133203, 8.2133213, 8.2133222, 8.2664524", \
+					  "8.2333287, 8.2333293, 8.2333303, 8.2333313, 8.2333322, 8.2333332, 8.2424014");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.3232180, 14.3749870, 14.6930600, 16.5802060, 27.9587230, 94.6428070, 186.2413400", \
+					  "14.4870790, 14.5443830, 14.8630780, 16.7540470, 28.1332170, 94.8133020, 186.4048200", \
+					  "14.6356180, 14.6875420, 15.0056430, 16.8937360, 28.2581710, 94.9567960, 186.5474700", \
+					  "14.7450220, 14.8019760, 15.1203480, 17.0067760, 28.3744140, 95.0671010, 186.6744400", \
+					  "14.8477730, 14.8977220, 15.2182850, 17.1056520, 28.5003110, 95.1665700, 186.7873200", \
+					  "14.9494120, 15.0058220, 15.3198890, 17.2077200, 28.5736630, 95.2718790, 186.8703200", \
+					  "15.0506040, 15.1097750, 15.4221280, 17.3121660, 28.6793240, 95.3729260, 186.9653100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0580363, 3.1283141, 3.5745642, 6.3952186, 24.2998470, 97.2209120, 63.6858890", \
+					  "3.0534870, 3.1264620, 3.5749481, 6.3888677, 24.3085410, 97.2274490, 63.7620730", \
+					  "3.0558851, 3.1286860, 3.5681049, 6.3957195, 24.2793310, 97.1901880, 63.6799840", \
+					  "3.0579885, 3.1258036, 3.5763172, 6.3952714, 24.2793430, 97.1825860, 63.6965680", \
+					  "3.0563774, 3.1287259, 3.5752465, 6.3953416, 24.2826420, 97.2276550, 63.7518060", \
+					  "3.0559890, 3.1290777, 3.5684720, 6.3952741, 24.2809450, 97.3387420, 63.7633950", \
+					  "3.0563245, 3.1259528, 3.5681063, 6.3952736, 24.2793340, 97.2266040, 63.6797850");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9669551, 7.9955820, 7.9955824, 7.9955829, 7.9955833, 7.9955838, 7.9955843", \
+					  "8.0673033, 8.0680236, 8.0680242, 8.0680252, 8.0806384, 8.0806385, 8.0808134", \
+					  "8.1248155, 8.1248165, 8.1248174, 8.1248184, 8.1248193, 8.1248203, 8.1360350", \
+					  "8.1661931, 8.1661935, 8.1669942, 8.1680565, 8.1748244, 8.1748252, 8.1780360", \
+					  "8.2044327, 8.2089713, 8.2089716, 8.2089725, 8.2089735, 8.2089744, 8.2100335", \
+					  "8.2023384, 8.2028029, 8.2349354, 8.2349363, 8.2349372, 8.2349382, 8.2430311", \
+					  "8.2582465, 8.2582469, 8.2582479, 8.2582489, 8.2582498, 8.2582508, 8.2898692");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9273992, 7.9720506, 7.9720510, 8.0399145, 8.0399147, 8.0399156, 8.0399166", \
+					  "8.0588363, 8.0695761, 8.0695768, 8.0695777, 8.0695787, 8.0695797, 8.1001907", \
+					  "8.1155500, 8.1191196, 8.1191202, 8.1407210, 8.1407218, 8.1407228, 8.1462250", \
+					  "8.1521954, 8.1521955, 8.1521965, 8.1858351, 8.1858354, 8.1858364, 8.1905922", \
+					  "8.1835374, 8.1973202, 8.1973205, 8.1973215, 8.1973224, 8.1973234, 8.1973243", \
+					  "8.2080929, 8.2118357, 8.2118364, 8.2118373, 8.2118383, 8.2118392, 8.2470635", \
+					  "8.2365457, 8.2365461, 8.2365470, 8.2365480, 8.2365490, 8.2365499, 8.2439957");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.6460163, 7.6460164, 7.6460169, 7.6460174, 7.6460178, 7.6460183, 7.6460188", \
+					  "7.7423348, 7.7444016, 7.7444017, 7.7444022, 7.7444027, 7.7444032, 7.7444037", \
+					  "7.8034601, 7.8034604, 7.8034609, 7.8034614, 7.8034618, 7.8034623, 7.8034628", \
+					  "7.8442062, 7.8449078, 7.8449080, 7.8449085, 7.8449090, 7.8449095, 7.8449100", \
+					  "7.8776665, 7.8776668, 7.8776672, 7.8776677, 7.8776682, 7.8776687, 7.8776691", \
+					  "7.8723055, 7.8723057, 7.8992324, 7.8992327, 7.8992332, 7.8992336, 7.8992341", \
+					  "7.9238118, 7.9238122, 7.9238127, 7.9238132, 7.9238136, 7.9238141, 7.9238146");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.4582971, 7.4582975, 7.4858342, 7.4858344, 7.4858349, 7.4858354, 7.4858358", \
+					  "7.5532194, 7.5532196, 7.5532201, 7.5532206, 7.5532210, 7.5532215, 7.5532220", \
+					  "7.6067472, 7.6085618, 7.6085623, 7.6085628, 7.6085632, 7.6085637, 7.6085642", \
+					  "7.6469870, 7.6477740, 7.6477745, 7.6477750, 7.6477755, 7.6477759, 7.6477764", \
+					  "7.6735045, 7.6784348, 7.6784351, 7.6784356, 7.6784361, 7.6784366, 7.6784370", \
+					  "7.6999997, 7.7034938, 7.7034939, 7.7034944, 7.7034948, 7.7034953, 7.7034958", \
+					  "7.7186444, 7.7217726, 7.7217730, 7.7217734, 7.7217739, 7.7217744, 7.7217749");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2387420, 10.2900440, 10.6003080, 12.5397740, 19.0000660, 39.0902630, 160.7065500", \
+					  "10.3305920, 10.3810650, 10.6920880, 12.6324260, 19.0933100, 39.1797460, 160.7986100", \
+					  "10.3816170, 10.4331220, 10.7446660, 12.6850490, 19.1435350, 39.2327630, 160.8533500", \
+					  "10.4206570, 10.4709250, 10.7834110, 12.7238380, 19.1818010, 39.2695510, 160.8935200", \
+					  "10.4506580, 10.5009010, 10.8116790, 12.7517600, 19.2115890, 39.3038210, 160.9094200", \
+					  "10.4782540, 10.5288300, 10.8396810, 12.7801660, 19.2407420, 39.3275310, 160.9504400", \
+					  "10.4995350, 10.5502260, 10.8606760, 12.8023510, 19.2639740, 39.3500700, 160.9717100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0866887, 3.1717997, 3.6962957, 5.7389840, 8.5403959, 33.4665950, 200.3070000", \
+					  "3.0868208, 3.1717888, 3.6959886, 5.7388698, 8.5400567, 33.4664390, 200.3294000", \
+					  "3.0868632, 3.1717835, 3.6959868, 5.7388605, 8.5401153, 33.4715010, 200.3530000", \
+					  "3.0868335, 3.1717831, 3.6959371, 5.7392660, 8.5400620, 33.4758990, 200.3539100", \
+					  "3.0868699, 3.1710816, 3.6962746, 5.7389542, 8.5404448, 33.4634760, 200.2949600", \
+					  "3.0868199, 3.1717917, 3.6959891, 5.7388712, 8.5401304, 33.4635250, 200.3452700", \
+					  "3.0866043, 3.1716809, 3.6960273, 5.7388605, 8.5401188, 33.4635500, 200.3294200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.3635150, 10.3635154, 10.3635164, 10.3635173, 10.3635183, 10.3635192, 10.5018660", \
+					  "10.4635170, 10.4675400, 10.4675403, 10.4675413, 10.4675422, 10.4675432, 10.4879630", \
+					  "10.5198790, 10.5212610, 10.5212617, 10.5212627, 10.5212636, 10.5212646, 10.5471970", \
+					  "10.5639680, 10.5641100, 10.5641103, 10.5641113, 10.5641122, 10.5641132, 10.5891940", \
+					  "10.5986720, 10.5986724, 10.5986734, 10.5986743, 10.5986753, 10.5986763, 10.6159500", \
+					  "10.6608530, 10.6608539, 10.6608549, 10.6608558, 10.6614430, 10.6614433, 10.6881520", \
+					  "10.6488510, 10.6488519, 10.6488529, 10.6488538, 10.6488548, 10.6488557, 10.6810890");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7681380, 10.8181440, 11.1306820, 13.0729410, 19.5315870, 39.6181900, 161.2357500", \
+					  "10.9382880, 10.9830220, 11.2942180, 13.2401580, 19.6943300, 39.7834120, 161.3971700", \
+					  "11.0797790, 11.1286120, 11.4409730, 13.3801830, 19.8435470, 39.9333150, 161.5482000", \
+					  "11.1902720, 11.2452520, 11.5557740, 13.4962510, 19.9602720, 40.0436840, 161.6511900", \
+					  "11.2957730, 11.3469990, 11.6555460, 13.5960350, 20.0600230, 40.1534200, 161.7688500", \
+					  "11.3969710, 11.4443540, 11.7677080, 13.6962860, 20.1565320, 40.2432080, 161.8603800", \
+					  "11.5019540, 11.5492450, 11.8615870, 13.8009200, 20.2606930, 40.3515440, 161.9675200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0875342, 3.1721394, 3.6962495, 5.7391181, 8.5403595, 33.4706200, 200.2446300", \
+					  "3.0875018, 3.1721392, 3.6962455, 5.7394636, 8.5407121, 33.4721940, 200.2186800", \
+					  "3.0871099, 3.1721414, 3.6962498, 5.7390466, 8.5402894, 33.4770930, 200.2335300", \
+					  "3.0871061, 3.1721268, 3.6962151, 5.7397891, 8.5330027, 33.4709680, 200.3365000", \
+					  "3.0871792, 3.1721163, 3.6962222, 5.7394649, 8.5389260, 33.4771460, 200.3299100", \
+					  "3.0870819, 3.1724317, 3.6960917, 5.7390426, 8.5404110, 33.4771070, 200.2930600", \
+					  "3.0871101, 3.1721414, 3.6962151, 5.7390430, 8.5404173, 33.4771070, 200.2334200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.3859310, 14.4384360, 14.7507360, 16.5727020, 21.6893720, 40.9225860, 83.9392700", \
+					  "14.5503320, 14.6090540, 14.9114020, 16.7425840, 21.8595590, 41.0984810, 84.1226750", \
+					  "14.6937870, 14.7465430, 15.0554820, 16.8822220, 21.9982680, 41.2458870, 84.2647200", \
+					  "14.8086880, 14.8577980, 15.1737890, 17.0006480, 22.1251050, 41.3605330, 84.3456290", \
+					  "14.9082830, 14.9585570, 15.2677920, 17.1103790, 22.2223610, 41.4529990, 84.4552730", \
+					  "15.0167380, 15.0598610, 15.3684430, 17.2018240, 22.3216730, 41.5619180, 84.5328930", \
+					  "15.1129470, 15.1620420, 15.4734930, 17.3040460, 22.4204100, 41.6683400, 84.6857090");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0269800, 3.0961389, 3.5323586, 5.1057665, 6.9906458, 29.0278880, 48.3808900", \
+					  "3.0278065, 3.0961640, 3.5322558, 5.1044271, 6.9955348, 29.0347920, 48.4805480", \
+					  "3.0282103, 3.0927485, 3.5366261, 5.1070823, 6.9884107, 29.0341400, 48.4721950", \
+					  "3.0283360, 3.0977896, 3.5293128, 5.1080926, 6.9954869, 29.0348490, 48.4187620", \
+					  "3.0280058, 3.0985090, 3.5362067, 5.1044924, 6.9719892, 29.0279130, 48.2986740", \
+					  "3.0271188, 3.0983704, 3.5348726, 5.1082032, 6.9905903, 29.0331580, 48.4237820", \
+					  "3.0282290, 3.0978304, 3.5349104, 5.1027071, 6.9912476, 29.0341780, 48.4591570");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7714000, 10.8235000, 11.1315010, 13.0265400, 24.6419990, 96.1853250, 416.8196000", \
+					  "10.9374660, 10.9939430, 11.2933880, 13.1915660, 24.8083070, 96.3328400, 417.0155700", \
+					  "11.0847480, 11.1201400, 11.4406500, 13.3380170, 24.9547090, 96.4813790, 417.1707500", \
+					  "11.1940030, 11.2492940, 11.5555380, 13.4540580, 25.0650740, 96.5942850, 417.2353000", \
+					  "11.2988960, 11.3551870, 11.6566560, 13.5545380, 25.1728380, 96.6937180, 417.3758700", \
+					  "11.3956900, 11.4499650, 11.7663750, 13.6622030, 25.2710080, 96.8105170, 417.5137500", \
+					  "11.5047810, 11.5549090, 11.8625210, 13.7554950, 25.3753870, 96.9014240, 417.5487300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0348786, 3.1133383, 3.5975367, 6.6020491, 25.0086100, 138.9111300, 350.7125000", \
+					  "3.0354879, 3.1120158, 3.5958403, 6.6025652, 25.0080280, 138.7095800, 350.7130100", \
+					  "3.0341387, 3.1118972, 3.5958034, 6.6026149, 25.0074060, 138.7174100, 350.7171000", \
+					  "3.0355165, 3.1131311, 3.5958410, 6.6026233, 25.0080380, 138.7402800, 350.7049100", \
+					  "3.0344292, 3.1120067, 3.5954679, 6.6027006, 25.0029210, 138.7004300, 350.7155700", \
+					  "3.0344345, 3.1120162, 3.5963603, 6.6053012, 25.0192560, 138.6291800, 350.7438700", \
+					  "3.0341083, 3.1131357, 3.5958070, 6.6026167, 25.0074680, 138.7152900, 350.6962400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.4011800, 14.4533570, 14.7730650, 16.6553510, 28.0280210, 97.8940310, 525.0039400", \
+					  "14.5641200, 14.6178820, 14.9427420, 16.8195450, 28.1896940, 98.0704020, 525.1940300", \
+					  "14.7085910, 14.7608970, 15.0784260, 16.9624460, 28.3307710, 98.2220460, 525.3060100", \
+					  "14.8231830, 14.8756940, 15.1965200, 17.0775250, 28.4545330, 98.3291030, 525.4531700", \
+					  "14.9178110, 14.9824790, 15.2961520, 17.1922780, 28.5536910, 98.5227970, 525.5578200", \
+					  "15.0236410, 15.0843660, 15.4039750, 17.2793070, 28.6574730, 98.5329510, 525.6603100", \
+					  "15.1278940, 15.1825290, 15.4993900, 17.3814560, 28.7512520, 98.6407540, 525.7314800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0568288, 3.1297982, 3.5778711, 6.3804202, 24.3028890, 135.2714800, 504.2206800", \
+					  "3.0568392, 3.1298042, 3.5778160, 6.3804317, 24.3028740, 135.3425500, 504.2376800", \
+					  "3.0501368, 3.1297558, 3.5775849, 6.3836887, 24.3038440, 135.3615000, 504.1816300", \
+					  "3.0568306, 3.1297556, 3.5778658, 6.3837380, 24.3026740, 135.3430000, 504.2377300", \
+					  "3.0566274, 3.1298037, 3.5778069, 6.3815193, 24.3033420, 135.3768200, 504.2371100", \
+					  "3.0564331, 3.1298013, 3.5778640, 6.3919448, 24.2904450, 135.3364600, 504.2343800", \
+					  "3.0565446, 3.1297562, 3.5775849, 6.3836847, 24.3038230, 135.3612300, 504.1715100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9520071, 7.9555820, 7.9755189, 7.9755190, 7.9755195, 7.9755199, 7.9902760", \
+					  "8.0529823, 8.0532621, 8.0532623, 8.0749532, 8.0749536, 8.0749546, 8.0749555", \
+					  "8.1323419, 8.1323429, 8.1323438, 8.1323448, 8.1323457, 8.1323467, 8.1604368", \
+					  "8.1754710, 8.1762179, 8.1762186, 8.1762195, 8.1762205, 8.1762214, 8.1928819", \
+					  "8.2018135, 8.2063725, 8.2224645, 8.2224651, 8.2224660, 8.2224670, 8.2224679", \
+					  "8.2231368, 8.2231374, 8.2278335, 8.2490050, 8.2490058, 8.2490068, 8.2490078", \
+					  "8.2555411, 8.2555909, 8.2555910, 8.2555919, 8.2555929, 8.2555938, 8.2828917");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.9441804, 7.9441808, 7.9441813, 7.9441817, 7.9441822, 7.9441827, 7.9556495", \
+					  "8.0333784, 8.0358360, 8.0358367, 8.0358377, 8.0358387, 8.0358396, 8.0785778", \
+					  "8.0903622, 8.0906126, 8.0906129, 8.0906139, 8.0906148, 8.0906158, 8.1129548", \
+					  "8.1308853, 8.1359870, 8.1359878, 8.1359888, 8.1359897, 8.1359907, 8.1793807", \
+					  "8.1607192, 8.1694180, 8.1694189, 8.1694198, 8.1694208, 8.1694217, 8.2297733", \
+					  "8.1876470, 8.1954115, 8.1954122, 8.1954132, 8.1954141, 8.1954151, 8.2754283", \
+					  "8.2040463, 8.2138554, 8.2138563, 8.2138572, 8.2138582, 8.2138591, 8.2730516");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.0408572, 7.0408576, 7.0408581, 7.0408586, 7.0408590, 7.0408595, 7.0408600", \
+					  "7.1862511, 7.1862515, 7.1938335, 7.1938336, 7.1938341, 7.1938346, 7.2159696", \
+					  "7.3150543, 7.3150547, 7.3157418, 7.3157423, 7.3157428, 7.3157433, 7.4204074", \
+					  "7.4633144, 7.4633148, 7.4633153, 7.4633158, 7.4633163, 7.4633167, 7.5124102", \
+					  "7.5384134, 7.5394082, 7.5394085, 7.5394090, 7.5394094, 7.5394099, 7.6727815", \
+					  "7.6405007, 7.6777980, 7.6777981, 7.6777986, 7.6777990, 7.6777995, 7.7004128", \
+					  "7.7456157, 7.7559292, 7.7861815, 7.7861817, 7.7861822, 7.7861827, 7.8210656");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.2875920, 14.3370170, 14.6451560, 16.4729170, 21.6455970, 41.0056200, 142.9347800", \
+					  "14.4493810, 14.5091710, 14.8105480, 16.6425080, 21.8155400, 41.1517110, 143.1097400", \
+					  "14.5983100, 14.6476800, 14.9558930, 16.7824210, 21.9603620, 41.3129620, 143.2425200", \
+					  "14.7131160, 14.7721830, 15.0685190, 16.9048620, 22.0804390, 41.4156320, 143.3630000", \
+					  "14.8230480, 14.8706350, 15.1728350, 17.0002270, 22.1849530, 41.5349570, 143.4986200", \
+					  "14.9204900, 14.9642290, 15.2773720, 17.0998080, 22.2766410, 41.6374210, 143.5580000", \
+					  "15.0188770, 15.0669890, 15.3790780, 17.2036610, 22.3805030, 41.7308070, 143.6645100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0302427, 3.1004646, 3.5358312, 5.1157261, 7.0400965, 32.0518300, 98.0672400", \
+					  "3.0263347, 3.0986271, 3.5324477, 5.1128155, 7.0397239, 32.0395120, 98.0602690", \
+					  "3.0318057, 3.1024330, 3.5355889, 5.1164659, 7.0464710, 32.0532860, 98.0511960", \
+					  "3.0318026, 3.1004004, 3.5362742, 5.1164699, 7.0464745, 32.0451220, 98.0574630", \
+					  "3.0318381, 3.1022938, 3.5363246, 5.1167013, 7.0515873, 32.0353810, 98.0576330", \
+					  "3.0300977, 3.1003855, 3.5360985, 5.1175566, 7.0409905, 32.0524340, 98.0421150", \
+					  "3.0318050, 3.1024321, 3.5355892, 5.1164677, 7.0464767, 32.0532440, 98.0513520");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("7.0016792, 7.0016797, 7.0032726, 7.0388131, 7.0388134, 7.0388139, 7.0388144", \
+					  "7.1783344, 7.1783345, 7.1783350, 7.1783355, 7.1783360, 7.1783364, 7.2914568", \
+					  "7.3172575, 7.3172577, 7.3172582, 7.3300721, 7.3300722, 7.3300727, 7.4259057", \
+					  "7.4271971, 7.4294828, 7.4294832, 7.4294837, 7.4294842, 7.4294847, 7.4908471", \
+					  "7.5474249, 7.5476096, 7.5476101, 7.5526003, 7.5526007, 7.5526011, 7.6495974", \
+					  "7.6477349, 7.6615185, 7.6615189, 7.6615193, 7.6615198, 7.6615203, 7.7575422", \
+					  "7.7470217, 7.7470220, 7.7539610, 7.7547728, 7.7547730, 7.7547734, 7.8670039");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8108270, 17.0006110, 18.1909470, 25.5217430, 70.7787680, 349.8200800, 2071.6677000", \
+					  "16.9745390, 17.1637690, 18.3613750, 25.6888630, 70.9476350, 349.9996000, 2071.7680000", \
+					  "17.1184810, 17.3109210, 18.5025770, 25.8364030, 71.0937510, 350.0849700, 2072.1886000", \
+					  "17.2328160, 17.4255630, 18.6227830, 25.9471610, 71.2355830, 350.2086100, 2071.7523000", \
+					  "17.3405180, 17.5196250, 18.7229450, 26.0558850, 71.3114120, 350.3021700, 2072.0295000", \
+					  "17.4332180, 17.6288510, 18.8209090, 26.1454450, 71.4024470, 350.3984400, 2072.3528000", \
+					  "17.5355870, 17.7296280, 18.9265510, 26.2592050, 71.5089210, 350.5072100, 2072.2362000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5842420, 14.9750330, 17.3296830, 31.8959440, 122.1581700, 677.7946200, 4110.4022000", \
+					  "14.5911300, 14.9708440, 17.3332350, 31.9019580, 122.0020500, 678.1409600, 4111.8819000", \
+					  "14.5933570, 14.9695190, 17.3185730, 31.8916910, 122.1789500, 677.7947300, 4110.8078000", \
+					  "14.5885940, 14.9701030, 17.3328160, 31.8957200, 122.1742600, 677.6516600, 4109.3235000", \
+					  "14.5844020, 14.9698190, 17.3315340, 31.9018060, 122.1788600, 677.8278700, 4110.6114000", \
+					  "14.5918850, 14.9740240, 17.3319490, 31.8953010, 122.1552100, 678.1001500, 4113.5454000", \
+					  "14.5932530, 14.9766010, 17.3343670, 31.8973110, 122.1778800, 677.7523800, 4111.3449000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4571990, 21.6469150, 22.8096170, 29.9990250, 73.9311830, 345.2818500, 2020.8972000", \
+					  "21.6276150, 21.8065420, 22.9730210, 30.1624180, 74.1019410, 345.4500500, 2020.9050000", \
+					  "21.7626020, 21.9558490, 23.1080950, 30.2970950, 74.2592690, 345.6183600, 2020.0021000", \
+					  "21.8875920, 22.0693560, 23.2314030, 30.4190310, 74.3493870, 345.7326400, 2020.2922000", \
+					  "21.9725340, 22.1704110, 23.3344260, 30.5206560, 74.4805460, 345.8687300, 2020.1803000", \
+					  "22.0806250, 22.2726250, 23.4419910, 30.6285840, 74.7036000, 346.1862000, 2020.4516000", \
+					  "22.1823060, 22.3763000, 23.5362080, 30.7233140, 74.6636030, 346.0398000, 2023.6932000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5399450, 13.9112050, 16.2079910, 30.3965920, 118.5686900, 660.5113200, 4007.8817000", \
+					  "13.5398960, 13.9112000, 16.2081870, 30.3963250, 118.5663700, 660.5065400, 4009.4228000", \
+					  "13.5436120, 13.8979380, 16.1759690, 30.3943390, 118.4274400, 660.5309900, 4009.5929000", \
+					  "13.5400620, 13.9112000, 16.2055600, 30.3909930, 118.5713300, 660.5361000, 4007.7935000", \
+					  "13.5243530, 13.8999140, 16.2079700, 30.4022090, 118.5479600, 660.8010500, 4008.4742000", \
+					  "13.5397310, 13.9103060, 16.2085630, 30.3987480, 118.4919500, 661.2409600, 4007.9899000", \
+					  "13.5379670, 13.9111500, 16.2054730, 30.3912020, 118.5521600, 661.0528700, 4007.2377000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.2659520, 10.3164430, 10.6268000, 12.5685450, 19.0092630, 39.1012480, 160.7149000", \
+					  "10.3542610, 10.4041680, 10.7153640, 12.6559520, 19.1032540, 39.2036410, 160.8006100", \
+					  "10.4084260, 10.4589460, 10.7694010, 12.7099540, 19.1519300, 39.2424970, 160.8587800", \
+					  "10.4477320, 10.4982300, 10.8086350, 12.7504160, 19.1972710, 39.2819320, 160.8898700", \
+					  "10.4757890, 10.5256710, 10.8367670, 12.7776780, 19.2263250, 39.3123220, 160.9330300", \
+					  "10.5035580, 10.5541420, 10.8644910, 12.8060380, 19.2526780, 39.3377740, 160.9493300", \
+					  "10.5237950, 10.5737410, 10.8848360, 12.8253170, 19.2706060, 39.3662950, 160.9643500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0875986, 3.1715344, 3.6964414, 5.7268892, 8.5264160, 33.4785670, 200.3415600", \
+					  "3.0868279, 3.1712390, 3.6963674, 5.7261751, 8.5275902, 33.4690600, 200.2446600", \
+					  "3.0867346, 3.1710541, 3.6960894, 5.7261769, 8.5223801, 33.4698990, 200.3421100", \
+					  "3.0877869, 3.1713854, 3.6966294, 5.7268728, 8.5268947, 33.4697750, 200.3036600", \
+					  "3.0870091, 3.1712863, 3.6963004, 5.7261325, 8.5275378, 33.4633760, 200.2164900", \
+					  "3.0867062, 3.1715361, 3.6964336, 5.7268275, 8.5225942, 33.4699840, 200.3326400", \
+					  "3.0882168, 3.1713823, 3.6963328, 5.7261764, 8.5277803, 33.4641220, 200.2069400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("20.5995980, 20.7917680, 21.9713420, 29.1661310, 73.2921630, 344.7914300, 2019.6401000", \
+					  "20.7629100, 20.9583070, 22.1366300, 29.3789420, 73.4616710, 344.8730600, 2019.0873000", \
+					  "20.9003840, 21.0999790, 22.2654570, 29.5231680, 73.6674580, 345.1308400, 2019.9550000", \
+					  "21.0277430, 21.2189540, 22.3977190, 29.6409370, 73.7188870, 345.1445700, 2021.9084000", \
+					  "21.1214120, 21.3237780, 22.5026010, 29.7431870, 73.8954210, 345.3467100, 2020.9568000", \
+					  "21.2275140, 21.4189860, 22.5974150, 29.8408550, 73.9195800, 345.3438600, 2020.6999000", \
+					  "21.3274450, 21.5222830, 22.6969550, 29.9333570, 74.0197520, 345.4540000, 2020.3945000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5320810, 13.9040760, 16.1912650, 30.4132900, 118.4664000, 660.4082000, 4010.0654000", \
+					  "13.5320470, 13.9035860, 16.1979730, 30.4235070, 118.4587500, 660.3964900, 4008.4687000", \
+					  "13.5300810, 13.9040270, 16.1907410, 30.4168180, 118.6583300, 660.7942300, 4008.2141000", \
+					  "13.5153670, 13.9022040, 16.1942140, 30.4240470, 118.4535700, 660.9148500, 4007.6502000", \
+					  "13.5269000, 13.9028770, 16.1914090, 30.4234220, 118.6113300, 660.4568600, 4009.3300000", \
+					  "13.5331830, 13.9037710, 16.2025890, 30.4230100, 118.4519500, 660.5352500, 4006.4961000", \
+					  "13.5335450, 13.9029260, 16.2044170, 30.4250310, 118.4486600, 660.5081400, 4008.1231000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7718940, 10.8231040, 11.1286730, 13.0272960, 24.6491610, 96.1736720, 416.8178400", \
+					  "10.9380940, 10.9893440, 11.2940140, 13.1917950, 24.8106660, 96.3365350, 416.9644700", \
+					  "11.0848820, 11.1348370, 11.4394850, 13.3392910, 24.9557920, 96.4786440, 417.1014600", \
+					  "11.1942280, 11.2463190, 11.5555250, 13.4542610, 25.0687670, 96.5972050, 417.2456200", \
+					  "11.2985820, 11.3505790, 11.6609990, 13.5547880, 25.1648300, 96.6923250, 417.3127800", \
+					  "11.3951910, 11.4478920, 11.7626550, 13.6505410, 25.2738080, 96.8011090, 417.4264900", \
+					  "11.5080420, 11.5530920, 11.8598160, 13.7590840, 25.3736290, 96.9029160, 417.5524900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0345639, 3.1116272, 3.5955503, 6.6024728, 25.0044630, 138.6465100, 350.6715100", \
+					  "3.0351250, 3.1128335, 3.5955681, 6.6026202, 25.0041800, 138.8478800, 350.6798400", \
+					  "3.0338141, 3.1128577, 3.5955205, 6.6025483, 25.0077520, 138.6532900, 350.6823700", \
+					  "3.0349268, 3.1120593, 3.5955219, 6.6025505, 25.0042010, 138.6494800, 350.6809500", \
+					  "3.0345391, 3.1116647, 3.5956713, 6.6025692, 25.0160760, 138.6701600, 350.6569000", \
+					  "3.0341332, 3.1116045, 3.5955892, 6.6025576, 25.0164990, 138.7100800, 350.6583500", \
+					  "3.0338199, 3.1128555, 3.5955177, 6.6025514, 25.0129040, 138.6520800, 350.6272600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4546410, 21.6445630, 22.8026740, 29.9853400, 74.0794520, 345.5706700, 2020.0332000", \
+					  "21.6182520, 21.8038510, 22.9666740, 30.1548650, 74.2484330, 345.7326200, 2020.6730000", \
+					  "21.7573890, 21.9459350, 23.1137900, 30.2565690, 74.3771340, 345.8419500, 2021.9036000", \
+					  "21.8878320, 22.0648920, 23.2240150, 30.4126930, 74.4196460, 345.9591700, 2020.9011000", \
+					  "21.9854660, 22.1726780, 23.3282210, 30.4672620, 74.6077050, 346.0703200, 2019.9975000", \
+					  "22.0881710, 22.2717810, 23.4293970, 30.6180400, 74.6978440, 346.1689500, 2020.5989000", \
+					  "22.1832650, 22.3751840, 23.5319870, 30.7068290, 74.7912950, 346.2528800, 2020.2071000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5213400, 13.9111560, 16.1830820, 30.4053030, 118.5274300, 660.6821900, 4008.3528000", \
+					  "13.5211740, 13.8957020, 16.1831670, 30.4031250, 118.5190000, 660.6850300, 4008.3037000", \
+					  "13.5330260, 13.8822400, 16.1968610, 30.4188390, 118.4656600, 660.8616400, 4010.9785000", \
+					  "13.5374750, 13.8776400, 16.1839790, 30.4039210, 118.4695100, 660.8644900, 4008.1736000", \
+					  "13.5223500, 13.8957410, 16.1848540, 30.4209030, 118.5654700, 660.9169500, 4008.9753000", \
+					  "13.5372780, 13.8948620, 16.2067430, 30.3973630, 118.4778200, 660.8676100, 4010.9376000", \
+					  "13.5322390, 13.9121110, 16.1963700, 30.4053920, 118.4639900, 660.8531200, 4007.8953000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8072130, 17.0316330, 18.1933720, 25.5742480, 70.7869670, 349.8531100, 2071.0722000", \
+					  "16.9713650, 17.1645240, 18.3866740, 25.6951050, 70.9463710, 349.9517900, 2071.5868000", \
+					  "17.1182060, 17.3116930, 18.5061740, 25.8353040, 71.0914350, 350.1015700, 2071.4167000", \
+					  "17.2283310, 17.4267750, 18.6227760, 25.9551380, 71.2830330, 350.1935200, 2071.9453000", \
+					  "17.3373560, 17.5667450, 18.7290410, 26.0595620, 71.3061400, 350.3264700, 2072.0768000", \
+					  "17.4365680, 17.6255740, 18.8224650, 26.1799080, 71.4369360, 350.4502800, 2072.1011000", \
+					  "17.5345110, 17.7323290, 18.9232980, 26.2565440, 71.5103640, 350.5007300, 2072.2757000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5887820, 14.9756940, 17.3285580, 31.8793100, 122.1715800, 677.8180400, 4109.9843000", \
+					  "14.5847700, 14.9706190, 17.3206690, 31.8928810, 122.0290200, 678.1701800, 4112.1843000", \
+					  "14.5885370, 14.9725580, 17.3335200, 31.8928280, 122.0517200, 678.1310200, 4109.1143000", \
+					  "14.5847720, 14.9744800, 17.3331180, 31.8929200, 122.1655000, 677.9901600, 4110.4527000", \
+					  "14.5904420, 14.9749140, 17.3238400, 31.8935850, 122.0255100, 678.2209400, 4111.1239000", \
+					  "14.5848720, 14.9727390, 17.3235580, 31.8833920, 121.9714200, 677.8710700, 4112.1525000", \
+					  "14.5843840, 14.9691230, 17.3334180, 31.8912860, 122.0519700, 678.5608700, 4110.8278000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.4036520, 14.4547860, 14.7687390, 16.6620510, 28.0312090, 98.0112770, 525.0260000", \
+					  "14.5733880, 14.6181290, 14.9329100, 16.8251390, 28.1946160, 98.1762160, 525.1891400", \
+					  "14.7087040, 14.7610050, 15.0732420, 16.9614190, 28.3397980, 98.3151910, 525.3295400", \
+					  "14.8321120, 14.8763050, 15.1899030, 17.0833450, 28.4552950, 98.4331980, 525.4474900", \
+					  "14.9232980, 14.9779510, 15.2857620, 17.1816130, 28.5531030, 98.5309820, 525.5963100", \
+					  "15.0362960, 15.0856890, 15.3877250, 17.2898620, 28.6585100, 98.6280850, 525.6499100", \
+					  "15.1296970, 15.1820900, 15.4914370, 17.3877210, 28.7593860, 98.7349220, 525.7146000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0540350, 3.1266005, 3.5716416, 6.3943704, 24.2886550, 135.3694200, 504.3376100", \
+					  "3.0540954, 3.1265839, 3.5719325, 6.3943513, 24.2926830, 135.3695300, 504.3375500", \
+					  "3.0531557, 3.1262886, 3.5695782, 6.3905161, 24.2819540, 135.3655700, 504.2242000", \
+					  "3.0537852, 3.1263556, 3.5696002, 6.3934253, 24.2819720, 135.3692800, 504.3373800", \
+					  "3.0526364, 3.1265510, 3.5695966, 6.3934236, 24.2876870, 135.3656700, 504.2886600", \
+					  "3.0537362, 3.1258116, 3.5692842, 6.3940728, 24.3040970, 135.3630500, 504.2957100", \
+					  "3.0531571, 3.1263450, 3.5695817, 6.3934147, 24.2819520, 135.3656500, 504.2543900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.7716230, 10.8188600, 11.1298210, 13.0701060, 19.5259580, 39.6181540, 161.2422700", \
+					  "10.9392740, 10.9888780, 11.2932190, 13.2333640, 19.6965980, 39.7891570, 161.4014700", \
+					  "11.0784320, 11.1285520, 11.4413740, 13.3815380, 19.8451160, 39.9300720, 161.5492500", \
+					  "11.1917310, 11.2397790, 11.5554220, 13.5030550, 19.9598260, 40.0458940, 161.6583600", \
+					  "11.2947700, 11.3451250, 11.6555380, 13.5974110, 20.0567440, 40.1438280, 161.7690400", \
+					  "11.4004810, 11.4500250, 11.7602550, 13.6979650, 20.1563670, 40.2538450, 161.8738100", \
+					  "11.4993870, 11.5483110, 11.8613550, 13.8038660, 20.2598280, 40.3495230, 161.9673100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0867866, 3.1718665, 3.6959278, 5.7393290, 8.5396090, 33.4720770, 200.2829100", \
+					  "3.0867735, 3.1717893, 3.6959333, 5.7396519, 8.5326155, 33.4729260, 200.2204400", \
+					  "3.0867773, 3.1717915, 3.6959400, 5.7389404, 8.5392990, 33.4771320, 200.2207300", \
+					  "3.0867873, 3.1717908, 3.6959253, 5.7389435, 8.5395575, 33.4699950, 200.2195200", \
+					  "3.0867837, 3.1715561, 3.6959220, 5.7389382, 8.5386400, 33.4689640, 200.3313600", \
+					  "3.0865415, 3.1709746, 3.6959327, 5.7390039, 8.5392378, 33.4773030, 200.3477300", \
+					  "3.0867782, 3.1717917, 3.6959271, 5.7391172, 8.5395273, 33.4771320, 200.2202300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.8067040, 17.0004990, 18.1965310, 25.5624980, 70.7587870, 349.7932800, 2071.5447000", \
+					  "16.9716950, 17.1635490, 18.3878550, 25.6947230, 70.9534190, 349.9518200, 2071.7280000", \
+					  "17.1162960, 17.3079470, 18.5280500, 25.8353180, 71.0929340, 350.0845800, 2072.1197000", \
+					  "17.2289920, 17.4281480, 18.6195630, 25.9453610, 71.2077440, 350.2611600, 2072.4203000", \
+					  "17.3437070, 17.5344430, 18.7186090, 26.0887330, 71.3094370, 350.2973400, 2071.9742000", \
+					  "17.4328090, 17.6260140, 18.8208520, 26.1801410, 71.4494920, 350.3924100, 2072.5022000", \
+					  "17.5387010, 17.7289350, 18.9250410, 26.2585400, 71.5132560, 350.5047100, 2072.2450000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5885020, 14.9693840, 17.3335850, 31.8969490, 122.0747900, 678.2731200, 4109.8024000", \
+					  "14.5896920, 14.9700020, 17.3203660, 31.9015110, 122.1825600, 677.6404600, 4111.7482000", \
+					  "14.5842930, 14.9693590, 17.3241760, 31.8965620, 122.1828300, 677.9167200, 4111.1803000", \
+					  "14.5842740, 14.9758780, 17.3327340, 31.8962030, 122.1828400, 678.2986500, 4112.2312000", \
+					  "14.5844010, 14.9764360, 17.3207480, 31.9050850, 122.1624000, 677.7097500, 4110.5804000", \
+					  "14.5923410, 14.9751340, 17.3318530, 31.9031880, 122.2005700, 677.6966800, 4112.5281000", \
+					  "14.5844870, 14.9696310, 17.3331090, 31.8996740, 122.1830000, 678.4595100, 4110.4631000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("21.4529760, 21.6495250, 22.8106710, 29.9819260, 73.9509500, 345.4613300, 2020.4443000", \
+					  "21.6266330, 21.8131060, 22.9804740, 30.1510140, 74.1248560, 345.6567600, 2021.2822000", \
+					  "21.7633930, 21.9531100, 23.1150650, 30.3041290, 74.2574000, 345.6390500, 2020.8404000", \
+					  "21.8846700, 22.0692210, 23.2365720, 30.4026030, 74.3853620, 345.7830100, 2020.6867000", \
+					  "21.9912000, 22.1804740, 23.3275890, 30.5188120, 74.5259920, 345.8086700, 2020.4943000", \
+					  "22.0872140, 22.2715840, 23.4436040, 30.6117900, 74.5825160, 346.1781500, 2020.5316000", \
+					  "22.1849100, 22.3746350, 23.5370210, 30.7199170, 74.6752490, 346.0364700, 2020.7328000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.5423410, 13.8979510, 16.2064090, 30.4039210, 118.4843900, 660.7066300, 4008.1300000", \
+					  "13.5411170, 13.8980640, 16.2063500, 30.4037080, 118.4730400, 660.5359900, 4009.0581000", \
+					  "13.5394560, 13.8995410, 16.2041790, 30.3977430, 118.4950800, 661.1508100, 4006.8385000", \
+					  "13.5416560, 13.8986640, 16.2070390, 30.4040030, 118.4725200, 660.2663200, 4007.7935000", \
+					  "13.5411490, 13.8979400, 16.2040640, 30.3977250, 118.4635200, 660.5101200, 4006.5588000", \
+					  "13.5417020, 13.8969140, 16.2070360, 30.4039250, 118.4712400, 660.6132400, 4008.4187000", \
+					  "13.5394110, 13.8995930, 16.2041190, 30.4049340, 118.4941500, 660.5818600, 4008.5019000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("10.4099350, 10.4676590, 10.7755820, 12.6911780, 24.3438390, 95.9677560, 416.4223500", \
+					  "10.4994070, 10.5507090, 10.8660050, 12.7886580, 24.4395530, 96.0450420, 416.5347900", \
+					  "10.5523230, 10.6095390, 10.9175360, 12.8343370, 24.4873740, 96.1212760, 416.6109300", \
+					  "10.5905730, 10.6421010, 10.9586350, 12.8736400, 24.5319660, 96.1439000, 416.6091100", \
+					  "10.6275580, 10.6777900, 10.9864680, 12.9024880, 24.5613010, 96.1669780, 416.6666400", \
+					  "10.6468720, 10.6980380, 11.0156830, 12.9311910, 24.5877630, 96.1932610, 416.6343800", \
+					  "10.6694620, 10.7203140, 11.0388380, 12.9537890, 24.6101180, 96.2150390, 416.6683400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0285396, 3.1055163, 3.5905019, 6.6026233, 24.9895840, 138.7425200, 350.4717400", \
+					  "3.0272309, 3.1043084, 3.5899577, 6.6042403, 24.9965510, 138.6461200, 350.4534300", \
+					  "3.0285157, 3.1056437, 3.5904368, 6.6027424, 24.9874720, 138.7694700, 350.4764300", \
+					  "3.0272178, 3.1050971, 3.5900314, 6.6034525, 24.9974010, 138.9118300, 350.4731300", \
+					  "3.0273750, 3.1067757, 3.5904435, 6.6024910, 24.9963550, 138.7375800, 350.4692600", \
+					  "3.0273242, 3.1046732, 3.5909486, 6.6035555, 24.9916500, 138.7548400, 350.4326900", \
+					  "3.0274234, 3.1054916, 3.5910972, 6.6035759, 24.9914220, 138.7638900, 350.4484300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.1935260, 13.2405140, 13.5627680, 15.4570920, 26.8530920, 96.7237670, 523.8064200", \
+					  "13.3620640, 13.4143900, 13.7289220, 15.6241380, 27.0217870, 96.8895010, 523.9856500", \
+					  "13.5035870, 13.5559020, 13.8658190, 15.7664090, 27.1535130, 97.0605920, 524.1160500", \
+					  "13.6184730, 13.6711290, 13.9855280, 15.8810830, 27.2771320, 97.1570630, 524.2304200", \
+					  "13.7236100, 13.7759790, 14.0904270, 15.9862170, 27.3810240, 97.2673890, 524.3225600", \
+					  "13.8199740, 13.8725160, 14.1901200, 16.0861240, 27.4674700, 97.4077850, 524.4509700", \
+					  "13.9199830, 13.9782620, 14.2935350, 16.1936220, 27.5892700, 97.4670730, 524.5468100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0086655, 3.0839076, 3.5363590, 6.3784746, 24.3039900, 135.3104200, 504.0450900", \
+					  "3.0094878, 3.0841967, 3.5329768, 6.3791203, 24.3039720, 135.3085500, 504.0611800", \
+					  "3.0097604, 3.0843417, 3.5329812, 6.3774177, 24.3049120, 135.3836400, 503.9864800", \
+					  "3.0092089, 3.0841212, 3.5329206, 6.3788685, 24.3039720, 135.3309400, 503.9890400", \
+					  "3.0092064, 3.0840219, 3.5328758, 6.3785626, 24.3040730, 135.3441200, 504.0029700", \
+					  "3.0130225, 3.0847327, 3.5377412, 6.3758305, 24.3080900, 135.4099500, 504.0352000", \
+					  "3.0104848, 3.0842575, 3.5365897, 6.3806596, 24.3054040, 135.2440200, 504.0802200");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("16.7747750, 16.9672280, 18.1905370, 25.6029720, 70.9946160, 350.2937000, 2071.9908000", \
+					  "16.8680160, 17.0678120, 18.2808560, 25.6927160, 71.1028600, 350.3681100, 2071.8371000", \
+					  "16.9158800, 17.1152280, 18.3314910, 25.7452510, 71.1574800, 350.4059400, 2072.2634000", \
+					  "16.9634480, 17.1573850, 18.3710610, 25.7878410, 71.2056760, 350.4779300, 2072.1984000", \
+					  "16.9840850, 17.1787760, 18.4010440, 25.8293370, 71.2197020, 350.5010700, 2072.2373000", \
+					  "17.0222020, 17.2144770, 18.4276650, 25.8413650, 71.2559040, 350.5143400, 2072.1106000", \
+					  "17.0353760, 17.2330330, 18.4479900, 25.8600820, 71.2763390, 350.5319300, 2072.1588000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("14.5831050, 14.9715720, 17.3278190, 31.8877160, 122.2206700, 677.8806800, 4110.7605000", \
+					  "14.5893230, 14.9666410, 17.3279610, 31.9367250, 121.9261600, 678.2923900, 4110.1316000", \
+					  "14.5895910, 14.9709920, 17.3303450, 31.8937340, 121.9272600, 678.9511000, 4111.8788000", \
+					  "14.5872290, 14.9696380, 17.3298530, 31.8913930, 121.9574000, 677.8528200, 4109.4941000", \
+					  "14.5872080, 14.9665610, 17.3294870, 31.8943200, 121.9889500, 678.3340600, 4111.1584000", \
+					  "14.5854300, 14.9689470, 17.3263480, 31.8858150, 121.9329100, 678.1007800, 4110.7796000", \
+					  "14.5850940, 14.9709330, 17.3253110, 31.9186920, 121.9412100, 677.8634500, 4111.5741000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("13.0043740, 13.0541040, 13.3737420, 15.2609640, 26.6282000, 96.5624380, 523.5912100", \
+					  "13.1724100, 13.2263560, 13.5419500, 15.4254490, 26.7871540, 96.7457510, 523.7826600", \
+					  "13.3173550, 13.3681690, 13.6849720, 15.5709530, 26.9364740, 96.8708420, 523.9624600", \
+					  "13.4361330, 13.4879650, 13.8042430, 15.6939530, 27.0619740, 97.0427790, 524.0496500", \
+					  "13.5415140, 13.5910090, 13.9085900, 15.7990010, 27.1690230, 97.1462480, 524.1499800", \
+					  "13.6347960, 13.6885420, 14.0061450, 15.8874160, 27.2640880, 97.1506610, 524.2486100", \
+					  "13.7341120, 13.7869150, 14.0995280, 15.9911710, 27.3633580, 97.3372140, 524.3453500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20519, 1.25686, 1.57549, 3.5404, 15.6576, 90.3823, 551.195");
+					values("3.0484630, 3.1198533, 3.5704899, 6.3927730, 24.3012990, 135.3959600, 504.2206800", \
+					  "3.0511125, 3.1199956, 3.5726961, 6.3849406, 24.3068640, 135.3896600, 504.2397200", \
+					  "3.0485545, 3.1201866, 3.5693219, 6.3927588, 24.3015050, 135.3959200, 504.2714400", \
+					  "3.0485872, 3.1203435, 3.5698304, 6.3928929, 24.2940370, 135.3683700, 504.3226000", \
+					  "3.0483025, 3.1201040, 3.5687693, 6.3928849, 24.2872600, 135.3649600, 504.2820100", \
+					  "3.0513476, 3.1246368, 3.5731644, 6.3839756, 24.3013500, 135.2196800, 504.2441000", \
+					  "3.0475897, 3.1208294, 3.5664545, 6.3921144, 24.2775080, 135.3639200, 504.2032300");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0775429, -1.1102413, -1.1429398, -1.1459908, -1.1489197, -1.1519707, -1.1550217");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0869878, 1.1344255, 1.1818632, 1.1827094, 1.1835218, 1.1843680, 1.1852143");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157630;
+			capacitance : 0.157237;
+			fall_capacitance : 0.156844;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0463656, -0.0463632, -0.0463608, -0.0465974, -0.0468246, -0.0470612, -0.0472978");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0423658, 0.0441434, 0.0459209, 0.0462794, 0.0466236, 0.0469821, 0.0473407");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150355;
+			capacitance : 0.149946;
+			fall_capacitance : 0.149537;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0466414, -0.0464845, -0.0463276, -0.0465441, -0.0467519, -0.0469684, -0.0471849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426255, 0.0444820, 0.0463384, 0.0465681, 0.0467886, 0.0470183, 0.0472479");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p65v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v65.lib
new file mode 100644
index 0000000..2cd0515
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+        lu_table_template ("del_1_12_7") {
+                variable_1 : "input_net_transition";
+                index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+                variable_2 : "total_output_net_capacitance";
+                index_2("1, 2, 3, 4, 5, 6, 7");
+        }
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+                        is_macro_cell : true
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.839880e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8239800";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3931800";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7261200";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8361300";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.6435200";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7251900";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8194800";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7298700";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7094600";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7139700";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.6404400";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7092300";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.7094700";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.6936800";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8194700";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8036900";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8192400";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.8398800";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006738;
+			capacitance : 0.006584;
+			fall_capacitance : 0.006430;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3538127, 4.2773356, 4.2519022, 4.2361388, 4.2320714, 4.2396667, 4.2443176", \
+					  "4.8114679, 4.7364568, 4.7183193, 4.6907507, 4.6818658, 4.6872584, 4.6851197", \
+					  "5.2684717, 5.1904053, 5.1652325, 5.1462584, 5.1418428, 5.1497489, 5.1590868", \
+					  "5.6835024, 5.6054453, 5.5937990, 5.6113947, 5.5575867, 5.5693559, 5.5525769", \
+					  "6.1306869, 6.0526840, 6.0274437, 6.0069459, 6.0032448, 6.0003534, 6.0043391", \
+					  "6.5104733, 6.4360848, 6.4000994, 6.3918087, 6.3799799, 6.3764219, 6.3999471", \
+					  "6.8487014, 6.7706985, 6.7548623, 6.7249329, 6.7378168, 6.7411017, 6.7273731");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0578130, 3.9427024, 3.8170265, 3.7431922, 3.7296968, 3.6589041, 3.5713368", \
+					  "4.5154678, 4.3995117, 4.2907653, 4.1916921, 4.1888802, 4.0555246, 4.0244144", \
+					  "4.9694203, 4.8364719, 4.7210047, 4.6822655, 4.6397751, 4.5599851, 4.4783664", \
+					  "5.3859768, 5.2940237, 5.2449239, 5.0713560, 5.0570613, 4.9641796, 4.9452769", \
+					  "5.8316354, 5.6784002, 5.5938687, 5.5093852, 5.4744492, 5.4109138, 5.3508125", \
+					  "6.2150363, 6.1039914, 5.9614019, 5.8723868, 5.8680494, 5.8369272, 5.7341010", \
+					  "6.5496500, 6.4337631, 6.3027604, 6.2396353, 6.2058512, 6.1507411, 6.0708032");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8050738, -3.6802005, -3.6331790, -3.6355620, -3.6516918, -3.6473550, -3.6115874", \
+					  "-4.2657808, -4.1454841, -4.1030296, -4.0924226, -4.1078227, -4.1125594, -4.0722942", \
+					  "-4.7182069, -4.5974795, -4.5483663, -4.5409362, -4.5648265, -4.5536066, -4.5216686", \
+					  "-5.1362893, -5.0156201, -4.9702919, -4.9576234, -4.9864350, -4.9800161, -4.9382251", \
+					  "-5.5819484, -5.4612210, -5.4195592, -5.4046778, -5.4259599, -5.4088904, -5.3976172", \
+					  "-5.9668752, -5.8415732, -5.8008777, -5.7881515, -5.8134943, -5.7953431, -5.7871216", \
+					  "-6.3080343, -6.1784237, -6.1468166, -6.1339411, -6.1447218, -6.1548128, -6.1252289");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8401901, -3.7316694, -3.6513099, -3.5835525, -3.5303724, -3.4107636, -3.3781280", \
+					  "-4.3008967, -4.1969532, -4.1135497, -4.0493743, -3.9865015, -3.9325056, -3.8646550", \
+					  "-4.7533232, -4.6628929, -4.4919941, -4.5172103, -4.4237007, -4.3528884, -4.2620647", \
+					  "-5.1714057, -5.0613453, -4.9748696, -4.9025612, -4.8631176, -4.7648673, -4.7350943", \
+					  "-5.6170643, -5.5070044, -5.3411828, -5.3451685, -5.3046191, -5.2311881, -5.1378339", \
+					  "-6.0019911, -5.9118348, -5.8587603, -5.7484717, -5.6906477, -5.5832896, -5.5384255", \
+					  "-6.3446761, -6.2346157, -6.1207210, -6.0928340, -6.0104443, -5.9808622, -5.8475186");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540690, 0.0534526, 0.0528361, 0.0533390, 0.0538218, 0.0543248, 0.0548277");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426428, 0.0419616, 0.0412803, 0.0415144, 0.0417391, 0.0419731, 0.0422072");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005789;
+			capacitance : 0.005923;
+			rise_capacitance : 0.006058;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8966556, -3.8123675, -3.7352070, -3.7590288, -3.7246328, -3.7205170, -3.7260281", \
+					  "-4.3573622, -4.2746000, -4.1898100, -4.2257586, -4.1805476, -4.1949113, -4.1852092", \
+					  "-4.8195383, -4.7306725, -4.6593868, -4.6757027, -4.6259376, -4.6282242, -4.6260224", \
+					  "-5.2783029, -5.1909629, -5.1288326, -5.1377686, -5.0984352, -5.1158559, -5.0786839", \
+					  "-5.6547688, -5.5739264, -5.4933198, -5.5333908, -5.4749216, -5.4725266, -5.4795636", \
+					  "-6.0380573, -5.9552951, -5.8689793, -5.9034037, -5.8612428, -5.8649705, -5.8689562", \
+					  "-6.3915444, -6.3087821, -6.2407770, -6.2540135, -6.2205736, -6.2169316, -6.2148137");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8081757, -3.7047460, -3.5597784, -3.5532266, -3.4373293, -3.3078062, -3.3018772", \
+					  "-4.2688828, -4.1700338, -4.0395391, -4.0126295, -3.8693703, -3.8411565, -3.8160796", \
+					  "-4.7213089, -4.6214665, -4.4987660, -4.4556640, -4.3276668, -4.3060868, -4.2454282", \
+					  "-5.1393913, -5.0380231, -4.8818107, -4.8844317, -4.8280186, -4.6732882, -4.6752373", \
+					  "-5.5850504, -5.4836817, -5.3294295, -5.3178796, -5.2080648, -5.1741218, -5.1104847", \
+					  "-5.9699771, -5.8706384, -5.7048137, -5.7028068, -5.5807476, -5.5295517, -5.5146183", \
+					  "-6.3126621, -6.2062472, -6.0597147, -6.0470253, -5.9281586, -5.8801543, -5.8523415");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3599451, 4.2863382, 4.2569386, 4.2284219, 4.2181044, 4.2082624, 4.2045765", \
+					  "4.8176004, 4.7439930, 4.7080311, 4.6830604, 4.6574565, 4.6674014, 4.6714137", \
+					  "5.2730782, 5.1995033, 5.1693847, 5.1353591, 5.1356091, 5.1228797, 5.1161837", \
+					  "5.6896348, 5.6148915, 5.5875183, 5.5552348, 5.5447760, 5.5364205, 5.5419916", \
+					  "6.1352934, 6.0616865, 6.0264698, 5.9990797, 5.9874708, 5.9805172, 5.9768855", \
+					  "6.5217465, 6.4262140, 6.4177697, 6.3871711, 6.3777883, 6.3670063, 6.3612371", \
+					  "6.8548339, 6.7781752, 6.7406874, 6.7172128, 6.7113291, 6.7084452, 6.7055688");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0364794, 3.9076247, 3.7869821, 3.7005441, 3.6294403, 3.5505668, 3.5292785", \
+					  "4.4942053, 4.3820852, 4.2525190, 4.2163009, 4.0869768, 4.0578916, 3.9812680", \
+					  "4.9463907, 4.8358675, 4.6984006, 4.6321265, 4.5393301, 4.4671287, 4.4781963", \
+					  "5.3644931, 5.2328122, 5.1206029, 5.0592138, 4.9945482, 4.9152014, 4.8718849", \
+					  "5.8116314, 5.6995820, 5.5905740, 5.5141436, 5.4682155, 5.3610874, 5.2972078", \
+					  "6.1823531, 6.0677994, 5.9485304, 5.8968184, 5.7846952, 5.7518903, 5.6751744", \
+					  "6.5281889, 6.4000519, 6.3186410, 6.2572643, 6.1213570, 6.0483456, 6.0889239");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0519360, 0.0512645, 0.0505930, 0.0507764, 0.0509524, 0.0511357, 0.0513191");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0640137, 0.0632885, 0.0625632, 0.0631655, 0.0637437, 0.0643461, 0.0649484");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.008861;
+			capacitance : 0.009015;
+			rise_capacitance : 0.009168;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3767019, 4.3017499, 4.2747906, 4.2558875, 4.2531497, 4.2625262, 4.2595081", \
+					  "4.8343572, 4.7594051, 4.7324454, 4.7137328, 4.7099576, 4.7101263, 4.7144735", \
+					  "5.2898351, 5.2148835, 5.1866030, 5.1660976, 5.1632243, 5.1726102, 5.1803675", \
+					  "5.7063916, 5.6310119, 5.6014280, 5.5872301, 5.5819925, 5.5906891, 5.5754648", \
+					  "6.1535761, 6.0770986, 6.0488159, 6.0298378, 6.0296548, 6.0247676, 6.0313543", \
+					  "6.5385029, 6.4604995, 6.4304875, 6.4147232, 6.4127519, 6.4212744, 6.4075765", \
+					  "6.8811879, 6.8031841, 6.7731725, 6.7557957, 6.7537369, 6.7639621, 6.7441579");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0181234, 3.9183779, 3.8490676, 3.7446968, 3.6961616, 3.6420982, 3.5362205", \
+					  "4.4756721, 4.3591431, 4.3081670, 4.1961424, 4.1537003, 4.0874400, 4.0380195", \
+					  "4.9245992, 4.8065443, 4.7609954, 4.6252325, 4.5923144, 4.5562032, 4.4930502", \
+					  "5.3348484, 5.2265364, 5.1318343, 5.0446370, 4.9960345, 4.9144349, 4.8849887", \
+					  "5.7722030, 5.6541476, 5.5711083, 5.5064054, 5.4487200, 5.3687120, 5.3406535", \
+					  "6.1733319, 6.0568024, 6.0058268, 5.9090606, 5.8498062, 5.8141432, 5.7204049", \
+					  "6.5551993, 6.4587764, 6.3489203, 6.2866888, 6.2316555, 6.1791743, 6.0584451");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8416960, -3.8033654, -3.7336811, -3.7330887, -3.7170935, -3.7242269, -3.7153575", \
+					  "-4.3039289, -4.2640719, -4.1898100, -4.1939245, -4.1841039, -4.1827494, -4.1836834", \
+					  "-4.7563550, -4.7165340, -4.6717085, -4.6455335, -4.6380590, -4.6321242, -4.6254287", \
+					  "-5.1744375, -5.1361068, -5.0557413, -5.0743247, -5.0493123, -5.0584352, -5.0557178", \
+					  "-5.6200961, -5.5649269, -5.5273397, -5.5229827, -5.5002746, -5.5119719, -5.4952729", \
+					  "-6.0034974, -5.9651663, -5.8893785, -5.8934863, -5.8867268, -5.8731626, -5.8817260", \
+					  "-6.3461820, -6.3078513, -6.2541067, -6.2475737, -6.2225413, -6.2299563, -6.2198328");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8203540, -3.7020226, -3.5903728, -3.5209917, -3.4937512, -3.3955047, -3.3749767", \
+					  "-4.2825865, -4.1655174, -4.0826970, -3.9786731, -3.9544578, -3.8363750, -3.8434977", \
+					  "-4.7350130, -4.5944468, -4.4892549, -4.4158141, -4.3717893, -4.3177934, -4.2635812", \
+					  "-5.1530955, -5.0142217, -4.9263400, -4.8583108, -4.8188633, -4.7480825, -4.6747212", \
+					  "-5.5987545, -5.4566624, -5.3182949, -5.2978663, -5.2492634, -4.7234129, -5.1271149", \
+					  "-5.9821554, -5.8432114, -5.7601650, -5.6614306, -5.6479232, -5.5818645, -5.5334761", \
+					  "-6.3248399, -6.2008209, -6.0875169, -6.0300553, -5.9725315, -5.9564484, -5.8475186");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539399, 0.0532378, 0.0525357, 0.0531757, 0.0537901, 0.0544300, 0.0550700");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427132, 0.0420615, 0.0414099, 0.0415931, 0.0417691, 0.0419524, 0.0421357");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026213;
+			capacitance : 0.026307;
+			fall_capacitance : 0.026401;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031052, -0.0033809, -0.0036566, -0.0037505, -0.0038407, -0.0039346, -0.0040286");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251117, 0.0259016, 0.0266915, 0.0265538, 0.0264216, 0.0262839, 0.0261462");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.033353;
+			capacitance : 0.033659;
+			rise_capacitance : 0.033965;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1286520, 0.2005985, 0.2725450, 0.3191181, 0.3638283, 0.4104014, 0.4569746");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1268428, 0.2069508, 0.2870589, 0.2912912, 0.2953542, 0.2995866, 0.3038189");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051376;
+			capacitance : 0.051909;
+			rise_capacitance : 0.052441;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0197277, -0.0199369, -0.0201462, -0.0201422, -0.0201384, -0.0201344, -0.0201304");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0201948, 0.0201180, 0.0200411, 0.0200702, 0.0200981, 0.0201272, 0.0201562");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_H";
+                                timing_type : non_seq_hold_falling;
+                                fall_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.021275;
+			capacitance : 0.021220;
+			fall_capacitance : 0.021166;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297947, 0.0309193, 0.0320438, 0.0345902, 0.0370348, 0.0395812, 0.0421275");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254148, 0.0261497, 0.0268847, 0.0294092, 0.0318327, 0.0343572, 0.0368817");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037513;
+			capacitance : 0.037005;
+			fall_capacitance : 0.036496;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8600054, -3.8076837, -3.7748797, -3.7712355, -3.7760388, -3.7723968, -3.7763825", \
+					  "-4.3222379, -4.2683907, -4.2371122, -4.2426238, -4.2397976, -4.2346293, -4.2370891", \
+					  "-4.7609314, -4.7055219, -4.6956423, -4.6843691, -4.6906978, -4.6870558, -4.6574719", \
+					  "-5.1912210, -5.1388993, -5.1046178, -5.1024515, -5.1072544, -5.1036124, -5.1091240", \
+					  "-5.6338282, -5.5707898, -5.5548061, -5.5603173, -5.5529134, -5.5492714, -5.5486790", \
+					  "-6.0187550, -5.9664333, -5.9321798, -5.9299851, -5.9408920, -5.9357241, -5.9275022", \
+					  "-6.3568619, -6.3045406, -6.2854692, -6.2757219, -6.2713698, -6.2738314, -6.2762908");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6103099, -2.5257771, -2.4916155, -2.4147293, -2.3554456, -2.3197601, -2.2736699", \
+					  "-3.0633875, -2.9803803, -2.9355375, -2.8662809, -2.8237818, -2.7713116, -2.7112101", \
+					  "-4.8036554, -3.4373843, -3.3955933, -3.3217588, -3.2762081, -3.2389968, -3.1871862", \
+					  "-3.9323404, -3.8508594, -3.7922840, -3.7413376, -4.8707133, -4.8112751, -4.7890991", \
+					  "-4.3764776, -4.2980479, -4.2382020, -4.1686896, -4.0941474, -4.0950827, -4.0349814", \
+					  "-4.7690254, -4.7179711, -4.6488051, -4.5795480, -4.5217902, -4.4967858, -4.3914127", \
+					  "-5.1071318, -5.0546427, -4.9777562, -4.9191808, -4.8568453, -4.8440480, -4.7839463");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2134316, 4.1290667, 4.1176247, 4.1002480, 4.0928441, 4.0937798, 4.0995379", \
+					  "4.6802422, 4.5928259, 4.5783322, 4.5609550, 4.5535511, 4.5575386, 4.5630502", \
+					  "5.0914684, 5.0269400, 5.0216016, 5.0103280, 4.9998728, 5.0084544, 5.0093714", \
+					  "5.5538794, 5.4878251, 5.4856016, 5.4681617, 5.4760168, 5.4662713, 5.4702565", \
+					  "5.9715440, 5.8919858, 5.8773971, 5.8629380, 5.8524823, 5.8549439, 5.8574037", \
+					  "6.3578843, 6.2704680, 6.2575001, 6.2401234, 6.2327195, 6.2367065, 6.2406922", \
+					  "6.7067936, 6.6193773, 6.6048836, 6.5875064, 6.5801025, 6.5840900, 6.5880752");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9555575, 3.8328778, 3.7315775, 3.6836989, 3.6137180, 3.5276786, 3.4859052", \
+					  "4.4193216, 4.2935898, 4.1983930, 4.1321882, 4.0729045, 3.9953874, 3.9393611", \
+					  "4.8579960, 4.7490494, 4.6361464, 4.5788034, 4.5076057, 4.4539131, 4.3974933", \
+					  "5.2867615, 5.1625555, 5.0612552, 5.0042058, 4.9418703, 4.8674218, 4.8081151", \
+					  "5.7319747, 5.5994501, 5.5023331, 5.4483349, 5.3718246, 5.3297125, 5.2581480", \
+					  "6.1158143, 5.9864782, 5.8887822, 5.8266021, 5.7520600, 5.6948675, 5.6385148", \
+					  "6.4493409, 6.3251349, 6.2268868, 6.1602512, 6.0922425, 6.0367207, 5.9888266");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1848558, 0.1836698, 0.1824838, 0.1855398, 0.1884736, 0.1915297, 0.1945858");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2171126, 0.2162310, 0.2153494, 0.2189722, 0.2224500, 0.2260727, 0.2296955");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009489;
+			capacitance : 0.009740;
+			rise_capacitance : 0.009991;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034472, 0.0177970, 0.0390412, 0.1384457, 0.2338740, 0.3332785, 0.4326830");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352183, 0.0508381, 0.0664579, 0.1405230, 0.2116254, 0.2856904, 0.3597554");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006706;
+			capacitance : 0.006861;
+			rise_capacitance : 0.007016;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4682536, 4.3963538, 4.3746804, 4.3536701, 4.3514932, 4.3571434, 4.3449575", \
+					  "4.9259088, 4.8515674, 4.8323352, 4.8097951, 4.8091485, 4.8132798, 4.7934575", \
+					  "5.3844385, 5.3110128, 5.2862877, 5.2636822, 5.2707299, 5.2657041, 5.2596166", \
+					  "5.7948915, 5.7245176, 5.7287839, 5.6909893, 5.6811820, 5.7196184, 5.7127941", \
+					  "6.2339963, 6.1605705, 6.1465768, 6.1070791, 6.1164600, 6.1213677, 6.1152774", \
+					  "6.6081294, 6.5551034, 6.5115045, 6.5057522, 6.4898432, 6.4939741, 6.5006549", \
+					  "6.9646682, 6.8977884, 6.8761146, 6.8470294, 6.8529274, 6.8585815, 6.8367945");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0227180, 3.9129072, 3.7788797, 3.7203041, 3.6961235, 3.6558526, 3.5698109", \
+					  "4.4803728, 4.3788598, 4.2488296, 4.1627002, 4.1553110, 4.0555664, 4.0228885", \
+					  "4.9358511, 4.8059550, 4.6981164, 4.6227562, 4.6062006, 4.5079503, 4.4523303", \
+					  "5.3524072, 5.2436338, 5.1357734, 5.0469724, 4.9987359, 4.9641796, 4.8796641", \
+					  "5.8011176, 5.6696961, 5.5889354, 5.4956731, 5.4398382, 5.4098382, 5.3386054", \
+					  "6.1814672, 6.0741090, 5.9376293, 5.8714241, 5.8533407, 5.7947654, 5.7129717", \
+					  "6.5241517, 6.4168753, 6.2803139, 6.2312353, 6.1619370, 6.1572865, 6.0361501");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9820757, -3.9330678, -3.8834204, -3.8911467, -3.8714180, -3.8701248, -3.8679350", \
+					  "-4.4427828, -4.3953003, -4.3456525, -4.3419297, -4.3321246, -4.3392838, -4.3303785", \
+					  "-4.8967348, -4.8492496, -4.7894286, -4.7928330, -4.7853265, -4.7917423, -4.7780164", \
+					  "-5.3148172, -5.2654536, -5.2251139, -5.2132087, -5.2026327, -5.1951654, -5.2006765", \
+					  "-5.7604763, -5.7129911, -5.6532127, -5.6580946, -5.6487634, -5.6347204, -5.6387061", \
+					  "-6.1438771, -6.0975031, -6.0467433, -6.0430307, -6.0317029, -6.0404101, -6.0266847", \
+					  "-6.4865621, -6.4388985, -6.3894340, -6.3826612, -6.3759049, -6.3653840, -6.3647920");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8234053, -2.9188573, -2.9286968, -2.7979283, -2.9016793, -3.3939788, -3.3766021", \
+					  "-3.6157770, -4.1742189, -4.0114034, -4.0275540, -3.9682210, -3.2236022, -3.8480083", \
+					  "-4.0712551, -3.7994525, -3.8686158, -3.6482972, -3.7777738, -3.6791447, -3.6656318", \
+					  "-5.1561468, -5.0354331, -4.8731612, -4.8781470, -4.8402257, -4.7454518, -3.9086309", \
+					  "-5.6002800, -4.6799788, -4.7325501, -4.6846342, -4.4618253, -4.5134591, -4.4020194", \
+					  "-5.9852067, -5.8881413, -5.7771225, -5.6843190, -4.8254909, -5.5818947, -4.7728419", \
+					  "-5.6595566, -6.2148910, -6.1377154, -6.0529437, -6.0012894, -5.9503431, -5.8475191");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426916, 0.0420072, 0.0413229, 0.0415570, 0.0417818, 0.0420160, 0.0422501");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539625, 0.0533799, 0.0527974, 0.0532987, 0.0537799, 0.0542811, 0.0547824");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.019009;
+			capacitance : 0.019065;
+			rise_capacitance : 0.019122;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1065826, 0.1071653, 0.1077480, 0.1102704, 0.1126919, 0.1152142, 0.1177366");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1075568, 0.1083965, 0.1092361, 0.1118367, 0.1143332, 0.1169338, 0.1195343");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005346;
+			capacitance : 0.005485;
+			rise_capacitance : 0.005625;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9805632, -3.9086207, -3.8765859, -3.8294210, -3.8264989, -3.8297096, -3.8282623", \
+					  "-4.4412702, -4.3693273, -4.3372925, -4.3114807, -4.2931700, -4.2937033, -4.2904948", \
+					  "-4.8952222, -4.8324893, -4.7773240, -4.7539534, -4.7411588, -4.7476607, -4.7231385", \
+					  "-5.3117788, -5.2523658, -5.2078006, -5.1606383, -5.1592412, -5.1616300, -5.1594779", \
+					  "-5.7574378, -5.6962308, -5.6441800, -5.6344842, -5.6049003, -5.6088158, -5.6127660", \
+					  "-6.1423646, -6.0719582, -6.0360967, -5.9912241, -5.9913565, -5.9907210, -5.9976927", \
+					  "-6.4850496, -6.4255660, -6.3810714, -6.3369529, -6.3325089, -6.3318148, -6.3281706");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.0375466, -3.0519948, -3.5856078, -2.9591364, -3.4830702, -3.3289799, -3.3535883", \
+					  "-4.2856072, -4.1286745, -4.0771113, -4.0503358, -3.9468288, -3.8199521, -3.7831415", \
+					  "-3.9405929, -3.9718257, -3.7670850, -3.9111787, -3.8889205, -4.2807919, -4.2247509", \
+					  "-5.2034956, -5.0409416, -4.9933033, -4.9440120, -4.8326740, -4.7913435, -4.7722645", \
+					  "-5.5814875, -4.8085817, -5.3882864, -5.3330253, -5.2431434, -5.1592519, -5.1293451", \
+					  "-5.9663019, -5.8198563, -5.7590139, -5.6915470, -5.5771696, -5.4745330, -5.5030147", \
+					  "-5.5324356, -6.1841694, -5.7158746, -6.0631826, -6.0266074, -5.8642195, -5.8024756");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4621594, 4.3886795, 4.3563245, 4.3337638, 4.3272784, 4.3270754, 4.3113769", \
+					  "4.9160017, 4.8470999, 4.8147444, 4.7906690, 4.7857019, 4.7854954, 4.7774263", \
+					  "5.3723921, 5.3004386, 5.2644798, 5.2553280, 5.2344713, 5.2329832, 5.2292391", \
+					  "5.7782617, 5.7078340, 5.6755030, 5.6540264, 5.6449137, 5.6462322, 5.6467491", \
+					  "6.2204498, 6.1430224, 6.1140411, 6.0905834, 6.0830996, 6.0853618, 6.0666155", \
+					  "6.6160644, 6.5467878, 6.5144328, 6.4812056, 6.4701314, 6.4714539, 6.4740624", \
+					  "6.9522457, 6.8787658, 6.8506998, 6.8357997, 6.8201434, 6.8156328, 6.8167217");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0440494, 3.9111468, 3.8063906, 3.7619048, 3.6655981, 3.5150616, 3.5682850", \
+					  "4.5017042, 4.3741471, 4.2685782, 4.1893647, 4.1232531, 4.0710731, 4.0320439", \
+					  "4.9556566, 4.8297236, 4.7149700, 4.6610594, 4.5741449, 4.4988105, 4.4377851", \
+					  "5.3767904, 5.2582035, 5.1758480, 5.1233100, 4.9927349, 4.9245068, 4.8796641", \
+					  "5.8178718, 5.6919387, 5.5923753, 5.5200669, 5.4191334, 5.3762690, 5.3491161", \
+					  "6.2027985, 6.0786392, 5.9651402, 5.8679091, 5.8243475, 5.7657735, 5.7112420", \
+					  "6.5358863, 6.4219135, 6.3108317, 6.2492041, 6.1624921, 6.0794665, 6.0143457");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0509372, 0.0501723, 0.0494073, 0.0496483, 0.0498796, 0.0501205, 0.0503615");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0611866, 0.0603654, 0.0595441, 0.0600483, 0.0605323, 0.0610364, 0.0615406");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004805;
+			capacitance : 0.004632;
+			fall_capacitance : 0.004458;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074599, -0.0073864, -0.0073129, -0.0073198, -0.0073264, -0.0073332, -0.0073401");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074050, 0.0073771, 0.0073492, 0.0073538, 0.0073583, 0.0073629, 0.0073675");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3538127, 4.8114679, 5.2684717, 5.6835024, 6.1306869, 6.5104733, 6.8487014", \
+					  "4.2773356, 4.7364568, 5.1904053, 5.6054453, 6.0526840, 6.4360848, 6.7706985", \
+					  "4.2519022, 4.7183193, 5.1652325, 5.5937990, 6.0274437, 6.4000994, 6.7548623", \
+					  "4.2361388, 4.6907507, 5.1462584, 5.6113947, 6.0069459, 6.3918087, 6.7249329", \
+					  "4.2320714, 4.6818658, 5.1418428, 5.5575867, 6.0032448, 6.3799799, 6.7378168", \
+					  "4.2396667, 4.6872584, 5.1497489, 5.5693559, 6.0003534, 6.3764219, 6.7411017", \
+					  "4.2443176, 4.6851197, 5.1590868, 5.5525769, 6.0043391, 6.3999471, 6.7273731");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8050738, -4.2657808, -4.7182069, -5.1362893, -5.5819484, -5.9668752, -6.3080343", \
+					  "-3.6802005, -4.1454841, -4.5974795, -5.0156201, -5.4612210, -5.8415732, -6.1784237", \
+					  "-3.6331790, -4.1030296, -4.5483663, -4.9702919, -5.4195592, -5.8008777, -6.1468166", \
+					  "-3.6355620, -4.0924226, -4.5409362, -4.9576234, -5.4046778, -5.7881515, -6.1339411", \
+					  "-3.6516918, -4.1078227, -4.5648265, -4.9864350, -5.4259599, -5.8134943, -6.1447218", \
+					  "-3.6473550, -4.1125594, -4.5536066, -4.9800161, -5.4088904, -5.7953431, -6.1548128", \
+					  "-3.6115874, -4.0722942, -4.5216686, -4.9382251, -5.3976172, -5.7871216, -6.1252289");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8600054, -4.3222379, -4.7609314, -5.1912210, -5.6338282, -6.0187550, -6.3568619", \
+					  "-3.8076837, -4.2683907, -4.7055219, -5.1388993, -5.5707898, -5.9664333, -6.3045406", \
+					  "-3.7748797, -4.2371122, -4.6956423, -5.1046178, -5.5548061, -5.9321798, -6.2854692", \
+					  "-3.7712355, -4.2426238, -4.6843691, -5.1024515, -5.5603173, -5.9299851, -6.2757219", \
+					  "-3.7760388, -4.2397976, -4.6906978, -5.1072544, -5.5529134, -5.9408920, -6.2713698", \
+					  "-3.7723968, -4.2346293, -4.6870558, -5.1036124, -5.5492714, -5.9357241, -6.2738314", \
+					  "-3.7763825, -4.2370891, -4.6574719, -5.1091240, -5.5486790, -5.9275022, -6.2762908");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2134316, 4.6802422, 5.0914684, 5.5538794, 5.9715440, 6.3578843, 6.7067936", \
+					  "4.1290667, 4.5928259, 5.0269400, 5.4878251, 5.8919858, 6.2704680, 6.6193773", \
+					  "4.1176247, 4.5783322, 5.0216016, 5.4856016, 5.8773971, 6.2575001, 6.6048836", \
+					  "4.1002480, 4.5609550, 5.0103280, 5.4681617, 5.8629380, 6.2401234, 6.5875064", \
+					  "4.0928441, 4.5535511, 4.9998728, 5.4760168, 5.8524823, 6.2327195, 6.5801025", \
+					  "4.0937798, 4.5575386, 5.0084544, 5.4662713, 5.8549439, 6.2367065, 6.5840900", \
+					  "4.0995379, 4.5630502, 5.0093714, 5.4702565, 5.8574037, 6.2406922, 6.5880752");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4682536, 4.9259088, 5.3844385, 5.7948915, 6.2339963, 6.6081294, 6.9646682", \
+					  "4.3963538, 4.8515674, 5.3110128, 5.7245176, 6.1605705, 6.5551034, 6.8977884", \
+					  "4.3746804, 4.8323352, 5.2862877, 5.7287839, 6.1465768, 6.5115045, 6.8761146", \
+					  "4.3536701, 4.8097951, 5.2636822, 5.6909893, 6.1070791, 6.5057522, 6.8470294", \
+					  "4.3514932, 4.8091485, 5.2707299, 5.6811820, 6.1164600, 6.4898432, 6.8529274", \
+					  "4.3571434, 4.8132798, 5.2657041, 5.7196184, 6.1213677, 6.4939741, 6.8585815", \
+					  "4.3449575, 4.7934575, 5.2596166, 5.7127941, 6.1152774, 6.5006549, 6.8367945");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9820757, -4.4427828, -4.8967348, -5.3148172, -5.7604763, -6.1438771, -6.4865621", \
+					  "-3.9330678, -4.3953003, -4.8492496, -5.2654536, -5.7129911, -6.0975031, -6.4388985", \
+					  "-3.8834204, -4.3456525, -4.7894286, -5.2251139, -5.6532127, -6.0467433, -6.3894340", \
+					  "-3.8911467, -4.3419297, -4.7928330, -5.2132087, -5.6580946, -6.0430307, -6.3826612", \
+					  "-3.8714180, -4.3321246, -4.7853265, -5.2026327, -5.6487634, -6.0317029, -6.3759049", \
+					  "-3.8701248, -4.3392838, -4.7917423, -5.1951654, -5.6347204, -6.0404101, -6.3653840", \
+					  "-3.8679350, -4.3303785, -4.7780164, -5.2006765, -5.6387061, -6.0266847, -6.3647920");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9805632, -4.4412702, -4.8952222, -5.3117788, -5.7574378, -6.1423646, -6.4850496", \
+					  "-3.9086207, -4.3693273, -4.8324893, -5.2523658, -5.6962308, -6.0719582, -6.4255660", \
+					  "-3.8765859, -4.3372925, -4.7773240, -5.2078006, -5.6441800, -6.0360967, -6.3810714", \
+					  "-3.8294210, -4.3114807, -4.7539534, -5.1606383, -5.6344842, -5.9912241, -6.3369529", \
+					  "-3.8264989, -4.2931700, -4.7411588, -5.1592412, -5.6049003, -5.9913565, -6.3325089", \
+					  "-3.8297096, -4.2937033, -4.7476607, -5.1616300, -5.6088158, -5.9907210, -6.3318148", \
+					  "-3.8282623, -4.2904948, -4.7231385, -5.1594779, -5.6127660, -5.9976927, -6.3281706");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4621594, 4.9160017, 5.3723921, 5.7782617, 6.2204498, 6.6160644, 6.9522457", \
+					  "4.3886795, 4.8470999, 5.3004386, 5.7078340, 6.1430224, 6.5467878, 6.8787658", \
+					  "4.3563245, 4.8147444, 5.2644798, 5.6755030, 6.1140411, 6.5144328, 6.8506998", \
+					  "4.3337638, 4.7906690, 5.2553280, 5.6540264, 6.0905834, 6.4812056, 6.8357997", \
+					  "4.3272784, 4.7857019, 5.2344713, 5.6449137, 6.0830996, 6.4701314, 6.8201434", \
+					  "4.3270754, 4.7854954, 5.2329832, 5.6462322, 6.0853618, 6.4714539, 6.8156328", \
+					  "4.3113769, 4.7774263, 5.2292391, 5.6467491, 6.0666155, 6.4740624, 6.8167217");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8966556, -4.3573622, -4.8195383, -5.2783029, -5.6547688, -6.0380573, -6.3915444", \
+					  "-3.8123675, -4.2746000, -4.7306725, -5.1909629, -5.5739264, -5.9552951, -6.3087821", \
+					  "-3.7352070, -4.1898100, -4.6593868, -5.1288326, -5.4933198, -5.8689793, -6.2407770", \
+					  "-3.7590288, -4.2257586, -4.6757027, -5.1377686, -5.5333908, -5.9034037, -6.2540135", \
+					  "-3.7246328, -4.1805476, -4.6259376, -5.0984352, -5.4749216, -5.8612428, -6.2205736", \
+					  "-3.7205170, -4.1949113, -4.6282242, -5.1158559, -5.4725266, -5.8649705, -6.2169316", \
+					  "-3.7260281, -4.1852092, -4.6260224, -5.0786839, -5.4795636, -5.8689562, -6.2148137");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3599451, 4.8176004, 5.2730782, 5.6896348, 6.1352934, 6.5217465, 6.8548339", \
+					  "4.2863382, 4.7439930, 5.1995033, 5.6148915, 6.0616865, 6.4262140, 6.7781752", \
+					  "4.2569386, 4.7080311, 5.1693847, 5.5875183, 6.0264698, 6.4177697, 6.7406874", \
+					  "4.2284219, 4.6830604, 5.1353591, 5.5552348, 5.9990797, 6.3871711, 6.7172128", \
+					  "4.2181044, 4.6574565, 5.1356091, 5.5447760, 5.9874708, 6.3777883, 6.7113291", \
+					  "4.2082624, 4.6674014, 5.1228797, 5.5364205, 5.9805172, 6.3670063, 6.7084452", \
+					  "4.2045765, 4.6714137, 5.1161837, 5.5419916, 5.9768855, 6.3612371, 6.7055688");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9149694, -4.3772019, -4.8143695, -5.2461850, -5.6933700, -6.0752449, -6.4133518", \
+					  "-3.8552909, -4.3175238, -4.7623205, -5.1880324, -5.6290050, -6.0155664, -6.3536733", \
+					  "-3.8315104, -4.2882015, -4.7431101, -5.1642883, -5.6084281, -5.9932963, -6.3298950", \
+					  "-3.8185381, -4.2822965, -4.7428099, -5.1497537, -5.6018885, -5.9772873, -6.3184464", \
+					  "-3.8263927, -4.2901515, -4.7257933, -5.1591342, -5.6047933, -5.9912459, -6.3263014", \
+					  "-3.8258025, -4.2880354, -4.7313062, -5.1570181, -5.5980995, -5.9876039, -6.3274037", \
+					  "-3.8267364, -4.2874430, -4.7047741, -5.1594779, -5.6066624, -5.9931151, -6.3266447");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2348261, 4.7001108, 5.1342266, 5.5675676, 6.0376404, 6.3975903, 6.7419220", \
+					  "4.1644664, 4.6282252, 5.0623410, 5.5063627, 5.9317474, 6.3058685, 6.6628492", \
+					  "4.1514661, 4.6121256, 5.0583891, 5.4994301, 5.9115688, 6.2897836, 6.6483035", \
+					  "4.1383948, 4.5991018, 5.0456895, 5.5078349, 5.9071892, 6.2797965, 6.6241286", \
+					  "4.1355686, 4.5962756, 5.0425982, 5.4652583, 5.9078656, 6.2754444, 6.6228283", \
+					  "4.1319266, 4.5956850, 5.0420080, 5.4646681, 5.8930916, 6.2748542, 6.6222377", \
+					  "4.1404896, 4.5935671, 5.0374325, 5.5068002, 5.8990531, 6.2727364, 6.6277493");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9906441, 4.4482993, 4.9037772, 5.3203338, 5.7594385, 6.1289940, 6.4920783", \
+					  "3.9347257, 4.3778450, 4.8517193, 5.2678247, 5.7154604, 6.0570192, 6.4120276", \
+					  "3.8889028, 4.3465616, 4.7948963, 5.2245362, 5.6490313, 6.0410004, 6.3807429", \
+					  "3.8852018, 4.3443607, 4.7967957, 5.2254697, 5.6589887, 6.0357437, 6.3785531", \
+					  "3.8792923, 4.3365296, 4.7902343, 5.2081755, 5.6476786, 6.0187529, 6.3722514", \
+					  "3.8725275, 4.3301823, 4.7826088, 5.2006908, 5.6245367, 6.0108774, 6.3674162", \
+					  "3.8750423, 4.3326416, 4.7820164, 5.2092542, 5.6376777, 6.0102850, 6.3622461");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8294787, -4.2901853, -4.7441377, -5.1622202, -5.6063533, -5.9912801, -5.7190356", \
+					  "-3.0953526, -4.2304979, -4.6779240, -5.1010065, -5.5466655, -5.9315923, -5.6134943", \
+					  "-3.7275775, -4.1898100, -3.9440342, -5.0648967, -4.8185253, -5.8954821, -6.2434122", \
+					  "-3.7025809, -4.1603109, -4.6021371, -5.0438056, -5.4796049, -5.8446729, -5.6363787", \
+					  "-3.0375135, -4.1749748, -4.6278019, -5.0447497, -5.4900051, -5.8749443, -6.2165239", \
+					  "-3.7197667, -4.1599406, -4.6269159, -5.0509496, -5.4821327, -5.8655336, -6.2158787", \
+					  "-3.6971998, -4.1487353, -4.5933850, -5.0192354, -5.4709705, -5.8574285, -6.2091627");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3767019, 4.8343572, 5.2898351, 5.7063916, 6.1535761, 6.5385029, 6.8811879", \
+					  "4.3017499, 4.7594051, 5.2148835, 5.6310119, 6.0770986, 6.4604995, 6.8031841", \
+					  "4.2747906, 4.7324454, 5.1866030, 5.6014280, 6.0488159, 6.4304875, 6.7731725", \
+					  "4.2558875, 4.7137328, 5.1660976, 5.5872301, 6.0298378, 6.4147232, 6.7557957", \
+					  "4.2531497, 4.7099576, 5.1632243, 5.5819925, 6.0296548, 6.4127519, 6.7537369", \
+					  "4.2625262, 4.7101263, 5.1726102, 5.5906891, 6.0247676, 6.4212744, 6.7639621", \
+					  "4.2595081, 4.7144735, 5.1803675, 5.5754648, 6.0313543, 6.4075765, 6.7441579");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8416960, -4.3039289, -4.7563550, -5.1744375, -5.6200961, -6.0034974, -6.3461820", \
+					  "-3.8033654, -4.2640719, -4.7165340, -5.1361068, -5.5649269, -5.9651663, -6.3078513", \
+					  "-3.7336811, -4.1898100, -4.6717085, -5.0557413, -5.5273397, -5.8893785, -6.2541067", \
+					  "-3.7330887, -4.1939245, -4.6455335, -5.0743247, -5.5229827, -5.8934863, -6.2475737", \
+					  "-3.7170935, -4.1841039, -4.6380590, -5.0493123, -5.5002746, -5.8867268, -6.2225413", \
+					  "-3.7242269, -4.1827494, -4.6321242, -5.0584352, -5.5119719, -5.8731626, -6.2299563", \
+					  "-3.7153575, -4.1836834, -4.6254287, -5.0557178, -5.4952729, -5.8817260, -6.2198328");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2568186, 0.7022666, 1.1531671, 1.5743012, 2.0001234, 2.3753322, 2.7379746", \
+					  "0.1817375, 0.6291293, 1.0806373, 1.5113516, 1.9262530, 2.3034382, 2.6645546", \
+					  "0.1504900, 0.6028123, 1.0515674, 1.4894034, 1.8959184, 2.2734310, 2.6273182", \
+					  "0.1359789, 0.5957729, 1.0345372, 1.4673077, 1.8578604, 2.2621576, 2.6152094", \
+					  "0.1285750, 0.5836653, 1.0517309, 1.4698682, 1.8439992, 2.1894291, 2.6112926", \
+					  "0.1310365, 0.5791252, 1.0518348, 1.4733675, 1.8601936, 2.2175455, 2.5496765", \
+					  "0.1380737, 0.5809298, 1.0505044, 1.4888329, 1.8738829, 2.2352607, 2.5811183");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1439512, -0.2942910, -0.7162913, -1.1454195, -1.5386930, -1.9238759, -2.2908499", \
+					  "0.2175188, -0.2350171, -0.6745026, -1.0847881, -1.4505606, -1.8205245, -2.2271422", \
+					  "0.2427951, -0.2046445, -0.6456243, -1.0521095, -1.4394050, -1.8368976, -2.1453872", \
+					  "0.2692006, -0.1793064, -0.6370793, -1.0533884, -1.4400702, -1.8097952, -2.1387438", \
+					  "0.2624465, -0.1890184, -0.6465292, -1.0576233, -1.4415646, -1.8199098, -2.1722763", \
+					  "0.2666363, -0.1777469, -0.6381610, -1.0627746, -1.4521546, -1.8203559, -2.1761539", \
+					  "0.2641808, -0.1808415, -0.6371984, -1.0620699, -1.4623500, -1.8316350, -2.1750570");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9790186, -4.4397252, -4.8936776, -5.3102342, -5.7558933, -6.1408200, -6.4835050", \
+					  "-3.9024648, -4.3631700, -4.8370407, -5.2546977, -5.7007818, -6.0642755, -6.4279681", \
+					  "-3.8818741, -4.3441057, -4.7878703, -5.2174844, -5.6470994, -6.0436693, -6.3878882", \
+					  "-3.8629062, -4.3235954, -4.7760300, -5.1956494, -5.6396631, -6.0247076, -6.3673850", \
+					  "-3.8622760, -4.3229710, -4.7758927, -5.1934934, -5.6411313, -6.0260492, -6.3667589", \
+					  "-3.8664460, -4.3139751, -4.7664017, -5.1814324, -5.6317259, -6.0150695, -6.3623846", \
+					  "-3.8558514, -4.3196093, -4.7658093, -5.1900064, -5.6249731, -6.0114258, -6.3571625");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.4041613, 4.8633420, 5.3209404, 5.7338510, 6.1825614, 6.5440371, 6.9101727", \
+					  "4.3322655, 4.7914462, 5.2453986, 5.6635947, 6.0903782, 6.4910147, 6.8336992", \
+					  "4.3090547, 4.7614373, 5.2155793, 5.6372363, 6.0651207, 6.4611505, 6.8089888", \
+					  "4.2864981, 4.7457059, 5.1935539, 5.6620284, 6.0370018, 6.4464530, 6.7878394", \
+					  "4.2843576, 4.7420142, 5.1922265, 5.6125267, 6.0574366, 6.4431063, 6.7761959", \
+					  "4.2799371, 4.7375919, 5.1900185, 5.6081005, 6.0552854, 6.4182871, 6.7813713", \
+					  "4.2854483, 4.7400513, 5.2109941, 5.6105603, 6.0252508, 6.4426716, 6.7762012");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024294;
+			capacitance : 0.025021;
+			rise_capacitance : 0.025274;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9149694, -3.8552909, -3.8315104, -3.8185381, -3.8263927, -3.8258025, -3.8267364", \
+					  "-4.3772019, -4.3175238, -4.2882015, -4.2822965, -4.2901515, -4.2880354, -4.2874430", \
+					  "-4.8143695, -4.7623205, -4.7431101, -4.7428099, -4.7257933, -4.7313062, -4.7047741", \
+					  "-5.2461850, -5.1880324, -5.1642883, -5.1497537, -5.1591342, -5.1570181, -5.1594779", \
+					  "-5.6933700, -5.6290050, -5.6084281, -5.6018885, -5.6047933, -5.5980995, -5.6066624", \
+					  "-6.0752449, -6.0155664, -5.9932963, -5.9772873, -5.9912459, -5.9876039, -5.9931151", \
+					  "-6.4133518, -6.3536733, -6.3298950, -6.3184464, -6.3263014, -6.3274037, -6.3266447");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6118471, -2.4738602, -2.4778827, -2.4793336, -2.3890149, -2.2388886, -2.2165991", \
+					  "-3.0710281, -2.9360929, -2.9370637, -2.8525479, -2.8329370, -2.7667339, -2.6349671", \
+					  "-4.8082446, -3.3930969, -3.3910159, -3.3034486, -3.2853635, -3.2206863, -3.1222839", \
+					  "-3.9445884, -3.8081273, -3.8136758, -3.7036276, -4.9319959, -4.7984365, -4.8653838", \
+					  "-4.3669083, -4.2350938, -4.1813887, -4.2323515, -4.1394987, -4.1016570, -4.0376082", \
+					  "-4.7563009, -4.6380386, -4.5796115, -4.5210997, -4.5227872, -4.5115158, -4.3995347", \
+					  "-5.0685888, -4.9779045, -4.9804007, -4.8638420, -4.8854296, -4.8299078, -4.7789614");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2348261, 4.1644664, 4.1514661, 4.1383948, 4.1355686, 4.1319266, 4.1404896", \
+					  "4.7001108, 4.6282252, 4.6121256, 4.5991018, 4.5962756, 4.5956850, 4.5935671", \
+					  "5.1342266, 5.0623410, 5.0583891, 5.0456895, 5.0425982, 5.0420080, 5.0374325", \
+					  "5.5675676, 5.5063627, 5.4994301, 5.5078349, 5.4652583, 5.4646681, 5.5068002", \
+					  "6.0376404, 5.9317474, 5.9115688, 5.9071892, 5.9078656, 5.8930916, 5.8990531", \
+					  "6.3975903, 6.3058685, 6.2897836, 6.2797965, 6.2754444, 6.2748542, 6.2727364", \
+					  "6.7419220, 6.6628492, 6.6483035, 6.6241286, 6.6228283, 6.6222377, 6.6277493");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9693016, 3.8648838, 3.7483623, 3.7050452, 3.6505002, 3.5206456, 3.4937431", \
+					  "4.4330604, 4.3255908, 4.2147524, 4.1489672, 4.1188748, 4.0015833, 3.9749824", \
+					  "4.8641245, 4.7703875, 4.6548332, 4.6020639, 4.5186919, 4.4471038, 4.4118218", \
+					  "5.3250091, 5.2199407, 5.1024658, 5.0545713, 4.9739251, 4.9351881, 4.9163631", \
+					  "5.7426737, 5.6373078, 5.5344825, 5.4811123, 5.4104288, 5.3070644, 5.2827230", \
+					  "6.1168069, 6.0236330, 5.9071115, 5.8296624, 5.8031262, 5.6790162, 5.6803578", \
+					  "6.4596131, 6.3506176, 6.2432511, 6.1846753, 6.0986032, 6.0825188, 5.9807766");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006947;
+			capacitance : 0.006793;
+			fall_capacitance : 0.006638;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9906441, 3.9347257, 3.8889028, 3.8852018, 3.8792923, 3.8725275, 3.8750423", \
+					  "4.4482993, 4.3778450, 4.3465616, 4.3443607, 4.3365296, 4.3301823, 4.3326416", \
+					  "4.9037772, 4.8517193, 4.7948963, 4.7967957, 4.7902343, 4.7826088, 4.7820164", \
+					  "5.3203338, 5.2678247, 5.2245362, 5.2254697, 5.2081755, 5.2006908, 5.2092542", \
+					  "5.7594385, 5.7154604, 5.6490313, 5.6589887, 5.6476786, 5.6245367, 5.6376777", \
+					  "6.1289940, 6.0570192, 6.0410004, 6.0357437, 6.0187529, 6.0108774, 6.0102850", \
+					  "6.4920783, 6.4120276, 6.3807429, 6.3785531, 6.3722514, 6.3674162, 6.3622461");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5094691, 4.3932349, 4.2915749, 4.2467321, 4.1668232, 4.1174706, 4.0328743", \
+					  "4.9671243, 4.8508902, 4.7477043, 4.7028597, 4.6245384, 4.5844020, 4.5180955", \
+					  "5.4287058, 5.3048422, 5.2114578, 5.1476586, 5.0694043, 5.0458183, 4.9277878", \
+					  "5.8345811, 5.7229246, 5.6166869, 5.5454888, 5.4953356, 5.4459058, 5.3844727", \
+					  "6.2909209, 6.1670574, 6.0812504, 6.0129251, 5.9390686, 5.9142258, 5.8327710", \
+					  "6.6676556, 6.5519841, 6.4253476, 6.4163864, 6.3186898, 6.3065156, 6.1784340", \
+					  "7.0074100, 6.8850723, 6.7818864, 6.7371764, 6.6481250, 6.6109596, 6.5469615");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8294787, -3.0953526, -3.7275775, -3.7025809, -3.0375135, -3.7197667, -3.6971998", \
+					  "-4.2901853, -4.2304979, -4.1898100, -4.1603109, -4.1749748, -4.1599406, -4.1487353", \
+					  "-4.7441377, -4.6779240, -3.9440342, -4.6021371, -4.6278019, -4.6269159, -4.5933850", \
+					  "-5.1622202, -5.1010065, -5.0648967, -5.0438056, -5.0447497, -5.0509496, -5.0192354", \
+					  "-5.6063533, -5.5466655, -4.8185253, -5.4796049, -5.4900051, -5.4821327, -5.4709705", \
+					  "-5.9912801, -5.9315923, -5.8954821, -5.8446729, -5.8749443, -5.8655336, -5.8574285", \
+					  "-5.7190356, -5.6134943, -6.2434122, -5.6363787, -6.2165239, -6.2158787, -6.2091627");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-4.0126104, -3.8719620, -3.8069230, -3.7025955, -3.6602840, -3.5938689, -3.5332330", \
+					  "-4.4748429, -4.3326691, -4.2429488, -4.1525967, -4.1407901, -4.0589773, -3.9990519", \
+					  "-4.9287953, -4.7850952, -4.6834352, -4.6187562, -4.5916455, -4.4978467, -4.4483907", \
+					  "-5.3453515, -5.2031776, -5.1228796, -5.0476616, -4.9932300, -4.9250845, -4.8817676", \
+					  "-5.7910105, -5.6489566, -5.5838052, -5.4909279, -5.4370634, -5.3520665, -5.3498701", \
+					  "-6.1744114, -6.0337634, -5.9733296, -5.8689499, -5.8403093, -5.7490827, -5.6906184", \
+					  "-6.5186223, -6.3764480, -6.2720924, -6.2340974, -6.1416700, -6.0876737, -6.0425986");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0424253, 0.0417037, 0.0409820, 0.0414218, 0.0418440, 0.0422837, 0.0427235");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0542180, 0.0534582, 0.0526984, 0.0533321, 0.0539406, 0.0545743, 0.0552081");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014586;
+			capacitance : 0.015028;
+			rise_capacitance : 0.015471;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0113445, -0.0010957, 0.0091531, 0.0211122, 0.0325930, 0.0445522, 0.0565114");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0438921, 0.1022934, 0.1606947, 0.4355468, 0.6994050, 0.9742571, 1.2491093");
+				}
+			}
+                        timing() { /* check skew between enable_vddio and enable_h */
+                                related_pin : "ENABLE_VDDIO";
+                                timing_type : non_seq_hold_rising;
+                                rise_constraint (scalar) {
+                                         values ("-100.0");
+                                }
+                        }
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.032036;
+			capacitance : 0.032091;
+			fall_capacitance : 0.032145;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0530625, 0.0778380, 0.1026134, 0.1025273, 0.1024445, 0.1023583, 0.1022722");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044631, -0.0042985, -0.0041338, -0.0042574, -0.0043761, -0.0044997, -0.0046233");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007169;
+			capacitance : 0.007015;
+			fall_capacitance : 0.006861;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2568186, 0.1817375, 0.1504900, 0.1359789, 0.1285750, 0.1310365, 0.1380737", \
+					  "0.7022666, 0.6291293, 0.6028123, 0.5957729, 0.5836653, 0.5791252, 0.5809298", \
+					  "1.1531671, 1.0806373, 1.0515674, 1.0345372, 1.0517309, 1.0518348, 1.0505044", \
+					  "1.5743012, 1.5113516, 1.4894034, 1.4673077, 1.4698682, 1.4733675, 1.4888329", \
+					  "2.0001234, 1.9262530, 1.8959184, 1.8578604, 1.8439992, 1.8601936, 1.8738829", \
+					  "2.3753322, 2.3034382, 2.2734310, 2.2621576, 2.1894291, 2.2175455, 2.2352607", \
+					  "2.7379746, 2.6645546, 2.6273182, 2.6152094, 2.6112926, 2.5496765, 2.5811183");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0285622, -0.1451243, -0.2323169, -0.3047322, -0.3855819, -0.4381163, -0.5134766", \
+					  "0.4180793, 0.2978938, 0.2267273, 0.1417928, 0.0633367, 0.0001585, -0.0727861", \
+					  "0.8577668, 0.7410635, 0.6714453, 0.5845471, 0.5298557, 0.4963573, 0.4111301", \
+					  "1.2890385, 1.1639162, 1.0955854, 1.0191982, 0.9519821, 0.9101735, 0.8666113", \
+					  "1.6836530, 1.5424257, 1.4708519, 1.4088982, 1.3410092, 1.2926440, 1.2554571", \
+					  "2.0747602, 1.9526978, 1.8294001, 1.7695449, 1.7283705, 1.6733343, 1.6287359", \
+					  "2.3856182, 2.3282086, 2.2378189, 2.1151563, 2.0627160, 2.0305518, 1.9336786");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1439512, 0.2175188, 0.2427951, 0.2692006, 0.2624465, 0.2666363, 0.2641808", \
+					  "-0.2942910, -0.2350171, -0.2046445, -0.1793064, -0.1890184, -0.1777469, -0.1808415", \
+					  "-0.7162913, -0.6745026, -0.6456243, -0.6370793, -0.6465292, -0.6381610, -0.6371984", \
+					  "-1.1454195, -1.0847881, -1.0521095, -1.0533884, -1.0576233, -1.0627746, -1.0620699", \
+					  "-1.5386930, -1.4505606, -1.4394050, -1.4400702, -1.4415646, -1.4521546, -1.4623500", \
+					  "-1.9238759, -1.8205245, -1.8368976, -1.8097952, -1.8199098, -1.8203559, -1.8316350", \
+					  "-2.2908499, -2.2271422, -2.1453872, -2.1387438, -2.1722763, -2.1761539, -2.1750570");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1439389, 0.9314165, 0.3557096, 0.4185923, 0.4992748, 0.9088988, 0.6059776", \
+					  "-0.2866887, -0.1833837, -0.0988192, -0.0209238, 0.0507343, 0.1075773, 0.1764911", \
+					  "-0.7177991, -0.6184059, 0.0493799, 0.0008175, -0.0116151, -0.3217554, -0.2714614", \
+					  "-1.1370803, -1.0408455, -0.9242452, -0.8757172, -0.2560625, -0.2198276, -0.7448601", \
+					  "-0.8554504, -0.8567639, -0.7039132, -1.2997224, -0.6241081, -1.2286237, -1.1566904", \
+					  "-1.9281183, -1.8069249, -1.6662158, -1.6552645, -1.5868164, -0.8626794, -1.4928632", \
+					  "-1.6177110, -2.1901167, -2.0135864, -1.9954047, -1.9453776, -1.9136681, -1.8836687");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0424762, 0.0417193, 0.0409625, 0.0413983, 0.0418167, 0.0422525, 0.0426883");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538735, 0.0531228, 0.0523722, 0.0529055, 0.0534175, 0.0539508, 0.0544841");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.015443;
+			capacitance : 0.015366;
+			fall_capacitance : 0.015288;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9790186, -3.9024648, -3.8818741, -3.8629062, -3.8622760, -3.8664460, -3.8558514", \
+					  "-4.4397252, -4.3631700, -4.3441057, -4.3235954, -4.3229710, -4.3139751, -4.3196093", \
+					  "-4.8936776, -4.8370407, -4.7878703, -4.7760300, -4.7758927, -4.7664017, -4.7658093", \
+					  "-5.3102342, -5.2546977, -5.2174844, -5.1956494, -5.1934934, -5.1814324, -5.1900064", \
+					  "-5.7558933, -5.7007818, -5.6470994, -5.6396631, -5.6411313, -5.6317259, -5.6249731", \
+					  "-6.1408200, -6.0642755, -6.0436693, -6.0247076, -6.0260492, -6.0150695, -6.0114258", \
+					  "-6.4835050, -6.4279681, -6.3878882, -6.3673850, -6.3667589, -6.3623846, -6.3571625");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7959371, -3.7104259, -3.6352862, -3.0536315, -3.5151457, -3.3939788, -3.3857572", \
+					  "-4.2566437, -4.1741936, -4.0650081, -4.0390302, -3.9575096, -3.8409526, -3.8465024", \
+					  "-4.7090702, -3.9653242, -4.5995374, -3.9418097, -4.4069141, -4.2918533, -4.2758776", \
+					  "-5.1271527, -5.0460398, -4.9573516, -4.8827246, -4.7901795, -4.7457084, -4.7076016", \
+					  "-5.5728113, -5.4916984, -5.4252467, -5.3054953, -5.2660482, -4.7204349, -5.1287918", \
+					  "-5.9577385, -5.8981639, -5.8039695, -5.6888962, -5.6753888, -5.6157325, -5.5383793", \
+					  "-6.2908258, -6.2099119, -6.0880014, -6.0570790, -6.0087266, -5.9551046, -5.8440250");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.4041613, 4.3322655, 4.3090547, 4.2864981, 4.2843576, 4.2799371, 4.2854483", \
+					  "4.8633420, 4.7914462, 4.7614373, 4.7457059, 4.7420142, 4.7375919, 4.7400513", \
+					  "5.3209404, 5.2453986, 5.2155793, 5.1935539, 5.1922265, 5.1900185, 5.2109941", \
+					  "5.7338510, 5.6635947, 5.6372363, 5.6620284, 5.6125267, 5.6081005, 5.6105603", \
+					  "6.1825614, 6.0903782, 6.0651207, 6.0370018, 6.0574366, 6.0552854, 6.0252508", \
+					  "6.5440371, 6.4910147, 6.4611505, 6.4464530, 6.4431063, 6.4182871, 6.4426716", \
+					  "6.9101727, 6.8336992, 6.8089888, 6.7878394, 6.7761959, 6.7813713, 6.7762012");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0547577, 3.9063946, 3.8521873, 3.7553940, 3.7176644, 3.6253296, 3.5713315", \
+					  "4.5128606, 4.3644975, 4.2808826, 4.2165487, 4.1742426, 4.1002169, 4.0187532", \
+					  "4.9669778, 4.8170888, 4.7563502, 4.6819480, 4.6237670, 4.5558604, 4.4804998", \
+					  "5.3759755, 5.2812628, 5.1701535, 5.0903449, 5.0317966, 4.9312885, 4.8879716", \
+					  "5.8072398, 5.6854208, 5.6122674, 5.4788843, 5.4615675, 5.4300940, 5.3268654", \
+					  "6.1984429, 6.0720573, 5.9587000, 5.8838204, 5.8613694, 5.7792067, 5.6921621", \
+					  "6.5516111, 6.4074335, 6.3440003, 6.2446184, 6.1824166, 6.1493555, 6.0529260");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540145, 0.0533117, 0.0526089, 0.0531044, 0.0535800, 0.0540755, 0.0545710");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0428121, 0.0419772, 0.0411424, 0.0414742, 0.0417927, 0.0421245, 0.0424563");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.000440;
+			max_transition : 1.500741;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.6419759, 0.6497711, 0.6599659, 0.6804202, 0.7066883, 0.7677881, 1.0226387", \
+					  "-0.3634815, -0.3636954, -0.3619476, -0.3606562, -0.3644616, -0.3975540, -0.1538892", \
+					  "0.1053215, 0.1062515, 0.1046037, 0.1077957, 0.1105055, 0.1107330, -0.0072305", \
+					  "0.2330689, 0.2343524, 0.2220105, 0.2318283, 0.2360038, 0.2417388, 0.2372446", \
+					  "0.3536070, 0.3540610, 0.3397607, 0.3424398, 0.3536723, 0.3583499, 0.3595299", \
+					  "0.4635557, 0.4631283, 0.4540667, 0.4554677, 0.4639949, 0.4713035, 0.4720947", \
+					  "0.5672837, 0.5662948, 0.5520194, 0.5560060, 0.5666289, 0.5738866, 0.5756807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.7453346, 0.7585771, 0.7851064, 0.8244712, 0.8696048, 0.9174372, 1.0267937", \
+					  "2.1067438, 2.1079076, 2.1101341, 2.1124181, 2.1151997, 2.1352954, 2.3072473", \
+					  "2.3123890, 2.3143351, 2.3150605, 2.3176391, 2.3204123, 2.3221639, 2.3230111", \
+					  "2.3770231, 2.3780546, 2.3798485, 2.3829905, 2.3853716, 2.3868991, 2.3878696", \
+					  "2.3826355, 2.3829129, 2.3848948, 2.3877066, 2.3904158, 2.3924361, 2.3922420", \
+					  "2.3867872, 2.3869570, 2.3886819, 2.3922075, 2.3949169, 2.3965057, 2.3971322", \
+					  "2.3883122, 2.3911255, 2.3917524, 2.3948495, 2.4046223, 2.3987459, 2.3998440");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.6872362, 0.6917255, 0.6973458, 0.7132792, 0.8080756, 0.9613354, 0.9340825", \
+					  "-0.1817356, -0.1785139, -0.1709706, -0.1564918, -0.0689159, 0.0946563, 0.0693554", \
+					  "0.0360087, 0.0388423, 0.0406561, 0.0408686, 0.1297993, 0.2841952, 0.2658388", \
+					  "0.5100534, 0.5222582, 0.5229459, 0.5123751, 0.5233241, 0.5051520, 0.4489680", \
+					  "0.7103100, 0.7192859, 0.7206025, 0.7118691, 0.7279749, 0.7164249, 0.6444975", \
+					  "0.8680748, 0.8788457, 0.8830542, 0.8673665, 0.8826126, 0.8698023, 0.8649109", \
+					  "1.0079806, 1.0267244, 1.0271724, 1.0127741, 1.0334222, 1.0167498, 1.0206678");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.9455880, 0.9542484, 0.9711793, 0.9954056, 1.0271378, 1.0945676, 1.1867376", \
+					  "1.9622910, 1.9745768, 1.9896329, 2.0161091, 2.0456278, 2.1110412, 2.2025686", \
+					  "1.9551507, 1.9641530, 1.9809120, 2.0189831, 2.0373310, 2.1038130, 2.1972372", \
+					  "1.9279634, 1.9378800, 1.9563383, 1.9967758, 2.0256711, 2.0967600, 2.1923242", \
+					  "1.8795506, 1.8897310, 1.9078805, 1.9490919, 1.9763765, 2.0605512, 2.1869936", \
+					  "2.0446073, 2.0456306, 2.0477285, 2.0648587, 2.0529338, 2.0545534, 2.1500753", \
+					  "2.1124528, 2.1134500, 2.1106047, 2.1319134, 2.1207845, 2.1222722, 2.1228024");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.6302667, 0.6334490, 0.6408822, 0.6575903, 0.7467339, 0.9031541, 0.8747828", \
+					  "-0.4267243, -0.4242486, -0.4183934, -0.4086857, -0.3132620, -0.1563174, -0.1907669", \
+					  "-0.3446013, -0.3428814, -0.3404352, -0.3377977, -0.2727067, -0.1387528, -0.1696741", \
+					  "-0.0451121, -0.0449015, -0.0433334, -0.0395801, -0.0400843, -0.0363571, -0.1662529", \
+					  "-0.0281592, -0.0420821, -0.0309673, -0.0226317, -0.0286126, -0.0261710, -0.0583686", \
+					  "-0.0149702, -0.0239692, -0.0131234, -0.0111295, -0.0143960, -0.0088017, -0.0272273", \
+					  "-0.0015606, -0.0111095, 0.0001660, 0.0015216, -0.0003433, 0.0038995, -0.0203686");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.8763349, 0.8850733, 0.9034163, 0.9299391, 0.9660569, 1.0401862, 1.1423519", \
+					  "1.9080800, 1.9190877, 1.9335644, 1.9589441, 1.9938515, 2.0677357, 2.1669040", \
+					  "1.8982968, 1.9073358, 1.9285554, 1.9464695, 1.9893484, 2.0631467, 2.1597448", \
+					  "1.8980648, 1.9080390, 1.9251439, 1.9512408, 1.9860415, 2.0600377, 2.1613891", \
+					  "1.8884839, 1.8981362, 1.9160188, 1.9420611, 1.9783413, 2.0522408, 2.1564478", \
+					  "1.8507019, 1.8588898, 1.8793632, 1.9079688, 1.9486537, 2.0390356, 2.1526437", \
+					  "1.8218014, 1.8341969, 1.8506269, 1.8776428, 1.9182720, 2.0065499, 2.1378147");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("0.7921933, 0.7969724, 0.8154837, 0.8346369, 0.8540057, 0.8786635, 1.0334281", \
+					  "0.7912486, 0.8001927, 0.8149551, 0.8339843, 0.8557015, 0.8798248, 1.0304260", \
+					  "0.7914168, 0.7996700, 0.8146851, 0.8336876, 0.8564251, 0.8819964, 1.0335628", \
+					  "0.7907380, 0.7989520, 0.8151073, 0.8333835, 0.8524099, 0.8769628, 1.0296456", \
+					  "0.7908608, 0.8167423, 0.8140907, 0.8335958, 0.8497049, 0.8800332, 1.0269924", \
+					  "0.7928711, 0.8168570, 0.8136677, 0.8327329, 0.8498743, 0.8828527, 1.0338752", \
+					  "0.7875974, 0.7962710, 0.8140363, 0.8329869, 0.8513585, 0.8794082, 1.0314432");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.05848464, 0.1414369, 0.3420454, 0.8271887, 2.00044");
+					values("1.6900376, 1.6906993, 1.6947550, 1.7031413, 1.7064237, 1.6964782, 1.6722620", \
+					  "1.6879988, 1.6881396, 1.6947584, 1.7015147, 1.7035521, 1.6875109, 1.6703393", \
+					  "1.6937579, 1.6851284, 1.6941602, 1.7016173, 1.7047989, 1.6973710, 1.6764345", \
+					  "1.6945765, 1.6907358, 1.6883366, 1.7044928, 1.7097184, 1.6948725, 1.6812763", \
+					  "1.6892117, 1.6869963, 1.6968683, 1.6972603, 1.7044457, 1.6976776, 1.6729717", \
+					  "1.6931596, 1.6882987, 1.6973585, 1.7030013, 1.7044375, 1.6934970, 1.6755919", \
+					  "1.6856954, 1.6865976, 1.6971046, 1.6970652, 1.7027203, 1.6952837, 1.6720948");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("1.0532822, 1.0614404, 1.0799660, 1.1233015, 1.2253341, 1.4710124, 2.0609208", \
+					  "2.9781793, 2.9863145, 3.0048957, 3.0482070, 3.1502012, 3.3958965, 3.9859644", \
+					  "4.4857718, 4.4938862, 4.5125153, 4.5559001, 4.6580650, 4.9026068, 5.4936256", \
+					  "4.8214219, 4.8295643, 4.8481357, 4.8914650, 4.9935296, 5.2390563, 5.8298832", \
+					  "6.8397483, 6.8408839, 6.8622299, 6.9097954, 7.0118031, 7.2573245, 7.8475244", \
+					  "8.5122247, 8.5122257, 8.5253111, 8.5823153, 8.6844283, 8.9296401, 9.5199795", \
+					  "9.7678061, 9.7678066, 9.7759036, 9.8379012, 9.9400808, 10.1849820, 10.7754110", \
+					  "11.5436020, 11.5436025, 11.5754350, 11.6176670, 11.7154920, 11.9606470, 12.5513710", \
+					  "35.9173560, 35.9967380, 35.9967404, 36.0403160, 36.1523860, 36.4183030, 36.6490980", \
+					  "51.6368570, 51.7858860, 51.8042070, 51.8042088, 51.8186700, 52.1950620, 52.6594950", \
+					  "122.2178400, 122.2178460, 122.2178536, 122.2390700, 122.3009900, 122.6653300, 123.3049600", \
+					  "370.8008500, 371.2785600, 371.2785798, 371.3293500, 371.3293610, 371.8942600, 372.2993500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("0.0516399, 0.0614745, 0.0852555, 0.1446518, 0.2897091, 0.6440068, 1.4992185", \
+					  "0.0515217, 0.0614659, 0.0854222, 0.1448064, 0.2903041, 0.6446750, 1.4978498", \
+					  "0.0516580, 0.0614184, 0.0854727, 0.1446696, 0.2899181, 0.6431833, 1.4999254", \
+					  "0.0515153, 0.0614738, 0.0854418, 0.1447960, 0.2901075, 0.6439827, 1.5008206", \
+					  "0.0515162, 0.0614723, 0.0854375, 0.1447981, 0.2901391, 0.6447318, 1.4999116", \
+					  "0.0515113, 0.0614747, 0.0854454, 0.1446633, 0.2898430, 0.6442408, 1.4998962", \
+					  "0.0515017, 0.0614761, 0.0854561, 0.1444857, 0.2902385, 0.6436346, 1.4995293", \
+					  "0.0515105, 0.0614775, 0.0854584, 0.1446855, 0.2897987, 0.6445086, 1.5000217", \
+					  "0.0515105, 0.0614250, 0.0853560, 0.1444874, 0.2896954, 0.6442671, 1.4997674", \
+					  "0.0515111, 0.0614535, 0.0854533, 0.1447688, 0.2898577, 0.6445732, 1.5000261", \
+					  "0.0515119, 0.0614767, 0.0854782, 0.1448092, 0.2897063, 0.6443131, 1.4996641", \
+					  "0.0515059, 0.0614431, 0.0854798, 0.1448032, 0.2900972, 0.6447247, 1.4997373");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("0.9707547, 0.9810555, 1.0035134, 1.0496251, 1.1387886, 1.3194934, 1.7275800", \
+					  "2.8873373, 2.8975757, 2.9203659, 2.9665468, 3.0554792, 3.2362071, 3.6440126", \
+					  "4.2909760, 4.3013433, 4.3240314, 4.3702015, 4.4557451, 4.6398987, 5.0475761", \
+					  "4.5946900, 4.6050448, 4.6276862, 4.6738955, 4.7560977, 4.9434710, 5.3509970", \
+					  "6.3361565, 6.3465229, 6.3465231, 6.4154047, 6.5037371, 6.6851018, 7.0749628", \
+					  "7.7030586, 7.7133100, 7.7355189, 7.7822273, 7.8713436, 8.0457374, 8.4599874", \
+					  "8.7095335, 8.7218650, 8.7439842, 8.7910319, 8.8818304, 9.0369534, 9.4690300", \
+					  "10.0493900, 10.0985820, 10.1051360, 10.1785590, 10.2430080, 10.4074980, 10.8249450", \
+					  "26.7576450, 26.7687330, 26.8125010, 26.8400250, 26.9417250, 27.1180480, 27.5809830", \
+					  "36.1751800, 36.1751805, 36.1825130, 36.2504760, 36.3500200, 36.5328670, 36.9234560", \
+					  "71.8998900, 71.8998909, 71.9461890, 71.9559310, 72.0802400, 72.2445110, 72.6129770", \
+					  "181.6556500, 181.6556626, 181.6556778, 181.6997800, 181.7906400, 181.9483700, 182.3723600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241854, 0.0584935, 0.141469, 0.342149, 0.827502, 2.00135");
+					values("0.0613299, 0.0704183, 0.0902862, 0.1302977, 0.2154973, 0.4203782, 0.9388572", \
+					  "0.0614680, 0.0707577, 0.0900452, 0.1311291, 0.2168727, 0.4227758, 0.9393211", \
+					  "0.0615974, 0.0704930, 0.0901860, 0.1309174, 0.2174861, 0.4213008, 0.9393928", \
+					  "0.0616644, 0.0704395, 0.0901777, 0.1311537, 0.2174649, 0.4226795, 0.9396492", \
+					  "0.0615863, 0.0705104, 0.0901662, 0.1309817, 0.2174724, 0.4224090, 0.9378447", \
+					  "0.0615415, 0.0706101, 0.0901674, 0.1312138, 0.2173001, 0.4228062, 0.9381134", \
+					  "0.0616327, 0.0704871, 0.0901554, 0.1310219, 0.2168882, 0.4226028, 0.9391730", \
+					  "0.0616146, 0.0705460, 0.0900482, 0.1310379, 0.2173439, 0.4224422, 0.9389285", \
+					  "0.0616849, 0.0705602, 0.0905264, 0.1311993, 0.2172810, 0.4226977, 0.9391156", \
+					  "0.0614708, 0.0706055, 0.0902393, 0.1309936, 0.2175041, 0.4223777, 0.9378112", \
+					  "0.0616316, 0.0706620, 0.0901514, 0.1310473, 0.2171716, 0.4225268, 0.9405783", \
+					  "0.0616171, 0.0709061, 0.0902046, 0.1311674, 0.2172380, 0.4223840, 0.9403093");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("3.9362260, 3.9408268, 3.9619827, 4.0018033, 4.1037804, 4.3496899, 4.9420437", \
+					  "6.4823902, 6.4884413, 6.5071055, 6.5521713, 6.6522472, 6.8968129, 7.4865953", \
+					  "8.5925924, 8.5956904, 8.6142995, 8.6679268, 8.7595371, 9.0126431, 9.5936015", \
+					  "9.0674934, 9.0839674, 9.1029024, 9.1476817, 9.2481374, 9.4991854, 10.0817680", \
+					  "11.9350140, 11.9634740, 11.9825140, 12.0056260, 12.1276660, 12.3572700, 12.9616660", \
+					  "14.3184310, 14.3360740, 14.3546850, 14.3912510, 14.4999150, 14.7406360, 15.3343190", \
+					  "16.1178410, 16.1360080, 16.1547240, 16.1875650, 16.2999910, 16.5361610, 17.1341000", \
+					  "18.6645630, 18.6805340, 18.6992270, 18.7318140, 18.8340310, 19.0837090, 19.6787230", \
+					  "53.7223310, 53.7775440, 53.7775441, 53.9650880, 53.9650898, 54.1397820, 54.6496250", \
+					  "76.0660010, 76.3220530, 76.3553630, 76.3553658, 76.5159240, 76.7495440, 77.3912060", \
+					  "171.5114200, 171.5114213, 171.5901000, 171.5901108, 171.5901261, 172.0241300, 172.6367600", \
+					  "509.3686500, 509.9829500, 509.9829560, 509.9829865, 509.9830170, 510.2851900, 510.9519100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0513268, 0.0611079, 0.0850187, 0.1443117, 0.2891467, 0.6429949, 1.4985961", \
+					  "0.0513423, 0.0612214, 0.0850244, 0.1444093, 0.2900902, 0.6432292, 1.4989096", \
+					  "0.0513819, 0.0610610, 0.0851244, 0.1441341, 0.2897571, 0.6445553, 1.4980966", \
+					  "0.0513346, 0.0611012, 0.0851253, 0.1444144, 0.2898251, 0.6432018, 1.4999311", \
+					  "0.0513462, 0.0610620, 0.0851352, 0.1444218, 0.2899442, 0.6429399, 1.4998427", \
+					  "0.0513821, 0.0610721, 0.0851256, 0.1442349, 0.2898277, 0.6433386, 1.4996829", \
+					  "0.0513514, 0.0610361, 0.0849667, 0.1444167, 0.2899479, 0.6433372, 1.4993987", \
+					  "0.0512512, 0.0610163, 0.0850608, 0.1443598, 0.2900347, 0.6429237, 1.4995813", \
+					  "0.0513054, 0.0610392, 0.0850333, 0.1444211, 0.2897984, 0.6427505, 1.4995654", \
+					  "0.0513819, 0.0611005, 0.0851378, 0.1443825, 0.2900610, 0.6432117, 1.4997599", \
+					  "0.0513165, 0.0610948, 0.0850232, 0.1444307, 0.2900125, 0.6435799, 1.4997517", \
+					  "0.0513124, 0.0610535, 0.0849672, 0.1444112, 0.2901179, 0.6432135, 1.4996470");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("4.1935628, 4.1992285, 4.2211976, 4.2673181, 4.3532022, 4.5311381, 4.9354845", \
+					  "6.9337212, 6.9438508, 6.9645423, 7.0013058, 7.0949584, 7.2724653, 7.6783904", \
+					  "10.1102470, 10.1199200, 10.1418190, 10.1757720, 10.2709880, 10.4487690, 10.8548900", \
+					  "10.8194100, 10.8290770, 10.8498520, 10.9025060, 10.9801470, 11.1579360, 11.5640510", \
+					  "15.0371560, 15.0469180, 15.0671000, 15.0785230, 15.1980120, 15.3757540, 15.7820740", \
+					  "18.4041420, 18.4140240, 18.4342190, 18.4790480, 18.5650320, 18.7428880, 19.1404790", \
+					  "20.8982660, 20.9078120, 20.9102030, 20.9917720, 21.0590050, 21.2368660, 21.6636930", \
+					  "24.3870310, 24.3967460, 24.3967467, 24.4611350, 24.5478870, 24.7256060, 25.1383630", \
+					  "69.0062690, 69.0160040, 69.0160103, 69.0517230, 69.1645990, 69.3448290, 69.7108500", \
+					  "95.7517660, 95.7517663, 95.7664670, 95.8505450, 95.9199370, 96.1035550, 96.4934160", \
+					  "204.0574400, 204.0574418, 204.0574570, 204.0881500, 204.1017200, 204.3711800, 204.7760900", \
+					  "560.8465600, 560.9017500, 560.9209700, 560.9209900, 561.1551000, 561.2665200, 561.7108100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0586819, 0.0669588, 0.0857285, 0.1242889, 0.2094954, 0.4159215, 0.9366625", \
+					  "0.0588113, 0.0667359, 0.0855054, 0.1254849, 0.2114970, 0.4180499, 0.9382775", \
+					  "0.0586228, 0.0667563, 0.0854679, 0.1253067, 0.2116082, 0.4181003, 0.9355882", \
+					  "0.0586240, 0.0667815, 0.0858303, 0.1254713, 0.2115963, 0.4180553, 0.9354630", \
+					  "0.0588709, 0.0671041, 0.0857379, 0.1253566, 0.2110131, 0.4178249, 0.9374405", \
+					  "0.0587961, 0.0666961, 0.0855078, 0.1253490, 0.2110141, 0.4180349, 0.9378359", \
+					  "0.0586340, 0.0671286, 0.0857559, 0.1253474, 0.2114192, 0.4180034, 0.9378179", \
+					  "0.0586778, 0.0671054, 0.0857980, 0.1250901, 0.2113107, 0.4181010, 0.9367009", \
+					  "0.0586235, 0.0667591, 0.0856355, 0.1253485, 0.2110052, 0.4181174, 0.9365372", \
+					  "0.0587537, 0.0667633, 0.0854538, 0.1252401, 0.2111143, 0.4178209, 0.9377755", \
+					  "0.0587606, 0.0669956, 0.0854137, 0.1253363, 0.2114557, 0.4177731, 0.9386308", \
+					  "0.0587344, 0.0671353, 0.0858035, 0.1253072, 0.2116059, 0.4181538, 0.9390259");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("3.9161274, 3.9191210, 3.9415191, 3.9865795, 4.0841330, 4.3285495, 4.9184314", \
+					  "6.1713852, 6.1794299, 6.1980252, 6.2411996, 6.3432388, 6.5873116, 7.1777158", \
+					  "7.8474027, 7.8565190, 7.8740294, 7.9171159, 8.0192235, 8.2638190, 8.8510630", \
+					  "8.2139735, 8.2252134, 8.2406793, 8.2838874, 8.3859257, 8.6341254, 9.2213286", \
+					  "10.3129170, 10.3212790, 10.3395120, 10.3825110, 10.4844090, 10.7286260, 11.3194940", \
+					  "12.0024530, 12.0055310, 12.0290420, 12.0721970, 12.1686290, 12.4122900, 13.0036750", \
+					  "13.2513870, 13.2560030, 13.2778810, 13.3209280, 13.4214830, 13.6631290, 14.2491130", \
+					  "14.9907450, 14.9966940, 15.0172370, 15.0602850, 15.1628080, 15.3875370, 15.9998150", \
+					  "37.5873060, 37.5873089, 37.5943150, 37.6561540, 37.7185220, 37.9477570, 38.5896930", \
+					  "51.3931010, 51.4322840, 51.4766500, 51.4766522, 51.5897230, 51.8306250, 52.4752610", \
+					  "108.8464600, 108.8464623, 108.9378700, 108.9378701, 108.9378777, 109.2281900, 109.8626700", \
+					  "307.7870800, 307.8740700, 307.8740998, 307.8741303, 307.8741608, 307.9936700, 308.5006300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0513222, 0.0610499, 0.0849940, 0.1446044, 0.2898793, 0.6428215, 1.4964058", \
+					  "0.0513505, 0.0610749, 0.0851250, 0.1441653, 0.2898194, 0.6431187, 1.4997139", \
+					  "0.0513162, 0.0611102, 0.0849680, 0.1444363, 0.2899770, 0.6432474, 1.4971501", \
+					  "0.0513345, 0.0610355, 0.0849696, 0.1444235, 0.2899499, 0.6433842, 1.4967656", \
+					  "0.0513042, 0.0611328, 0.0850165, 0.1440968, 0.2894112, 0.6432626, 1.4997218", \
+					  "0.0513053, 0.0610577, 0.0851390, 0.1443102, 0.2899385, 0.6430593, 1.4981206", \
+					  "0.0513308, 0.0610283, 0.0849856, 0.1444173, 0.2899157, 0.6425961, 1.4998821", \
+					  "0.0513354, 0.0609653, 0.0849812, 0.1444194, 0.2900019, 0.6432024, 1.4993109", \
+					  "0.0513142, 0.0610487, 0.0850247, 0.1441649, 0.2896049, 0.6442644, 1.4995699", \
+					  "0.0513154, 0.0610383, 0.0851239, 0.1444230, 0.2899819, 0.6436237, 1.4996997", \
+					  "0.0513227, 0.0610047, 0.0851183, 0.1442285, 0.2899496, 0.6425736, 1.4997609", \
+					  "0.0513244, 0.0610718, 0.0851465, 0.1442187, 0.2900056, 0.6436717, 1.4995735");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("6.4295969, 6.4397967, 6.4607826, 6.5068222, 6.5901449, 6.7679267, 7.1720150", \
+					  "8.7636289, 8.7734522, 8.7947916, 8.8386924, 8.9244763, 9.1023979, 9.5088710", \
+					  "11.9013430, 11.9111170, 11.9325050, 11.9763950, 12.0621580, 12.2400980, 12.6466390", \
+					  "12.6532310, 12.6629350, 12.6843910, 12.7282740, 12.8140830, 12.9920120, 13.3984220", \
+					  "17.2863800, 17.2962200, 17.3175520, 17.3614970, 17.4471810, 17.6249340, 18.0315320", \
+					  "20.9939340, 20.9939356, 21.0250730, 21.0691070, 21.1547210, 21.3182630, 21.7386500", \
+					  "23.7406250, 23.7412260, 23.7716800, 23.8155520, 23.9013110, 24.0840950, 24.4851620", \
+					  "27.5581960, 27.5653440, 27.5883990, 27.6263050, 27.7189100, 27.8913020, 28.2955700", \
+					  "75.3984470, 75.3984490, 75.4070300, 75.4529520, 75.5593490, 75.7232070, 76.1234280", \
+					  "103.8770100, 103.8865700, 103.9096800, 103.9454800, 104.0394000, 104.2159100, 104.6232300", \
+					  "218.5534700, 218.5534745, 218.5826300, 218.6306900, 218.7191400, 218.8829700, 219.2814300", \
+					  "596.0133100, 596.0768000, 596.1208000, 596.1208191, 596.1884400, 596.3149200, 596.7111700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0585379, 0.0670033, 0.0858549, 0.1245751, 0.2099843, 0.4156853, 0.9371413", \
+					  "0.0588694, 0.0670007, 0.0855545, 0.1252990, 0.2115984, 0.4180506, 0.9368499", \
+					  "0.0585437, 0.0667723, 0.0855697, 0.1253381, 0.2112442, 0.4177912, 0.9383788", \
+					  "0.0588374, 0.0669096, 0.0855632, 0.1253252, 0.2116134, 0.4173830, 0.9363719", \
+					  "0.0588877, 0.0670165, 0.0855355, 0.1252670, 0.2115676, 0.4181198, 0.9376662", \
+					  "0.0589594, 0.0667100, 0.0857971, 0.1250474, 0.2112689, 0.4177855, 0.9365609", \
+					  "0.0587730, 0.0670990, 0.0856176, 0.1254491, 0.2110138, 0.4181135, 0.9381108", \
+					  "0.0587426, 0.0671039, 0.0858075, 0.1253926, 0.2115763, 0.4180196, 0.9357081", \
+					  "0.0588926, 0.0667288, 0.0854678, 0.1250562, 0.2113246, 0.4176534, 0.9375576", \
+					  "0.0586465, 0.0671582, 0.0857584, 0.1253186, 0.2115399, 0.4179908, 0.9382902", \
+					  "0.0587527, 0.0670210, 0.0857244, 0.1253155, 0.2114699, 0.4182840, 0.9386929", \
+					  "0.0587674, 0.0671391, 0.0857963, 0.1250942, 0.2115906, 0.4179612, 0.9363361");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("20.0310450, 20.0390620, 20.0588060, 20.1020040, 20.2027800, 20.4474500, 21.0400980", \
+					  "20.1632580, 20.1701220, 20.1886580, 20.2318340, 20.3339390, 20.5797960, 21.1710770", \
+					  "20.2621300, 20.2712730, 20.2898200, 20.3318840, 20.4350830, 20.6787230, 21.2723710", \
+					  "20.3410980, 20.3491480, 20.3676970, 20.4108390, 20.5129820, 20.7576550, 21.3501340", \
+					  "20.4104980, 20.4184280, 20.4371760, 20.4804420, 20.5824740, 20.8272300, 21.4194620", \
+					  "20.4750400, 20.4849880, 20.5035600, 20.5447800, 20.6488070, 20.8916030, 21.4860880", \
+					  "20.5379110, 20.5440680, 20.5636490, 20.6077570, 20.7088660, 20.9542370, 21.5460240");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0513243, 0.0610135, 0.0850932, 0.1443785, 0.2895107, 0.6431899, 1.4991985", \
+					  "0.0513204, 0.0610169, 0.0850558, 0.1443769, 0.2895228, 0.6426167, 1.4990942", \
+					  "0.0514082, 0.0610307, 0.0850817, 0.1441675, 0.2900405, 0.6436405, 1.5007411", \
+					  "0.0513260, 0.0610471, 0.0850657, 0.1443475, 0.2894035, 0.6425484, 1.4996656", \
+					  "0.0513264, 0.0610142, 0.0850499, 0.1443810, 0.2895198, 0.6422030, 1.4991776", \
+					  "0.0513249, 0.0610407, 0.0850810, 0.1443465, 0.2900365, 0.6425627, 1.5007077", \
+					  "0.0513463, 0.0610146, 0.0850268, 0.1444068, 0.2895241, 0.6432393, 1.4992467");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("13.9109650, 13.9207590, 13.9466890, 13.9905770, 14.0715720, 14.2492520, 14.6555260", \
+					  "13.9848520, 13.9947160, 14.0176510, 14.0573460, 14.1456940, 14.3231020, 14.7298800", \
+					  "13.9983070, 14.0081020, 14.0287830, 14.0764260, 14.1589250, 14.3365960, 14.7428590", \
+					  "14.0206260, 14.0304990, 14.0507110, 14.0941620, 14.1813140, 14.3589880, 14.7654450", \
+					  "14.0182740, 14.0280210, 14.0481030, 14.0918160, 14.1789260, 14.3564470, 14.7627750", \
+					  "14.0239760, 14.0337150, 14.0507540, 14.0983480, 14.1846210, 14.3621300, 14.7685850", \
+					  "14.0214910, 14.0312080, 14.0518640, 14.0952390, 14.1821410, 14.3598080, 14.7663370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241836, 0.0584846, 0.141437, 0.342045, 0.827189, 2.00044");
+					values("0.0587466, 0.0669621, 0.0852870, 0.1251803, 0.2110514, 0.4179105, 0.9414075", \
+					  "0.0586151, 0.0670172, 0.0856469, 0.1251147, 0.2113473, 0.4181011, 0.9414564", \
+					  "0.0588155, 0.0669455, 0.0854761, 0.1250785, 0.2113849, 0.4179050, 0.9413705", \
+					  "0.0587530, 0.0668613, 0.0855217, 0.1250513, 0.2113735, 0.4179132, 0.9393583", \
+					  "0.0586144, 0.0669949, 0.0856473, 0.1248812, 0.2113224, 0.4179810, 0.9413767", \
+					  "0.0586142, 0.0669943, 0.0853814, 0.1250397, 0.2113453, 0.4180574, 0.9418786", \
+					  "0.0585816, 0.0668827, 0.0854549, 0.1248864, 0.2113721, 0.4177026, 0.9427334");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.264580;
+			max_transition : 3.749669;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("1.1339313, 1.1371445, 1.1438147, 1.1542877, 1.1687577, 1.1810911, 1.1856970", \
+					  "2.4147030, 2.4187592, 2.4282050, 2.4370902, 2.4526427, 2.4633926, 2.4686707", \
+					  "2.3510460, 2.3582761, 2.3696485, 2.3946199, 2.4373836, 2.4592060, 2.4670494", \
+					  "2.3769641, 2.3776807, 2.3785587, 2.3862711, 2.3857771, 2.3875871, 2.4381859", \
+					  "2.3814512, 2.3823367, 2.3839383, 2.4008402, 2.3900101, 2.3920360, 2.3944468", \
+					  "2.3822992, 2.3867846, 2.3891924, 2.4059333, 2.3947396, 2.4101741, 2.3988105", \
+					  "2.3929645, 2.3891074, 2.3908117, 2.4076808, 2.4110039, 2.4137953, 2.4172339");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("1.0461569, 1.0482654, 1.0503146, 1.0538447, 1.0549998, 1.0623123, 1.0530937", \
+					  "-0.1293473, -0.1301251, -0.1298073, -0.1244427, -0.1250118, -0.1163854, -0.1286208", \
+					  "0.1022717, 0.0969182, 0.0837138, 0.0559462, 0.0238223, 0.0095646, -0.0013630", \
+					  "0.2326626, 0.2324670, 0.2339758, 0.2367426, 0.2368185, 0.1794179, 0.1315363", \
+					  "0.3505362, 0.3508823, 0.3538909, 0.3522249, 0.3567163, 0.3612776, 0.2646322", \
+					  "0.4613098, 0.4584369, 0.4589895, 0.4598118, 0.4568550, 0.4676624, 0.4583371", \
+					  "0.5623299, 0.5510394, 0.5604027, 0.5537801, 0.5535354, 0.5556739, 0.5787421");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("0.9084168, 0.9108084, 0.9129281, 0.9146214, 0.9177159, 0.9200587, 0.9115916", \
+					  "-0.1517846, -0.1517377, -0.1407333, -0.1454854, -0.1421126, -0.1234347, -0.1651774", \
+					  "-0.1345469, -0.1336908, -0.1316086, -0.1302619, -0.1293863, -0.1213431, -0.1313271", \
+					  "-0.0410353, -0.0427766, -0.0422608, -0.0404084, -0.0767273, -0.1035380, -0.1166680", \
+					  "-0.0248898, -0.0275013, -0.0270528, -0.0254645, -0.0315905, -0.0282647, -0.0885958", \
+					  "-0.0173745, -0.0162491, -0.0130165, -0.0136967, -0.0210525, -0.0038960, -0.0613356", \
+					  "-0.0112783, -0.0011706, -1.8134304e-05, -0.0086006, -0.0037763, 0.0106961, -0.0106596");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.0900938, 1.0937287, 1.1012316, 1.1155656, 1.1330867, 1.1465794, 1.1546929", \
+					  "2.1201068, 2.1214647, 2.1339770, 2.1457838, 2.1592563, 2.1726327, 2.1782201", \
+					  "2.1137907, 2.1154252, 2.1248368, 2.1370785, 2.1553820, 2.1701933, 2.1738915", \
+					  "2.1092949, 2.1172057, 2.1203104, 2.1344725, 2.1517547, 2.1650013, 2.1733925", \
+					  "2.1024729, 2.1076957, 2.1132972, 2.1272951, 2.1452694, 2.1599896, 2.1718267", \
+					  "2.0970398, 2.1130224, 2.1082558, 2.1236572, 2.1419888, 2.1567745, 2.1679060", \
+					  "2.0660303, 2.0819314, 2.0790962, 2.0966074, 2.1231599, 2.1542885, 2.1669812");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("0.9656484, 0.9669258, 0.9688224, 0.9720364, 0.9750366, 0.9748104, 0.9720221", \
+					  "0.0960272, 0.0981452, 0.1005454, 0.1024344, 0.1075546, 0.1059131, 0.1041651", \
+					  "0.2909318, 0.2914738, 0.2945749, 0.2954958, 0.2982951, 0.2963559, 0.2887761", \
+					  "0.5333458, 0.5315057, 0.5262317, 0.5087818, 0.4798765, 0.4788329, 0.4843240", \
+					  "0.7209985, 0.7179135, 0.7222380, 0.7194581, 0.7168588, 0.6672018, 0.6371475", \
+					  "0.8857162, 0.8681871, 0.8825868, 0.8697994, 0.8713956, 0.8921378, 0.8083442", \
+					  "1.0255372, 1.0152726, 1.0282861, 1.0053669, 1.0251046, 1.0287920, 0.9694791");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.1378975, 1.1423276, 1.1487691, 1.1621546, 1.1784283, 1.1907337, 1.1972901", \
+					  "2.1558989, 2.1583627, 2.1696085, 2.1813801, 2.1988344, 2.2080324, 2.2123765", \
+					  "2.1490867, 2.1528048, 2.1598689, 2.1697936, 2.1866185, 2.2002164, 2.2065297", \
+					  "2.1430639, 2.1476846, 2.1534833, 2.1670573, 2.1834019, 2.1959294, 2.2020734", \
+					  "2.1199088, 2.1253444, 2.1339716, 2.1540788, 2.1883767, 2.1915510, 2.1990276", \
+					  "2.0779049, 2.0832041, 2.0922938, 2.1210898, 2.1463853, 2.1693506, 2.1947812", \
+					  "2.1255510, 2.1129563, 2.1137972, 2.1272597, 2.1288232, 2.1333909, 2.1768054");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.6303605, 1.6853433, 1.7001894, 1.7067791, 1.7131891, 1.7111558, 1.7017368", \
+					  "1.6337259, 1.6832443, 1.6944294, 1.7059047, 1.7126932, 1.7150266, 1.7072840", \
+					  "1.6248925, 1.6848908, 1.6949249, 1.7060611, 1.7124289, 1.7079962, 1.7102193", \
+					  "1.6254566, 1.6797348, 1.6917848, 1.7057052, 1.7120916, 1.7204000, 1.6986114", \
+					  "1.6239361, 1.6909515, 1.7031184, 1.7051929, 1.7114577, 1.7228386, 1.7076443", \
+					  "1.6228128, 1.6882882, 1.6948337, 1.7052954, 1.7109182, 1.7156286, 1.7068422", \
+					  "1.6318669, 1.6780650, 1.6935349, 1.7047418, 1.7017237, 1.7155677, 1.7072652");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02240394, 0.05019365, 0.1124535, 0.2519402, 0.5644454, 1.26458");
+					values("1.1677583, 1.1718750, 1.1769075, 1.1895744, 1.2047720, 1.2241761, 1.2353695", \
+					  "1.1688216, 1.1736116, 1.1752577, 1.1871862, 1.2057299, 1.2218971, 1.2315172", \
+					  "1.1687469, 1.1798362, 1.1758739, 1.2099519, 1.2180688, 1.2244510, 1.2261464", \
+					  "1.1686121, 1.1671029, 1.1797205, 1.1836467, 1.2056721, 1.2225724, 1.2368920", \
+					  "1.1678695, 1.1618800, 1.1850827, 1.1749669, 1.2232974, 1.2231260, 1.2177936", \
+					  "1.1678373, 1.1591715, 1.1907566, 1.1863254, 1.2044269, 1.2252175, 1.2358886", \
+					  "1.1669533, 1.1724320, 1.1785119, 1.1850700, 1.2065545, 1.2251245, 1.2348959");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("3.9175956, 3.9545096, 4.0307575, 4.1858623, 4.4970978, 5.1465987, 6.5667898", \
+					  "5.8420837, 5.8771712, 5.9534049, 6.1092607, 6.4209869, 7.0690458, 8.4914218", \
+					  "7.3497914, 7.3863808, 7.4629023, 7.6181275, 7.9300699, 8.5782732, 9.9925446", \
+					  "7.6859648, 7.7225204, 7.7988851, 7.9550748, 8.2663396, 8.9150332, 10.3254830", \
+					  "9.7043653, 9.7412762, 9.8164508, 9.9723483, 10.2838350, 10.9321310, 12.3514960", \
+					  "11.3602950, 11.4119900, 11.4819210, 11.6458030, 11.9544630, 12.6041680, 14.0235330", \
+					  "12.6180780, 12.6757620, 12.7380060, 12.8934370, 13.2098090, 13.8587890, 15.2813580", \
+					  "14.4177590, 14.4496190, 14.5255510, 14.6871370, 14.9858080, 15.6348980, 17.0608220", \
+					  "38.8425750, 38.8775520, 38.9471460, 39.0377120, 39.4356510, 40.0477450, 41.4256110", \
+					  "54.5999050, 54.6794750, 54.6794758, 54.8435250, 55.1668080, 55.8693070, 57.2940200", \
+					  "124.9550100, 125.0417200, 125.1069200, 125.1936700, 125.6168900, 125.8429300, 127.7182200", \
+					  "374.0582300, 374.0582428, 374.4201500, 374.4201508, 374.4201813, 374.8819200, 377.0865400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("0.4258101, 0.4587618, 0.5305720, 0.6848032, 1.0410113, 1.8548393, 3.7640735", \
+					  "0.4229001, 0.4567568, 0.5314382, 0.6889118, 1.0441514, 1.8720967, 3.7965826", \
+					  "0.4241620, 0.4568126, 0.5266867, 0.6881248, 1.0422135, 1.8560377, 3.7178400", \
+					  "0.4248213, 0.4573845, 0.5315190, 0.6862416, 1.0413361, 1.8554976, 3.7259222", \
+					  "0.4230808, 0.4553228, 0.5317543, 0.6869401, 1.0426453, 1.8707575, 3.7560377", \
+					  "0.4236579, 0.4554863, 0.5308481, 0.6877557, 1.0365950, 1.8682900, 3.7415275", \
+					  "0.4237170, 0.4554655, 0.5306269, 0.6878780, 1.0374818, 1.8570447, 3.7551668", \
+					  "0.4235275, 0.4554867, 0.5307344, 0.6877782, 1.0370723, 1.8664077, 3.7365808", \
+					  "0.4235365, 0.4557901, 0.5308542, 0.6878062, 1.0383602, 1.8665420, 3.7197621", \
+					  "0.4235252, 0.4555014, 0.5307052, 0.6878409, 1.0370110, 1.8664734, 3.7190599", \
+					  "0.4235348, 0.4555022, 0.5309172, 0.6877138, 1.0372190, 1.8665265, 3.7432266", \
+					  "0.4235402, 0.4555020, 0.5309782, 0.6876861, 1.0376263, 1.8666344, 3.7670254");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("4.4616120, 4.4867163, 4.5413517, 4.6403348, 4.8430024, 5.2010862, 5.8494658", \
+					  "6.3774754, 6.4034413, 6.4564136, 6.5593162, 6.7613870, 7.1206121, 7.7694740", \
+					  "7.7805948, 7.8061122, 7.8600957, 7.9643048, 8.1629974, 8.5227265, 9.1708356", \
+					  "8.0843261, 8.1095850, 8.1599456, 8.2631413, 8.4646468, 8.8221546, 9.4704289", \
+					  "9.8241797, 9.8494768, 9.9033146, 10.0087170, 10.2091690, 10.5677880, 11.2146930", \
+					  "11.2076980, 11.2167930, 11.2679610, 11.3712360, 11.5731500, 11.9297980, 12.5776270", \
+					  "12.2031570, 12.2271500, 12.2686080, 12.3845970, 12.5830540, 12.9426910, 13.5882040", \
+					  "13.5788520, 13.6136850, 13.6664090, 13.7669400, 13.9695560, 14.3282390, 14.9770150", \
+					  "30.2675040, 30.2675047, 30.3187800, 30.3629730, 30.6043300, 30.9448720, 31.5708310", \
+					  "39.6628440, 39.6835200, 39.7066340, 39.8361520, 40.0327840, 40.3934950, 41.0436660", \
+					  "75.3855150, 75.3855172, 75.4398570, 75.5297620, 75.7728390, 76.0748550, 76.7675060", \
+					  "185.0891900, 185.0981700, 185.1828800, 185.2821800, 185.5002600, 185.8249400, 186.4588600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022461, 0.0504498, 0.113315, 0.254518, 0.571674, 1.28404");
+					values("0.3232784, 0.3422926, 0.3843880, 0.4793463, 0.6543373, 0.9796917, 1.6662099", \
+					  "0.3248465, 0.3423910, 0.3871802, 0.4768754, 0.6529622, 0.9767397, 1.6694824", \
+					  "0.3219727, 0.3441158, 0.3858823, 0.4752405, 0.6529541, 0.9751770, 1.6611611", \
+					  "0.3236460, 0.3427424, 0.3868500, 0.4779901, 0.6519778, 0.9785716, 1.6598343", \
+					  "0.3231409, 0.3445891, 0.3873891, 0.4751262, 0.6507808, 0.9781214, 1.6689451", \
+					  "0.3249983, 0.3439396, 0.3870539, 0.4777058, 0.6510244, 0.9753481, 1.6693820", \
+					  "0.3231372, 0.3451758, 0.3841303, 0.4760754, 0.6516977, 0.9795281, 1.6686685", \
+					  "0.3230992, 0.3435270, 0.3862987, 0.4786104, 0.6531413, 0.9793948, 1.6655474", \
+					  "0.3225652, 0.3450245, 0.3859453, 0.4789148, 0.6518577, 0.9795670, 1.6709027", \
+					  "0.3226628, 0.3418864, 0.3865239, 0.4789659, 0.6516937, 0.9796158, 1.6644576", \
+					  "0.3225282, 0.3436501, 0.3852738, 0.4747350, 0.6513527, 0.9816669, 1.6674024", \
+					  "0.3235944, 0.3419576, 0.3863624, 0.4787085, 0.6518801, 0.9791714, 1.6645260");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("4.9541264, 4.9865312, 5.0607052, 5.2183036, 5.5293250, 6.1718586, 7.5852977", \
+					  "7.2093265, 7.2451716, 7.3219621, 7.4750508, 7.7846218, 8.4306988, 9.8484323", \
+					  "8.8859613, 8.9220720, 8.9974446, 9.1517869, 9.4613490, 10.1082960, 11.5170210", \
+					  "9.2552517, 9.2887902, 9.3645598, 9.5167279, 9.8279251, 10.4726490, 11.8835400", \
+					  "11.3516080, 11.3875980, 11.4633140, 11.6175460, 11.9275320, 12.5737330, 13.9827170", \
+					  "13.0322610, 13.0767550, 13.1523760, 13.3013000, 13.6153120, 14.2625610, 15.6766000", \
+					  "14.2887640, 14.3237110, 14.4014830, 14.5500750, 14.8650930, 15.5047190, 16.9275240", \
+					  "16.0188060, 16.0571330, 16.1408660, 16.2862450, 16.6043590, 17.2477160, 18.6637820", \
+					  "38.6083560, 38.6169210, 38.7367260, 38.8800070, 39.1997650, 39.8049770, 41.2634020", \
+					  "52.4545530, 52.5074630, 52.5418780, 52.7188110, 53.0055080, 53.6809890, 55.0687920", \
+					  "109.8968700, 109.8968773, 109.8968850, 110.1909400, 110.4134600, 111.0944200, 112.3641200", \
+					  "308.6712200, 308.8179600, 308.8455300, 309.0610500, 309.0610505, 310.1031000, 311.2053800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4200105, 0.4529352, 0.5210928, 0.6807577, 1.0386817, 1.8497075, 3.7656158", \
+					  "0.4181667, 0.4549436, 0.5200864, 0.6829587, 1.0345450, 1.8499056, 3.7393253", \
+					  "0.4160778, 0.4513375, 0.5229240, 0.6830211, 1.0387272, 1.8611477, 3.7209849", \
+					  "0.4222615, 0.4494831, 0.5245586, 0.6803422, 1.0279844, 1.8582735, 3.7254075", \
+					  "0.4211173, 0.4520793, 0.5242122, 0.6830068, 1.0384134, 1.8611015, 3.7711478", \
+					  "0.4187363, 0.4503848, 0.5230194, 0.6830254, 1.0314496, 1.8598882, 3.7523407", \
+					  "0.4177717, 0.4512860, 0.5233087, 0.6819707, 1.0385759, 1.8615774, 3.7909826", \
+					  "0.4177749, 0.4495420, 0.5246691, 0.6803424, 1.0323956, 1.8442416, 3.7496353", \
+					  "0.4178086, 0.4494540, 0.5208277, 0.6831023, 1.0329703, 1.8610341, 3.7553636", \
+					  "0.4216537, 0.4494790, 0.5201751, 0.6809342, 1.0331459, 1.8567352, 3.7552192", \
+					  "0.4179885, 0.4553425, 0.5208126, 0.6828189, 1.0327545, 1.8496655, 3.7499026", \
+					  "0.4177858, 0.4554253, 0.5208178, 0.6829733, 1.0338035, 1.8605122, 3.7571954");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("7.7151139, 7.7408098, 7.7933038, 7.9024645, 8.1153848, 8.4850749, 9.1460430", \
+					  "10.0488280, 10.0746030, 10.1264180, 10.2347510, 10.4475530, 10.8175340, 11.4787730", \
+					  "13.1871370, 13.2131150, 13.2628600, 13.3711580, 13.5825640, 13.9552370, 14.6147310", \
+					  "13.9380320, 13.9653050, 14.0215450, 14.1243290, 14.3370120, 14.7080170, 15.3678120", \
+					  "18.5701410, 18.5959550, 18.6382910, 18.7595380, 18.9580460, 19.3389070, 19.9922510", \
+					  "22.2784370, 22.3075660, 22.3319510, 22.4640640, 22.6575630, 23.0463930, 23.6909460", \
+					  "25.0244020, 25.0448300, 25.1070120, 25.2049580, 25.4259170, 25.7929570, 26.4566950", \
+					  "28.8440150, 28.8568210, 28.9175760, 29.0240220, 29.2335150, 29.6119320, 30.2650810", \
+					  "76.6857580, 76.6857644, 76.7558530, 76.8578230, 77.0879750, 77.4541530, 78.1166420", \
+					  "105.1650100, 105.1917700, 105.2455300, 105.3469100, 105.5633900, 105.9344500, 106.5963300", \
+					  "219.8522400, 219.8522416, 219.9187900, 220.0030900, 220.2235500, 220.6180900, 221.2583800", \
+					  "597.2708500, 597.3908500, 597.3908997, 597.4244500, 597.7730100, 598.1168600, 598.7810100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3267495, 0.3485495, 0.3916613, 0.4882791, 0.6752001, 1.0054340, 1.6923390", \
+					  "0.3272649, 0.3474571, 0.3926356, 0.4900573, 0.6748274, 1.0074730, 1.6889201", \
+					  "0.3275335, 0.3467858, 0.3929971, 0.4891762, 0.6750562, 1.0076077, 1.6926177", \
+					  "0.3272589, 0.3472598, 0.3933174, 0.4891980, 0.6733423, 1.0042994, 1.6907497", \
+					  "0.3274463, 0.3471539, 0.3908624, 0.4899946, 0.6749255, 1.0086498, 1.6921040", \
+					  "0.3254809, 0.3477039, 0.3906228, 0.4873492, 0.6768525, 1.0071964, 1.6912052", \
+					  "0.3271764, 0.3454794, 0.3933922, 0.4874899, 0.6740924, 1.0081335, 1.6818067", \
+					  "0.3274695, 0.3477971, 0.3931285, 0.4879062, 0.6760370, 1.0072050, 1.6926981", \
+					  "0.3276387, 0.3461985, 0.3918175, 0.4887209, 0.6753346, 1.0072957, 1.6899813", \
+					  "0.3280577, 0.3454879, 0.3919226, 0.4887390, 0.6741962, 1.0038588, 1.6860040", \
+					  "0.3274165, 0.3464175, 0.3920044, 0.4882890, 0.6759769, 1.0081128, 1.6930275", \
+					  "0.3277694, 0.3477861, 0.3928387, 0.4891778, 0.6756097, 1.0086820, 1.6923127");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("4.9714108, 5.0074127, 5.0828284, 5.2365565, 5.5468372, 6.1931233, 7.6092466", \
+					  "7.5191338, 7.5552373, 7.6308870, 7.7846751, 8.0957792, 8.7414387, 10.1531850", \
+					  "9.6257748, 9.6618686, 9.7386756, 9.8921733, 10.2007630, 10.8464900, 12.2581100", \
+					  "10.1134560, 10.1493860, 10.2262030, 10.3797290, 10.6906390, 11.3363620, 12.7454110", \
+					  "12.9923690, 13.0289660, 13.1057490, 13.2586050, 13.5646260, 14.2137610, 15.6309350", \
+					  "15.3659170, 15.4021580, 15.4789910, 15.6320970, 15.9383950, 16.5876610, 17.9854280", \
+					  "17.1666080, 17.2020260, 17.2784330, 17.4319400, 17.7352440, 18.3880320, 19.8024350", \
+					  "19.7108110, 19.7469860, 19.8238470, 19.9770010, 20.2854570, 20.9333370, 22.3470580", \
+					  "54.7021560, 54.8452200, 54.8690730, 55.0240530, 55.2363560, 55.9774380, 57.2928550", \
+					  "77.3622380, 77.3934090, 77.4876340, 77.6225520, 77.8958340, 78.4554640, 79.9904000", \
+					  "172.2417600, 172.8281900, 172.8281937, 172.8282090, 172.8282242, 173.8366000, 174.6621100", \
+					  "510.8633400, 511.1388600, 511.1702400, 511.3454900, 511.3455048, 512.2881200, 513.6794800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4177804, 0.4498871, 0.5249131, 0.6813704, 1.0315654, 1.8607024, 3.7407872", \
+					  "0.4173939, 0.4499802, 0.5249368, 0.6802663, 1.0318854, 1.8617885, 3.7302863", \
+					  "0.4207135, 0.4534563, 0.5230145, 0.6815459, 1.0356072, 1.8464982, 3.7252641", \
+					  "0.4213649, 0.4525398, 0.5199931, 0.6835279, 1.0370806, 1.8619700, 3.7222119", \
+					  "0.4217403, 0.4492659, 0.5245516, 0.6823449, 1.0378662, 1.8545039, 3.7088861", \
+					  "0.4213423, 0.4549900, 0.5200499, 0.6829358, 1.0311647, 1.8497560, 3.7537604", \
+					  "0.4180430, 0.4494720, 0.5245796, 0.6809305, 1.0310722, 1.8609689, 3.7237571", \
+					  "0.4222903, 0.4554635, 0.5210867, 0.6824904, 1.0322139, 1.8587011, 3.7206906", \
+					  "0.4174647, 0.4494389, 0.5238095, 0.6814094, 1.0312536, 1.8593506, 3.7295700", \
+					  "0.4176508, 0.4551421, 0.5199307, 0.6830894, 1.0309691, 1.8543398, 3.7525862", \
+					  "0.4216908, 0.4549886, 0.5208502, 0.6829856, 1.0320956, 1.8539560, 3.7541494", \
+					  "0.4174756, 0.4494889, 0.5238597, 0.6803806, 1.0310108, 1.8620984, 3.7591774");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.4771676, 5.5050613, 5.5567249, 5.6650973, 5.8757852, 6.2440595, 6.9053732", \
+					  "8.2185760, 8.2454381, 8.2983771, 8.4050216, 8.6157881, 8.9867367, 9.6467989", \
+					  "11.3958410, 11.4217370, 11.4733990, 11.5817020, 11.7929140, 12.1646260, 12.8238580", \
+					  "12.1047350, 12.1304700, 12.1826100, 12.2908950, 12.5019980, 12.8729760, 13.5321860", \
+					  "16.2902170, 16.3266220, 16.4019300, 16.5098410, 16.7184770, 17.0885140, 17.7497520", \
+					  "19.6610300, 19.6774400, 19.7669700, 19.8752660, 20.0863930, 20.4578130, 21.1169390", \
+					  "22.1886400, 22.2144700, 22.2604870, 22.3685300, 22.5796130, 22.9369960, 23.6110540", \
+					  "25.6744490, 25.7011500, 25.7178260, 25.8636220, 26.0654980, 26.4437800, 27.0998160", \
+					  "70.2166640, 70.2809050, 70.3413580, 70.4480740, 70.6589840, 71.0630630, 71.7222410", \
+					  "97.0330800, 97.0581700, 97.1285840, 97.2330480, 97.4434040, 97.7290360, 98.4678080", \
+					  "205.3030200, 205.3173200, 205.4181500, 205.5038700, 205.6418800, 206.0931500, 206.7483400", \
+					  "562.2396200, 562.2396546, 562.2793000, 562.4959800, 562.6670300, 563.0097300, 563.6158600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3253622, 0.3462698, 0.3912946, 0.4905379, 0.6744521, 1.0038886, 1.6918802", \
+					  "0.3253730, 0.3461687, 0.3915497, 0.4883202, 0.6743117, 1.0068169, 1.6886101", \
+					  "0.3275111, 0.3475925, 0.3925589, 0.4865697, 0.6730086, 1.0047040, 1.6917189", \
+					  "0.3272705, 0.3476253, 0.3915145, 0.4898061, 0.6742603, 1.0071137, 1.6867048", \
+					  "0.3253682, 0.3476104, 0.3911954, 0.4867742, 0.6754902, 1.0070930, 1.6907681", \
+					  "0.3259835, 0.3462619, 0.3913830, 0.4875459, 0.6743552, 1.0063357, 1.6859737", \
+					  "0.3257971, 0.3454898, 0.3917406, 0.4900528, 0.6744365, 1.0069733, 1.6901427", \
+					  "0.3257496, 0.3460278, 0.3909562, 0.4878899, 0.6743798, 1.0070494, 1.6916051", \
+					  "0.3269237, 0.3484272, 0.3917016, 0.4879043, 0.6748726, 1.0043040, 1.6903050", \
+					  "0.3251729, 0.3463650, 0.3912861, 0.4883196, 0.6746415, 1.0045067, 1.6925982", \
+					  "0.3278325, 0.3458975, 0.3917416, 0.4880015, 0.6761701, 1.0081345, 1.6880445", \
+					  "0.3286343, 0.3478952, 0.3926345, 0.4888128, 0.6745848, 1.0078605, 1.6903661");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("19.4203960, 19.4564040, 19.5325370, 19.6840320, 19.9928980, 20.6338080, 22.0270080", \
+					  "19.5516490, 19.5876580, 19.6629980, 19.8170330, 20.1241620, 20.7650870, 22.1600600", \
+					  "19.6513670, 19.6873270, 19.7626060, 19.9163140, 20.2236360, 20.8645440, 22.2599310", \
+					  "19.7300450, 19.7660480, 19.8413910, 19.9938020, 20.3025420, 20.9434960, 22.3367780", \
+					  "19.7991420, 19.8351040, 19.9104500, 20.0642580, 20.3714960, 21.0123970, 22.4072800", \
+					  "19.8655300, 19.9014800, 19.9767810, 20.1288050, 20.4378260, 21.0787250, 22.4717970", \
+					  "19.9263180, 19.9622430, 20.0362640, 20.1917010, 20.4967760, 21.1394140, 22.5335640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("0.4179542, 0.4509029, 0.5208711, 0.6784734, 1.0301122, 1.8364975, 3.6940155", \
+					  "0.4179446, 0.4508893, 0.5218137, 0.6784765, 1.0300999, 1.8367440, 3.6943668", \
+					  "0.4169901, 0.4500644, 0.5210954, 0.6784717, 1.0299129, 1.8363410, 3.6889483", \
+					  "0.4179791, 0.4509256, 0.5217955, 0.6779563, 1.0301853, 1.8367813, 3.6941274", \
+					  "0.4167988, 0.4500868, 0.5212304, 0.6784781, 1.0298539, 1.8369523, 3.6939443", \
+					  "0.4170919, 0.4501179, 0.5211165, 0.6784752, 1.0299179, 1.8368410, 3.6943550", \
+					  "0.4171931, 0.4500964, 0.5210930, 0.6779519, 1.0298526, 1.8371864, 3.6941601");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("18.4116720, 18.4354950, 18.5081440, 18.6437600, 18.9054830, 19.3895670, 20.1708930", \
+					  "18.4778110, 18.5106130, 18.5807370, 18.7121570, 18.9743330, 19.4547970, 20.2451780", \
+					  "18.4943120, 18.5246640, 18.5933490, 18.7339870, 18.9961150, 19.4716580, 20.2561400", \
+					  "18.5092400, 18.5456880, 18.6141590, 18.7473410, 19.0094910, 19.4894020, 20.2783230", \
+					  "18.5106150, 18.5484930, 18.6161540, 18.7516690, 19.0147280, 19.4880020, 20.2805290", \
+					  "18.5158080, 18.5496360, 18.6173570, 18.7514870, 19.0138540, 19.4928090, 20.2817460", \
+					  "18.5108960, 18.5490630, 18.6174700, 18.7520930, 19.0152160, 19.4941340, 20.2829560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0224039, 0.0501936, 0.112454, 0.25194, 0.564445, 1.26458");
+					values("0.4807619, 0.5005645, 0.5528836, 0.6636011, 0.8981836, 1.3005662, 1.9946378", \
+					  "0.4817252, 0.5050319, 0.5521844, 0.6643506, 0.8982571, 1.2999894, 1.9925146", \
+					  "0.4805085, 0.5017761, 0.5525395, 0.6654951, 0.8983918, 1.3022590, 1.9949107", \
+					  "0.4785109, 0.5021973, 0.5531766, 0.6686507, 0.9002026, 1.2977600, 1.9890882", \
+					  "0.4829624, 0.5004051, 0.5516973, 0.6653902, 0.8985192, 1.3007683, 1.9925128", \
+					  "0.4805292, 0.5004240, 0.5518222, 0.6650978, 0.8983784, 1.3003877, 1.9924531", \
+					  "0.4784334, 0.5023099, 0.5513317, 0.6657141, 0.8990128, 1.3004114, 1.9933424");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.206758;
+			max_capacitance : 551.207000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3952106, 6.4011455, 6.4159253, 6.3721331, 6.3184291, 5.2115576, -10.9794228", \
+					  "6.3956259, 6.3937541, 6.3943704, 6.3949888, 6.3179025, 5.3405515, -11.0239988", \
+					  "6.3943252, 6.3939943, 6.3939813, 6.3990883, 6.3187992, 5.3626118, -10.6285558", \
+					  "6.3943665, 6.3941245, 6.3952070, 6.3949407, 6.3175625, 5.3549653, -11.0183428", \
+					  "6.3933227, 6.3957348, 6.3961473, 6.3987806, 6.3155251, 5.3460354, -11.1445196", \
+					  "6.3960246, 6.3953441, 6.3942669, 6.4000643, 6.3177786, 5.3497205, -10.9574237", \
+					  "6.3940896, 6.3956525, 6.3919983, 6.3925261, 6.3170839, 5.3357404, -11.1899638");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7041921, 3.7079547, 3.7324356, 3.8337798, 4.1688543, 5.1470431, 5.5530231", \
+					  "3.7010808, 3.7062585, 3.7273488, 3.8316161, 4.1613832, 5.1300753, 5.5500891", \
+					  "3.7156728, 3.7178663, 3.7323500, 3.8344085, 4.1664962, 5.1328664, 5.5502712", \
+					  "3.7034215, 3.7063384, 3.7295945, 3.8314336, 4.1679652, 5.1430533, 5.5521119", \
+					  "3.7028654, 3.7065903, 3.7279300, 3.8313238, 4.1653700, 5.1310537, 5.5509908", \
+					  "3.7024424, 3.7053302, 3.7269952, 3.8296488, 4.1644357, 5.1213538, 5.5514971", \
+					  "3.7051834, 3.7063318, 3.7282136, 3.8313668, 4.1662065, 5.1328630, 5.5506534");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2121374, 6.2296828, 6.2213756, 6.2340040, 6.2455017, 5.9968265, 0.0808155", \
+					  "6.2200307, 6.2224216, 6.2234711, 6.2255319, 6.2382171, 6.0423234, 0.1437971", \
+					  "6.2231153, 6.2247325, 6.2259854, 6.2290516, 6.2427060, 6.0237718, 0.7401631", \
+					  "6.2207528, 6.2217229, 6.2228605, 6.2272385, 6.2383689, 6.0216061, -0.0499017", \
+					  "6.2217304, 6.2238250, 6.2235163, 6.2274463, 6.2415504, 6.0388870, 0.6531892", \
+					  "6.2193994, 6.2227261, 6.2222790, 6.2281042, 6.2395434, 6.0526964, 0.6879852", \
+					  "6.2225419, 6.2231265, 6.2227214, 6.2277447, 6.2405830, 6.0051181, 0.5265172");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6759044, 3.6781881, 3.7054493, 3.7158659, 3.7663186, 3.8134502, 3.7960917", \
+					  "3.6705102, 3.6784140, 3.6859102, 3.7095172, 3.7657929, 3.7558013, 3.7810498", \
+					  "3.6702156, 3.6805572, 3.6842305, 3.7203635, 3.7604482, 3.7195802, 3.7907581", \
+					  "3.6771456, 3.6784579, 3.6886993, 3.7232780, 3.7524699, 3.5411849, 3.7647661", \
+					  "3.6731413, 3.6787857, 3.6852540, 3.7181851, 3.7726615, 3.7763730, 3.7737830", \
+					  "3.6785184, 3.6793029, 3.6869235, 3.7213066, 3.7378121, 4.1771945, 3.7728227", \
+					  "3.6807104, 3.6818605, 3.6852662, 3.7243790, 3.7942931, 3.7364696, 3.7446137");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389532, 0.1404327, 0.1397539, 0.1400159, 0.1398470, 0.1389526, 0.1390105", \
+					  "0.1945292, 0.1944408, 0.1943650, 0.1944668, 0.1941872, 0.1945110, 0.1944545", \
+					  "0.2675012, 0.2673091, 0.2672661, 0.2674760, 0.2675026, 0.2675525, 0.2674948", \
+					  "0.3423788, 0.3423582, 0.3422508, 0.3424508, 0.3426217, 0.3426819, 0.3426859", \
+					  "0.4155872, 0.4157135, 0.4155674, 0.4158116, 0.4161665, 0.4164047, 0.4164310", \
+					  "0.4969604, 0.4967203, 0.4967903, 0.4963798, 0.4969673, 0.4972239, 0.4973018", \
+					  "0.6855459, 0.6847246, 0.6840529, 0.6808488, 0.6748504, 0.6730557, 0.6723602");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6252624, 5.6115798, 5.6133028, 5.6134563, 5.6428824, 5.4590764, -0.3693482", \
+					  "5.6197392, 5.6166107, 5.6260666, 5.6192444, 5.6360751, 5.4399447, -0.5351468", \
+					  "5.6172431, 5.6207243, 5.6259020, 5.6259549, 5.6375060, 5.4383797, -0.5145525", \
+					  "5.6178945, 5.6180762, 5.6206003, 5.6232141, 5.6380884, 5.3919572, -0.3933121", \
+					  "5.6190152, 5.6250937, 5.6193869, 5.6223333, 5.6379731, 5.4387709, -0.3989127", \
+					  "5.6183729, 5.6182918, 5.6192528, 5.6218584, 5.6340318, 5.4808462, -0.4298855", \
+					  "5.6203206, 5.6176495, 5.6157895, 5.6228455, 5.6380330, 5.4388737, -0.4928974");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389435, 0.1389519, 0.1389506, 0.1389505, 0.1389453, 0.1389272, 0.1389365", \
+					  "0.1927839, 0.1927898, 0.1927786, 0.1927882, 0.1927868, 0.1927792, 0.1927767", \
+					  "0.2497904, 0.2497969, 0.2498455, 0.2497958, 0.2497946, 0.2497868, 0.2498334", \
+					  "0.2988497, 0.2988560, 0.2988745, 0.2988545, 0.2988533, 0.2988451, 0.2988621", \
+					  "0.3706314, 0.3706365, 0.3709063, 0.3706306, 0.3706258, 0.3706177, 0.3708873", \
+					  "0.4747081, 0.4747126, 0.4747122, 0.4746977, 0.4746888, 0.4746801, 0.4745856", \
+					  "0.7091355, 0.7091449, 0.7078490, 0.7092400, 0.7093096, 0.7093341, 0.7081962");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4448965, 2.4025773, 2.3613826, 2.4734236, 2.5037952, 4.3012902, 2.6994325", \
+					  "2.4446014, 2.4571232, 2.4417581, 2.4479559, 2.4513449, 2.4556843, 2.4530349", \
+					  "2.4465850, 2.4376073, 2.4469037, 2.4298642, 2.4519674, 2.4481280, 2.4793281", \
+					  "2.4470555, 2.4527280, 2.4454645, 2.4501901, 2.4625683, 2.4902562, 2.4483884", \
+					  "2.4465930, 2.4439437, 2.4430197, 2.4689675, 2.4545955, 2.4819243, 2.4619523", \
+					  "2.4443418, 2.4457935, 2.4448613, 2.4696848, 2.4546497, 2.5032627, 2.4757845", \
+					  "2.4462229, 2.4390541, 2.4441494, 2.4468161, 2.4510417, 2.6446418, 2.4623697");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0469234, 3.0488955, 3.0553144, 3.0916573, 3.3109650, 4.7100407, 4.9961764", \
+					  "3.0440387, 3.0451569, 3.0522584, 3.0907374, 3.3079007, 4.6977675, 4.9943306", \
+					  "3.0458891, 3.0485918, 3.0556244, 3.0937094, 3.3059870, 4.6984691, 4.9946918", \
+					  "3.0457811, 3.0465886, 3.0569444, 3.0924525, 3.3094105, 4.6963767, 4.9963876", \
+					  "3.0456355, 3.0462777, 3.0544823, 3.0918743, 3.3089433, 4.6981105, 4.9962054", \
+					  "3.0440751, 3.0463504, 3.0535981, 3.0920957, 3.3085574, 4.7002701, 4.9938594", \
+					  "3.0444832, 3.0461279, 3.0544552, 3.0921178, 3.3094073, 4.6978269, 4.9949603");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1328473, 0.1318944, 0.1328401, 0.1328471, 0.1328492, 0.1328400, 0.1328479", \
+					  "0.1741493, 0.1741751, 0.1741357, 0.1741392, 0.1741430, 0.1741414, 0.1741507", \
+					  "0.2464554, 0.2466832, 0.2464833, 0.2464867, 0.2464896, 0.2464877, 0.2464556", \
+					  "0.3649112, 0.3649274, 0.3644341, 0.3644444, 0.3644614, 0.3644660, 0.3649427", \
+					  "0.6118786, 0.6120694, 0.6111874, 0.6111836, 0.6111862, 0.6111986, 0.6118893", \
+					  "0.7788063, 0.7784805, 0.7787099, 0.7786519, 0.7785361, 0.7783044, 0.7783481", \
+					  "0.8710631, 0.8710521, 0.8709957, 0.8709862, 0.8710172, 0.8707871, 0.8707394");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389412, 0.1389324, 0.1389272, 0.1389502, 0.1389251, 0.1389409, 0.1389286", \
+					  "0.1927764, 0.1927749, 0.1927744, 0.1927863, 0.1927739, 0.1927846, 0.1927745", \
+					  "0.2498451, 0.2498410, 0.2498355, 0.2497979, 0.2498395, 0.2497970, 0.2498427", \
+					  "0.2988747, 0.2988710, 0.2988654, 0.2988571, 0.2988693, 0.2988559, 0.2988722", \
+					  "0.3709045, 0.3709010, 0.3708947, 0.3706280, 0.3708893, 0.3706216, 0.3708906", \
+					  "0.4746951, 0.4746905, 0.4746816, 0.4747702, 0.4746639, 0.4747574, 0.4746630", \
+					  "0.7071855, 0.7071938, 0.7073690, 0.7085972, 0.7073660, 0.7086966, 0.7073942");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4742485, 2.5012148, 2.3911478, 2.4622444, 2.5330929, 2.2047718, 2.3379207", \
+					  "2.4491470, 2.4526249, 2.4519303, 2.4468999, 2.4309291, 2.4115540, 2.4587805", \
+					  "2.4539363, 2.4425361, 2.4482520, 2.4527174, 2.4525283, 2.3525829, 2.4478017", \
+					  "2.4403946, 2.4444571, 2.4382182, 2.4370735, 2.4514519, 2.4460212, 2.4588109", \
+					  "2.4488894, 2.4496652, 2.4509541, 2.4490784, 2.4135671, 2.3511156, 2.4564794", \
+					  "2.4473732, 2.4480320, 2.4417516, 2.4593536, 2.4477548, 2.4118331, 2.4508693", \
+					  "2.4448741, 2.4515391, 2.4366700, 2.4426626, 2.3971512, 2.3847716, 2.4708195");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3720357, 3.3743143, 3.3831256, 3.4453900, 3.5215175, 3.7759238, 5.4177029", \
+					  "3.3608274, 3.3659164, 3.3791365, 3.4402486, 3.5287876, 3.7910015, 5.4065369", \
+					  "3.3713820, 3.3732336, 3.3825577, 3.4419161, 3.5196252, 3.7830755, 5.4216285", \
+					  "3.3701037, 3.3723584, 3.3822018, 3.4398298, 3.5081480, 3.7883266, 5.4248895", \
+					  "3.3695072, 3.3716297, 3.3816388, 3.4390716, 3.5176346, 3.7806946, 5.4196340", \
+					  "3.3697287, 3.3709233, 3.3806780, 3.4401678, 3.5169506, 3.7702150, 5.4183029", \
+					  "3.3699329, 3.3718032, 3.3810690, 3.4394472, 3.5184673, 3.7829658, 5.4183889");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1328389, 0.1328428, 0.1328401, 0.1328429, 0.1328318, 0.1328383, 0.1328332", \
+					  "0.1741393, 0.1741474, 0.1741393, 0.1741473, 0.1741372, 0.1741338, 0.1741392", \
+					  "0.2463868, 0.2463542, 0.2463870, 0.2463534, 0.2463817, 0.2463767, 0.2463815", \
+					  "0.3644075, 0.3648837, 0.3644106, 0.3648984, 0.3644666, 0.3644797, 0.3644842", \
+					  "0.6171008, 0.6177873, 0.6170963, 0.6177778, 0.6170674, 0.6171181, 0.6171453", \
+					  "0.7985246, 0.7986082, 0.7984927, 0.7984658, 0.7977730, 0.7971223, 0.7969928", \
+					  "0.9053550, 0.9054131, 0.9053944, 0.9056222, 0.9056816, 0.9049740, 0.9045625");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4711665, 5.4768165, 5.4663010, 5.4065420, 5.2022367, 4.0538133, -12.0905401", \
+					  "5.4635599, 5.4606530, 5.4587881, 5.4329867, 5.2773321, 4.0896225, -12.0410598", \
+					  "5.4655975, 5.4630843, 5.4620240, 5.4341292, 5.2778853, 4.1868305, -12.1866905", \
+					  "5.4659644, 5.4637839, 5.4589952, 5.4310952, 5.2772634, 4.1480616, -12.1354251", \
+					  "5.4606467, 5.4622903, 5.4574084, 5.4312734, 5.2794704, 4.1430851, -12.1302465", \
+					  "5.4635879, 5.4593170, 5.4576933, 5.4282069, 5.2761141, 4.1536969, -12.4668961", \
+					  "5.4633099, 5.4605929, 5.4592701, 5.4299481, 5.2752854, 4.1295226, -12.2000137");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389417, 0.1389546, 0.1389464, 0.1386805, 0.1389472, 0.1386994, 0.1389425", \
+					  "0.1945286, 0.1945333, 0.1945296, 0.1945272, 0.1945251, 0.1945292, 0.1945276", \
+					  "0.2675102, 0.2674917, 0.2674899, 0.2674912, 0.2674921, 0.2674976, 0.2674965", \
+					  "0.3423321, 0.3423246, 0.3423237, 0.3423298, 0.3423354, 0.3423417, 0.3423420", \
+					  "0.4159280, 0.4156904, 0.4156924, 0.4157062, 0.4157201, 0.4157296, 0.4157311", \
+					  "0.4965435, 0.4968210, 0.4969691, 0.4967969, 0.4967778, 0.4967772, 0.4967784", \
+					  "0.6732247, 0.6743684, 0.6742572, 0.6738958, 0.6735972, 0.6735160, 0.6735028");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1411004, 0.1389437, 0.1382454, 0.1391056, 0.1397631, 0.1387063, 0.1389521", \
+					  "0.1941825, 0.1945235, 0.1942581, 0.1941329, 0.1944366, 0.1942334, 0.1945121", \
+					  "0.2674772, 0.2674981, 0.2673718, 0.2673878, 0.2675590, 0.2674536, 0.2675555", \
+					  "0.3423519, 0.3423821, 0.3423821, 0.3425284, 0.3427608, 0.3427683, 0.3427031", \
+					  "0.4157513, 0.4156033, 0.4157614, 0.4160231, 0.4161682, 0.4167976, 0.4164718", \
+					  "0.4966546, 0.4970024, 0.4966595, 0.4964978, 0.4969336, 0.4970170, 0.4973440", \
+					  "0.6846477, 0.6853264, 0.6838441, 0.6803030, 0.6749583, 0.6724451, 0.6725530");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8063441, 6.8019987, 6.8286592, 6.9387751, 6.8772523, 5.5526196, -0.0471722", \
+					  "6.7979162, 6.8008483, 6.8256084, 6.9460346, 6.8500867, 5.6071616, -0.2559636", \
+					  "6.7937803, 6.8028872, 6.8274552, 6.9457928, 6.8528867, 5.6104708, -0.0844546", \
+					  "6.7928323, 6.8018615, 6.8254117, 6.9457165, 6.8716759, 5.6068955, -0.1370458", \
+					  "6.7943715, 6.8018537, 6.8262734, 6.9356178, 6.8707778, 5.5937199, -0.1486308", \
+					  "6.7951313, 6.8009140, 6.8255631, 6.9360407, 6.8709361, 5.5893961, -0.1088908", \
+					  "6.7910924, 6.8005891, 6.8247326, 6.9445647, 6.8700323, 5.6625862, -0.1697145");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0664202, 6.0499555, 6.0650844, 6.0168773, 5.9938762, 4.7430270, -11.8777588", \
+					  "6.0662158, 6.0655249, 6.0608061, 6.0368530, 5.8798020, 4.8019558, -11.7927808", \
+					  "6.0686703, 6.0646631, 6.0648773, 6.0385143, 5.8559555, 4.7614365, -11.5266428", \
+					  "6.0628630, 6.0651420, 6.0601170, 6.0354067, 5.8757753, 4.8161888, -11.5984388", \
+					  "6.0658698, 6.0653212, 6.0597814, 6.0379715, 5.8890724, 4.7496800, -11.7627516", \
+					  "6.0672949, 6.0657957, 6.0617499, 6.0380293, 5.8818222, 4.7968598, -11.8405277", \
+					  "6.0663842, 6.0607754, 6.0616992, 6.0324526, 5.8816843, 4.8770413, -11.7357918");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8964916, 2.9036814, 2.9191762, 2.9100541, 2.9082457, 2.8960508, 2.9335913", \
+					  "2.9050112, 2.9008745, 2.9016272, 2.9004500, 2.9071798, 2.9144719, 2.8986725", \
+					  "2.9046733, 2.9071674, 2.8987366, 2.9166886, 2.9033137, 2.9232051, 2.8943916", \
+					  "2.9091523, 2.9015813, 2.9006244, 2.9042203, 2.9076593, 2.9138662, 2.9047632", \
+					  "2.9145582, 2.9004174, 2.9104398, 2.9041590, 2.9133014, 2.9092957, 2.9138568", \
+					  "2.9019755, 2.9012488, 2.9154595, 2.9050470, 2.9074318, 2.9123326, 2.9084859", \
+					  "2.9107336, 2.9024698, 2.8967173, 2.9053338, 2.9078453, 2.9115628, 2.9120596");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9042179, 2.8948950, 2.9193975, 2.9106545, 2.8933067, 2.9668405, 2.8880606", \
+					  "2.9007952, 2.9064924, 2.9040454, 2.9057389, 2.8978459, 2.8031532, 2.8992938", \
+					  "2.9061792, 2.9050979, 2.9148600, 2.9057896, 2.9097955, 2.8234065, 2.9250879", \
+					  "2.9015607, 2.9138981, 2.9030795, 2.9040078, 2.8916366, 2.9018205, 2.9072328", \
+					  "2.9021432, 2.9102006, 2.8970826, 2.9046243, 2.8671853, 2.8773022, 2.9159425", \
+					  "2.9011914, 2.9125191, 2.8918870, 2.9135435, 2.9007013, 2.8964235, 2.9076322", \
+					  "2.9024616, 2.9050025, 2.8964597, 2.9075439, 2.8892204, 2.9096945, 2.9078633");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0480924, 6.0518416, 6.0651434, 6.0171388, 5.9586114, 4.5522312, -11.5433108", \
+					  "6.0657934, 6.0656060, 6.0623942, 6.0355884, 5.8809625, 4.7953713, -11.5782298", \
+					  "6.0686690, 6.0648583, 6.0650595, 6.0386626, 5.8801223, 4.7761612, -11.8780318", \
+					  "6.0627780, 6.0654157, 6.0602479, 6.0353247, 5.8762293, 4.8370484, -11.4894128", \
+					  "6.0665823, 6.0649825, 6.0599544, 6.0355928, 5.8875411, 4.7291153, -11.9317556", \
+					  "6.0673817, 6.0656725, 6.0618067, 6.0382496, 5.8818868, 4.7474614, -11.8210817", \
+					  "6.0611632, 6.0611549, 6.0626542, 6.0343738, 5.8887867, 4.7897262, -11.7978758");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1631661, 6.1592912, 6.1581775, 6.1630083, 6.1796521, 5.9342707, 0.1778229", \
+					  "6.1556607, 6.1546550, 6.1568920, 6.1593366, 6.1718574, 5.9729605, 0.0923157", \
+					  "6.1560210, 6.1562821, 6.1588217, 6.1642067, 6.1740986, 5.9740993, 0.1346876", \
+					  "6.1570963, 6.1556668, 6.1564752, 6.1624925, 6.1720916, 5.9740929, -0.1594439", \
+					  "6.1573591, 6.1550810, 6.1565715, 6.1627454, 6.1720995, 5.9750423, 0.0924561", \
+					  "6.1546161, 6.1537223, 6.1565200, 6.1626141, 6.1732635, 5.9739347, 0.0943975", \
+					  "6.1549518, 6.1544306, 6.1569420, 6.1596391, 6.1726239, 5.9762987, 0.0906500");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1409755, 0.1410173, 0.1410972, 0.1409846, 0.1410597, 0.1409488, 0.1409380", \
+					  "0.1931689, 0.1929789, 0.1930111, 0.1930604, 0.1929785, 0.1931775, 0.1930608", \
+					  "0.2389632, 0.2387869, 0.2388885, 0.2390796, 0.2392606, 0.2393121, 0.2393164", \
+					  "0.2819128, 0.2821895, 0.2822390, 0.2823163, 0.2826389, 0.2828196, 0.2829753", \
+					  "0.3512477, 0.3515253, 0.3511342, 0.3506179, 0.3509144, 0.3512427, 0.3513352", \
+					  "0.5295401, 0.5297513, 0.5289943, 0.5255526, 0.5204362, 0.5180052, 0.5180246", \
+					  "0.7816909, 0.7822651, 0.7840275, 0.7896536, 0.7895918, 0.7869814, 0.7866024");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.4961671, 4.5010126, 4.5251821, 4.6582501, 5.0263085, 6.0015666, 6.4239354", \
+					  "4.4805649, 4.4905344, 4.5259045, 4.6534175, 5.0205856, 5.9919883, 6.4187567", \
+					  "4.4972255, 4.4999100, 4.5219284, 4.6554688, 5.0227696, 5.9970553, 6.4179409", \
+					  "4.4871127, 4.4927354, 4.5332446, 4.6537151, 5.0217471, 5.9888333, 6.4187416", \
+					  "4.4865137, 4.4852621, 4.5284042, 4.6531664, 5.0215735, 5.9919111, 6.4164031", \
+					  "4.4868162, 4.4920317, 4.5236791, 4.6529238, 5.0201813, 5.9870268, 6.4112296", \
+					  "4.4961015, 4.4998307, 4.5180393, 4.6529131, 5.0180924, 5.9937486, 6.4165033");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7391355, 7.7413586, 7.7719591, 7.9100327, 7.9014475, 6.8070521, 1.0321856", \
+					  "7.7338900, 7.7334173, 7.7667440, 7.9173362, 7.8952254, 6.7524989, 1.1522758", \
+					  "7.7365419, 7.7424356, 7.7706275, 7.9183357, 7.8986717, 6.7707385, 0.9327627", \
+					  "7.7344625, 7.7402695, 7.7667865, 7.9127158, 7.9121398, 6.7707716, 1.0643147", \
+					  "7.7359289, 7.7354475, 7.7684966, 7.9175891, 7.8980630, 6.8384918, 1.0650691", \
+					  "7.7353864, 7.7416808, 7.7707105, 7.9175627, 7.8944753, 6.7652787, 0.8940137", \
+					  "7.7324951, 7.7403881, 7.7692044, 7.9167730, 7.8963224, 6.7568580, 0.9371218");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389306, 0.1389323, 0.1389176, 0.1389308, 0.1389343, 0.1389385, 0.1389353", \
+					  "0.1927718, 0.1927722, 0.1927722, 0.1927727, 0.1927838, 0.1927836, 0.1927818", \
+					  "0.2497893, 0.2498342, 0.2497929, 0.2498326, 0.2497941, 0.2497940, 0.2497923", \
+					  "0.2988597, 0.2990837, 0.2988632, 0.2988737, 0.2988641, 0.2988639, 0.2988622", \
+					  "0.3705823, 0.3708505, 0.3705854, 0.3708493, 0.3705853, 0.3705840, 0.3705821", \
+					  "0.4746079, 0.4745192, 0.4746111, 0.4745183, 0.4746052, 0.4745967, 0.4745923", \
+					  "0.7087326, 0.7074464, 0.7087298, 0.7075961, 0.7087359, 0.7087974, 0.7088235");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0670685, 3.0689390, 3.0856164, 3.1402398, 3.2080684, 3.4035617, 5.3271714", \
+					  "3.0606903, 3.0711991, 3.0805321, 3.1384734, 3.2162035, 3.4814779, 5.1192455", \
+					  "3.0710143, 3.0648660, 3.0826877, 3.1412255, 3.2182335, 3.4824747, 5.1386525", \
+					  "3.0685130, 3.0708334, 3.0813450, 3.1390573, 3.2157084, 3.4759978, 5.1126168", \
+					  "3.0599312, 3.0711722, 3.0803207, 3.1367554, 3.2149270, 3.4612766, 5.1747516", \
+					  "3.0593711, 3.0620850, 3.0751870, 3.1371617, 3.2162547, 3.4918485, 5.1177061", \
+					  "3.0590122, 3.0617407, 3.0747995, 3.1352960, 3.2122407, 3.4759493, 5.1287515");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389449, 0.1388876, 0.1389517, 0.1389569, 0.1386845, 0.1389590, 0.1389075", \
+					  "0.1945308, 0.1945307, 0.1945351, 0.1945339, 0.1945347, 0.1945279, 0.1945304", \
+					  "0.2675144, 0.2674928, 0.2674958, 0.2674984, 0.2675028, 0.2675041, 0.2675307", \
+					  "0.3423373, 0.3423186, 0.3423306, 0.3423378, 0.3423466, 0.3423492, 0.3423657", \
+					  "0.4159318, 0.4156828, 0.4156976, 0.4157128, 0.4157303, 0.4155875, 0.4159835", \
+					  "0.4965471, 0.4968158, 0.4968317, 0.4968031, 0.4967865, 0.4967833, 0.4965027", \
+					  "0.6732265, 0.6743232, 0.6742618, 0.6739012, 0.6736058, 0.6735225, 0.6723503");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4833842, 5.4740804, 5.4641617, 5.4488415, 5.2784387, 4.0716515, -12.1368971", \
+					  "5.4656160, 5.4637828, 5.4568140, 5.4356890, 5.2772901, 4.1611634, -12.0528358", \
+					  "5.4664250, 5.4652536, 5.4600668, 5.4265688, 5.2790159, 4.1559680, -12.3689505", \
+					  "5.4645627, 5.4621380, 5.4590988, 5.4308918, 5.2728042, 4.1673977, -12.1727111", \
+					  "5.4678635, 5.4626013, 5.4575441, 5.4394353, 5.2794513, 4.1528503, -11.8585705", \
+					  "5.4645710, 5.4621051, 5.4596010, 5.4415456, 5.2810152, 4.1772895, -12.2186261", \
+					  "5.4626880, 5.4633832, 5.4571165, 5.4197380, 5.2766328, 4.2174015, -12.3844187");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7588468, 2.7576296, 2.7505830, 2.7880328, 3.0101132, 4.2530372, 4.7725817", \
+					  "2.7415890, 2.7464356, 2.7521856, 2.7904100, 3.0115959, 4.3958502, 4.6965398", \
+					  "2.7460709, 2.7493801, 2.7552042, 2.7920686, 3.0097308, 4.4031570, 4.6972312", \
+					  "2.7442253, 2.7421456, 2.7529397, 2.7917279, 3.0079586, 4.4044077, 4.6951183", \
+					  "2.7456099, 2.7351190, 2.7511174, 2.7957909, 3.0078149, 4.3972607, 4.6928854", \
+					  "2.7464777, 2.7470122, 2.7492201, 2.7911303, 3.0047958, 4.3855336, 4.6954159", \
+					  "2.7436870, 2.7442716, 2.7542519, 2.7867066, 3.0084988, 4.3736928, 4.6977712");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1389368, 0.1369396, 0.1389409, 0.1389426, 0.1389376, 0.1389378, 0.1389357", \
+					  "0.1927754, 0.1930804, 0.1927850, 0.1927874, 0.1927826, 0.1927743, 0.1927755", \
+					  "0.2498366, 0.2495841, 0.2497906, 0.2497928, 0.2497877, 0.2497890, 0.2498370", \
+					  "0.2988756, 0.2988497, 0.2988595, 0.2988616, 0.2988565, 0.2988577, 0.2988759", \
+					  "0.3708853, 0.3706645, 0.3706161, 0.3706180, 0.3706134, 0.3706136, 0.3708848", \
+					  "0.4752285, 0.4755426, 0.4753236, 0.4753251, 0.4753196, 0.4753182, 0.4752259", \
+					  "0.7021480, 0.7022038, 0.7034252, 0.7034278, 0.7034402, 0.7034670, 0.7021977");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1393458, 0.1389488, 0.1393392, 0.1390564, 0.1396358, 0.1387701, 0.1389589", \
+					  "0.1944944, 0.1945268, 0.1943276, 0.1944727, 0.1945132, 0.1942827, 0.1945152", \
+					  "0.2673759, 0.2674979, 0.2672711, 0.2675413, 0.2673946, 0.2674033, 0.2675551", \
+					  "0.3423864, 0.3423749, 0.3422367, 0.3424861, 0.3426047, 0.3426680, 0.3426956", \
+					  "0.4155623, 0.4155901, 0.4156313, 0.4158002, 0.4163462, 0.4164973, 0.4164578", \
+					  "0.4970917, 0.4969441, 0.4964341, 0.4968246, 0.4966475, 0.4969932, 0.4973187", \
+					  "0.6853028, 0.6854189, 0.6838555, 0.6808546, 0.6745359, 0.6723199, 0.6726511");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6361292, 5.6107159, 5.6196359, 5.6318210, 5.6374970, 5.3250850, -0.4796997", \
+					  "5.6191351, 5.6202336, 5.6193533, 5.6239338, 5.6366693, 5.4670554, -0.4683210", \
+					  "5.6230393, 5.6219230, 5.6278310, 5.6250702, 5.6381205, 5.4305609, -0.6534001", \
+					  "5.6194597, 5.6185390, 5.6200352, 5.6232730, 5.6371096, 5.4428722, -0.4455412", \
+					  "5.6189115, 5.6204581, 5.6175437, 5.6251839, 5.6361681, 5.4276271, -0.5238907", \
+					  "5.6203553, 5.6170632, 5.6219803, 5.6224643, 5.6364782, 5.4389990, -0.4096983", \
+					  "5.6174444, 5.6167557, 5.6202768, 5.6268401, 5.6353467, 5.4672867, -0.6956736");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3329951, 7.3425448, 7.3643867, 7.4819836, 7.3977541, 6.2191344, 0.2212348", \
+					  "7.3325687, 7.3377364, 7.3623791, 7.4783332, 7.3920350, 6.1272160, 0.3227585", \
+					  "7.3343653, 7.3396172, 7.3640222, 7.4826833, 7.4124296, 6.1450905, 0.2175289", \
+					  "7.3291583, 7.3373557, 7.3621223, 7.4748447, 7.4047983, 6.1360896, 0.3705813", \
+					  "7.3339622, 7.3375644, 7.3619858, 7.4748486, 7.4051769, 6.1245460, 0.3133960", \
+					  "7.3284029, 7.3381538, 7.3629091, 7.4836155, 7.3899032, 6.1244098, 0.4088676", \
+					  "7.3307236, 7.3379843, 7.3624100, 7.4833853, 7.3939291, 6.1914851, 0.6366992");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1410509, 0.1408743, 0.1410096, 0.1409724, 0.1410358, 0.1409807, 0.1409441", \
+					  "0.1929760, 0.1931672, 0.1930981, 0.1929613, 0.1932627, 0.1929840, 0.1931774", \
+					  "0.2390213, 0.2389686, 0.2390390, 0.2391835, 0.2392864, 0.2393918, 0.2393310", \
+					  "0.2820284, 0.2818836, 0.2822520, 0.2822803, 0.2827460, 0.2830573, 0.2828885", \
+					  "0.3514047, 0.3512501, 0.3512960, 0.3509085, 0.3510591, 0.3520300, 0.3513409", \
+					  "0.5298844, 0.5293302, 0.5289396, 0.5252107, 0.5201190, 0.5183572, 0.5175473", \
+					  "0.7818206, 0.7819779, 0.7842433, 0.7892195, 0.7892794, 0.7865153, 0.7863004");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1386930, 0.1389536, 0.1389570, 0.1389516, 0.1389559, 0.1389560, 0.1387027", \
+					  "0.1945269, 0.1945271, 0.1945289, 0.1945218, 0.1945145, 0.1945114, 0.1945113", \
+					  "0.2674985, 0.2674946, 0.2675039, 0.2675548, 0.2675771, 0.2675835, 0.2675780", \
+					  "0.3423753, 0.3423733, 0.3423907, 0.3424818, 0.3426301, 0.3427022, 0.3427110", \
+					  "0.4155885, 0.4155895, 0.4156280, 0.4160481, 0.4164352, 0.4166583, 0.4167020", \
+					  "0.4969640, 0.4969443, 0.4971092, 0.4963899, 0.4965371, 0.4969470, 0.4970330", \
+					  "0.6855510, 0.6852438, 0.6846332, 0.6799013, 0.6741171, 0.6716984, 0.6714915");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6197629, 5.6161382, 5.6243500, 5.6290025, 5.6370503, 5.4308381, -0.5759553", \
+					  "5.6208811, 5.6195328, 5.6205862, 5.6217454, 5.6362564, 5.4419570, -0.4561686", \
+					  "5.6213054, 5.6204637, 5.6230241, 5.6352071, 5.6404910, 5.4391755, -0.4109097", \
+					  "5.6214581, 5.6196037, 5.6213362, 5.6238728, 5.6367896, 5.4354654, -0.4203243", \
+					  "5.6193196, 5.6196197, 5.6208870, 5.6228494, 5.6390729, 5.4651699, -0.4547067", \
+					  "5.6186717, 5.6181921, 5.6213744, 5.6252580, 5.6351182, 5.4377561, -0.4609163", \
+					  "5.6182168, 5.6204472, 5.6208145, 5.6227145, 5.6328665, 5.4344475, -0.4098421");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7949357, 6.8028704, 6.8277710, 6.9486704, 6.8772549, 5.5893497, -0.3314092", \
+					  "6.7940109, 6.8025539, 6.8260785, 6.9411384, 6.8571019, 5.5894245, -0.2474697", \
+					  "6.7994234, 6.8040338, 6.8282853, 6.9487445, 6.8559476, 5.5913745, -0.1843366", \
+					  "6.7925981, 6.8022922, 6.8263510, 6.9390434, 6.8541079, 5.5687181, -0.2121224", \
+					  "6.7934694, 6.8020433, 6.8266742, 6.9473810, 6.8738534, 5.5862072, -0.2138287", \
+					  "6.7922481, 6.8022870, 6.8246163, 6.9380837, 6.8570314, 5.6083904, -0.0264759", \
+					  "6.7963187, 6.8014118, 6.8261295, 6.9434783, 6.8741748, 5.6006865, -0.0545745");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1388645, 0.1386857, 0.1389457, 0.1389087, 0.1389480, 0.1389608, 0.1388456", \
+					  "0.1945264, 0.1945302, 0.1945274, 0.1945214, 0.1945165, 0.1945155, 0.1945108", \
+					  "0.2675209, 0.2674962, 0.2675266, 0.2675252, 0.2675466, 0.2675511, 0.2675774", \
+					  "0.3423720, 0.3423781, 0.3424057, 0.3424660, 0.3426139, 0.3426831, 0.3427636", \
+					  "0.4155960, 0.4156056, 0.4158837, 0.4158139, 0.4162004, 0.4164209, 0.4167164", \
+					  "0.4969848, 0.4969720, 0.4966080, 0.4966969, 0.4969167, 0.4972541, 0.4970608", \
+					  "0.6854611, 0.6848719, 0.6833666, 0.6809883, 0.6751885, 0.6729649, 0.6713981");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1386936, 0.1389356, 0.1389414, 0.1389387, 0.1389438, 0.1389457, 0.1389369", \
+					  "0.1927837, 0.1927851, 0.1927864, 0.1927753, 0.1927842, 0.1927826, 0.1927752", \
+					  "0.2497916, 0.2497930, 0.2497946, 0.2498389, 0.2497933, 0.2497919, 0.2498384", \
+					  "0.2988513, 0.2988526, 0.2988543, 0.2988681, 0.2988521, 0.2988504, 0.2988673", \
+					  "0.3706327, 0.3706342, 0.3706341, 0.3708978, 0.3706251, 0.3706227, 0.3708925", \
+					  "0.4747139, 0.4747145, 0.4747124, 0.4747016, 0.4746929, 0.4746892, 0.4745951", \
+					  "0.7091051, 0.7091114, 0.7091208, 0.7078980, 0.7092790, 0.7093055, 0.7080028");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4458148, 2.4385581, 2.4262049, 2.4144799, 2.1975954, 2.5285932, 2.2814342", \
+					  "2.4462194, 2.4443175, 2.4459609, 2.4554423, 2.4217511, 2.4541321, 2.4554969", \
+					  "2.4484658, 2.4506882, 2.4507880, 2.4520244, 2.4592003, 2.4538576, 2.4502567", \
+					  "2.4473753, 2.4476642, 2.4478639, 2.4423199, 2.4455036, 2.4302027, 2.4769799", \
+					  "2.4436234, 2.4464115, 2.4521283, 2.4704737, 2.5536918, 2.4482514, 2.4613319", \
+					  "2.4434820, 2.4441366, 2.4515097, 2.4740498, 2.5340901, 2.7873434, 2.4825599", \
+					  "2.4437641, 2.4441917, 2.4496075, 2.4683846, 2.5269914, 2.5100112, 2.4508372");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3976561, 7.4083957, 7.4339742, 7.5521245, 7.4617931, 6.2574488, 0.5494314", \
+					  "7.3988447, 7.4043498, 7.4274659, 7.5485244, 7.4709962, 6.2020099, 0.3236273", \
+					  "7.3986715, 7.4054101, 7.4306347, 7.5474943, 7.4582723, 6.2657115, 0.3252516", \
+					  "7.3991448, 7.4054956, 7.4292050, 7.5393296, 7.4725418, 6.2084579, 0.4675144", \
+					  "7.3970853, 7.4050720, 7.4291187, 7.5493038, 7.4558760, 6.1973614, 0.3033022", \
+					  "7.4000947, 7.4047463, 7.4287627, 7.5405622, 7.4708211, 6.2080031, 0.3861528", \
+					  "7.3959179, 7.4048414, 7.4290390, 7.5436279, 7.4718971, 6.2098931, 0.4320895");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6831130, 3.6784761, 3.6670382, 3.7237424, 3.7687867, 3.7819441, 3.7384177", \
+					  "3.6745534, 3.6813262, 3.6846590, 3.7065903, 3.7667353, 3.7324060, 3.7770899", \
+					  "3.6808235, 3.6818123, 3.6945759, 3.7237288, 3.7197455, 3.7347686, 3.7867964", \
+					  "3.6745656, 3.6826330, 3.6914384, 3.7219574, 3.7617411, 3.7633839, 3.7647322", \
+					  "3.6797363, 3.6771455, 3.6923239, 3.7239305, 3.7429271, 3.7367397, 3.7835734", \
+					  "3.6781198, 3.6829328, 3.6742323, 3.7148907, 3.8122152, 3.6722473, 3.7871702", \
+					  "3.6781221, 3.6822404, 3.6887833, 3.7181283, 3.7697377, 3.8265013, 3.7675098");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5489461, 6.5557111, 6.5683932, 6.5715109, 6.6761586, 6.6049694, 0.5206099", \
+					  "6.5470039, 6.5480491, 6.5559291, 6.5850625, 6.6713216, 6.5750670, 0.8157033", \
+					  "6.5517470, 6.5509395, 6.5591217, 6.5877963, 6.6729539, 6.5927355, 0.7852952", \
+					  "6.5467724, 6.5514288, 6.5561919, 6.5862131, 6.6716317, 6.5832280, 0.7035741", \
+					  "6.5485505, 6.5496898, 6.5547565, 6.5865041, 6.6717892, 6.5889627, 0.7719076", \
+					  "6.5457399, 6.5501821, 6.5532413, 6.5815533, 6.6732161, 6.5771761, 0.7711755", \
+					  "6.5463319, 6.5498066, 6.5548633, 6.5852140, 6.6717693, 6.5988802, 0.8118536");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6892847, 3.6910884, 3.7019023, 3.7574650, 3.9285200, 4.8089650, 5.5943754", \
+					  "3.6857272, 3.6873053, 3.6987307, 3.7516306, 3.9183644, 4.7906051, 5.5951269", \
+					  "3.6878105, 3.6897521, 3.7009198, 3.7534152, 3.9188458, 4.7937301, 5.5952465", \
+					  "3.6868575, 3.6888780, 3.6999707, 3.7519842, 3.9199310, 4.7930568, 5.5930648", \
+					  "3.6860021, 3.6881592, 3.6987224, 3.7484235, 3.9267045, 4.7918519, 5.5896946", \
+					  "3.6859824, 3.6880667, 3.6981676, 3.7503030, 3.9209234, 4.7918032, 5.5894312", \
+					  "3.6859206, 3.6878947, 3.6996310, 3.7510811, 3.9219137, 4.7819393, 5.5886694");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3951854, 6.3990452, 6.4013372, 6.3725442, 6.3175834, 5.2683381, -10.7447568", \
+					  "6.3892044, 6.3911998, 6.3847965, 6.3916152, 6.3175907, 5.3421040, -11.2666578", \
+					  "6.3950429, 6.3982973, 6.3951964, 6.4008972, 6.2984535, 5.3547102, -10.9679028", \
+					  "6.3933088, 6.3954451, 6.3949186, 6.3971013, 6.3145619, 5.3672714, -10.9997018", \
+					  "6.3934047, 6.3961555, 6.3928452, 6.3887526, 6.3006186, 5.3278061, -10.8515046", \
+					  "6.3901547, 6.3897549, 6.3881810, 6.3907437, 6.3132726, 5.3758931, -10.9504797", \
+					  "6.3874252, 6.3907112, 6.3857434, 6.3978140, 6.3125289, 5.3119894, -11.0637738");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9136602, 2.9149612, 2.9170928, 2.9387679, 3.0688566, 3.9343040, 4.7330028", \
+					  "2.9096809, 2.9100840, 2.9143410, 2.9341101, 3.0675331, 3.9274952, 4.7300619", \
+					  "2.9127131, 2.9134811, 2.9173181, 2.9387537, 3.0715960, 3.9315721, 4.7295805", \
+					  "2.9120734, 2.9120233, 2.9143591, 2.9344845, 3.0733057, 3.9314942, 4.7287649", \
+					  "2.9116482, 2.9121832, 2.9158077, 2.9362843, 3.0718172, 3.9216413, 4.7285735", \
+					  "2.9106014, 2.9111229, 2.9138518, 2.9347485, 3.0681917, 3.9245659, 4.7311455", \
+					  "2.9119457, 2.9121376, 2.9139602, 2.9354823, 3.0695957, 3.9303314, 4.7427939");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8481544, 2.8457895, 2.8300295, 2.8835595, 2.9907124, 3.7298981, 3.9895326", \
+					  "2.8932849, 2.8968979, 2.9031510, 2.9377646, 3.0572771, 3.5853712, 4.0319915", \
+					  "2.9697637, 2.9691933, 2.9771749, 3.0108417, 3.1368448, 3.6554149, 4.1054148", \
+					  "3.0424879, 3.0427510, 3.0493281, 3.0877044, 3.2106152, 3.7417267, 4.1803570", \
+					  "3.1157945, 3.1161687, 3.1255351, 3.1644647, 3.2895533, 3.8036786, 4.2596993", \
+					  "3.1966124, 3.1977241, 3.2049598, 3.2459430, 3.3719340, 3.9276455, 4.3388172", \
+					  "3.3789780, 3.3794327, 3.3871333, 3.4207593, 3.5422021, 4.0849745, 4.5104799");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0560466, 6.0578778, 6.0613591, 6.0767510, 5.9835319, 5.0575297, -5.0704834", \
+					  "6.1034534, 6.1036409, 6.1117433, 6.1359027, 6.0501579, 5.1605088, -5.0165903", \
+					  "6.1613951, 6.1638850, 6.1698910, 6.1960743, 6.1125039, 5.2212506, -5.0057685", \
+					  "6.2089702, 6.2118081, 6.2161328, 6.2416565, 6.1576909, 5.2628956, -4.8671239", \
+					  "6.2807137, 6.2825892, 6.2881830, 6.3150432, 6.2341419, 5.3377621, -4.8135391", \
+					  "6.3838133, 6.3833194, 6.3916051, 6.4168112, 6.3345441, 5.4421059, -4.7356989", \
+					  "6.6190650, 6.6212688, 6.6258727, 6.6550570, 6.5728660, 5.6914058, -4.5570272");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.0941052, 11.1006182, 11.1219061, 11.1595079, 11.1194147, 9.6434223, -7.0495780", \
+					  "11.1088545, 11.1108394, 11.1239206, 11.1869094, 11.0973651, 9.7167222, -7.0771499", \
+					  "11.1366686, 11.1390446, 11.1535330, 11.2165951, 11.1175556, 9.7533219, -6.8954345", \
+					  "11.1716703, 11.1769796, 11.1888294, 11.2491584, 11.1641049, 9.7997776, -6.9966384", \
+					  "11.2571292, 11.2597884, 11.2719096, 11.3347494, 11.2442610, 9.8573741, -6.8770294", \
+					  "11.3136377, 11.3174779, 11.3296050, 11.3930361, 11.3020486, 9.9244767, -6.7919299", \
+					  "11.3447404, 11.3492228, 11.3622775, 11.4250265, 11.3373179, 9.9714653, -6.7628620");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.7773361, 5.7792265, 5.8013701, 5.8779307, 6.1072958, 7.0196764, 7.7260432", \
+					  "5.7864891, 5.7930848, 5.8100118, 5.8850131, 6.1213916, 6.9868211, 7.7395411", \
+					  "5.8117615, 5.8158709, 5.8301715, 5.9104175, 6.1295283, 7.0027388, 7.7641735", \
+					  "5.8219525, 5.8265943, 5.8441080, 5.9203266, 6.1465665, 7.0045456, 7.7702197", \
+					  "5.8457339, 5.8465711, 5.8657527, 5.9420949, 6.1683486, 7.0530411, 7.7985555", \
+					  "5.9031460, 5.9081517, 5.9205677, 6.0009064, 6.2329926, 7.1649659, 7.8511914", \
+					  "5.9915177, 5.9933237, 6.0051443, 6.0894444, 6.3239418, 7.2129000, 7.9361531");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9629494, 7.9787679, 7.9787682, 7.9787686, 7.9787691, 7.9787696, 7.9787701", \
+					  "8.0406242, 8.0406251, 8.0481186, 8.0481191, 8.0481201, 8.0481210, 8.1523499", \
+					  "8.0615532, 8.0615535, 8.0635223, 8.0635229, 8.0635238, 8.0635248, 8.1804785", \
+					  "8.0705744, 8.0722176, 8.0729246, 8.0729251, 8.0729261, 8.0729270, 8.1366593", \
+					  "8.0737097, 8.0747418, 8.0754816, 8.0754819, 8.0754829, 8.0754838, 8.1383256", \
+					  "8.0714351, 8.0714355, 8.0746085, 8.0770377, 8.0770383, 8.0770393, 8.1575884", \
+					  "8.0726537, 8.0726543, 8.0734299, 8.0734306, 8.0734315, 8.0734325, 8.1348723");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8460690, 17.0399430, 18.2494550, 25.6624200, 70.9623360, 349.8620600, 2069.2030000", \
+					  "16.9050440, 17.0961450, 18.2974680, 25.6915470, 71.0278770, 349.9334900, 2069.3558000", \
+					  "16.9208450, 17.1171410, 18.3265310, 25.7123020, 71.0329360, 349.9722800, 2069.4758000", \
+					  "16.9309190, 17.1251100, 18.3258630, 25.7167250, 71.0389830, 349.9623900, 2069.5827000", \
+					  "16.9408820, 17.1360290, 18.3312550, 25.7414910, 71.0838530, 349.9924900, 2069.3508000", \
+					  "16.9357430, 17.1307250, 18.3423620, 25.7501700, 71.0477650, 349.9397700, 2069.9367000", \
+					  "16.9242060, 17.1169690, 18.3308250, 25.7136200, 71.0349040, 349.9604600, 2068.6284000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7435480, 15.1311480, 17.4809180, 32.0237100, 122.1926300, 677.9806700, 4105.5532000", \
+					  "14.7490760, 15.1316120, 17.4737020, 32.0442980, 122.1433300, 678.2944400, 4103.8238000", \
+					  "14.7480840, 15.1199500, 17.4804240, 32.0028090, 122.1669500, 678.1865000, 4105.6023000", \
+					  "14.7452220, 15.1316720, 17.4735710, 32.0125610, 122.1660600, 678.2646000, 4106.7383000", \
+					  "14.7378310, 15.1266040, 17.4662350, 32.0313870, 122.0041100, 678.2370900, 4103.5564000", \
+					  "14.7468690, 15.1269770, 17.4657870, 32.0195430, 122.1900300, 678.1198700, 4104.8961000", \
+					  "14.7435060, 15.1312940, 17.4803740, 32.0084550, 122.1656700, 678.2879600, 4104.3477000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.0411090, 13.0922540, 13.4018150, 15.2391890, 20.4271910, 39.6595890, 83.4141150", \
+					  "13.1688380, 13.2200970, 13.5308950, 15.3647020, 20.5496460, 39.7918920, 83.5532960", \
+					  "13.2579330, 13.3088270, 13.6191910, 15.4526830, 20.6429330, 39.8914790, 83.6507110", \
+					  "13.3261340, 13.3765410, 13.6871640, 15.5228450, 20.7093540, 39.9475900, 83.6990920", \
+					  "13.3837960, 13.4367340, 13.7475360, 15.5813000, 20.7669030, 40.0168640, 83.8101850", \
+					  "13.4396860, 13.4910840, 13.8018090, 15.6367220, 20.8229500, 40.0574330, 83.8149390", \
+					  "13.5057050, 13.5538820, 13.8647210, 15.6992210, 20.8842760, 40.1268140, 83.8869950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0683962, 3.1379699, 3.5803487, 5.1911093, 7.0754766, 29.4389330, 48.6930210", \
+					  "3.0677445, 3.1380751, 3.5771597, 5.1859899, 7.0584973, 29.4654240, 48.6912730", \
+					  "3.0697134, 3.1339331, 3.5736480, 5.1940705, 7.0508244, 29.4585850, 48.7410080", \
+					  "3.0689922, 3.1380403, 3.5773440, 5.1856128, 7.0496609, 29.4374870, 48.7098290", \
+					  "3.0709881, 3.1385261, 3.5764824, 5.1867266, 7.0615611, 29.4601070, 48.6811090", \
+					  "3.0709328, 3.1375029, 3.5751582, 5.1853339, 7.0444486, 29.4413350, 48.6220420", \
+					  "3.0694631, 3.1381058, 3.5760057, 5.1857016, 7.0592927, 29.4645070, 48.7433030");
+				}
+			}
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("8.1045402, 8.1175884, 8.2061895, 8.7440535, 12.1801480, 33.8342470, 168.4004800", \
+                                          "8.1751699, 8.1883362, 8.2723721, 8.8136805, 12.2368860, 33.9003030, 168.4546300", \
+                                          "8.1992368, 8.2125791, 8.2972820, 8.8375414, 12.2621900, 33.9240780, 168.5308600", \
+                                          "8.2081488, 8.2221625, 8.3058627, 8.8467740, 12.2705990, 33.9331620, 168.5418500", \
+                                          "8.2124370, 8.2270510, 8.3141289, 8.8523002, 12.2881790, 33.9426370, 168.5073700", \
+                                          "8.2131724, 8.2264409, 8.3138278, 8.8515488, 12.2783110, 33.9384380, 168.4947100", \
+                                          "8.2064942, 8.2209279, 8.3068654, 8.8460501, 12.2742150, 33.9910680, 168.4992600");
+                                } 
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("1.4356513, 1.4657509, 1.6544278, 2.8655114, 10.5576610, 58.2041010, 352.2260700", \
+                                          "1.4374112, 1.4671412, 1.6577723, 2.8655043, 10.5569870, 58.2919240, 352.3997200", \
+                                          "1.4380026, 1.4683451, 1.6548622, 2.8652056, 10.5558890, 58.1558130, 352.4860700", \
+                                          "1.4376862, 1.4685894, 1.6580997, 2.8651692, 10.5572180, 58.1561470, 352.5368900", \
+                                          "1.4353330, 1.4656414, 1.6547561, 2.8656613, 10.5581470, 58.2005410, 352.2136800", \
+                                          "1.4380340, 1.4683103, 1.6550233, 2.8654168, 10.5568730, 58.2912030, 352.3712500", \
+                                          "1.4350879, 1.4652890, 1.6542954, 2.8656308, 10.5543040, 58.1983740, 352.2132800");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("144.8838000, 144.8992900, 144.9842600, 145.4805100, 147.8272400, 156.7105000, 194.3991600", \
+                                          "144.9635100, 145.0219400, 145.0893700, 145.5874100, 147.9758400, 156.8605400, 194.5506500", \
+                                          "145.0580200, 145.1219000, 145.1891900, 145.6808100, 148.0948800, 156.9353400, 194.6178000", \
+                                          "145.2355600, 145.2355729, 145.2355881, 145.7321900, 148.1230800, 156.9644800, 194.6114900", \
+                                          "145.2218600, 145.2218705, 145.3062600, 145.8038000, 148.1954500, 157.0487300, 194.6872500", \
+                                          "145.3386000, 145.3386002, 145.3996400, 145.9020100, 148.2640800, 157.1244500, 194.8142500", \
+                                          "145.3937100, 145.3937150, 145.4474900, 145.9398800, 148.3609500, 157.1716400, 194.8784000");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("144.7162000, 144.7314000, 144.5698100, 143.5964200, 137.5852000, 98.6488970, 73.8010190", \
+                                          "144.7240300, 144.7157100, 144.5453800, 143.6667200, 137.5919400, 98.5066110, 73.7990580", \
+                                          "144.7121300, 144.7054100, 144.5502600, 143.6061300, 137.5697400, 98.5733450, 73.7760860", \
+                                          "144.7901800, 144.6815800, 144.5335300, 143.6531400, 137.5302100, 98.5322470, 73.9906340", \
+                                          "144.7336800, 144.6909300, 144.5453800, 143.6652000, 137.5440100, 98.5309260, 74.0288330", \
+                                          "144.7761800, 144.7550800, 144.5873200, 143.7188800, 137.5808100, 98.4923930, 73.9956720", \
+                                          "144.7756400, 144.6972700, 144.5736900, 143.7133800, 137.5805100, 98.4783170, 73.8069450");
+                                }
+                        }
+                        timing () {
+                                related_pin : "OUT";
+                                timing_type : "combinational";
+                                timing_sense : "positive_unate";
+                                when : "( !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+                                sdf_cond : " OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+                                cell_rise ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("8.0720897, 8.0862277, 8.1705940, 8.7075893, 12.1187320, 33.8245800, 170.2877600", \
+                                          "8.1416216, 8.1543821, 8.2406180, 8.7762375, 12.1917810, 33.8935000, 170.3567600", \
+                                          "8.1649336, 8.1792466, 8.2640943, 8.8008578, 12.2055910, 33.9158920, 170.3724300", \
+                                          "8.1746228, 8.1884313, 8.2708755, 8.8095025, 12.2150690, 33.9249700, 170.3790400", \
+                                          "8.1807467, 8.1948794, 8.2789748, 8.8162224, 12.2283090, 33.9295950, 170.3887700", \
+                                          "8.1796099, 8.1936822, 8.2775653, 8.8149719, 12.2278040, 33.9280320, 170.3875800", \
+                                          "8.1738314, 8.1879579, 8.2722673, 8.8093799, 12.2138490, 33.9234210, 170.3816000");
+                                }
+                                rise_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("1.4406890, 1.4709229, 1.6608152, 2.8677525, 10.5569080, 58.1612450, 351.9406300", \
+                                          "1.4425634, 1.4726801, 1.6590683, 2.8678475, 10.5564300, 58.1526190, 352.0828800", \
+                                          "1.4415095, 1.4714406, 1.6610711, 2.8680256, 10.5582360, 58.2065310, 352.1080300", \
+                                          "1.4418022, 1.4724849, 1.6610902, 2.8676228, 10.5585510, 58.1772640, 352.2260700", \
+                                          "1.4410074, 1.4711659, 1.6613425, 2.8678275, 10.5574510, 58.2051880, 352.1761600", \
+                                          "1.4409360, 1.4713494, 1.6614268, 2.8677540, 10.5573280, 58.2051560, 352.1892300", \
+                                          "1.4410558, 1.4710617, 1.6612891, 2.8678908, 10.5582330, 58.2056930, 352.1288600");
+                                }
+                                cell_fall ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("18.6831560, 18.6983370, 18.8056700, 19.4347290, 23.4749750, 51.8632180, 153.6117700", \
+                                          "18.8140290, 18.8172230, 18.9287890, 19.5574130, 23.5820130, 51.9785390, 153.7400600", \
+                                          "18.8891870, 18.9119100, 19.0086380, 19.6393850, 23.6763750, 52.0640600, 153.8243900", \
+                                          "18.9634210, 18.9866060, 19.0862930, 19.7231170, 23.7622560, 52.1438820, 153.7558100", \
+                                          "19.0248990, 19.0327840, 19.1477750, 19.7775560, 23.8161830, 52.2054220, 153.8226000", \
+                                          "19.0821030, 19.0942760, 19.1996290, 19.8389780, 23.8789080, 52.2249980, 153.9252400", \
+                                          "19.1365470, 19.1578240, 19.2661890, 19.8993690, 23.9396400, 52.3093890, 154.0673100");
+                                }
+                                fall_transition ("del_1_7_7") {
+                                        index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+                                        index_2("1.21511, 1.26677, 1.5854, 3.55031, 15.6676, 90.3922, 551.205");
+                                        values("119.8623600, 119.9649400, 119.7459300, 118.8693200, 116.4761400, 112.8559600, 106.2155000", \
+                                          "119.9331800, 119.9297900, 119.8125500, 118.8695300, 116.4027100, 112.9577200, 106.2100000", \
+                                          "119.9861400, 119.9884800, 119.7542600, 118.9202300, 116.4309700, 112.9652700, 106.0996300", \
+                                          "119.8936400, 119.8427000, 119.8119200, 119.0207700, 116.5081700, 112.8172200, 106.0364200", \
+                                          "119.9055200, 119.9410300, 119.8233700, 118.9753200, 116.4435800, 112.9516700, 106.0475900", \
+                                          "119.9862500, 119.9280200, 119.8332900, 119.0091400, 116.5042700, 112.9778500, 106.0733700", \
+                                          "119.9822000, 119.9617100, 119.8239100, 118.9315000, 116.5010200, 112.8926100, 106.0897200");
+                                }
+                        }
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6839388, 7.6910425, 7.6910427, 7.6910432, 7.6910437, 7.6910441, 7.6910446", \
+					  "7.7440507, 7.7440508, 7.7440513, 7.7440517, 7.7440522, 7.7440527, 7.7440532", \
+					  "7.7728863, 7.7728866, 7.7728870, 7.7728875, 7.7728880, 7.7728885, 7.7728889", \
+					  "7.7845863, 7.7845867, 7.7845872, 7.7845877, 7.7845881, 7.7845886, 7.7845891", \
+					  "7.7868112, 7.7868116, 7.7868121, 7.7868126, 7.7868131, 7.7868135, 7.7868140", \
+					  "7.7866193, 7.7866195, 7.7866200, 7.7866204, 7.7866209, 7.7866214, 7.7866219", \
+					  "7.7818019, 7.7818020, 7.7818025, 7.7818029, 7.7818034, 7.7818039, 7.7818044");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.4942106, 7.4999189, 7.4999192, 7.4999197, 7.4999202, 7.4999207, 7.4999211", \
+					  "7.5682314, 7.5682319, 7.5694571, 7.5694573, 7.5694578, 7.5694583, 7.5694588", \
+					  "7.5891906, 7.5891908, 7.5891912, 7.5891917, 7.5891922, 7.5891927, 7.5891932", \
+					  "7.5974915, 7.5974916, 7.5974920, 7.5974925, 7.5974930, 7.5974935, 7.5974939", \
+					  "7.5982092, 7.5982097, 7.5982101, 7.5982106, 7.5982111, 7.5982116, 7.5982121", \
+					  "7.5915052, 7.5915053, 7.5996418, 7.5996421, 7.5996426, 7.5996430, 7.5996435", \
+					  "7.5892457, 7.5892461, 7.5892466, 7.5892470, 7.5892475, 7.5892480, 7.5892485");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2506680, 10.3005390, 10.6058670, 12.5061130, 24.1278140, 95.6560700, 421.1600800", \
+					  "10.3021160, 10.3552720, 10.6605020, 12.5587800, 24.1769480, 95.7215680, 421.1374000", \
+					  "10.3250700, 10.3749200, 10.6802640, 12.5805690, 24.2022720, 95.7305770, 421.2334900", \
+					  "10.3287160, 10.3772930, 10.6980660, 12.5856610, 24.2007520, 95.7277920, 421.2499800", \
+					  "10.3360250, 10.3857500, 10.6911110, 12.5915700, 24.2133160, 95.7415690, 421.2137700", \
+					  "10.3292480, 10.3783000, 10.6992030, 12.5869700, 24.2025010, 95.7264060, 421.1729600", \
+					  "10.3303000, 10.3762180, 10.6846970, 12.5848410, 24.2050880, 95.7143480, 421.2109300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0685314, 3.1476419, 3.6299852, 6.6380510, 25.0380450, 138.9152800, 357.1721800", \
+					  "3.0701219, 3.1476020, 3.6300707, 6.6372121, 25.0367370, 138.9283400, 357.1139200", \
+					  "3.0685368, 3.1476444, 3.6299730, 6.6380581, 25.0380070, 138.9184400, 357.1636600", \
+					  "3.0690432, 3.1474328, 3.6319239, 6.6365988, 25.0454250, 138.9478700, 356.9659800", \
+					  "3.0685439, 3.1476446, 3.6299654, 6.6380537, 25.0379410, 138.9217500, 357.1632600", \
+					  "3.0690053, 3.1462459, 3.6319059, 6.6365051, 25.0413730, 138.9398800, 357.1422800", \
+					  "3.0684979, 3.1479495, 3.6313819, 6.6378099, 25.0416380, 138.9221500, 357.1549000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.8202520, 21.0246950, 22.2056720, 29.4754510, 73.7941620, 346.2774300, 2027.4078000", \
+					  "20.9583750, 21.1446900, 22.3341380, 29.6059730, 73.9175970, 346.2803300, 2027.2607000", \
+					  "21.0437270, 21.2313690, 22.4195740, 29.6896040, 73.9949330, 346.3261700, 2027.9247000", \
+					  "21.1147440, 21.3060800, 22.4906230, 29.7633100, 74.0710530, 346.3427400, 2026.4217000", \
+					  "21.1703310, 21.3646490, 22.5461750, 29.8185300, 74.1403740, 346.5252100, 2027.7316000", \
+					  "21.2119440, 21.4061250, 22.6024850, 29.8763680, 74.0995890, 346.3702900, 2026.4265000", \
+					  "21.2924900, 21.4654250, 22.6679370, 29.9447080, 74.2666940, 346.7013700, 2027.1441000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7647220, 14.1649230, 16.4847960, 30.6853350, 118.8824000, 663.1833600, 4023.7387000", \
+					  "13.7848990, 14.1530190, 16.4846840, 30.8152370, 118.8784500, 663.2163300, 4023.1503000", \
+					  "13.7853460, 14.1670120, 16.4843620, 30.7059800, 118.8352000, 663.1818800, 4023.4672000", \
+					  "13.7846050, 14.1509990, 16.4846730, 30.8293480, 118.8567100, 663.1297000, 4022.8724000", \
+					  "13.7833340, 14.1642540, 16.4849890, 30.8384070, 118.9539400, 663.2745300, 4022.2212000", \
+					  "13.7262110, 14.1445980, 16.4757420, 30.8159760, 118.9207500, 663.0937700, 4020.9657000", \
+					  "13.7857330, 14.1117800, 16.4847600, 30.8179190, 119.0273000, 663.2604900, 4023.0907000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6841999, 7.6842001, 7.6842006, 7.6842011, 7.6842015, 7.6842020, 7.6842025", \
+					  "7.7111633, 7.7111638, 7.7111643, 7.7150171, 7.7150176, 7.7150181, 7.7150186", \
+					  "7.7574018, 7.7574022, 7.7574027, 7.7574032, 7.7574037, 7.7574041, 7.7574046", \
+					  "7.7665332, 7.7665337, 7.7665341, 7.7665346, 7.7665351, 7.7665356, 7.7665360", \
+					  "7.7661841, 7.7661846, 7.7661851, 7.7661856, 7.7661860, 7.7661865, 7.7661870", \
+					  "7.7683326, 7.7695521, 7.7707440, 7.7707441, 7.7707446, 7.7707451, 7.7707456", \
+					  "7.7478584, 7.7478588, 7.7484081, 7.7484086, 7.7484091, 7.7484096, 7.7484100");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9723597, 7.9723599, 7.9876266, 7.9876268, 7.9876273, 7.9876278, 7.9876283", \
+					  "8.0480635, 8.0525311, 8.0525318, 8.0525327, 8.0525337, 8.0525346, 8.0525356", \
+					  "8.0468583, 8.0495131, 8.0495134, 8.0611731, 8.0611740, 8.0611749, 8.0611759", \
+					  "8.0564044, 8.0590192, 8.0590196, 8.0718934, 8.0718942, 8.0718952, 8.0951565", \
+					  "8.0623161, 8.0658680, 8.0683451, 8.0723348, 8.0723358, 8.0723367, 8.0899918", \
+					  "8.0601152, 8.0633678, 8.0635694, 8.0707929, 8.0707937, 8.0707946, 8.0859754", \
+					  "8.0571230, 8.0602414, 8.0604501, 8.0654683, 8.0654684, 8.0654693, 8.0819706");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("12.9548590, 13.0074960, 13.3260190, 15.2220670, 26.6238730, 93.5878150, 187.1788600", \
+					  "13.0805570, 13.1332380, 13.4513550, 15.3467660, 26.7455800, 93.7088910, 187.2964600", \
+					  "13.1673990, 13.2183540, 13.5375090, 15.4273230, 26.8209260, 93.8012190, 187.4152200", \
+					  "13.2367590, 13.2896250, 13.6074800, 15.5036140, 26.9031930, 93.8650540, 187.3859500", \
+					  "13.2989760, 13.3509930, 13.6684740, 15.5617710, 26.9650740, 93.9262110, 187.4562400", \
+					  "13.3541270, 13.4086620, 13.7246520, 15.6276980, 27.0206470, 93.9837510, 187.5820300", \
+					  "13.4167610, 13.4640950, 13.7876400, 15.6844690, 27.0833770, 94.0526160, 187.6451600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1041121, 3.1741243, 3.6216554, 6.4413097, 24.4543990, 98.2080550, 64.2758590", \
+					  "3.1001557, 3.1751748, 3.6211707, 6.4412311, 24.4613520, 98.1903130, 64.4768150", \
+					  "3.0994363, 3.1740739, 3.6219112, 6.4435626, 24.4341880, 98.1884300, 64.4853910", \
+					  "3.1002723, 3.1751719, 3.6217813, 6.4412484, 24.4587640, 98.1875420, 64.2311240", \
+					  "3.1003282, 3.1751688, 3.6217584, 6.4412049, 24.4583710, 98.2262160, 64.2329990", \
+					  "3.0995042, 3.1740810, 3.6219971, 6.4412649, 24.4568470, 98.2045880, 64.2640640", \
+					  "3.0994303, 3.1749163, 3.6219101, 6.4412631, 24.4559380, 98.1794490, 64.2816360");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9828659, 7.9828661, 7.9828666, 7.9828671, 7.9828675, 7.9828680, 8.1656353", \
+					  "8.0439326, 8.0439334, 8.0439344, 8.0439353, 8.0439363, 8.0439373, 8.1057445", \
+					  "8.0484215, 8.0484224, 8.0688487, 8.0688491, 8.0688501, 8.0688510, 8.0688520", \
+					  "8.0576861, 8.0576864, 8.0794820, 8.0794826, 8.0794836, 8.0794845, 8.0942080", \
+					  "8.0639717, 8.0639720, 8.0810434, 8.0810438, 8.0810447, 8.0810457, 8.0810466", \
+					  "8.0607299, 8.0607305, 8.0736653, 8.0736661, 8.0736671, 8.0736681, 8.1020550", \
+					  "8.0575822, 8.0758529, 8.0758539, 8.0758548, 8.0758558, 8.0758567, 8.0827718");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("12.9213460, 12.9726720, 13.2817820, 15.1140660, 20.3725680, 39.7230830, 142.7995900", \
+					  "13.0457930, 13.0978700, 13.4079780, 15.2400080, 20.5056810, 39.8477410, 142.9448800", \
+					  "13.1339150, 13.1843490, 13.4929010, 15.3217470, 20.5897150, 39.9371100, 143.0219100", \
+					  "13.2024930, 13.2540500, 13.5628170, 15.3929600, 20.6627300, 40.0059580, 143.0053100", \
+					  "13.2633170, 13.3140370, 13.6251130, 15.4524160, 20.7173670, 40.0692920, 143.2070600", \
+					  "13.3191500, 13.3695980, 13.6822490, 15.5164820, 20.7771930, 40.1186990, 143.2746900", \
+					  "13.3830990, 13.4333540, 13.7424480, 15.5706270, 20.8392310, 40.1886350, 143.3300300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0771941, 3.1427474, 3.5816068, 5.2131663, 7.1259225, 32.0858500, 99.6596010", \
+					  "3.0805145, 3.1486924, 3.5851944, 5.2186668, 7.1483166, 32.1436530, 99.7298320", \
+					  "3.0779541, 3.1432790, 3.5793291, 5.2184901, 7.1144717, 32.0862520, 99.7162890", \
+					  "3.0807212, 3.1460758, 3.5818923, 5.2168811, 7.1203106, 32.0433810, 99.6133310", \
+					  "3.0806491, 3.1459453, 3.5818204, 5.2169180, 7.1513209, 32.0880890, 99.6795750", \
+					  "3.0765615, 3.1452090, 3.5791559, 5.2176996, 7.1519413, 32.0819390, 99.7037120", \
+					  "3.0789253, 3.1426621, 3.5786312, 5.2177982, 7.1238300, 32.0878010, 99.7002450");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.9004220, 17.0922780, 18.2847620, 25.6470260, 70.8079920, 349.4728600, 2068.7944000", \
+					  "17.0364380, 17.2201950, 18.4139760, 25.7655990, 70.9181050, 349.5539700, 2069.1225000", \
+					  "17.1139960, 17.3045080, 18.4972940, 25.8169040, 71.0060490, 349.6763800, 2068.6966000", \
+					  "17.1779200, 17.3690250, 18.5659520, 25.8920390, 71.0564410, 349.7075300, 2068.8301000", \
+					  "17.2457760, 17.4369050, 18.6364470, 25.9496760, 71.1238000, 349.7740100, 2069.0500000", \
+					  "17.3077500, 17.4923600, 18.6905690, 26.0281820, 71.2541000, 349.9023900, 2068.6994000", \
+					  "17.3495050, 17.5578090, 18.7448950, 26.0651320, 71.2325060, 349.9066300, 2068.8396000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7516990, 15.1254280, 17.4742980, 32.0442410, 122.1542500, 677.4280300, 4104.4318000", \
+					  "14.7470100, 15.1262720, 17.4702440, 32.0184310, 122.2055300, 678.1795600, 4105.6092000", \
+					  "14.7518350, 15.1258880, 17.4872990, 32.0562170, 121.8895800, 678.2516900, 4105.6151000", \
+					  "14.7530850, 15.1252450, 17.4815950, 32.0276640, 122.1942200, 678.2250900, 4105.0816000", \
+					  "14.7472740, 15.1219480, 17.4816020, 32.0214570, 121.9685800, 677.2784200, 4106.0339000", \
+					  "14.7515090, 15.1261070, 17.4815200, 32.0401730, 122.2019500, 678.4412700, 4103.9270000", \
+					  "14.7531010, 15.1301710, 17.4697860, 32.0379140, 122.1929200, 678.2790400, 4104.1985000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4668260, 14.5210540, 14.8298200, 16.6648170, 21.8663510, 41.1276060, 84.9143690", \
+					  "14.5896640, 14.6466630, 14.9527870, 16.7952890, 21.9971080, 41.2489030, 85.0028950", \
+					  "14.6799490, 14.7298020, 15.0415840, 16.8824300, 22.0822560, 41.3239750, 85.1121060", \
+					  "14.7419300, 14.7950230, 15.1056500, 16.9420500, 22.1441280, 41.3834050, 85.1797420", \
+					  "14.8160670, 14.8678340, 15.1760540, 17.0106680, 22.2139160, 41.4552480, 85.2160510", \
+					  "14.8699990, 14.9175750, 15.2361660, 17.0645880, 22.2749230, 41.5287360, 85.2722980", \
+					  "14.9314890, 14.9824120, 15.2918850, 17.1309700, 22.3309870, 41.5769180, 85.3206500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0734919, 3.1490357, 3.5841554, 5.2003837, 7.0756898, 29.4583900, 48.6069710", \
+					  "3.0749363, 3.1478522, 3.5832872, 5.2063820, 7.0705823, 29.4363700, 48.7562060", \
+					  "3.0732441, 3.1419882, 3.5831391, 5.2051643, 7.0596946, 29.4513750, 48.7053990", \
+					  "3.0765246, 3.1488960, 3.5855368, 5.2051061, 7.0530231, 29.4520070, 48.7556560", \
+					  "3.0769971, 3.1470695, 3.5832213, 5.2069131, 7.0464536, 29.4681540, 48.7182880", \
+					  "3.0761138, 3.1429561, 3.5831291, 5.2071019, 7.0783814, 29.4364730, 48.6588720", \
+					  "3.0770091, 3.1417065, 3.5814263, 5.1981046, 7.0808821, 29.4364280, 48.7044500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.4203960, 16.6135820, 17.8098070, 25.1204590, 70.2964230, 348.9881200, 2068.2292000", \
+					  "16.4736650, 16.6675380, 17.8546280, 25.1763370, 70.3817380, 349.0494600, 2067.8208000", \
+					  "16.4955430, 16.6881580, 17.8831600, 25.1953660, 70.3756340, 349.0533200, 2068.7412000", \
+					  "16.5106810, 16.7033090, 17.8870090, 25.2197140, 70.4200570, 349.0651200, 2068.3117000", \
+					  "16.5128750, 16.7062100, 17.8890400, 25.2241680, 70.4264240, 349.0656000, 2067.8278000", \
+					  "16.5006090, 16.6956440, 17.8878410, 25.2054790, 70.3804450, 349.1761600, 2068.1341000", \
+					  "16.4976510, 16.6925640, 17.9230500, 25.2021510, 70.3748030, 349.1965100, 2068.2940000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7451390, 15.1254160, 17.4835280, 32.0356290, 122.0920900, 677.4257000, 4103.4759000", \
+					  "14.7465310, 15.1335890, 17.4819360, 32.0345880, 122.1902600, 677.1412600, 4103.1549000", \
+					  "14.7452750, 15.1252110, 17.4780170, 32.0357290, 121.9237300, 678.7126400, 4104.2940000", \
+					  "14.7421710, 15.1223550, 17.4851530, 32.0366130, 121.8882800, 678.3687900, 4104.8111000", \
+					  "14.7429220, 15.1212220, 17.4848050, 32.0362620, 122.1538600, 678.4131900, 4104.0475000", \
+					  "14.7457130, 15.1227530, 17.4804460, 32.0315580, 122.1728900, 678.1154400, 4103.7165000", \
+					  "14.7452070, 15.1261310, 17.4783890, 32.0284100, 122.1720000, 678.1724000, 4104.3650000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.3082160, 20.5043320, 21.6581720, 28.8318260, 73.1051630, 345.5685100, 2025.6734000", \
+					  "20.4330970, 20.6312140, 21.7852510, 28.9592650, 73.2156660, 345.5094500, 2026.0914000", \
+					  "20.5169500, 20.7244460, 21.8693540, 29.0430880, 73.3537750, 345.7591900, 2026.3672000", \
+					  "20.5883680, 20.7882530, 21.9421480, 29.1109700, 73.3854510, 345.7276100, 2026.3210000", \
+					  "20.6503970, 20.8511870, 22.0026130, 29.1764620, 73.4661330, 345.8286200, 2026.5816000", \
+					  "20.7066190, 20.8995810, 22.0646580, 29.2470420, 73.4639940, 345.7452400, 2025.9056000", \
+					  "20.7674380, 20.9618600, 22.1252420, 29.3075150, 73.5215120, 345.7990400, 2026.3224000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7616020, 14.1608800, 16.4633090, 30.7289480, 118.7988200, 663.2440700, 4023.2221000", \
+					  "13.7537690, 14.1561920, 16.4630210, 30.7278970, 118.7564000, 662.5973600, 4023.0652000", \
+					  "13.7557060, 14.1696870, 16.4568630, 30.7253390, 119.0172200, 663.1563600, 4022.2358000", \
+					  "13.7459680, 14.1593790, 16.4504410, 30.7458340, 118.7645100, 662.8154700, 4022.0439000", \
+					  "13.7593680, 14.1678610, 16.4598130, 30.7236090, 118.9182200, 662.9085200, 4021.8201000", \
+					  "13.7374880, 14.1548220, 16.4208860, 30.7914230, 118.8752300, 662.6673900, 4021.5436000", \
+					  "13.7354790, 14.1538260, 16.4165110, 30.7897490, 118.8946300, 663.0662000, 4022.1435000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9334113, 7.9334114, 7.9334119, 7.9334124, 7.9334128, 7.9659905, 7.9659908", \
+					  "8.0486089, 8.0486093, 8.0486103, 8.0486112, 8.0486122, 8.0486131, 8.0633304", \
+					  "8.0583797, 8.0591427, 8.0591436, 8.0592590, 8.0592599, 8.0592609, 8.0839353", \
+					  "8.0402636, 8.0407325, 8.0407329, 8.0408711, 8.0408712, 8.0408721, 8.0594527", \
+					  "8.0136440, 8.0147595, 8.0147596, 8.0147605, 8.0147615, 8.0147625, 8.0298888", \
+					  "8.0578788, 8.0578790, 8.0578800, 8.0861309, 8.0861316, 8.0861326, 8.0861335", \
+					  "8.0104332, 8.0133820, 8.0797653, 8.0797658, 8.0797668, 8.0797678, 8.0797687");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.5085334, 7.5085338, 7.5085342, 7.5204598, 7.5204599, 7.5204604, 7.5204609", \
+					  "7.5670901, 7.5670903, 7.5677669, 7.5677670, 7.5677674, 7.5677679, 7.5677684", \
+					  "7.5878477, 7.5878480, 7.5878485, 7.5878490, 7.5878494, 7.5878499, 7.5878504", \
+					  "7.5960456, 7.5960458, 7.5960463, 7.5960467, 7.5960472, 7.5960477, 7.5960482", \
+					  "7.5966984, 7.5966986, 7.5966990, 7.5966995, 7.5967000, 7.5967005, 7.5967010", \
+					  "7.5899251, 7.5899256, 7.5982740, 7.5982745, 7.5982750, 7.5982755, 7.5982759", \
+					  "7.5876665, 7.5888629, 7.5888632, 7.5888637, 7.5888641, 7.5888646, 7.5888651");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7750040, 10.8319840, 11.1382340, 13.0429520, 24.6609220, 96.1820490, 421.6899800", \
+					  "10.9098010, 10.9521250, 11.2657690, 13.1608000, 24.7757990, 96.2990400, 421.7789100", \
+					  "10.9883460, 11.0465370, 11.3554470, 13.2605170, 24.8614430, 96.3881850, 421.8684100", \
+					  "11.0555440, 11.1040070, 11.4105250, 13.3145230, 24.9298590, 96.4707710, 422.0200700", \
+					  "11.1294790, 11.1805440, 11.4836290, 13.3801940, 25.0006740, 96.5252060, 422.1000400", \
+					  "11.1783220, 11.2253410, 11.5506730, 13.4545830, 25.0507280, 96.5918900, 422.0611300", \
+					  "11.2405870, 11.2756460, 11.5934250, 13.4993080, 25.1206490, 96.6371660, 422.1061500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0708225, 3.1483949, 3.6317698, 6.6395027, 25.0406020, 138.6443800, 357.2377200", \
+					  "3.0707241, 3.1484608, 3.6319110, 6.6378294, 25.0387270, 138.9263400, 357.1583400", \
+					  "3.0691383, 3.1480174, 3.6318366, 6.6394548, 25.0314030, 138.9510200, 357.1254300", \
+					  "3.0699343, 3.1484242, 3.6305294, 6.6378152, 25.0433580, 138.9281200, 357.2563700", \
+					  "3.0690630, 3.1489131, 3.6327485, 6.6379195, 25.0424590, 138.9507200, 357.2627900", \
+					  "3.0689509, 3.1469616, 3.6316565, 6.6394925, 25.0291410, 138.8476100, 357.1435300", \
+					  "3.0694520, 3.1472385, 3.6318728, 6.6396244, 25.0406180, 138.9267100, 357.0793900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.1711280, 10.1711288, 10.1711298, 10.1711307, 10.1711317, 10.1711326, 10.2545160", \
+					  "10.3740870, 10.3740879, 10.3743930, 10.3743940, 10.3743949, 10.3743959, 10.3882210", \
+					  "10.3603850, 10.3614060, 10.3614069, 10.3614078, 10.3614088, 10.3614097, 10.3950580", \
+					  "10.2742650, 10.2742658, 10.2742668, 10.2742677, 10.2742687, 10.2742696, 10.2742706", \
+					  "10.4123960, 10.4123970, 10.4146350, 10.4146352, 10.4146362, 10.4146371, 10.4232530", \
+					  "10.2752820, 10.2756710, 10.4076500, 10.4076505, 10.4076515, 10.4076524, 10.4076534", \
+					  "10.2715440, 10.2757840, 10.2757841, 10.3508320, 10.3508325, 10.3508335, 10.3508344");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.4205790, 16.6137060, 17.8099700, 25.1211460, 70.2963590, 349.0003200, 2068.5668000", \
+					  "16.4737910, 16.6677070, 17.8992840, 25.2064270, 70.3814250, 349.0482900, 2068.3660000", \
+					  "16.4956970, 16.6883110, 17.8833200, 25.1955590, 70.3695800, 349.0631000, 2068.2282000", \
+					  "16.5108510, 16.7034550, 17.8871620, 25.2202380, 70.4200860, 349.1693700, 2068.9993000", \
+					  "16.5130340, 16.7063500, 17.8892080, 25.2248280, 70.4264310, 349.0758000, 2067.6969000", \
+					  "16.5051870, 16.6965660, 17.8878810, 25.2052960, 70.3805580, 349.0814900, 2067.9677000", \
+					  "16.4980080, 16.6928270, 17.9232610, 25.2028920, 70.3748100, 349.0402200, 2068.3219000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7472190, 15.1246300, 17.4841690, 32.0351210, 121.9611400, 678.1813800, 4104.3609000", \
+					  "14.7469340, 15.1337980, 17.4726010, 32.0320160, 122.0007700, 677.2608600, 4104.5269000", \
+					  "14.7452140, 15.1244810, 17.4791900, 32.0357290, 121.9612600, 677.5914000, 4102.7988000", \
+					  "14.7423240, 15.1222870, 17.4862010, 32.0372000, 121.8856800, 678.4831600, 4104.9939000", \
+					  "14.7472690, 15.1214530, 17.4858850, 32.0366880, 122.1450800, 678.1285700, 4103.4682000", \
+					  "14.7455970, 15.1242820, 17.4822610, 32.0364460, 122.1711400, 678.1785900, 4104.3454000", \
+					  "14.7473610, 15.1256000, 17.4766890, 32.0514210, 121.9626600, 678.1198200, 4103.8024000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.3065620, 20.5053110, 21.6588520, 28.8321650, 73.1044450, 345.6845000, 2025.7010000", \
+					  "20.4320170, 20.6314520, 21.8034000, 28.9595390, 73.2249110, 345.5289500, 2026.5154000", \
+					  "20.5286970, 20.7145570, 21.8696780, 29.0431630, 73.2551730, 345.5266700, 2026.6486000", \
+					  "20.5901070, 20.7864130, 21.9422030, 29.1108010, 73.3723480, 345.6424100, 2026.9415000", \
+					  "20.6548060, 20.8477570, 22.0136210, 29.1770200, 73.4052250, 345.6575000, 2027.3280000", \
+					  "20.7013320, 20.9002720, 22.0605060, 29.2470760, 73.5313820, 345.9017300, 2026.9406000", \
+					  "20.7648030, 20.9618860, 22.1315460, 29.3076120, 73.4850400, 345.7386400, 2027.2955000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7589900, 14.1660600, 16.4660770, 30.7277940, 118.7731200, 663.1870500, 4021.6664000", \
+					  "13.7478370, 14.1639340, 16.4730950, 30.7269130, 118.7457100, 663.1927400, 4025.4708000", \
+					  "13.7763600, 14.1635020, 16.4592130, 30.7250370, 118.9455800, 663.1037200, 4023.6564000", \
+					  "13.7565890, 14.1560800, 16.4587080, 30.7462140, 118.7423600, 663.1025800, 4023.6196000", \
+					  "13.7704190, 14.1657370, 16.4714430, 30.7218250, 118.8603300, 662.9440500, 4021.3913000", \
+					  "13.7469950, 14.1450860, 16.4655740, 30.7914940, 118.9240100, 663.2564000, 4022.2094000", \
+					  "13.7446090, 14.1461620, 16.4278510, 30.7899700, 119.0002500, 662.8093800, 4023.5082000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2214310, 10.2702590, 10.5908340, 12.4784020, 24.0940650, 95.6185740, 421.1908300", \
+					  "10.2806390, 10.3258800, 10.6362370, 12.5349290, 24.1493240, 95.6748210, 421.2461400", \
+					  "10.2970650, 10.3459570, 10.6657040, 12.5534370, 24.1697610, 95.6962860, 421.2060700", \
+					  "10.3048850, 10.3535830, 10.6737930, 12.5613970, 24.1773140, 95.7028870, 421.2746200", \
+					  "10.3072660, 10.3561440, 10.6762550, 12.5639460, 24.1796540, 95.7055870, 421.2735400", \
+					  "10.3062620, 10.3575250, 10.6744530, 12.5621500, 24.1825030, 95.7046500, 421.2124900", \
+					  "10.2977880, 10.3529770, 10.6676160, 12.5588380, 24.1823610, 95.7064260, 421.2647900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0713498, 3.1485670, 3.6328589, 6.6368284, 25.0344810, 138.9493000, 357.2126800", \
+					  "3.0704490, 3.1484850, 3.6312957, 6.6379466, 25.0378530, 138.9485200, 357.2163500", \
+					  "3.0713132, 3.1484755, 3.6326944, 6.6369745, 25.0374030, 138.9541100, 357.2272400", \
+					  "3.0713567, 3.1485352, 3.6328740, 6.6368733, 25.0352680, 138.9486600, 357.0380600", \
+					  "3.0713572, 3.1485361, 3.6328729, 6.6368666, 25.0350180, 138.9484500, 357.2126800", \
+					  "3.0713068, 3.1489684, 3.6328722, 6.6369141, 25.0346390, 138.9543300, 357.1933300", \
+					  "3.0695992, 3.1489495, 3.6321568, 6.6379586, 25.0538880, 138.9287100, 357.2098100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("9.0285370, 9.0285373, 9.0285383, 9.0285392, 9.0285402, 9.0285411, 9.1860430", \
+					  "9.1083061, 9.1083065, 9.1083074, 9.1083084, 9.1083093, 9.1083103, 9.1102557", \
+					  "9.0934558, 9.0950092, 9.0951140, 9.0951143, 9.0951152, 9.0951162, 9.1425747", \
+					  "9.1292094, 9.1313153, 9.1313158, 9.1313167, 9.1313177, 9.1313186, 9.1534638", \
+					  "9.1315657, 9.1316723, 9.1492813, 9.1492820, 9.1492830, 9.1492839, 9.1879073", \
+					  "9.1180947, 9.1180950, 9.1180959, 9.1180969, 9.1185663, 9.1185670, 9.1556522", \
+					  "9.1220853, 9.1220861, 9.1222274, 9.1286214, 9.1286216, 9.1286226, 9.1521439");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.3920450, 10.4429380, 10.7565640, 12.7228350, 19.1348730, 39.2587860, 160.8822700", \
+					  "10.4464280, 10.4980400, 10.8113880, 12.7811040, 19.1896450, 39.3276880, 160.9282100", \
+					  "10.4677920, 10.5191540, 10.8329020, 12.7992030, 19.2113440, 39.3348540, 160.9544600", \
+					  "10.4749300, 10.5255140, 10.8400250, 12.8072850, 19.2223110, 39.3463680, 160.9598000", \
+					  "10.4794450, 10.5308580, 10.8444180, 12.8117650, 19.2196710, 39.3629480, 160.9698900", \
+					  "10.4764790, 10.5272460, 10.8414640, 12.8082210, 19.2191990, 39.3429570, 160.9622300", \
+					  "10.4704670, 10.5214210, 10.8354090, 12.8030270, 19.2136850, 39.3383170, 160.9627800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1298493, 3.2155694, 3.7427004, 5.7036917, 8.5207175, 33.5008790, 200.2625100", \
+					  "3.1298015, 3.2154048, 3.7427617, 5.7030847, 8.5204883, 33.4981180, 200.2197000", \
+					  "3.1305507, 3.2147096, 3.7427546, 5.7042122, 8.5204785, 33.5009210, 200.3628300", \
+					  "3.1306944, 3.2153795, 3.7431254, 5.7028697, 8.5210470, 33.5010210, 200.3393600", \
+					  "3.1304606, 3.2154155, 3.7427412, 5.7033169, 8.5151726, 33.5112310, 200.3336700", \
+					  "3.1301484, 3.2154188, 3.7428332, 5.7038427, 8.5206002, 33.5008790, 200.3645700", \
+					  "3.1304834, 3.2155583, 3.7431369, 5.7033391, 8.5204279, 33.4954320, 200.3383100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.2291080, 13.2812100, 13.5941540, 15.4370540, 20.4971360, 39.7566890, 83.4670790", \
+					  "13.3545170, 13.4078180, 13.7170950, 15.5587130, 20.6275050, 39.8687940, 83.5727500", \
+					  "13.4407560, 13.4930340, 13.8050610, 15.6452380, 20.7113370, 39.9662700, 83.6570630", \
+					  "13.5138130, 13.5634950, 13.8771290, 15.7145710, 20.7825920, 40.0212560, 83.7193140", \
+					  "13.5723660, 13.6245640, 13.9364960, 15.7775160, 20.8432030, 40.0951170, 83.7868580", \
+					  "13.6261110, 13.6772800, 13.9903150, 15.8301000, 20.8958520, 40.1368110, 83.8436520", \
+					  "13.6922520, 13.7407890, 14.0570830, 15.8916920, 20.9601050, 40.2140610, 83.9094200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0247738, 3.0985945, 3.5369234, 5.0645639, 6.9787336, 29.4286120, 48.5985940", \
+					  "3.0287914, 3.0980971, 3.5440115, 5.0652198, 6.9863937, 29.4364200, 48.7223520", \
+					  "3.0236915, 3.0989851, 3.5419405, 5.0644511, 6.9873898, 29.4296440, 48.7362830", \
+					  "3.0279435, 3.0995388, 3.5436265, 5.0660480, 6.9870714, 29.4238390, 48.6997890", \
+					  "3.0277916, 3.0957996, 3.5445775, 5.0644675, 6.9889481, 29.4308760, 48.7372130", \
+					  "3.0277880, 3.0996297, 3.5445922, 5.0646753, 6.9804442, 29.4235180, 48.7397140", \
+					  "3.0247178, 3.0961542, 3.5360079, 5.0656288, 6.9860171, 29.4300070, 48.7382690");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("9.0820604, 9.0823340, 9.0823341, 9.0823350, 9.0823360, 9.0823370, 9.2491126", \
+					  "9.1506820, 9.1506830, 9.1506839, 9.1506849, 9.1506858, 9.1506868, 9.1726537", \
+					  "9.1706518, 9.1765653, 9.1765657, 9.1765667, 9.1765676, 9.1765686, 9.1982040", \
+					  "9.1806509, 9.1814831, 9.1814838, 9.1814847, 9.1814857, 9.1814867, 9.2032826", \
+					  "9.1825294, 9.1825300, 9.1925800, 9.1925807, 9.1925817, 9.1925827, 9.2237649", \
+					  "9.2001864, 9.2012167, 9.2012172, 9.2012182, 9.2012191, 9.2012201, 9.2212611", \
+					  "9.1747738, 9.1747747, 9.1747757, 9.1747766, 9.1747776, 9.1747785, 9.2157046");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("8.0119706, 8.0119710, 8.0173201, 8.0251548, 8.0267766, 8.0267768, 8.0542168", \
+					  "8.0539477, 8.0541849, 8.0541854, 8.0553617, 8.0606437, 8.0606447, 8.0675369", \
+					  "8.0799181, 8.0800246, 8.0800252, 8.0800262, 8.0800272, 8.0800281, 8.0932496", \
+					  "8.0994917, 8.0995433, 8.0995441, 8.0995451, 8.0995460, 8.0995470, 8.1100255", \
+					  "8.0986284, 8.0996756, 8.0996757, 8.0996767, 8.0996776, 8.0996786, 8.1109626", \
+					  "8.1036289, 8.1036296, 8.1036306, 8.1157081, 8.1157089, 8.1157098, 8.1157108", \
+					  "8.0935747, 8.0936893, 8.0939699, 8.0939708, 8.0939718, 8.0939727, 8.1049709");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.5084223, 7.5084227, 7.5257489, 7.5257490, 7.5257495, 7.5257500, 1483.2202000", \
+					  "7.5670892, 7.5670894, 7.5677642, 7.5677646, 7.5677650, 7.5677655, 7.5677660", \
+					  "7.5878122, 7.5878127, 7.5878132, 7.5878137, 7.5878141, 7.5878146, 7.5878151", \
+					  "7.5959763, 7.5959766, 7.5959771, 7.5959776, 7.5959781, 7.5959785, 7.5959790", \
+					  "7.5967170, 7.5967172, 7.5967176, 7.5967181, 7.5967186, 7.5967191, 7.5967196", \
+					  "7.5899091, 7.5899094, 7.5982847, 7.5982850, 7.5982855, 7.5982860, 7.5982864", \
+					  "7.5876585, 7.5876587, 7.5876592, 7.5876596, 7.5876601, 7.5876606, 7.5876611");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7745170, 10.8248890, 11.1346410, 13.0783010, 19.6038760, 39.7174520, 161.3411900", \
+					  "10.9001610, 10.9550440, 11.2660530, 13.2078640, 19.7282160, 39.8484910, 161.4629100", \
+					  "10.9887980, 11.0386310, 11.3496610, 13.2921170, 19.8198190, 39.9349030, 161.5477900", \
+					  "11.0507230, 11.1015120, 11.4127050, 13.3547600, 19.8794280, 39.9969050, 161.5972100", \
+					  "11.1244140, 11.1687640, 11.4864580, 13.4279120, 19.9520720, 40.0767670, 161.6802600", \
+					  "11.1850000, 11.2318790, 11.5434390, 13.4818400, 19.9954810, 40.1216410, 161.7358800", \
+					  "11.2306460, 11.2893770, 11.6014480, 13.5387140, 20.0601700, 40.1802470, 161.7950100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1273213, 3.2120944, 3.7382613, 5.8012879, 8.6379401, 33.4963950, 200.3502700", \
+					  "3.1278338, 3.2123080, 3.7381738, 5.8011471, 8.6434939, 33.4930870, 200.3582700", \
+					  "3.1270118, 3.2119440, 3.7380197, 5.8019900, 8.6385237, 33.4998660, 200.3818600", \
+					  "3.1265999, 3.2120433, 3.7384194, 5.8008252, 8.6430676, 33.4962530, 200.3859700", \
+					  "3.1265910, 3.2123553, 3.7381165, 5.8016876, 8.6434895, 33.4971450, 200.3951100", \
+					  "3.1278904, 3.2117500, 3.7380103, 5.8020819, 8.6443093, 33.4921210, 200.2620800", \
+					  "3.1277543, 3.2117791, 3.7382493, 5.8007119, 8.6417939, 33.4945480, 200.3997400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6862720, 21.8675690, 23.0564050, 30.2220220, 74.4565800, 346.7585800, 2026.4274000", \
+					  "21.8075030, 22.0024410, 23.1655070, 30.3366750, 74.5853160, 346.9175700, 2026.7169000", \
+					  "21.9037500, 22.0962450, 23.2690670, 30.4386950, 74.6963660, 347.1627700, 2027.5581000", \
+					  "21.9685850, 22.1636770, 23.3262210, 30.5430560, 74.7748810, 347.2013400, 2028.3946000", \
+					  "22.0340720, 22.2263490, 23.3878640, 30.5791200, 74.7685080, 347.0837600, 2026.4567000", \
+					  "22.0901150, 22.2875500, 23.4435850, 30.6415620, 74.9143250, 347.0675300, 2028.3203000", \
+					  "22.1300440, 22.3400640, 23.5073540, 30.6709890, 74.9397980, 347.1232900, 2026.5059000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7419050, 14.1371430, 16.4866980, 30.7939380, 119.0655500, 663.3735000, 4020.2767000", \
+					  "13.7374400, 14.1564960, 16.4291340, 30.7392090, 118.7767800, 663.2873800, 4021.4345000", \
+					  "13.7619360, 14.1661280, 16.4914230, 30.7933450, 119.0748400, 663.3356400, 4021.5509000", \
+					  "13.7905670, 14.1700620, 16.4800080, 30.8433710, 119.1437300, 663.3458200, 4021.9493000", \
+					  "13.7618660, 14.1348340, 16.4318390, 30.7995190, 118.9557500, 663.2250200, 4022.8574000", \
+					  "13.7579020, 14.1681130, 16.4703430, 30.8001060, 118.9018100, 662.8719100, 4020.7815000", \
+					  "13.7539240, 14.1346930, 16.4424690, 30.7403740, 119.1425900, 662.8802100, 4020.2763000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6854691, 7.6854694, 7.6854699, 7.6854704, 7.6854709, 7.6854713, 7.6854718", \
+					  "7.7123161, 7.7123163, 7.7123168, 7.7123173, 7.7123178, 7.7123182, 7.7123187", \
+					  "7.7585574, 7.7585576, 7.7585581, 7.7585586, 7.7585590, 7.7585595, 7.7585600", \
+					  "7.7683104, 7.7683108, 7.7683113, 7.7683118, 7.7683123, 7.7683127, 7.7683132", \
+					  "7.7678610, 7.7678612, 7.7678617, 7.7678621, 7.7678626, 7.7678631, 7.7678636", \
+					  "7.7669844, 7.7714866, 7.7714870, 7.7714875, 7.7714880, 7.7714885, 7.7714890", \
+					  "7.7514848, 7.7514852, 7.7567908, 7.7567909, 7.7567914, 7.7567919, 7.7567924");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9594820, 7.9690707, 7.9755340, 7.9910780, 7.9910782, 7.9910787, 7.9910791", \
+					  "8.0388292, 8.0402831, 8.0466140, 8.0466142, 8.0466152, 8.0466161, 8.2119893", \
+					  "8.0603293, 8.0603300, 8.0624174, 8.0624176, 8.0624185, 8.0624195, 8.1789091", \
+					  "8.0692191, 8.0692192, 8.0718339, 8.0718341, 8.0718351, 8.0718360, 8.1346432", \
+					  "8.0723082, 8.0723091, 8.0741298, 8.0741306, 8.0741315, 8.0741325, 8.1446592", \
+					  "8.0698692, 8.0698696, 8.0729787, 8.0729795, 8.0729805, 8.0729814, 8.1552454", \
+					  "8.0691080, 8.0691085, 8.0717886, 8.0717893, 8.0717903, 8.0717912, 8.1403950");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4040770, 14.4537090, 14.7741180, 16.6651650, 28.0719290, 95.0326680, 188.6338300", \
+					  "14.5306780, 14.5836260, 14.9033570, 16.7971700, 28.1823790, 95.1574180, 188.7654800", \
+					  "14.6146960, 14.6709240, 14.9872040, 16.8836550, 28.2831410, 95.2594020, 188.8449800", \
+					  "14.6816740, 14.7318750, 15.0529420, 16.9528250, 28.3463010, 95.3077970, 188.8629800", \
+					  "14.7532860, 14.7939580, 15.1135990, 17.0189270, 28.4148670, 95.3782260, 188.9858100", \
+					  "14.8128440, 14.8585050, 15.1735710, 17.0786980, 28.4538540, 95.4390200, 189.0167900", \
+					  "14.8696550, 14.9111160, 15.2265080, 17.1248490, 28.5399060, 95.4879700, 189.0884400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1002714, 3.1747620, 3.6204799, 6.4409975, 24.4562890, 98.2152100, 64.3372220", \
+					  "3.0996825, 3.1747573, 3.6215544, 6.4410921, 24.4381880, 98.1715540, 64.3488110", \
+					  "3.1016145, 3.1746445, 3.6217862, 6.4408519, 24.4580070, 98.2199000, 64.2784670", \
+					  "3.0996858, 3.1746503, 3.6216967, 6.4410850, 24.4601490, 98.2046870, 64.2269380", \
+					  "3.1000265, 3.1753720, 3.6213870, 6.4410859, 24.4561120, 98.1841740, 64.2750140", \
+					  "3.0994125, 3.1745653, 3.6212844, 6.4410832, 24.4381950, 98.1880320, 64.2890110", \
+					  "3.1042604, 3.1723226, 3.6216126, 6.4353762, 24.4561010, 98.1826390, 64.3894250");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("8.0218356, 8.0218359, 8.0218368, 8.0218378, 8.0218387, 8.0218397, 8.0218406", \
+					  "8.0503115, 8.0504021, 8.0504092, 8.0542923, 8.0728935, 8.0728937, 8.0728946", \
+					  "8.0772269, 8.0773050, 8.0773054, 8.0773063, 8.0773073, 8.0773082, 8.0915834", \
+					  "8.1103950, 8.1103960, 8.1103969, 8.1103979, 8.1103988, 8.1103998, 8.1195699", \
+					  "8.1044211, 8.1061025, 8.1061035, 8.1061044, 8.1061054, 8.1061063, 8.1140756", \
+					  "8.1106259, 8.1106267, 8.1106277, 8.1106287, 8.1106296, 8.1106306, 8.1106315", \
+					  "8.1010123, 8.1070493, 8.1070495, 8.1070505, 8.1070514, 8.1070524, 8.1070533");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9738474, 7.9738477, 7.9775990, 8.0022158, 8.0022159, 8.0022169, 8.0022178", \
+					  "8.0413889, 8.0413890, 8.0413900, 8.0421687, 8.0421691, 8.0421701, 8.0897360", \
+					  "8.0630382, 8.0630384, 8.0675058, 8.0675064, 8.0675073, 8.0675083, 8.1885352", \
+					  "8.0718552, 8.0729734, 8.0777216, 8.0777221, 8.0777231, 8.0777240, 8.1346494", \
+					  "8.0745091, 8.0754754, 8.0803995, 8.0804000, 8.0804010, 8.0804019, 8.1556326", \
+					  "8.0723073, 8.0723081, 8.0761584, 8.0761591, 8.0761600, 8.0761610, 8.1408844", \
+					  "8.0715905, 8.0715910, 8.0743128, 8.0743137, 8.0743146, 8.0743156, 8.1438056");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.6844557, 7.6910807, 7.6910809, 7.6910813, 7.6910818, 7.6910823, 7.6910828", \
+					  "7.7439255, 7.7439259, 7.7573663, 7.7573665, 7.7573669, 7.7573674, 7.7573679", \
+					  "7.7729299, 7.7729300, 7.7863387, 7.7863391, 7.7863396, 7.7863400, 7.7863405", \
+					  "7.7845659, 7.7845662, 7.7981346, 7.7981351, 7.7981356, 7.7981360, 7.7981365", \
+					  "7.7868432, 7.7868436, 7.8006428, 7.8006433, 7.8006437, 7.8006442, 7.8006447", \
+					  "7.7867188, 7.7867191, 7.7991933, 7.7991937, 7.7991941, 7.7991946, 7.7991951", \
+					  "7.7818454, 7.7818459, 7.7954674, 7.7954677, 7.7954681, 7.7954686, 7.7954691");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.5116029, 7.5116032, 7.5116036, 7.5151556, 7.5151561, 7.5151566, 7.5151570", \
+					  "7.5682474, 7.5682476, 7.5694837, 7.5694840, 7.5694845, 7.5694850, 7.5694855", \
+					  "7.5892013, 7.5892017, 7.5892022, 7.5892027, 7.5892032, 7.5892036, 7.5892041", \
+					  "7.5975013, 7.5975016, 7.5975020, 7.5975025, 7.5975030, 7.5975035, 7.5975040", \
+					  "7.5982314, 7.5982316, 7.5982321, 7.5982326, 7.5982330, 7.5982335, 7.5982340", \
+					  "7.5915114, 7.5915115, 7.5996764, 7.5996769, 7.5996774, 7.5996779, 7.5996783", \
+					  "7.5892537, 7.5892542, 7.5892547, 7.5892551, 7.5892556, 7.5892561, 7.5892566");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2196830, 10.2698160, 10.5808260, 12.5237930, 19.0466330, 39.1808010, 160.7864400", \
+					  "10.2752830, 10.3265490, 10.6368780, 12.5805400, 19.1014000, 39.2172450, 160.8305100", \
+					  "10.2952980, 10.3454650, 10.6561830, 12.5996080, 19.1189800, 39.2464230, 160.8564000", \
+					  "10.3031330, 10.3534530, 10.6644180, 12.6077610, 19.1333720, 39.2459470, 160.8595000", \
+					  "10.3061160, 10.3556400, 10.6669170, 12.6104420, 19.1356530, 39.2501430, 160.8551800", \
+					  "10.3046870, 10.3544200, 10.6651050, 12.6075420, 19.1267430, 39.2499120, 160.8588900", \
+					  "10.2992850, 10.3498090, 10.6609530, 12.6041130, 19.1222450, 39.2579230, 160.8596000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1273721, 3.2120950, 3.7381485, 5.8024576, 8.6389464, 33.5198570, 200.3923600", \
+					  "3.1268885, 3.2119265, 3.7378753, 5.8020526, 8.6443688, 33.4963910, 200.3278800", \
+					  "3.1268357, 3.2120522, 3.7382684, 5.8022085, 8.6444141, 33.4954890, 200.3750800", \
+					  "3.1268155, 3.2122704, 3.7383239, 5.8015490, 8.6469942, 33.4973720, 200.3280500", \
+					  "3.1272271, 3.2122609, 3.7383621, 5.8015486, 8.6470013, 33.4974790, 200.3477800", \
+					  "3.1265550, 3.2120202, 3.7380108, 5.8010783, 8.6466532, 33.4973860, 200.2910700", \
+					  "3.1270715, 3.2120246, 3.7381773, 5.8015970, 8.6466985, 33.5101970, 200.3516500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.3974940, 10.3988530, 10.3988538, 10.3988548, 10.3988557, 10.3988567, 10.4362540", \
+					  "10.4466030, 10.4473350, 10.4474460, 10.4474464, 10.4474473, 10.4474483, 10.4772830", \
+					  "10.4526420, 10.4526430, 10.4528420, 10.4528423, 10.4528432, 10.4528442, 10.4729380", \
+					  "10.4456180, 10.4456460, 10.4456468, 10.4457460, 10.4457469, 10.4457479, 10.4457489", \
+					  "10.4880130, 10.4880138, 10.4886130, 10.4903240, 10.4903245, 10.4903255, 10.5183270", \
+					  "10.5064020, 10.5064025, 10.5064035, 10.5105680, 10.5105682, 10.5105691, 10.5105701", \
+					  "10.5397430, 10.5397440, 10.5397449, 10.5397459, 10.5397468, 10.5397478, 10.5397487");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7752140, 10.8281150, 11.1362860, 13.0840830, 19.6066630, 39.7154810, 161.3426100", \
+					  "10.9033480, 10.9537870, 11.2643410, 13.2073950, 19.7311320, 39.8507470, 161.4604300", \
+					  "10.9898450, 11.0418800, 11.3533640, 13.2956770, 19.8137740, 39.9478990, 161.5390200", \
+					  "11.0537210, 11.1026310, 11.4155880, 13.3575540, 19.8816750, 39.9954840, 161.6167000", \
+					  "11.1243620, 11.1749620, 11.4769330, 13.4294570, 19.9537810, 40.0659810, 161.6896700", \
+					  "11.1855400, 11.2350750, 11.5338850, 13.4891850, 20.0088250, 40.1271620, 161.7476200", \
+					  "11.2394360, 11.2768200, 11.6020400, 13.5371730, 20.0655990, 40.1844070, 161.8058700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1279506, 3.2114680, 3.7383661, 5.8017395, 8.6467509, 33.4933890, 200.3614300", \
+					  "3.1277667, 3.2123202, 3.7386583, 5.8015028, 8.6451024, 33.4974820, 200.3789500", \
+					  "3.1274512, 3.2123173, 3.7381609, 5.8025944, 8.6435650, 33.4992050, 200.3350100", \
+					  "3.1279555, 3.2122600, 3.7383967, 5.8023120, 8.6445420, 33.5114410, 200.3454500", \
+					  "3.1279428, 3.2122440, 3.7385117, 5.8023835, 8.6464578, 33.4973080, 200.3378800", \
+					  "3.1280838, 3.2123928, 3.7382888, 5.8024625, 8.6471506, 33.4973440, 200.3401200", \
+					  "3.1272140, 3.2120622, 3.7385486, 5.8021268, 8.6436787, 33.4953330, 200.2596500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4633580, 14.5156320, 14.8255700, 16.6637070, 21.8667750, 41.1192290, 84.8589960", \
+					  "14.5905180, 14.6414660, 14.9548590, 16.7922650, 21.9977330, 41.2559730, 84.9849040", \
+					  "14.6769900, 14.7275670, 15.0448380, 16.8763870, 22.0803480, 41.3335290, 85.1189410", \
+					  "14.7408530, 14.7932200, 15.1027560, 16.9405290, 22.1456290, 41.3887060, 85.1524360", \
+					  "14.8146290, 14.8529610, 15.1682170, 17.0008910, 22.2191970, 41.4782480, 85.2118800", \
+					  "14.8649060, 14.9238630, 15.2310630, 17.0661480, 22.2797800, 41.5369570, 85.3155840", \
+					  "14.9272950, 14.9689610, 15.2863610, 17.1261390, 22.3269280, 41.5839880, 85.3394080");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0736771, 3.1459628, 3.5785963, 5.2026636, 7.0812001, 29.4586910, 48.7055550", \
+					  "3.0731091, 3.1473195, 3.5853198, 5.2053966, 7.0823916, 29.4575720, 48.6388030", \
+					  "3.0737748, 3.1473109, 3.5850889, 5.2027724, 7.0617019, 29.4587060, 48.6042350", \
+					  "3.0751848, 3.1480563, 3.5854844, 5.2057390, 7.0820532, 29.4628570, 48.7466850", \
+					  "3.0763945, 3.1472296, 3.5859391, 5.2054352, 7.0892661, 29.4556910, 48.7482980", \
+					  "3.0730891, 3.1472378, 3.5864287, 5.2054103, 7.0934729, 29.4538300, 48.7248680", \
+					  "3.0729783, 3.1473260, 3.5864596, 5.2055644, 7.0933379, 29.4533860, 48.6094080");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7831160, 10.8341740, 11.1379990, 13.0376370, 24.6540920, 96.1821980, 421.7032200", \
+					  "10.9049160, 10.9538570, 11.2744160, 13.1566060, 24.7778060, 96.3009010, 421.9040800", \
+					  "10.9892500, 11.0405500, 11.3509690, 13.2600390, 24.8720420, 96.3802120, 421.9470500", \
+					  "11.0559080, 11.1063230, 11.4115620, 13.3117190, 24.9299230, 96.4462000, 421.9715500", \
+					  "11.1269750, 11.1690440, 11.4927020, 13.3772630, 25.0033930, 96.5116340, 422.0762500", \
+					  "11.1751740, 11.2327750, 11.5361160, 13.4378220, 25.0526990, 96.5741050, 422.1700700", \
+					  "11.2421970, 11.2857050, 11.6115610, 13.5007260, 25.1198420, 96.6333430, 422.1630800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0710257, 3.1493226, 3.6324139, 6.6388890, 25.0345860, 138.9268200, 357.0869500", \
+					  "3.0716352, 3.1488014, 3.6331105, 6.6370229, 25.0384760, 138.7370800, 357.2353900", \
+					  "3.0697793, 3.1476548, 3.6312409, 6.6413119, 25.0454700, 138.6966200, 357.2687600", \
+					  "3.0716667, 3.1492513, 3.6315002, 6.6380705, 25.0337510, 138.9291000, 357.2513700", \
+					  "3.0715956, 3.1491416, 3.6331211, 6.6370287, 25.0427320, 138.6877700, 357.2085600", \
+					  "3.0699001, 3.1476732, 3.6313195, 6.6373667, 25.0295960, 138.7025000, 357.2200500", \
+					  "3.0715919, 3.1478196, 3.6329608, 6.6376988, 25.0420800, 138.9554900, 357.2621100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4793190, 14.5279130, 14.8498300, 16.7389200, 28.1344250, 98.0493620, 526.4481000", \
+					  "14.6078380, 14.6544040, 14.9738370, 16.8618880, 28.2507510, 98.2109330, 526.5714500", \
+					  "14.6904200, 14.7446200, 15.0575750, 16.9526350, 28.3543550, 98.4216370, 526.6476800", \
+					  "14.7552410, 14.8012050, 15.1282950, 17.0056770, 28.3996060, 98.3575250, 526.7109500", \
+					  "14.8288450, 14.8675500, 15.1924300, 17.0818810, 28.4564980, 98.4312360, 526.7987100", \
+					  "14.8840080, 14.9378110, 15.2536830, 17.1410850, 28.5268680, 98.4865170, 526.8405500", \
+					  "14.9310380, 14.9964290, 15.2960010, 17.1997530, 28.6000040, 98.5474740, 526.9084800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0995713, 3.1719680, 3.6251164, 6.4314998, 24.4558330, 135.4379300, 516.1074300", \
+					  "3.0991807, 3.1727141, 3.6139629, 6.4425163, 24.4528380, 135.4928800, 516.1277800", \
+					  "3.0989993, 3.1727521, 3.6145036, 6.4348700, 24.4551510, 135.6643900, 516.0694600", \
+					  "3.0996508, 3.1722420, 3.6248160, 6.4406458, 24.4531350, 135.4924800, 516.1114700", \
+					  "3.0991272, 3.1725431, 3.6139614, 6.4425132, 24.4520050, 135.4934400, 516.1335800", \
+					  "3.0989993, 3.1725456, 3.6139762, 6.4424999, 24.4520350, 135.5188000, 516.1115800", \
+					  "3.0989946, 3.1726262, 3.6139616, 6.4373391, 24.4579400, 135.4949600, 516.1043600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9361548, 7.9361551, 7.9361556, 7.9361561, 7.9361566, 7.9529672, 7.9529675", \
+					  "8.0446023, 8.0446029, 8.0446039, 8.0446048, 8.0446058, 8.0446067, 8.0446077", \
+					  "8.0485236, 8.0491258, 8.0491262, 8.0491272, 8.0491281, 8.0491291, 8.0685183", \
+					  "8.0424662, 8.0426910, 8.0426918, 8.0434139, 8.0434146, 8.0434156, 8.0595948", \
+					  "8.0308853, 8.0309199, 8.0309206, 8.0318587, 8.0318590, 8.0318599, 8.0454692", \
+					  "8.0460438, 8.0460439, 8.0603364, 8.0603366, 8.0603376, 8.0603385, 8.0604199", \
+					  "8.1029938, 8.1029945, 8.1029954, 8.1029964, 8.1029974, 8.1029983, 8.1029993");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.9807334, 7.9807337, 7.9833882, 7.9875342, 7.9875343, 7.9875348, 7.9875353", \
+					  "8.0445197, 8.0445200, 8.0445209, 8.0445219, 8.0445228, 8.0445238, 8.0445247", \
+					  "8.0488949, 8.0637745, 8.0693585, 8.0693594, 8.0693603, 8.0693613, 8.0693622", \
+					  "8.0582145, 8.0741964, 8.0799190, 8.0799194, 8.0799203, 8.0799213, 8.1038660", \
+					  "8.0648102, 8.0773193, 8.0817442, 8.0817447, 8.0817457, 8.0817466, 8.0817476", \
+					  "8.0614626, 8.0771985, 8.0771986, 8.0771995, 8.0772005, 8.0772014, 8.1036324", \
+					  "8.0589233, 8.0724245, 8.0744114, 8.0744119, 8.0744129, 8.0744138, 8.0820755");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.1099429, 7.1193575, 7.1193579, 7.1193584, 7.1193588, 7.1193593, 7.1193598", \
+					  "7.2448585, 7.2498785, 7.2498787, 7.2498792, 7.2498796, 7.2498801, 7.2498806", \
+					  "7.3332167, 7.3332170, 7.3358422, 7.3358424, 7.3358429, 7.3358434, 7.3358439", \
+					  "7.4092856, 7.4092858, 7.4134472, 7.4134477, 7.4134481, 7.4134486, 7.4134491", \
+					  "7.4520479, 7.4886302, 7.4886306, 7.4886311, 7.4886315, 7.4886320, 7.5172766", \
+					  "7.5426430, 7.5426434, 7.5440907, 7.5460767, 7.5460771, 7.5460775, 7.5727442", \
+					  "7.5888593, 7.5918782, 7.5918787, 7.5931945, 7.5931948, 7.5931952, 7.6394748");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.3696490, 14.4222080, 14.7293200, 16.5613980, 21.8254270, 41.1604690, 144.3090800", \
+					  "14.4980050, 14.5495400, 14.8575020, 16.6828170, 21.9540550, 41.3070610, 144.3733600", \
+					  "14.5859400, 14.6331400, 14.9441700, 16.7757430, 22.0398970, 41.3947030, 144.5050700", \
+					  "14.6493660, 14.6967890, 15.0085540, 16.8384910, 22.1038650, 41.4329300, 144.5663600", \
+					  "14.7128130, 14.7735120, 15.0827620, 16.9123080, 22.1631210, 41.5089790, 144.6336200", \
+					  "14.7707130, 14.8224850, 15.1407530, 16.9730680, 22.2341900, 41.5695210, 144.7067500", \
+					  "14.8196810, 14.8778470, 15.1845470, 17.0181720, 22.2767370, 41.6430940, 144.7494600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0807439, 3.1493073, 3.5816301, 5.2164313, 7.1218014, 32.1397380, 99.7217030", \
+					  "3.0804503, 3.1458784, 3.5824992, 5.2168536, 7.1227975, 32.0713550, 99.6836960", \
+					  "3.0807006, 3.1480467, 3.5815693, 5.2166311, 7.1236279, 32.0619510, 99.6727110", \
+					  "3.0805052, 3.1495382, 3.5814829, 5.2171920, 7.1222392, 32.1396850, 99.6679430", \
+					  "3.0804221, 3.1424694, 3.5780188, 5.2079883, 7.1154114, 32.1397380, 99.6554450", \
+					  "3.0804259, 3.1480785, 3.5830776, 5.2079829, 7.1246720, 32.0435800, 99.6759940", \
+					  "3.0804359, 3.1491845, 3.5825554, 5.2083804, 7.1229711, 32.0712880, 99.6725760");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("7.1174635, 7.1174639, 7.1235533, 7.1235536, 7.1235540, 7.1235545, 7.1235550", \
+					  "7.2540240, 7.2540243, 7.2540248, 7.2540253, 7.2540257, 7.2540262, 7.2949322", \
+					  "7.3458200, 7.3458202, 7.3458207, 7.3458212, 7.3458217, 7.3458221, 7.3458226", \
+					  "7.4115056, 7.4115060, 7.4167872, 7.4167874, 7.4167879, 7.4167884, 7.4254136", \
+					  "7.4817432, 7.4872810, 7.4872811, 7.4872816, 7.4872821, 7.4872826, 7.5174897", \
+					  "7.5454309, 7.5454314, 7.5465980, 7.5465982, 7.5465987, 7.5465992, 7.5814004", \
+					  "7.5999935, 7.5999940, 7.5999945, 7.5999949, 7.5999954, 7.5999959, 7.6560198");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8996430, 17.0947150, 18.2859170, 25.6222330, 70.7919200, 349.4430100, 2068.0448000", \
+					  "17.0284110, 17.2220960, 18.4106610, 25.7640060, 70.9086410, 349.7770000, 2067.9734000", \
+					  "17.1206550, 17.3149170, 18.4952430, 25.8215640, 71.0389050, 349.6951100, 2068.0075000", \
+					  "17.1780280, 17.3738910, 18.5687230, 25.8795650, 71.0556170, 349.7459600, 2068.1030000", \
+					  "17.2429480, 17.4406980, 18.6588290, 25.9824250, 71.1649260, 349.7790700, 2068.1696000", \
+					  "17.3079680, 17.5031720, 18.6763460, 26.0259260, 71.2344250, 349.9040700, 2068.2110000", \
+					  "17.3609450, 17.5599730, 18.7457660, 26.0591990, 71.2310990, 350.0432500, 2068.2808000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7528330, 15.1355960, 17.4666110, 32.0216170, 122.1286800, 677.8623700, 4102.2381000", \
+					  "14.7513950, 15.1353720, 17.4839820, 32.0044510, 122.1666100, 678.3797600, 4101.1822000", \
+					  "14.7441080, 15.1332880, 17.4847850, 32.0409370, 122.0893200, 678.2439600, 4103.2422000", \
+					  "14.7526680, 15.1319100, 17.4667870, 32.0509700, 122.1697800, 678.2624400, 4103.2608000", \
+					  "14.7493390, 15.1282350, 17.4773900, 32.0197840, 122.0645300, 678.0600800, 4108.4172000", \
+					  "14.7541530, 15.1249410, 17.4838770, 32.0349720, 122.1051100, 677.6956500, 4105.9457000", \
+					  "14.7446660, 15.1301260, 17.4713330, 32.0365070, 122.1696100, 677.3709600, 4101.6301000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6885780, 21.8841690, 23.0338310, 30.2103980, 74.5081010, 346.7711200, 2028.2366000", \
+					  "21.8094930, 22.0017800, 23.1677310, 30.3312290, 74.5949650, 346.9056900, 2027.8947000", \
+					  "21.8948330, 22.0857820, 23.2587480, 30.4432280, 74.6846640, 346.8645400, 2027.8596000", \
+					  "21.9619840, 22.1605380, 23.3131740, 30.4928490, 74.6583100, 346.9508300, 2026.5529000", \
+					  "22.0387300, 22.2141490, 23.3852970, 30.5882820, 74.8255220, 347.0289600, 2026.5995000", \
+					  "22.0877520, 22.2785490, 23.4526340, 30.6576420, 74.8910550, 347.0798000, 2026.4381000", \
+					  "22.1586020, 22.3309180, 23.5063600, 30.7108900, 74.9060900, 347.1650100, 2026.5581000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7504030, 14.1646660, 16.4709300, 30.7678720, 118.9709700, 663.3445700, 4023.7710000", \
+					  "13.7523440, 14.1437920, 16.4142810, 30.7414040, 118.7682100, 663.2515100, 4022.6773000", \
+					  "13.7512340, 14.1121510, 16.4818650, 30.8049980, 118.7415200, 663.2063200, 4020.1007000", \
+					  "13.7506390, 14.1647640, 16.4295830, 30.6998660, 119.0645600, 662.8465000, 4020.9702000", \
+					  "13.7783460, 14.1153500, 16.4449730, 30.8470830, 118.8582200, 662.9120400, 4019.9025000", \
+					  "13.7531630, 14.1152170, 16.4728460, 30.8279770, 118.8583500, 662.8909000, 4017.1162000", \
+					  "13.7889580, 14.1685190, 16.4557900, 30.8428310, 118.8936800, 662.9307400, 4020.3145000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.2441140, 10.2953120, 10.6058890, 12.5514730, 19.0563870, 39.1861690, 160.7951800", \
+					  "10.2994670, 10.3495590, 10.6604010, 12.6053310, 19.1099280, 39.2385320, 160.8305400", \
+					  "10.3184700, 10.3695590, 10.6803350, 12.6246910, 19.1302800, 39.2605590, 160.8613000", \
+					  "10.3271010, 10.3776320, 10.6887510, 12.6318080, 19.1396610, 39.2594150, 160.8649000", \
+					  "10.3292990, 10.3804300, 10.6912500, 12.6355890, 19.1414940, 39.2576250, 160.8736100", \
+					  "10.3281860, 10.3791030, 10.6897770, 12.6326660, 19.1434120, 39.2585380, 160.8643000", \
+					  "10.3251370, 10.3741970, 10.6859610, 12.6301080, 19.1355000, 39.2505830, 160.8706800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1278338, 3.2120862, 3.7380143, 5.7895009, 8.6268255, 33.5102790, 200.3126300", \
+					  "3.1269924, 3.2121694, 3.7386561, 5.7892304, 8.6305807, 33.4992090, 200.3817100", \
+					  "3.1276115, 3.2121477, 3.7380157, 5.7892069, 8.6258023, 33.5102470, 200.3403400", \
+					  "3.1273168, 3.2123959, 3.7383052, 5.7884111, 8.6267722, 33.5023350, 200.2471700", \
+					  "3.1277054, 3.2121326, 3.7380139, 5.7886589, 8.6265715, 33.4967570, 200.3430500", \
+					  "3.1269707, 3.2123313, 3.7381853, 5.7883711, 8.6340970, 33.5023350, 200.4069300", \
+					  "3.1267753, 3.2123575, 3.7384953, 5.7893845, 8.6294749, 33.4963590, 200.3232600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("20.8274770, 21.0222310, 22.1999330, 29.4198620, 73.7969760, 346.2346900, 2026.9954000", \
+					  "20.9618220, 21.1502500, 22.3263580, 29.6082470, 73.7695270, 346.1594000, 2026.8676000", \
+					  "21.0388260, 21.2342620, 22.4114040, 29.6888930, 73.9514970, 346.3451000, 2027.9397000", \
+					  "21.1147380, 21.3051620, 22.4802450, 29.7102730, 74.0641680, 346.4843700, 2027.2801000", \
+					  "21.1673260, 21.3635780, 22.5375310, 29.7764850, 74.0760270, 346.3216300, 2027.1073000", \
+					  "21.2325550, 21.4157440, 22.6077770, 29.8753450, 74.1862390, 346.5817100, 2027.6577000", \
+					  "21.2926070, 21.4828560, 22.6727210, 29.9010980, 74.2513890, 346.4241400, 2027.2350000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7772710, 14.1661960, 16.4710840, 30.7557460, 119.1532300, 663.1455600, 4023.2235000", \
+					  "13.7864980, 14.1542920, 16.4673390, 30.8357390, 119.0001800, 663.1634100, 4022.9943000", \
+					  "13.7753620, 14.1653060, 16.4708780, 30.8453280, 118.7951700, 663.2476500, 4023.3281000", \
+					  "13.7851690, 14.1659300, 16.4618840, 30.7697550, 118.8456800, 663.2677700, 4022.0780000", \
+					  "13.7713650, 14.1649000, 16.4615470, 30.7868040, 118.8396400, 662.7964800, 4023.6673000", \
+					  "13.7864960, 14.1541370, 16.4840820, 30.8258020, 118.8724800, 663.2661200, 4023.9142000", \
+					  "13.7762130, 14.1653120, 16.4839060, 30.6629210, 118.8573600, 662.8211500, 4024.5936000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7809540, 10.8332290, 11.1343490, 13.0350600, 24.6488910, 96.1685840, 421.7429300", \
+					  "10.9023960, 10.9535900, 11.2605850, 13.1665190, 24.7772610, 96.3215780, 421.7857000", \
+					  "10.9883010, 11.0358990, 11.3559120, 13.2649350, 24.8673100, 96.3798850, 421.9547500", \
+					  "11.0586480, 11.1040080, 11.4105210, 13.3085270, 24.9320530, 96.4333320, 422.0168500", \
+					  "11.1248420, 11.1817360, 11.4848810, 13.3939660, 24.9983660, 96.5201470, 422.0850700", \
+					  "11.1730690, 11.2308060, 11.5442840, 13.4408870, 25.0881410, 96.5803080, 422.0906100", \
+					  "11.2350700, 11.2856410, 11.6070340, 13.5127900, 25.1171210, 96.6319010, 422.2058300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0700087, 3.1482095, 3.6309045, 6.6387016, 25.0342410, 138.9270600, 357.2506300", \
+					  "3.0688470, 3.1488536, 3.6305472, 6.6391692, 25.0446400, 138.6630100, 357.1312600", \
+					  "3.0694609, 3.1474534, 3.6319225, 6.6400920, 25.0358490, 138.6711700, 357.0560200", \
+					  "3.0700009, 3.1484721, 3.6308909, 6.6378645, 25.0377940, 138.9233700, 357.2245100", \
+					  "3.0691376, 3.1489704, 3.6309058, 6.6397292, 25.0365540, 138.8973200, 357.1275000", \
+					  "3.0688894, 3.1485332, 3.6318242, 6.6378942, 25.0437500, 138.9554000, 357.2360500", \
+					  "3.0708831, 3.1484888, 3.6317980, 6.6395844, 25.0542980, 138.6688400, 357.0247600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6861660, 21.8797660, 23.0378840, 30.2627790, 74.3668740, 346.7749800, 2028.1741000", \
+					  "21.8097010, 22.0050750, 23.1666120, 30.3373080, 74.5898350, 346.9462500, 2027.7666000", \
+					  "21.9137930, 22.0952770, 23.2561760, 30.4802010, 74.6811750, 347.1290000, 2027.6680000", \
+					  "21.9749570, 22.1613940, 23.3172080, 30.5282020, 74.6653090, 347.2102000, 2028.1595000", \
+					  "22.0288180, 22.2248250, 23.3860290, 30.5780890, 74.8398460, 347.0314600, 2027.7987000", \
+					  "22.1009080, 22.2854690, 23.4440320, 30.6251340, 74.8845390, 347.1379000, 2028.4451000", \
+					  "22.1433130, 22.3445260, 23.4887810, 30.6671700, 74.9675020, 347.3952300, 2028.4294000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7414180, 14.1687840, 16.4463910, 30.8430290, 119.0935500, 663.0837100, 4024.5545000", \
+					  "13.7413960, 14.1688320, 16.4447990, 30.7376770, 118.8069700, 663.2853900, 4023.6050000", \
+					  "13.7969620, 14.1648870, 16.4203100, 30.8424650, 118.7684300, 663.3409300, 4023.2512000", \
+					  "13.7872770, 14.1635760, 16.4384280, 30.8326060, 119.0072600, 663.3427500, 4025.2239000", \
+					  "13.7608720, 14.1354000, 16.4547580, 30.7968760, 118.8670800, 662.8371200, 4025.3049000", \
+					  "13.7608620, 14.1354960, 16.4459150, 30.7968940, 118.8231900, 663.2083100, 4023.2676000", \
+					  "13.7609680, 14.1566760, 16.4446480, 30.7233210, 118.9448400, 663.3354100, 4024.4627000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.9029660, 17.0924790, 18.2870540, 25.6366500, 70.8165190, 349.4404300, 2068.3531000", \
+					  "17.0274510, 17.2206520, 18.4146620, 25.7644520, 70.9120370, 349.5932800, 2069.4326000", \
+					  "17.1168400, 17.3073610, 18.4976900, 25.8182010, 71.0602560, 349.6971600, 2068.8567000", \
+					  "17.1761020, 17.3715340, 18.5685650, 25.8859190, 71.0582530, 349.7347600, 2068.9397000", \
+					  "17.2490560, 17.4341750, 18.6344590, 25.9471480, 71.1193310, 349.8057900, 2069.6234000", \
+					  "17.3069930, 17.5000600, 18.6906540, 26.0373780, 71.2520460, 349.9050900, 2069.5670000", \
+					  "17.3525270, 17.5524270, 18.7553530, 26.0799120, 71.2467240, 349.9276000, 2069.1593000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7546800, 15.1250750, 17.4736310, 32.0216560, 122.0985000, 677.6622300, 4104.2363000", \
+					  "14.7546310, 15.1305000, 17.4823750, 32.0393630, 122.1912200, 678.2131500, 4105.2867000", \
+					  "14.7495780, 15.1333520, 17.4864370, 32.0042590, 122.0449200, 678.2329400, 4103.7974000", \
+					  "14.7530650, 15.1281650, 17.4823440, 32.0094100, 122.1750800, 678.2486300, 4104.4123000", \
+					  "14.7538220, 15.1335020, 17.4769050, 32.0300440, 122.0132600, 678.2120700, 4106.4809000", \
+					  "14.7538000, 15.1278390, 17.4853470, 32.0561360, 122.1941700, 678.2371400, 4105.0171000", \
+					  "14.7538040, 15.1263770, 17.4823020, 32.0425640, 122.1740900, 677.2884800, 4103.6283000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.4815500, 14.5345400, 14.8561680, 16.7396110, 28.1582140, 98.1259590, 526.4348600", \
+					  "14.6085090, 14.6601280, 14.9793560, 16.8673520, 28.2924690, 98.2450670, 526.5631600", \
+					  "14.6952450, 14.7482660, 15.0609200, 16.9582020, 28.3374510, 98.3005180, 526.6586000", \
+					  "14.7523640, 14.8041670, 15.1299840, 17.0182360, 28.3891240, 98.4846620, 526.7071400", \
+					  "14.8152560, 14.8800310, 15.1978680, 17.0808080, 28.4755900, 98.4589410, 526.7926300", \
+					  "14.8833130, 14.9340420, 15.2558680, 17.1435900, 28.5404980, 98.5115920, 526.8474900", \
+					  "14.9448400, 14.9968540, 15.3086360, 17.1972140, 28.6030670, 98.5643640, 526.9146200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0988678, 3.1723113, 3.6252088, 6.4268306, 24.4676630, 135.5647600, 516.1446100", \
+					  "3.1002720, 3.1724625, 3.6213663, 6.4406152, 24.4670540, 135.4593000, 516.1272700", \
+					  "3.0988694, 3.1723753, 3.6133323, 6.4318733, 24.4517950, 135.5009100, 516.1346600", \
+					  "3.1005845, 3.1704530, 3.6243366, 6.4268684, 24.4568470, 135.6682200, 516.1200500", \
+					  "3.1002512, 3.1725282, 3.6217120, 6.4487726, 24.4245350, 135.4598300, 516.1281800", \
+					  "3.1002523, 3.1726326, 3.6212429, 6.4458723, 24.4244550, 135.4771800, 516.1373300", \
+					  "3.1002749, 3.1724792, 3.6136927, 6.4422983, 24.4239600, 135.4762100, 516.1649600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.7743580, 10.8252110, 11.1368650, 13.0791880, 19.5989180, 39.7136300, 161.3410200", \
+					  "10.9036240, 10.9486770, 11.2618690, 13.2048140, 19.7235630, 39.8471410, 161.4657200", \
+					  "10.9899640, 11.0402930, 11.3510090, 13.2944180, 19.8091660, 39.9472140, 161.5398900", \
+					  "11.0499990, 11.1044920, 11.4124640, 13.3581210, 19.8718450, 39.9972320, 161.6169200", \
+					  "11.1190270, 11.1755810, 11.4827430, 13.4290260, 19.9413550, 40.0769660, 161.6746200", \
+					  "11.1776850, 11.2307550, 11.5443180, 13.4883540, 19.9985610, 40.1290240, 161.7286200", \
+					  "11.2309030, 11.2898520, 11.5943180, 13.5449290, 20.0528310, 40.1783250, 161.8050900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.1269964, 3.2114325, 3.7383168, 5.8023173, 8.6471861, 33.5101120, 200.2779200", \
+					  "3.1273657, 3.2128589, 3.7388181, 5.8020100, 8.6451983, 33.4998940, 200.3047700", \
+					  "3.1271712, 3.2115921, 3.7384140, 5.8022995, 8.6454115, 33.5088720, 200.3084800", \
+					  "3.1279874, 3.2121681, 3.7387675, 5.8019674, 8.6440481, 33.5011770, 200.2942900", \
+					  "3.1276322, 3.2119549, 3.7391095, 5.8018541, 8.6439442, 33.4982030, 200.2808200", \
+					  "3.1273655, 3.2122429, 3.7386476, 5.8017529, 8.6459417, 33.4950730, 200.2996400", \
+					  "3.1278935, 3.2124385, 3.7386987, 5.8025633, 8.6462588, 33.5096290, 200.2991400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8996850, 17.1089880, 18.2884730, 25.6129040, 70.7870030, 349.4524200, 2068.0300000", \
+					  "17.0279510, 17.2368790, 18.4107180, 25.7381670, 70.9337800, 349.5856600, 2068.0279000", \
+					  "17.1161630, 17.3030460, 18.5235190, 25.8123210, 70.9908080, 349.7095200, 2068.0939000", \
+					  "17.1776870, 17.3685810, 18.5675280, 25.8871290, 71.0535490, 349.7329400, 2068.2203000", \
+					  "17.2380990, 17.4442290, 18.6693510, 25.9459850, 71.1247240, 349.8188100, 2068.2107000", \
+					  "17.3178360, 17.5008010, 18.6982430, 26.0166400, 71.1759970, 349.8871300, 2068.1989000", \
+					  "17.3571700, 17.5443590, 18.7420500, 26.0584160, 71.2419070, 349.9137900, 2068.3406000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7472470, 15.1295950, 17.4703580, 32.0156810, 122.2314800, 678.1974100, 4106.2763000", \
+					  "14.7506210, 15.1293770, 17.4822220, 32.0441310, 122.1680900, 677.9495700, 4101.8070000", \
+					  "14.7464200, 15.1256020, 17.4793640, 31.9965920, 122.1826800, 677.8261100, 4104.2067000", \
+					  "14.7516030, 15.1279930, 17.4681250, 32.0175180, 122.1870700, 678.1947400, 4104.4013000", \
+					  "14.7475980, 15.1269500, 17.4768910, 32.0333310, 121.9698600, 678.3011500, 4101.9975000", \
+					  "14.7445750, 15.1248120, 17.4772450, 32.0441200, 122.1993500, 678.4443900, 4100.8193000", \
+					  "14.7511170, 15.1332640, 17.4829410, 32.0428870, 122.1995500, 678.1910400, 4104.3081000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("21.6966050, 21.8748950, 23.0416890, 30.2377540, 74.4496800, 347.1281800, 2026.7341000", \
+					  "21.8125460, 22.0082780, 23.1661450, 30.3379900, 74.5751900, 346.8646200, 2026.2805000", \
+					  "21.9025810, 22.0864660, 23.2569730, 30.4378600, 74.5632250, 347.0766800, 2026.3565000", \
+					  "21.9637020, 22.1499890, 23.3146250, 30.4842200, 74.7228340, 346.9785700, 2027.7168000", \
+					  "22.0160210, 22.2256310, 23.3811050, 30.5847290, 74.7440860, 347.1692800, 2026.6145000", \
+					  "22.0818990, 22.2883510, 23.4440630, 30.6529660, 74.7918210, 347.0752300, 2026.5040000", \
+					  "22.1398510, 22.3387970, 23.5033770, 30.6980430, 74.8613120, 347.1389800, 2027.9572000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.7895120, 14.1453450, 16.4289310, 30.8463050, 118.8421900, 663.2172900, 4019.4577000", \
+					  "13.7395060, 14.1592640, 16.4362980, 30.7661560, 118.8581700, 663.2357100, 4017.1308000", \
+					  "13.7506080, 14.1225850, 16.4455860, 30.6659520, 119.0182500, 663.2969300, 4017.2981000", \
+					  "13.7501800, 14.1446660, 16.4640660, 30.7639530, 118.8372600, 663.2383200, 4019.4368000", \
+					  "13.7528400, 14.1101590, 16.4500610, 30.8475310, 119.0279900, 663.2711200, 4018.1640000", \
+					  "13.7531130, 14.1598960, 16.4519150, 30.8452710, 119.0197700, 662.8384300, 4020.1780000", \
+					  "13.7546850, 14.1571230, 16.4166760, 30.8432890, 119.0193000, 662.8375200, 4018.6846000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("10.3949200, 10.4449800, 10.7696480, 12.6736190, 24.3394050, 95.9599550, 421.3444200", \
+					  "10.4506090, 10.4999470, 10.8116160, 12.7264790, 24.3885480, 95.9913460, 421.2959900", \
+					  "10.4659340, 10.5170970, 10.8365450, 12.7561060, 24.4059160, 96.0072200, 421.3721100", \
+					  "10.4747040, 10.5254340, 10.8464420, 12.7559690, 24.4141840, 96.0160020, 421.3465600", \
+					  "10.4815330, 10.5308250, 10.8425670, 12.7580980, 24.4168510, 96.0182480, 421.3417500", \
+					  "10.4750310, 10.5257400, 10.8409730, 12.7546540, 24.4160820, 96.0170250, 421.4072900", \
+					  "10.4699080, 10.5208300, 10.8430360, 12.7518320, 24.4127600, 96.0164500, 421.3162000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0643752, 3.1405818, 3.6280445, 6.6355530, 25.0396540, 138.9326800, 356.8231400", \
+					  "3.0646612, 3.1425009, 3.6259582, 6.6363044, 25.0375580, 138.9495600, 356.9629100", \
+					  "3.0627056, 3.1405756, 3.6275463, 6.6406929, 25.0346270, 138.7128500, 356.9531100", \
+					  "3.0622578, 3.1402148, 3.6274108, 6.6362937, 25.0349680, 138.7118700, 356.8461600", \
+					  "3.0646301, 3.1424914, 3.6258971, 6.6363173, 25.0347090, 138.7127900, 356.9413100", \
+					  "3.0622715, 3.1401881, 3.6259260, 6.6357186, 25.0360910, 138.9536900, 356.9591600", \
+					  "3.0622038, 3.1401606, 3.6275014, 6.6362880, 25.0393340, 138.9536900, 356.9681200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.2451360, 13.2965510, 13.6227050, 15.5215840, 26.9639010, 96.9002300, 525.2237000", \
+					  "13.3712290, 13.4227350, 13.7502010, 15.6478650, 27.0564780, 97.0041540, 525.3602400", \
+					  "13.4574550, 13.5087200, 13.8348700, 15.7333290, 27.1410700, 97.0945210, 525.4377100", \
+					  "13.5321850, 13.5846330, 13.9097940, 15.8095120, 27.2181460, 97.1743500, 525.5359400", \
+					  "13.5914390, 13.6358440, 13.9640830, 15.8608200, 27.2673440, 97.2243300, 525.5622000", \
+					  "13.6472500, 13.7010950, 14.0184330, 15.9168620, 27.3178780, 97.3389120, 525.6279700", \
+					  "13.7092540, 13.7601120, 14.0822090, 15.9852180, 27.3928860, 97.3516730, 525.6957300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0602916, 3.1308727, 3.5827605, 6.4285142, 24.4711770, 135.4450800, 515.9271300", \
+					  "3.0604272, 3.1309697, 3.5832146, 6.4287318, 24.4523070, 135.4370800, 515.9140500", \
+					  "3.0604514, 3.1310607, 3.5832908, 6.4268311, 24.4513320, 135.4589800, 515.8866500", \
+					  "3.0604024, 3.1309355, 3.5828696, 6.4278662, 24.4522230, 135.4701900, 515.9911300", \
+					  "3.0593525, 3.1308516, 3.5859278, 6.4286043, 24.4274520, 135.4637500, 515.8850600", \
+					  "3.0565890, 3.1268517, 3.5862762, 6.4297399, 24.4482510, 135.6420700, 515.9166100", \
+					  "3.0609106, 3.1308964, 3.5865044, 6.4275913, 24.4513210, 135.4767100, 515.9082000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("16.8522650, 17.0463250, 18.2486450, 25.6652740, 70.9642410, 349.8888400, 2069.4338000", \
+					  "16.9027410, 17.0930170, 18.3065540, 25.6800870, 71.0665730, 349.9630500, 2068.6764000", \
+					  "16.9213510, 17.1183810, 18.3151570, 25.7016400, 71.0570090, 350.0122700, 2069.0134000", \
+					  "16.9291690, 17.1269260, 18.3325980, 25.7432210, 71.1057450, 349.9977500, 2069.0384000", \
+					  "16.9325000, 17.1297930, 18.3343720, 25.7073560, 71.0639370, 349.9780200, 2069.1798000", \
+					  "16.9326100, 17.1266560, 18.3353170, 25.7150390, 71.0399140, 350.0017000, 2069.0975000", \
+					  "16.9255630, 17.1430140, 18.3209540, 25.7029150, 71.0309890, 349.9889100, 2069.0566000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("14.7446460, 15.1301600, 17.4732760, 32.0297600, 122.1882000, 677.5939000, 4105.9857000", \
+					  "14.7453680, 15.1305120, 17.4799850, 32.0418640, 122.1531700, 677.8566300, 4103.2476000", \
+					  "14.7479090, 15.1245680, 17.4799090, 32.0239870, 122.1303300, 678.2190700, 4105.6110000", \
+					  "14.7480270, 15.1244230, 17.4790670, 32.0292520, 122.0596700, 677.6707000, 4105.3772000", \
+					  "14.7479060, 15.1244400, 17.4829060, 32.0044080, 122.1301000, 678.3966500, 4105.4177000", \
+					  "14.7433540, 15.1300060, 17.4779390, 32.0091540, 122.1632000, 677.9394500, 4105.4868000", \
+					  "14.7440820, 15.1262860, 17.4677230, 32.0421340, 122.1668200, 678.0238700, 4103.7292000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("13.0535680, 13.1049710, 13.4199990, 15.3071320, 26.6988260, 96.6238720, 525.0036000", \
+					  "13.1843290, 13.2361760, 13.5547790, 15.4438040, 26.8340760, 96.7951550, 525.1386000", \
+					  "13.2726750, 13.3244400, 13.6372760, 15.5241600, 26.9132610, 96.8703160, 525.2442700", \
+					  "13.3406310, 13.3914710, 13.7040250, 15.5909330, 26.9803360, 96.9374770, 525.2973100", \
+					  "13.3974580, 13.4502200, 13.7678260, 15.6563560, 27.0812710, 97.0292650, 525.3516000", \
+					  "13.4532550, 13.5044630, 13.8223890, 15.7112310, 27.1350250, 97.0857950, 525.4001400", \
+					  "13.5192200, 13.5707190, 13.8850800, 15.7737750, 27.1978650, 97.1468240, 525.4773900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21676, 1.26843, 1.58705, 3.55197, 15.6692, 90.3939, 551.207");
+					values("3.0948901, 3.1684755, 3.6127268, 6.4403949, 24.4548420, 135.3650800, 516.1010700", \
+					  "3.0927854, 3.1663951, 3.6189098, 6.4330981, 24.3846920, 135.5523400, 516.0895300", \
+					  "3.0935141, 3.1667267, 3.6126233, 6.4403305, 24.4515860, 135.4806900, 516.2094700", \
+					  "3.0935521, 3.1667786, 3.6128469, 6.4402834, 24.4518010, 135.4845100, 516.1349500", \
+					  "3.0942933, 3.1679561, 3.6174346, 6.4386625, 24.4680350, 135.4562900, 516.1328400", \
+					  "3.0945098, 3.1681708, 3.6174224, 6.4391745, 24.4648530, 135.4549800, 516.1281300", \
+					  "3.0941132, 3.1677905, 3.6174275, 6.4389050, 24.4654110, 135.4552700, 516.1250600");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0848837, -1.1199148, -1.1549458, -1.1563969, -1.1577899, -1.1592410, -1.1606921");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0997368, 1.1461351, 1.1925333, 1.1925936, 1.1926515, 1.1927119, 1.1927722");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.158161;
+			capacitance : 0.157539;
+			fall_capacitance : 0.156917;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0435160, -0.0449482, -0.0463805, -0.0466641, -0.0469365, -0.0472201, -0.0475038");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0406806, 0.0434901, 0.0462996, 0.0465576, 0.0468053, 0.0470634, 0.0473214");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.151203;
+			capacitance : 0.150450;
+			fall_capacitance : 0.149696;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0438376, -0.0451347, -0.0464319, -0.0466804, -0.0469189, -0.0471674, -0.0474158");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0412337, 0.0437768, 0.0463200, 0.0466087, 0.0468859, 0.0471746, 0.0474633");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v95.lib
new file mode 100644
index 0000000..dc4d326
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v95.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ff_ss_n40C_1v95_1v95") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.950000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.950000);
+	voltage_map("VDDIO_Q",1.950000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.950000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.487; 
+			 vih : 1.462; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p95v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}	
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 3.580900e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5576000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.9794400";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4682000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5517000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5751400";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4447900";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4506600";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5517200";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.3544500";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4582900";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4441300";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4447600";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4739700";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.4218200";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.3498600";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5287600";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5510700";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "3.5809000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006729;
+			capacitance : 0.006578;
+			fall_capacitance : 0.006427;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5120844, 2.4371665, 2.4042881, 2.3883278, 2.3808125, 2.3802221, 2.3887852", \
+					  "2.8896379, 2.8146705, 2.7785690, 2.7674674, 2.7606891, 2.7624414, 2.7694769", \
+					  "3.2352235, 3.1587302, 3.1241545, 3.1114589, 3.1070031, 3.1003176, 3.1134502", \
+					  "3.5634844, 3.4885171, 3.4556720, 3.4411421, 3.4459454, 3.4300963, 3.4447627", \
+					  "3.8152182, 3.7416544, 3.7092201, 3.6852501, 3.6915793, 3.6864114, 3.6919226", \
+					  "4.0570505, 3.9790316, 3.9462152, 3.9378343, 3.9257786, 3.9274120, 3.9245960", \
+					  "4.2692590, 4.1958684, 4.1599145, 4.1423392, 4.1355833, 4.1465523, 4.1368036");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2908513, 2.1648477, 2.0500633, 1.9975462, 1.9372902, 1.8739337, 1.8165806", \
+					  "2.6684100, 2.5369394, 2.4593394, 2.3780891, 2.3194196, 2.2499094, 2.2173153", \
+					  "3.0124721, 2.8848773, 2.8058074, 2.7189955, 2.6546177, 2.5894147, 2.5153129", \
+					  "3.3422516, 3.2161230, 3.0961458, 3.0455374, 2.9836043, 2.8830323, 2.8359373", \
+					  "3.5954997, 3.4596193, 3.3564301, 3.3098138, 3.2233119, 3.1828567, 3.1168437", \
+					  "3.8058039, 3.6874331, 3.5846282, 3.5288368, 3.4624153, 3.3941694, 3.3456500", \
+					  "4.0318782, 3.9065109, 3.8118005, 3.7429560, 3.6865660, 3.6116937, 3.5549926");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1189834, -2.0425030, -2.0231756, -2.0086423, -1.9983950, -2.0166499, -1.9911510", \
+					  "-2.4948123, -2.4198592, -2.3929009, -2.3845911, -2.3836008, -2.3980475, -2.3852850", \
+					  "-2.8387796, -2.7608220, -2.7446878, -2.7299218, -2.7244999, -2.7237638, -2.7141984", \
+					  "-3.1612710, -3.1077283, -3.0671634, -3.0501854, -3.0437832, -3.0553508, -3.0318894", \
+					  "-3.4104032, -3.3369176, -3.3206990, -3.3061595, -3.2978206, -3.3197420, -3.2978080", \
+					  "-3.6419578, -3.5577110, -3.5477509, -3.5246949, -3.5213692, -3.5116236, -3.5095055", \
+					  "-3.8742145, -3.7908971, -3.7725034, -3.7577654, -3.7505743, -3.7745124, -3.7692313");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1937720, -2.0547095, -1.9648565, -1.9003048, -1.7893989, -1.7582417, -1.6859331", \
+					  "-2.5696007, -2.4428221, -2.3573922, -2.2812418, -2.1776336, -2.1355963, -2.0831243", \
+					  "-2.9135682, -2.7943911, -2.6529236, -2.6205724, -2.5233530, -2.4810897, -2.4042035", \
+					  "-3.2222953, -3.1075735, -3.0152567, -2.9465794, -2.8483979, -2.7943942, -2.7434481", \
+					  "-3.4668543, -3.3814342, -3.2376417, -3.2013789, -3.0947151, -3.0633915, -3.0047919", \
+					  "-3.6904415, -3.5729230, -3.4901743, -3.4246483, -3.3349771, -3.2882683, -3.2165183", \
+					  "-3.9454681, -3.8216649, -3.7490790, -3.6553315, -3.5715630, -3.5217715, -3.4236054");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426579, 0.0419662, 0.0412744, 0.0415090, 0.0417342, 0.0419688, 0.0422034");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0540221, 0.0534259, 0.0528297, 0.0533313, 0.0538128, 0.0543144, 0.0548159");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004664;
+			capacitance : 0.004807;
+			rise_capacitance : 0.004951;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2044650, -2.1418875, -2.0955794, -2.0714554, -2.0713988, -2.0740986, -2.0658770", \
+					  "-2.5802937, -2.5158069, -2.4736748, -2.4428708, -2.4492428, -2.4590827, -2.4418986", \
+					  "-2.9242610, -2.8615441, -2.8207918, -2.7971058, -2.7886264, -2.7954207, -2.7871991", \
+					  "-3.2528244, -3.1898899, -3.1439209, -3.1151936, -3.1175875, -3.1197087, -3.1172884", \
+					  "-3.5187459, -3.4451275, -3.4076472, -3.3797567, -3.3765752, -3.3838814, -3.3740545", \
+					  "-3.7499623, -3.6702463, -3.6378435, -3.6065188, -3.6096012, -3.5982337, -3.6037448", \
+					  "-3.9759957, -3.9055658, -3.8542162, -3.8414167, -3.8309611, -3.8398397, -3.8329670");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1175019, -2.0298713, -1.9044572, -1.8339604, -1.7455500, -1.6780171, -1.6676226", \
+					  "-2.4933306, -2.4059416, -2.3512922, -2.2234534, -2.1214043, -2.0721855, -2.0562873", \
+					  "-2.8372981, -2.7462681, -2.6436819, -2.5629136, -2.4787232, -2.4297250, -2.3645307", \
+					  "-3.1582634, -3.0784919, -2.9665565, -2.8665065, -2.7952014, -2.7242830, -2.6870219", \
+					  "-3.4470686, -3.3227105, -3.2449887, -3.1664264, -3.0567557, -2.9993894, -2.9442068", \
+					  "-3.6496314, -3.5349563, -3.4195020, -3.3517710, -3.2665474, -3.2066735, -3.1357982", \
+					  "-3.8849401, -3.7922192, -3.7261049, -3.5855771, -3.5064338, -3.4559362, -3.4138021");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5121146, 2.4325549, 2.3970824, 2.3696419, 2.3681695, 2.3636813, 2.3567417", \
+					  "2.8896634, 2.8099039, 2.7732750, 2.7457266, 2.7490555, 2.7413983, 2.7403944", \
+					  "3.2352470, 3.1842525, 3.1203334, 3.1000380, 3.0887384, 3.0853969, 3.0863106", \
+					  "3.5635142, 3.4759678, 3.4484753, 3.4301686, 3.4093870, 3.4186057, 3.4049102", \
+					  "3.8152639, 3.7371664, 3.6987074, 3.6684787, 3.6778883, 3.6696389, 3.6614179", \
+					  "4.0540400, 3.9742647, 3.9242758, 3.9106507, 3.9105308, 3.8949886, 3.8884965", \
+					  "4.2693025, 4.1902071, 4.1507449, 4.1273276, 4.1212156, 4.1105886, 4.1099962");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2755953, 2.1454909, 2.0242486, 1.9916591, 1.8990823, 1.8217461, 1.7773509", \
+					  "2.6464054, 2.5252212, 2.4062235, 2.3584570, 2.2947427, 2.1940821, 2.1513600", \
+					  "3.0256038, 2.9080341, 2.7776246, 2.7417549, 2.6327116, 2.5790446, 2.5408822", \
+					  "3.3143781, 3.1842680, 3.0989973, 3.0423324, 2.9317449, 2.8835257, 2.7515992", \
+					  "3.5443227, 3.4378558, 3.3338379, 3.2531751, 3.1880568, 3.0937764, 3.0471917", \
+					  "3.7702462, 3.6510897, 3.5460266, 3.4496049, 3.3706687, 3.3155195, 3.2765890", \
+					  "3.9846213, 3.8560371, 3.7488006, 3.6903030, 3.6047632, 3.5413364, 3.5462340");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0632820, 0.0624997, 0.0617174, 0.0622978, 0.0628549, 0.0634353, 0.0640156");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0514881, 0.0508102, 0.0501322, 0.0503271, 0.0505141, 0.0507089, 0.0509038");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006319;
+			capacitance : 0.006474;
+			rise_capacitance : 0.006629;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5273430, 2.4508573, 2.4180653, 2.4051155, 2.3975971, 2.3924352, 2.4040439", \
+					  "2.9048882, 2.8283958, 2.8006646, 2.7770193, 2.7744114, 2.7780982, 2.7800631", \
+					  "3.2504697, 3.1724515, 3.1409269, 3.1267080, 3.1195780, 3.1141872, 3.1210670", \
+					  "3.5787427, 3.5022503, 3.4694590, 3.4577666, 3.4535744, 3.4499324, 3.4530914", \
+					  "3.8305055, 3.7555872, 3.7228190, 3.6990078, 3.7053361, 3.6971175, 3.7026291", \
+					  "4.0575790, 3.9856645, 3.9467827, 3.9351516, 3.9395334, 3.9343655, 3.9337736", \
+					  "4.2845432, 4.2025679, 4.1695872, 4.1491093, 4.1624267, 4.1542070, 4.1658217");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2526870, 2.1429159, 2.0897198, 1.9905580, 1.9375202, 1.8478496, 1.7852430", \
+					  "2.6426357, 2.5211340, 2.4865628, 2.3698277, 2.3138489, 2.2333258, 2.2034612", \
+					  "3.0057344, 2.8929113, 2.8144079, 2.7478702, 2.6658092, 2.6266614, 2.5680858", \
+					  "3.2890882, 3.1863601, 3.1289314, 3.0436085, 2.9641106, 2.9317422, 2.8615344", \
+					  "3.5414441, 3.4196342, 3.3414185, 3.2458199, 3.1992476, 3.1359942, 3.0809065", \
+					  "3.7548021, 3.6423058, 3.5475945, 3.4859571, 3.4216768, 3.3494816, 3.2961376", \
+					  "3.9978596, 3.8618795, 3.7708057, 3.7141617, 3.6261508, 3.5532648, 3.5035915");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2013808, -2.1264263, -2.0905071, -2.0633173, -2.0670685, -2.0836474, -2.0841874", \
+					  "-2.5772097, -2.4992035, -2.4615656, -2.4396109, -2.4495778, -2.4621345, -2.4601347", \
+					  "-2.9211773, -2.8339557, -2.8101105, -2.7935216, -2.7905131, -2.7984725, -2.8055096", \
+					  "-3.2497407, -3.1732603, -3.1388602, -3.1223639, -3.1097564, -3.1363076, -3.1325866", \
+					  "-3.4882230, -3.4164080, -3.4017679, -3.3738221, -3.3707050, -3.3870801, -3.3817109", \
+					  "-3.7121481, -3.6523928, -3.6228704, -3.5943859, -3.5900338, -3.5924951, -3.6010583", \
+					  "-3.9367755, -3.8725021, -3.8366845, -3.8144600, -3.8207648, -3.8342922, -3.8334346");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1357886, -2.0151207, -1.9134451, -1.8748087, -1.7847718, -1.7265655, -1.6828813", \
+					  "-2.5100916, -2.3917425, -2.2906776, -2.2551895, -2.1608150, -2.1196329, -2.0329114", \
+					  "-2.8540589, -2.7364437, -2.6529358, -2.5959972, -2.5050090, -2.4172310, -2.4029054", \
+					  "-3.1765501, -3.0596343, -2.9626114, -2.9133979, -2.8362146, -2.7532269, -2.7450053", \
+					  "-3.4470448, -3.3147433, -3.2282967, -3.1754285, -3.0995315, -3.0267732, -2.9971893", \
+					  "-3.6770735, -3.5552907, -3.4725671, -3.3899181, -3.3138085, -3.2110256, -3.1505678", \
+					  "-3.8971232, -3.7514318, -3.6886491, -3.6376339, -3.5572178, -3.5136202, -3.4259555");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426500, 0.0419741, 0.0412982, 0.0415336, 0.0417595, 0.0419949, 0.0422302");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538844, 0.0532917, 0.0526989, 0.0532000, 0.0536811, 0.0541822, 0.0546834");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026866;
+			capacitance : 0.026896;
+			fall_capacitance : 0.026927;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044549, -0.0053218, -0.0061886, -0.0063447, -0.0064946, -0.0066507, -0.0068068");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0390694, 0.0382701, 0.0374707, 0.0373136, 0.0371627, 0.0370056, 0.0368484");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032551;
+			capacitance : 0.032857;
+			rise_capacitance : 0.033164;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1163368, 0.1187405, 0.1211442, 0.1236740, 0.1261026, 0.1286323, 0.1311621");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1413635, 0.1439518, 0.1465402, 0.1495387, 0.1524172, 0.1554157, 0.1584142");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051318;
+			capacitance : 0.051849;
+			rise_capacitance : 0.052380;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0197541, -0.0199522, -0.0201502, -0.0201422, -0.0201345, -0.0201265, -0.0201185");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0200643, 0.0200426, 0.0200208, 0.0200534, 0.0200846, 0.0201172, 0.0201497");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016789;
+			capacitance : 0.016734;
+			fall_capacitance : 0.016679;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0254390, 0.0261603, 0.0268817, 0.0294064, 0.0318301, 0.0343549, 0.0368796");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297415, 0.0308004, 0.0318592, 0.0344415, 0.0369204, 0.0395027, 0.0420849");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037426;
+			capacitance : 0.036917;
+			fall_capacitance : 0.036408;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.3432875, -2.2894390, -2.2673163, -2.2606205, -2.2516908, -2.2526265, -2.2657671", \
+					  "-2.7099611, -2.6652680, -2.6355158, -2.6272941, -2.6214162, -2.6377076, -2.6446478", \
+					  "-3.0646096, -3.0075926, -2.9901643, -2.9804168, -2.9714870, -2.9801470, -2.9855633", \
+					  "-3.3809972, -3.3317264, -3.3080778, -3.3013820, -3.2909266, -3.2964397, -3.3034770", \
+					  "-3.6423366, -3.5855443, -3.5633136, -3.5642473, -3.5507399, -3.5562532, -3.5663421", \
+					  "-3.8708394, -3.8137708, -3.7904854, -3.7881726, -3.7761911, -3.7817040, -3.7917933", \
+					  "-4.0924150, -4.0369625, -4.0059029, -4.0051901, -3.9992926, -4.0112391, -4.0164207");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.3880873, -1.3218634, -1.2388737, -1.1803205, -1.1348974, -1.0715960, -1.0144813", \
+					  "-1.7685459, -1.7007962, -1.6178307, -1.5562046, -1.5136800, -1.4627358, -1.4200968", \
+					  "-2.1216937, -2.0020638, -1.9694470, -1.8948436, -1.8714052, -1.7967163, -1.7687775", \
+					  "-2.4410167, -3.3007208, -2.2856996, -2.2225463, -2.1632626, -2.0902664, -2.0208379", \
+					  "-2.7038300, -2.6116870, -2.5515856, -2.4823287, -2.4322746, -3.2776887, -3.2137936", \
+					  "-2.9288467, -2.8473639, -2.7643742, -2.6972641, -2.6363409, -2.5827922, -2.5522926", \
+					  "-3.1502782, -3.0703215, -3.0193938, -2.8685807, -2.8590530, -2.8313700, -2.7851643");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5761707, 2.5023157, 2.4804799, 2.4660361, 2.4616840, 2.4626197, 2.4711826", \
+					  "2.9475971, 2.8785050, 2.8624690, 2.8374625, 2.8315846, 2.8355720, 2.8502385", \
+					  "3.3084305, 3.2549426, 3.2065175, 3.2044809, 3.1847885, 3.1841983, 3.1927612", \
+					  "3.6275701, 3.5461126, 3.5333412, 3.5111738, 3.5176609, 3.5140220, 3.5213339", \
+					  "3.8809034, 3.8101684, 3.7850938, 3.7677184, 3.7648913, 3.7688784, 3.7728641", \
+					  "4.1227238, 4.0383726, 4.0224529, 4.0080115, 3.9919479, 4.0015429, 4.0085804", \
+					  "4.3334166, 4.2544491, 4.2376589, 4.2217565, 4.2143620, 4.2198656, 4.2214279");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.4205405, 2.2932661, 2.1980693, 2.1211833, 2.0645988, 1.9972222, 1.9310172", \
+					  "2.7974314, 2.6632599, 2.5764860, 2.4904446, 2.4414080, 2.3913190, 2.3176475", \
+					  "3.1645080, 3.0437500, 2.9482099, 2.8743059, 2.8130656, 2.7488192, 2.6921474", \
+					  "3.4512769, 3.3255281, 3.2333832, 3.1717258, 3.0972134, 3.0543672, 2.9868879", \
+					  "3.6843262, 3.5590573, 3.4640597, 3.4011825, 3.3462580, 3.2889223, 3.2212586", \
+					  "3.9015500, 3.7849562, 3.6943378, 3.6135679, 3.5599777, 3.4932511, 3.4316237", \
+					  "4.1143909, 3.9902835, 3.8980652, 3.8288082, 3.7795498, 3.7124768, 3.6533907");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3044395, 0.3452279, 0.3860162, 0.3907505, 0.3952954, 0.4000297, 0.4047640");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2160415, 0.2810739, 0.3461063, 0.3842716, 0.4209103, 0.4590757, 0.4972410");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.010112;
+			capacitance : 0.010351;
+			rise_capacitance : 0.010590;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.9196094e-05, 0.1397802, 0.2795796, 0.6756005, 1.0557805, 1.4518014, 1.8478222");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0656602, 0.1005622, 0.1354642, 0.5032434, 0.8563114, 1.2240906, 1.5918698");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006718;
+			capacitance : 0.006872;
+			rise_capacitance : 0.007027;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5944816, 2.5194591, 2.4896980, 2.4768443, 2.4677876, 2.4610938, 2.4650793", \
+					  "2.9720020, 2.8970369, 2.8722942, 2.8513090, 2.8430514, 2.8355625, 2.8441254", \
+					  "3.3175720, 3.2713992, 3.2170802, 3.2332293, 3.2152816, 3.1826584, 3.1973249", \
+					  "3.6365780, 3.5708643, 3.5348234, 3.5204611, 3.5283419, 3.5096388, 3.5119006", \
+					  "3.9022948, 3.8272752, 3.7945334, 3.7709327, 3.7679706, 3.7673809, 3.7728916", \
+					  "4.1278152, 4.0614528, 4.0287238, 4.0025559, 4.0026798, 4.0000419, 3.9907835", \
+					  "4.3416966, 4.2668698, 4.2437412, 4.2101991, 4.2189597, 4.2083086, 4.2223538");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2664384, 2.1332658, 2.0149662, 1.9769038, 1.9222766, 1.8051115, 1.7814836", \
+					  "2.6471914, 2.5141369, 2.4551979, 2.3499831, 2.2999543, 2.2088247, 2.1589817", \
+					  "2.9909904, 2.8578182, 2.7839604, 2.6938596, 2.6377325, 2.5459064, 2.5004084", \
+					  "3.3188106, 3.1841126, 3.0954839, 3.0191363, 2.9533547, 2.8932703, 2.8155452", \
+					  "3.5766708, 3.4234029, 3.3526644, 3.2517143, 3.2115073, 3.1193644, 3.0807530", \
+					  "3.7833792, 3.6449431, 3.5837866, 3.5201862, 3.4323580, 3.3505654, 3.3086221", \
+					  "3.9935609, 3.8527593, 3.7797355, 3.6860633, 3.6374018, 3.5506523, 3.4933470");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2364763, -2.1629971, -2.1347557, -2.1171879, -2.1178550, -2.1124171, -2.1162609", \
+					  "-2.6107791, -2.5434538, -2.5050628, -2.4953177, -2.4951274, -2.4941779, -2.4951116", \
+					  "-2.9562725, -2.8817939, -2.8528353, -2.8386397, -2.8364832, -2.8366240, -2.8375530", \
+					  "-3.2772378, -3.2079621, -3.1755214, -3.1631188, -3.1597009, -3.1560589, -3.1554667", \
+					  "-3.5370417, -3.4605443, -3.4366658, -3.4192888, -3.4173643, -3.4204501, -3.4198577", \
+					  "-3.7655538, -3.6967218, -3.6670100, -3.6501295, -3.6388618, -3.6428493, -3.6407312", \
+					  "-3.9856036, -3.9137007, -3.8852181, -3.8725429, -3.8730585, -3.8659508, -3.8729879");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.5391700, -1.4214711, -1.4517044, -1.2947392, -1.2939072, -1.7265666, -1.6554155", \
+					  "-2.5375575, -2.4278104, -1.7567113, -1.6720939, -1.6694650, -2.1196369, -2.0264507", \
+					  "-2.2604920, -2.2267163, -2.0957031, -2.0410206, -2.5060940, -2.4658308, -2.3813153", \
+					  "-2.6241506, -2.4622015, -3.0116200, -2.3526483, -2.3543671, -2.2963597, -2.2413146", \
+					  "-3.4500697, -3.3330449, -2.7225277, -2.6649633, -2.6357447, -3.0526865, -2.9971625", \
+					  "-3.1106073, -3.5552922, -3.4811178, -3.4139671, -3.3215770, -3.2768030, -3.1853741", \
+					  "-3.2893396, -3.2987905, -3.3011184, -3.0885756, -3.5456226, -3.5102678, -3.4244329");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0427362, 0.0420600, 0.0413838, 0.0416184, 0.0418435, 0.0420780, 0.0423125");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0539912, 0.0533851, 0.0527790, 0.0532811, 0.0537630, 0.0542650, 0.0547670");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017715;
+			capacitance : 0.017645;
+			fall_capacitance : 0.017574;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1385967, 0.2055365, 0.2724763, 0.3104642, 0.3469325, 0.3849204, 0.4229083");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1956024, 0.2377786, 0.2799547, 0.2836052, 0.2871096, 0.2907601, 0.2944105");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005329;
+			capacitance : 0.005471;
+			rise_capacitance : 0.005613;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2059745, -2.1370820, -2.1009956, -2.0755492, -2.0672643, -2.0758317, -2.0643511", \
+					  "-2.5818032, -2.5099091, -2.4615656, -2.4457145, -2.4527999, -2.4545050, -2.4447643", \
+					  "-2.9257707, -2.8556213, -2.8085847, -2.7948134, -2.8006808, -2.7969466, -2.7933027", \
+					  "-3.2482618, -3.1778937, -3.1450957, -3.1249931, -3.1145053, -3.1136031, -3.1051126", \
+					  "-3.5050236, -3.4210017, -3.4025589, -3.3772757, -3.3709108, -3.3797249, -3.3618743", \
+					  "-3.7304748, -3.6539602, -3.6247986, -3.5974376, -3.5915597, -3.5894436, -3.5873253", \
+					  "-3.9612053, -3.8862595, -3.8486225, -3.8206369, -3.8245728, -3.8327223, -3.8288419");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1281341, -1.4742567, -1.9118051, -1.8400601, -1.3326589, -1.7055791, -1.6554155", \
+					  "-2.5024369, -2.4055235, -1.7368594, -2.2202770, -2.1367014, -2.0657342, -2.0388737", \
+					  "-2.3230279, -2.7720024, -2.6285822, -2.5941238, -2.4847317, -2.4189936, -2.3758417", \
+					  "-2.6592059, -3.0928961, -2.9608227, -2.4313904, -2.8132951, -2.7379367, -2.7144564", \
+					  "-3.4653033, -3.3375571, -2.8709976, -3.1693141, -3.0761648, -3.0038582, -2.9505218", \
+					  "-3.1563587, -3.5523257, -3.4466172, -3.3605616, -3.2970768, -3.1958677, -3.1433704", \
+					  "-3.9057697, -3.2076419, -3.1255702, -3.6458869, -3.5288383, -3.4826150, -3.4253795");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5792406, 2.4950804, 2.4612288, 2.4387295, 2.4312035, 2.4236424, 2.4254046", \
+					  "2.9523422, 2.8727596, 2.8389080, 2.8195284, 2.8098504, 2.7979233, 2.8076615", \
+					  "3.2944512, 3.2163943, 3.1819572, 3.1585028, 3.1565246, 3.1457850, 3.1467522", \
+					  "3.6226464, 3.5430901, 3.5115842, 3.4882258, 3.4898294, 3.4724544, 3.4764660", \
+					  "3.8805144, 3.8024040, 3.7656756, 3.7576585, 3.7243271, 3.7289898, 3.7266783", \
+					  "4.1086279, 4.0259936, 3.9818498, 3.9644344, 3.9699846, 3.9539201, 3.9406330", \
+					  "4.2989215, 4.2329289, 4.1864870, 4.1658790, 4.1846458, 4.1533073, 4.1664479");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2740532, 2.1512095, 2.0811866, 1.9978182, 1.8990927, 1.8324281, 1.7775734", \
+					  "2.6444392, 2.5183129, 2.4302329, 2.3741838, 2.2807230, 2.1875555, 2.1585163", \
+					  "3.0200090, 2.8986567, 2.8195116, 2.7317633, 2.6401508, 2.5586771, 2.5201643", \
+					  "3.3139940, 3.1891019, 3.0669523, 3.0326457, 2.9589214, 2.8510068, 2.8031122", \
+					  "3.5595500, 3.4365204, 3.3236034, 3.2628658, 3.2070371, 3.1012924, 3.0639276", \
+					  "3.7735102, 3.6567454, 3.5563355, 3.5093493, 3.4118211, 3.3137922, 3.2827792", \
+					  "3.9987629, 3.8677673, 3.7595107, 3.6828603, 3.6313755, 3.5469170, 3.4939574");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0612222, 0.0603223, 0.0594223, 0.0600510, 0.0606545, 0.0612831, 0.0619118");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0510249, 0.0502031, 0.0493812, 0.0497506, 0.0501052, 0.0504746, 0.0508440");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005087;
+			capacitance : 0.004855;
+			fall_capacitance : 0.004623;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0110701, -0.0109847, -0.0108992, -0.0108610, -0.0108243, -0.0107861, -0.0107479");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0110269, 0.0109737, 0.0109204, 0.0109345, 0.0109480, 0.0109621, 0.0109762");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5120844, 2.8896379, 3.2352235, 3.5634844, 3.8152182, 4.0570505, 4.2692590", \
+					  "2.4371665, 2.8146705, 3.1587302, 3.4885171, 3.7416544, 3.9790316, 4.1958684", \
+					  "2.4042881, 2.7785690, 3.1241545, 3.4556720, 3.7092201, 3.9462152, 4.1599145", \
+					  "2.3883278, 2.7674674, 3.1114589, 3.4411421, 3.6852501, 3.9378343, 4.1423392", \
+					  "2.3808125, 2.7606891, 3.1070031, 3.4459454, 3.6915793, 3.9257786, 4.1355833", \
+					  "2.3802221, 2.7624414, 3.1003176, 3.4300963, 3.6864114, 3.9274120, 4.1465523", \
+					  "2.3887852, 2.7694769, 3.1134502, 3.4447627, 3.6919226, 3.9245960, 4.1368036");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.1189834, -2.4948123, -2.8387796, -3.1612710, -3.4104032, -3.6419578, -3.8742145", \
+					  "-2.0425030, -2.4198592, -2.7608220, -3.1077283, -3.3369176, -3.5577110, -3.7908971", \
+					  "-2.0231756, -2.3929009, -2.7446878, -3.0671634, -3.3206990, -3.5477509, -3.7725034", \
+					  "-2.0086423, -2.3845911, -2.7299218, -3.0501854, -3.3061595, -3.5246949, -3.7577654", \
+					  "-1.9983950, -2.3836008, -2.7244999, -3.0437832, -3.2978206, -3.5213692, -3.7505743", \
+					  "-2.0166499, -2.3980475, -2.7237638, -3.0553508, -3.3197420, -3.5116236, -3.7745124", \
+					  "-1.9911510, -2.3852850, -2.7141984, -3.0318894, -3.2978080, -3.5095055, -3.7692313");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.3432875, -2.7099611, -3.0646096, -3.3809972, -3.6423366, -3.8708394, -4.0924150", \
+					  "-2.2894390, -2.6652680, -3.0075926, -3.3317264, -3.5855443, -3.8137708, -4.0369625", \
+					  "-2.2673163, -2.6355158, -2.9901643, -3.3080778, -3.5633136, -3.7904854, -4.0059029", \
+					  "-2.2606205, -2.6272941, -2.9804168, -3.3013820, -3.5642473, -3.7881726, -4.0051901", \
+					  "-2.2516908, -2.6214162, -2.9714870, -3.2909266, -3.5507399, -3.7761911, -3.9992926", \
+					  "-2.2526265, -2.6377076, -2.9801470, -3.2964397, -3.5562532, -3.7817040, -4.0112391", \
+					  "-2.2657671, -2.6446478, -2.9855633, -3.3034770, -3.5663421, -3.7917933, -4.0164207");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5761707, 2.9475971, 3.3084305, 3.6275701, 3.8809034, 4.1227238, 4.3334166", \
+					  "2.5023157, 2.8785050, 3.2549426, 3.5461126, 3.8101684, 4.0383726, 4.2544491", \
+					  "2.4804799, 2.8624690, 3.2065175, 3.5333412, 3.7850938, 4.0224529, 4.2376589", \
+					  "2.4660361, 2.8374625, 3.2044809, 3.5111738, 3.7677184, 4.0080115, 4.2217565", \
+					  "2.4616840, 2.8315846, 3.1847885, 3.5176609, 3.7648913, 3.9919479, 4.2143620", \
+					  "2.4626197, 2.8355720, 3.1841983, 3.5140220, 3.7688784, 4.0015429, 4.2198656", \
+					  "2.4711826, 2.8502385, 3.1927612, 3.5213339, 3.7728641, 4.0085804, 4.2214279");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5944816, 2.9720020, 3.3175720, 3.6365780, 3.9022948, 4.1278152, 4.3416966", \
+					  "2.5194591, 2.8970369, 3.2713992, 3.5708643, 3.8272752, 4.0614528, 4.2668698", \
+					  "2.4896980, 2.8722942, 3.2170802, 3.5348234, 3.7945334, 4.0287238, 4.2437412", \
+					  "2.4768443, 2.8513090, 3.2332293, 3.5204611, 3.7709327, 4.0025559, 4.2101991", \
+					  "2.4677876, 2.8430514, 3.2152816, 3.5283419, 3.7679706, 4.0026798, 4.2189597", \
+					  "2.4610938, 2.8355625, 3.1826584, 3.5096388, 3.7673809, 4.0000419, 4.2083086", \
+					  "2.4650793, 2.8441254, 3.1973249, 3.5119006, 3.7728916, 3.9907835, 4.2223538");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2364763, -2.6107791, -2.9562725, -3.2772378, -3.5370417, -3.7655538, -3.9856036", \
+					  "-2.1629971, -2.5434538, -2.8817939, -3.2079621, -3.4605443, -3.6967218, -3.9137007", \
+					  "-2.1347557, -2.5050628, -2.8528353, -3.1755214, -3.4366658, -3.6670100, -3.8852181", \
+					  "-2.1171879, -2.4953177, -2.8386397, -3.1631188, -3.4192888, -3.6501295, -3.8725429", \
+					  "-2.1178550, -2.4951274, -2.8364832, -3.1597009, -3.4173643, -3.6388618, -3.8730585", \
+					  "-2.1124171, -2.4941779, -2.8366240, -3.1560589, -3.4204501, -3.6428493, -3.8659508", \
+					  "-2.1162609, -2.4951116, -2.8375530, -3.1554667, -3.4198577, -3.6407312, -3.8729879");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2059745, -2.5818032, -2.9257707, -3.2482618, -3.5050236, -3.7304748, -3.9612053", \
+					  "-2.1370820, -2.5099091, -2.8556213, -3.1778937, -3.4210017, -3.6539602, -3.8862595", \
+					  "-2.1009956, -2.4615656, -2.8085847, -3.1450957, -3.4025589, -3.6247986, -3.8486225", \
+					  "-2.0755492, -2.4457145, -2.7948134, -3.1249931, -3.3772757, -3.5974376, -3.8206369", \
+					  "-2.0672643, -2.4527999, -2.8006808, -3.1145053, -3.3709108, -3.5915597, -3.8245728", \
+					  "-2.0758317, -2.4545050, -2.7969466, -3.1136031, -3.3797249, -3.5894436, -3.8327223", \
+					  "-2.0643511, -2.4447643, -2.7933027, -3.1051126, -3.3618743, -3.5873253, -3.8288419");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5792406, 2.9523422, 3.2944512, 3.6226464, 3.8805144, 4.1086279, 4.2989215", \
+					  "2.4950804, 2.8727596, 3.2163943, 3.5430901, 3.8024040, 4.0259936, 4.2329289", \
+					  "2.4612288, 2.8389080, 3.1819572, 3.5115842, 3.7656756, 3.9818498, 4.1864870", \
+					  "2.4387295, 2.8195284, 3.1585028, 3.4882258, 3.7576585, 3.9644344, 4.1658790", \
+					  "2.4312035, 2.8098504, 3.1565246, 3.4898294, 3.7243271, 3.9699846, 4.1846458", \
+					  "2.4236424, 2.7979233, 3.1457850, 3.4724544, 3.7289898, 3.9539201, 4.1533073", \
+					  "2.4254046, 2.8076615, 3.1467522, 3.4764660, 3.7266783, 3.9406330, 4.1664479");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2044650, -2.5802937, -2.9242610, -3.2528244, -3.5187459, -3.7499623, -3.9759957", \
+					  "-2.1418875, -2.5158069, -2.8615441, -3.1898899, -3.4451275, -3.6702463, -3.9055658", \
+					  "-2.0955794, -2.4736748, -2.8207918, -3.1439209, -3.4076472, -3.6378435, -3.8542162", \
+					  "-2.0714554, -2.4428708, -2.7971058, -3.1151936, -3.3797567, -3.6065188, -3.8414167", \
+					  "-2.0713988, -2.4492428, -2.7886264, -3.1175875, -3.3765752, -3.6096012, -3.8309611", \
+					  "-2.0740986, -2.4590827, -2.7954207, -3.1197087, -3.3838814, -3.5982337, -3.8398397", \
+					  "-2.0658770, -2.4418986, -2.7871991, -3.1172884, -3.3740545, -3.6037448, -3.8329670");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5121146, 2.8896634, 3.2352470, 3.5635142, 3.8152639, 4.0540400, 4.2693025", \
+					  "2.4325549, 2.8099039, 3.1842525, 3.4759678, 3.7371664, 3.9742647, 4.1902071", \
+					  "2.3970824, 2.7732750, 3.1203334, 3.4484753, 3.6987074, 3.9242758, 4.1507449", \
+					  "2.3696419, 2.7457266, 3.1000380, 3.4301686, 3.6684787, 3.9106507, 4.1273276", \
+					  "2.3681695, 2.7490555, 3.0887384, 3.4093870, 3.6778883, 3.9105308, 4.1212156", \
+					  "2.3636813, 2.7413983, 3.0853969, 3.4186057, 3.6696389, 3.8949886, 4.1105886", \
+					  "2.3567417, 2.7403944, 3.0863106, 3.4049102, 3.6614179, 3.8884965, 4.1099962");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.3799406, -2.7450883, -3.1012628, -3.4176503, -3.6759380, -3.9044405, -4.1275425", \
+					  "-2.3125394, -2.6915130, -3.0338744, -3.3549700, -3.6131651, -3.8402456, -4.0612866", \
+					  "-2.2919599, -2.6556346, -3.0072915, -3.3281952, -3.5877278, -3.8142025, -4.0280265", \
+					  "-2.2758794, -2.6456046, -2.9956757, -3.3264102, -3.5764544, -3.8087433, -4.0220646", \
+					  "-2.2687565, -2.6385169, -2.9883409, -3.3170646, -3.5782057, -3.7945013, -4.0164940", \
+					  "-2.2770405, -2.6467659, -2.9892073, -3.3193279, -3.5837191, -3.8045924, -4.0253371", \
+					  "-2.2825519, -2.6601230, -2.9996125, -3.3263651, -3.5861786, -3.8101035, -4.0362568");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.6036678, 2.9811885, 3.3389654, 3.6565929, 3.9160580, 4.1471935, 4.3539344", \
+					  "2.5317000, 2.9047198, 3.2579726, 3.5830830, 3.8410384, 4.0573947, 4.2823956", \
+					  "2.5155327, 2.8901011, 3.2339694, 3.5622779, 3.8217436, 4.0529116, 4.2586950", \
+					  "2.5026572, 2.8694967, 3.2242218, 3.5494788, 3.7997888, 4.0342079, 4.2410593", \
+					  "2.4892269, 2.8620928, 3.2137664, 3.5481785, 3.8003492, 4.0424400, 4.2333126", \
+					  "2.4855078, 2.8663032, 3.2208054, 3.5475880, 3.8009505, 4.0366633, 4.2434037", \
+					  "2.5032261, 2.8746432, 3.2247909, 3.5485217, 3.8034094, 4.0386146, 4.2443371");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.2389435, 2.6164817, 2.9605343, 3.2825461, 3.5421531, 3.7808968, 3.9961641", \
+					  "2.1638878, 2.5476206, 2.8936805, 3.2183336, 3.4611277, 3.7131536, 3.9242510", \
+					  "2.1341052, 2.5107081, 2.8586294, 3.1855157, 3.4464454, 3.6892396, 3.8804083", \
+					  "2.1182274, 2.4947829, 2.8488818, 3.1680341, 3.4274211, 3.6585091, 3.8829853", \
+					  "2.1185087, 2.4993215, 2.8694239, 3.1682941, 3.4233585, 3.6440320, 3.8836270", \
+					  "2.1180842, 2.4998870, 2.8409486, 3.1598475, 3.4226413, 3.6597243, 3.8664719", \
+					  "2.1171787, 2.4931913, 2.8540284, 3.1607812, 3.4279899, 3.6545547, 3.8805029");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.1418626, -2.5176913, -2.8616589, -2.8301461, -3.4287046, -3.6648368, -3.4164418", \
+					  "-2.0775932, -2.4502469, -2.3366300, -3.1128182, -3.3762228, -3.5811194, -3.8267400", \
+					  "-2.0262274, -2.4020563, -2.7431177, -3.0640423, -3.3254958, -3.5525777, -3.7724674", \
+					  "-2.0235788, -2.4028823, -2.2882622, -3.0719074, -3.3377771, -3.5476983, -3.7742029", \
+					  "-2.0136536, -2.4016897, -2.7426052, -3.0626097, -3.3330120, -3.5427314, -3.7643073", \
+					  "-2.0029618, -2.4010993, -2.7252722, -3.0629803, -3.3105866, -3.5268825, -3.7606653", \
+					  "-2.0110497, -2.3944644, -2.7353863, -3.0699862, -3.3176239, -3.5400231, -3.7692407");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5273430, 2.9048882, 3.2504697, 3.5787427, 3.8305055, 4.0575790, 4.2845432", \
+					  "2.4508573, 2.8283958, 3.1724515, 3.5022503, 3.7555872, 3.9856645, 4.2025679", \
+					  "2.4180653, 2.8006646, 3.1409269, 3.4694590, 3.7228190, 3.9467827, 4.1695872", \
+					  "2.4051155, 2.7770193, 3.1267080, 3.4577666, 3.6990078, 3.9351516, 4.1491093", \
+					  "2.3975971, 2.7744114, 3.1195780, 3.4535744, 3.7053361, 3.9395334, 4.1624267", \
+					  "2.3924352, 2.7780982, 3.1141872, 3.4499324, 3.6971175, 3.9343655, 4.1542070", \
+					  "2.4040439, 2.7800631, 3.1210670, 3.4530914, 3.7026291, 3.9337736, 4.1658217");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2013808, -2.5772097, -2.9211773, -3.2497407, -3.4882230, -3.7121481, -3.9367755", \
+					  "-2.1264263, -2.4992035, -2.8339557, -3.1732603, -3.4164080, -3.6523928, -3.8725021", \
+					  "-2.0905071, -2.4615656, -2.8101105, -3.1388602, -3.4017679, -3.6228704, -3.8366845", \
+					  "-2.0633173, -2.4396109, -2.7935216, -3.1223639, -3.3738221, -3.5943859, -3.8144600", \
+					  "-2.0670685, -2.4495778, -2.7905131, -3.1097564, -3.3707050, -3.5900338, -3.8207648", \
+					  "-2.0836474, -2.4621345, -2.7984725, -3.1363076, -3.3870801, -3.5924951, -3.8342922", \
+					  "-2.0841874, -2.4601347, -2.8055096, -3.1325866, -3.3817109, -3.6010583, -3.8334346");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1545931, 0.5382427, 0.8721854, 1.1610978, 1.4130176, 1.6619390, 1.8803883", \
+					  "0.0792738, 0.4619558, 0.8262766, 1.0588323, 1.3026110, 1.5961288, 1.8206826", \
+					  "0.0465225, 0.4504436, 0.7723404, 1.0460518, 1.3306910, 1.5832417, 1.7923515", \
+					  "0.0321973, 0.4338817, 0.7730941, 1.0269021, 1.2713032, 1.4966034, 1.7243001", \
+					  "0.0339488, 0.4414659, 0.7816063, 1.0586582, 1.2966944, 1.4770530, 1.7487815", \
+					  "0.0257291, 0.4191883, 0.7733866, 1.0700160, 1.3033154, 1.5325262, 1.7500604", \
+					  "0.0266627, 0.4113705, 0.7758462, 1.0679431, 1.3147989, 1.5490246, 1.7357424");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1287131, -0.2477212, -0.5989948, -0.8785471, -1.1322570, -1.3729668, -1.5930167", \
+					  "0.2038165, -0.1861421, -0.5244018, -0.7944417, -1.0679880, -1.3041202, -1.5272217", \
+					  "0.2290881, -0.1555752, -0.4943858, -0.7757590, -1.0172619, -1.2457647, -1.4551333", \
+					  "0.2402223, -0.1476653, -0.4912609, -0.7685048, -1.0228369, -1.2519789, -1.4598217", \
+					  "0.2502753, -0.1360721, -0.5041218, -0.7633035, -1.0204928, -1.2523873, -1.4766744", \
+					  "0.2544577, -0.1365482, -0.4992172, -0.7690877, -1.0121080, -1.2548487, -1.4803662", \
+					  "0.2504737, -0.1405237, -0.4858145, -0.7725791, -1.0181282, -1.2535227, -1.4743063");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.2242632, -2.6000919, -2.9440594, -3.2650247, -3.5217864, -3.7502891, -3.9718648", \
+					  "-2.1446497, -2.5358217, -2.8793907, -3.2038061, -3.4514127, -3.6769336, -3.9060685", \
+					  "-2.1164130, -2.4959043, -2.8299471, -3.1571807, -3.4169147, -3.6509746, -3.8659842", \
+					  "-2.1004034, -2.4762987, -2.8263031, -3.1514579, -3.4017086, -3.6297147, -3.8435035", \
+					  "-2.0981674, -2.4799837, -2.8207105, -3.1444740, -3.3987397, -3.6312324, -3.8607950", \
+					  "-2.1093520, -2.4839244, -2.8213607, -3.1423259, -3.4051912, -3.6291163, -3.8557332", \
+					  "-2.1070865, -2.4813786, -2.8238202, -3.1448417, -3.4076508, -3.6315759, -3.8623067");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.5456482, 2.9231997, 3.2672585, 3.5892363, 3.8428698, 4.0743465, 4.2927939", \
+					  "2.4691569, 2.8467085, 3.1906112, 3.5127450, 3.7678068, 3.9978552, 4.2161584", \
+					  "2.4394245, 2.8204126, 3.1624710, 3.4830028, 3.7398647, 3.9650144, 4.1850375", \
+					  "2.4264444, 2.8008631, 3.1465180, 3.4590633, 3.7207837, 3.9581368, 4.1674054", \
+					  "2.4174334, 2.7942660, 3.1436696, 3.4610215, 3.7146551, 3.9492257, 4.1691568", \
+					  "2.4169853, 2.7883061, 3.1476089, 3.4634831, 3.7172090, 3.9380035, 4.1578854", \
+					  "2.4223546, 2.8044838, 3.1424568, 3.4644168, 3.7195760, 3.9388460, 4.1649225");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024296;
+			capacitance : 0.025029;
+			rise_capacitance : 0.025285;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.3799406, -2.3125394, -2.2919599, -2.2758794, -2.2687565, -2.2770405, -2.2825519", \
+					  "-2.7450883, -2.6915130, -2.6556346, -2.6456046, -2.6385169, -2.6467659, -2.6601230", \
+					  "-3.1012628, -3.0338744, -3.0072915, -2.9956757, -2.9883409, -2.9892073, -2.9996125", \
+					  "-3.4176503, -3.3549700, -3.3281952, -3.3264102, -3.3170646, -3.3193279, -3.3263651", \
+					  "-3.6759380, -3.6131651, -3.5877278, -3.5764544, -3.5782057, -3.5837191, -3.5861786", \
+					  "-3.9044405, -3.8402456, -3.8142025, -3.8087433, -3.7945013, -3.8045924, -3.8101035", \
+					  "-4.1275425, -4.0612866, -4.0280265, -4.0220646, -4.0164940, -4.0253371, -4.0362568");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.3438477, -1.2363677, -1.1488693, -1.1345218, -1.0454449, -1.0410784, -1.0024360", \
+					  "-1.7212545, -1.6137747, -1.5262774, -1.5059951, -1.4923357, -1.4165906, -1.3586496", \
+					  "-2.0637200, -1.9669899, -1.8763488, -1.8437131, -1.7935847, -1.7752964, -1.7531788", \
+					  "-2.3860966, -3.3193094, -2.2015291, -2.1830644, -2.1266424, -2.0460737, -2.0157442", \
+					  "-2.6504838, -2.5608832, -2.5165360, -2.4411579, -2.2571964, -3.2729674, -3.2046663", \
+					  "-2.8575621, -2.7660787, -2.6869755, -2.6390929, -2.5864626, -2.5028776, -2.5328383", \
+					  "-3.0699614, -2.9888398, -2.8917661, -2.8819979, -2.7089195, -2.7507467, -2.7635482");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.6036678, 2.5317000, 2.5155327, 2.5026572, 2.4892269, 2.4855078, 2.5032261", \
+					  "2.9811885, 2.9047198, 2.8901011, 2.8694967, 2.8620928, 2.8663032, 2.8746432", \
+					  "3.3389654, 3.2579726, 3.2339694, 3.2242218, 3.2137664, 3.2208054, 3.2247909", \
+					  "3.6565929, 3.5830830, 3.5622779, 3.5494788, 3.5481785, 3.5475880, 3.5485217", \
+					  "3.9160580, 3.8410384, 3.8217436, 3.7997888, 3.8003492, 3.8009505, 3.8034094", \
+					  "4.1471935, 4.0573947, 4.0529116, 4.0342079, 4.0424400, 4.0366633, 4.0386146", \
+					  "4.3539344, 4.2823956, 4.2586950, 4.2410593, 4.2333126, 4.2434037, 4.2443371");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.4296967, 2.3054181, 2.2041771, 2.1253431, 2.0737430, 2.0022118, 1.9798497", \
+					  "2.7996104, 2.6875389, 2.5966121, 2.5160430, 2.4395637, 2.3885891, 2.3467118", \
+					  "3.1573979, 3.0234256, 2.9364562, 2.8510903, 2.7951943, 2.7356091, 2.6816110", \
+					  "3.4826222, 3.3530992, 3.2751493, 3.1893852, 3.1158943, 3.0667638, 3.0144645", \
+					  "3.7238788, 3.5881070, 3.5220380, 3.4312083, 3.3905312, 3.3322558, 3.2601293", \
+					  "3.9523072, 3.8333468, 3.7496755, 3.6547847, 3.5977703, 3.5671391, 3.4826236", \
+					  "4.1753350, 4.0448505, 3.9505979, 3.8778325, 3.8112964, 3.7550721, 3.7050188");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006622;
+			capacitance : 0.006777;
+			rise_capacitance : 0.006932;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2389435, 2.1638878, 2.1341052, 2.1182274, 2.1185087, 2.1180842, 2.1171787", \
+					  "2.6164817, 2.5476206, 2.5107081, 2.4947829, 2.4993215, 2.4998870, 2.4931913", \
+					  "2.9605343, 2.8936805, 2.8586294, 2.8488818, 2.8694239, 2.8409486, 2.8540284", \
+					  "3.2825461, 3.2183336, 3.1855157, 3.1680341, 3.1682941, 3.1598475, 3.1607812", \
+					  "3.5421531, 3.4611277, 3.4464454, 3.4274211, 3.4233585, 3.4226413, 3.4279899", \
+					  "3.7808968, 3.7131536, 3.6892396, 3.6585091, 3.6440320, 3.6597243, 3.6545547", \
+					  "3.9961641, 3.9242510, 3.8804083, 3.8829853, 3.8836270, 3.8664719, 3.8805029");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.6311205, 2.5049339, 2.4100304, 2.3196348, 2.2452780, 2.1821705, 2.1192775", \
+					  "3.0106551, 2.8861884, 2.7907139, 2.7036382, 2.6219720, 2.5729739, 2.4957694", \
+					  "3.3831784, 3.2588317, 3.1648860, 3.0732159, 2.9882739, 2.9296588, 2.8741170", \
+					  "3.6726254, 3.5513304, 3.4488910, 3.3672334, 3.2918251, 3.2269319, 3.1663095", \
+					  "3.9197142, 3.7923076, 3.6862196, 3.6084789, 3.5217292, 3.4646815, 3.4066619", \
+					  "4.1280719, 3.9976216, 3.9235950, 3.8376394, 3.7429493, 3.7024020, 3.6330583", \
+					  "4.3429085, 4.2133943, 4.1250073, 4.0335955, 3.9629374, 3.9086697, 3.8496591");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1418626, -2.0775932, -2.0262274, -2.0235788, -2.0136536, -2.0029618, -2.0110497", \
+					  "-2.5176913, -2.4502469, -2.4020563, -2.4028823, -2.4016897, -2.4010993, -2.3944644", \
+					  "-2.8616589, -2.3366300, -2.7431177, -2.2882622, -2.7426052, -2.7252722, -2.7353863", \
+					  "-2.8301461, -3.1128182, -3.0640423, -3.0719074, -3.0626097, -3.0629803, -3.0699862", \
+					  "-3.4287046, -3.3762228, -3.3254958, -3.3377771, -3.3330120, -3.3105866, -3.3176239", \
+					  "-3.6648368, -3.5811194, -3.5525777, -3.5476983, -3.5427314, -3.5268825, -3.5400231", \
+					  "-3.4164418, -3.8267400, -3.7724674, -3.7742029, -3.7643073, -3.7606653, -3.7692407");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2563293, -2.1408781, -2.0474373, -1.9755113, -1.9213417, -1.8086162, -1.7723478", \
+					  "-2.6306321, -2.5159137, -2.4188544, -2.3511757, -2.2740247, -2.1859665, -2.1576160", \
+					  "-3.0068640, -2.8892801, -2.7948454, -2.7271052, -2.6451700, -2.5774411, -2.5310724", \
+					  "-3.2970908, -3.1838982, -3.0887694, -3.0274673, -2.9421398, -2.8769218, -2.8319804", \
+					  "-3.5553784, -3.4422262, -3.3333098, -3.2850588, -3.2050697, -3.1106965, -3.0750091", \
+					  "-3.7854068, -3.6704692, -3.5751797, -3.5116014, -3.4481657, -3.3613178, -3.2943565", \
+					  "-4.0176637, -3.8937899, -3.7931864, -3.7333405, -3.6570200, -3.5775868, -3.5067770");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426175, 0.0418012, 0.0409849, 0.0414251, 0.0418477, 0.0422879, 0.0427281");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541367, 0.0534604, 0.0527842, 0.0533769, 0.0539460, 0.0545387, 0.0551315");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.015170;
+			capacitance : 0.015811;
+			rise_capacitance : 0.016452;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0146955, 0.0182005, 0.0510966, 0.0581752, 0.0649707, 0.0720493, 0.0791279");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0912692, 0.2755851, 0.4599010, 1.5261308, 2.5497114, 3.6159411, 4.6821709");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031650;
+			capacitance : 0.031635;
+			fall_capacitance : 0.031620;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1096814, 0.1250827, 0.1404841, 0.1402822, 0.1400884, 0.1398865, 0.1396846");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0060580, -0.0065042, -0.0069503, -0.0072339, -0.0075061, -0.0077896, -0.0080732");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007171;
+			capacitance : 0.007024;
+			fall_capacitance : 0.006876;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1545931, 0.0792738, 0.0465225, 0.0321973, 0.0339488, 0.0257291, 0.0266627", \
+					  "0.5382427, 0.4619558, 0.4504436, 0.4338817, 0.4414659, 0.4191883, 0.4113705", \
+					  "0.8721854, 0.8262766, 0.7723404, 0.7730941, 0.7816063, 0.7733866, 0.7758462", \
+					  "1.1610978, 1.0588323, 1.0460518, 1.0269021, 1.0586582, 1.0700160, 1.0679431", \
+					  "1.4130176, 1.3026110, 1.3306910, 1.2713032, 1.2966944, 1.3033154, 1.3147989", \
+					  "1.6619390, 1.5961288, 1.5832417, 1.4966034, 1.4770530, 1.5325262, 1.5490246", \
+					  "1.8803883, 1.8206826, 1.7923515, 1.7243001, 1.7487815, 1.7500604, 1.7357424");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0316189, -0.1607910, -0.2399671, -0.3350447, -0.4100100, -0.4701819, -0.5318092", \
+					  "0.3462328, 0.2326535, 0.1309843, 0.0562464, -0.0158798, -0.0853578, -0.1549164", \
+					  "0.6964984, 0.5588611, 0.4798106, 0.3993129, 0.3389246, 0.2664752, 0.2033116", \
+					  "0.9878251, 0.8139708, 0.7328984, 0.6972578, 0.6651993, 0.6098603, 0.5544086", \
+					  "1.2397710, 1.1067099, 1.0046328, 0.9474659, 0.8804342, 0.8338028, 0.7898476", \
+					  "1.4898906, 1.3413032, 1.2266415, 1.1201845, 1.1081829, 1.0588570, 1.0063579", \
+					  "1.7019169, 1.5725136, 1.4875069, 1.3857720, 1.3013987, 1.2801216, 1.2712960");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1287131, 0.2038165, 0.2290881, 0.2402223, 0.2502753, 0.2544577, 0.2504737", \
+					  "-0.2477212, -0.1861421, -0.1555752, -0.1476653, -0.1360721, -0.1365482, -0.1405237", \
+					  "-0.5989948, -0.5244018, -0.4943858, -0.4912609, -0.5041218, -0.4992172, -0.4858145", \
+					  "-0.8785471, -0.7944417, -0.7757590, -0.7685048, -0.7633035, -0.7690877, -0.7725791", \
+					  "-1.1322570, -1.0679880, -1.0172619, -1.0228369, -1.0204928, -1.0121080, -1.0181282", \
+					  "-1.3729668, -1.3041202, -1.2457647, -1.2519789, -1.2523873, -1.2548487, -1.2535227", \
+					  "-1.5930167, -1.5272217, -1.4551333, -1.4598217, -1.4766744, -1.4803662, -1.4743063");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7207458, 0.8169891, 0.8958970, 0.9239048, 0.9144247, 0.9730617, 1.0088353", \
+					  "0.3098832, -0.1643701, -0.0927532, 0.0032137, 0.5029797, 0.6716451, 0.5249991", \
+					  "-0.0588479, 0.0636909, 0.1534691, 0.2153298, -0.2821953, -0.1878051, -0.1243469", \
+					  "-0.3249220, -0.1978430, -0.6673104, -0.6300478, -0.5505571, -0.5021018, 0.0167265", \
+					  "-1.1643299, -0.9872208, -0.9398563, -0.3171808, -0.3540275, -0.7501657, -0.7099038", \
+					  "-0.7723842, -0.6755826, -1.1643285, -1.0480758, -1.0345924, -0.9614424, -0.9399070", \
+					  "-1.0299929, -0.9671554, -0.8914796, -0.8328683, -0.8591109, -1.2124474, -1.1560936");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426046, 0.0417765, 0.0409485, 0.0413849, 0.0418038, 0.0422402, 0.0426767");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0536708, 0.0530220, 0.0523731, 0.0529872, 0.0535767, 0.0541907, 0.0548048");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014344;
+			capacitance : 0.014249;
+			fall_capacitance : 0.014154;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.2242632, -2.1446497, -2.1164130, -2.1004034, -2.0981674, -2.1093520, -2.1070865", \
+					  "-2.6000919, -2.5358217, -2.4959043, -2.4762987, -2.4799837, -2.4839244, -2.4813786", \
+					  "-2.9440594, -2.8793907, -2.8299471, -2.8263031, -2.8207105, -2.8213607, -2.8238202", \
+					  "-3.2650247, -3.2038061, -3.1571807, -3.1514579, -3.1444740, -3.1423259, -3.1448417", \
+					  "-3.5217864, -3.4514127, -3.4169147, -3.4017086, -3.3987397, -3.4051912, -3.4076508", \
+					  "-3.7502891, -3.6769336, -3.6509746, -3.6297147, -3.6312324, -3.6291163, -3.6315759", \
+					  "-3.9718648, -3.9060685, -3.8659842, -3.8435035, -3.8607950, -3.8557332, -3.8623067");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.1251049, -2.0424508, -1.9260204, -1.8922052, -1.7801943, -1.7467561, -1.6615191", \
+					  "-2.5009336, -2.4171378, -2.3001627, -2.2707402, -2.1592532, -2.1054582, -2.0273507", \
+					  "-2.8449012, -2.7657058, -2.6513935, -2.6129332, -2.5060940, -2.4172475, -2.3813153", \
+					  "-2.8454005, -3.0949458, -3.0216713, -2.9377258, -2.8285538, -2.7837132, -2.7266633", \
+					  "-3.4515932, -3.3485625, -3.2378053, -3.1913722, -3.0837943, -3.0544640, -2.9956368", \
+					  "-3.6904386, -3.5552751, -3.4559080, -3.4215963, -3.3196665, -3.2799389, -3.1646432", \
+					  "-3.8966365, -3.8200875, -3.7262852, -3.6308658, -3.5440965, -3.5088001, -3.4243805");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5456482, 2.4691569, 2.4394245, 2.4264444, 2.4174334, 2.4169853, 2.4223546", \
+					  "2.9231997, 2.8467085, 2.8204126, 2.8008631, 2.7942660, 2.7883061, 2.8044838", \
+					  "3.2672585, 3.1906112, 3.1624710, 3.1465180, 3.1436696, 3.1476089, 3.1424568", \
+					  "3.5892363, 3.5127450, 3.4830028, 3.4590633, 3.4610215, 3.4634831, 3.4644168", \
+					  "3.8428698, 3.7678068, 3.7398647, 3.7207837, 3.7146551, 3.7172090, 3.7195760", \
+					  "4.0743465, 3.9978552, 3.9650144, 3.9581368, 3.9492257, 3.9380035, 3.9388460", \
+					  "4.2927939, 4.2161584, 4.1850375, 4.1674054, 4.1691568, 4.1578854, 4.1649225");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.2832201, 2.1624696, 2.0631903, 2.0039533, 1.9358608, 1.8602808, 1.7744254", \
+					  "2.6634198, 2.5354101, 2.4333631, 2.3779419, 2.3116027, 2.2419620, 2.1860942", \
+					  "3.0341810, 2.8962055, 2.7903831, 2.7531740, 2.7003469, 2.6115843, 2.5705885", \
+					  "3.3276300, 3.1921939, 3.1265115, 3.0617418, 2.9756700, 2.8723258, 2.8518304", \
+					  "3.5666377, 3.4333257, 3.3578902, 3.2466805, 3.2122883, 3.1326291, 3.0801568", \
+					  "3.7710553, 3.6498702, 3.5469588, 3.4899983, 3.4093526, 3.3450207, 3.3186359", \
+					  "3.9766446, 3.8682389, 3.7796684, 3.7155579, 3.6430055, 3.5631547, 3.5916019");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0426890, 0.0419041, 0.0411192, 0.0414627, 0.0417925, 0.0421359, 0.0424794");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0541331, 0.0534724, 0.0528116, 0.0533168, 0.0538018, 0.0543070, 0.0548123");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.003180;
+			max_transition : 1.505444;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02419097, 0.05852029, 0.1415662, 0.3424624, 0.8284497, 2.0041");
+					values("0.6804346, 0.6907127, 0.7108872, 0.7439477, 0.8167262, 1.2041637, 1.2218044", \
+					  "-0.4027035, -0.4025926, -0.4013106, -0.4002539, -0.4019912, -0.1322499, -0.1162772", \
+					  "0.1517350, 0.1523873, 0.1531823, 0.1532212, 0.1588542, 0.1587858, 0.0244691", \
+					  "0.2717080, 0.2724613, 0.2693835, 0.2731128, 0.2736525, 0.2790856, 0.2758373", \
+					  "0.3875693, 0.3883286, 0.3890555, 0.3902001, 0.3913847, 0.3946780, 0.3934408", \
+					  "0.4992143, 0.4995294, 0.4999269, 0.5019639, 0.5033793, 0.5067584, 0.5037165", \
+					  "0.5975855, 0.6002601, 0.5986220, 0.5996584, 0.5992235, 0.6069052, 0.6074796");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02419097, 0.05852029, 0.1415662, 0.3424624, 0.8284497, 2.0041");
+					values("0.7850084, 0.7999229, 0.8271626, 0.8675484, 0.9166734, 1.0233101, 1.2894594", \
+					  "2.3780713, 2.3785498, 2.3806994, 2.3833990, 2.3858036, 2.4217872, 2.7618424", \
+					  "2.6719360, 2.6726891, 2.6749278, 2.6778011, 2.6801851, 2.6817845, 2.6828236", \
+					  "2.6764832, 2.6775158, 2.6788658, 2.6819374, 2.6814334, 2.6855235, 2.6872309", \
+					  "2.6807777, 2.6810418, 2.6825950, 2.6874004, 2.6852127, 2.6947112, 2.6911433", \
+					  "2.6851540, 2.6867449, 2.6875034, 2.6902280, 2.7101628, 2.6969637, 2.6950011", \
+					  "2.6896740, 2.6883936, 2.6925029, 2.6934347, 2.7136537, 2.7142639, 2.6991159");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("0.8592591, 0.8646100, 0.8821139, 0.9682418, 1.2193592, 1.2324002, 1.1999797", \
+					  "-0.0771529, -0.0753431, -0.0599803, 0.0225696, 0.2682023, 0.2848766, 0.2468319", \
+					  "0.8812510, 0.8815965, 0.8835379, 0.8828986, 0.8844892, 0.8732198, 0.7383763", \
+					  "1.3034384, 1.3060904, 1.3035462, 1.3059663, 1.3067466, 1.3132284, 1.2508770", \
+					  "1.6997868, 1.7006392, 1.6862201, 1.7032903, 1.7061487, 1.7049345, 1.6873446", \
+					  "2.0945437, 2.0955065, 2.0851615, 2.0964784, 2.1003544, 2.1007707, 2.0753531", \
+					  "2.4771905, 2.4710366, 2.4647135, 2.4754795, 2.4773408, 2.4797610, 2.4572354");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("1.2010894, 1.2134018, 1.2385694, 1.2847664, 1.3769884, 1.4734830, 1.5030950", \
+					  "2.6107972, 2.6236552, 2.6485344, 2.6940191, 2.7881033, 2.8865486, 2.9159722", \
+					  "2.7461575, 2.7484605, 2.7493101, 2.7517650, 2.7548077, 2.8012558, 2.9017173", \
+					  "2.7975163, 2.8010467, 2.8003381, 2.8028788, 2.8051741, 2.8064617, 2.8075287", \
+					  "2.8010313, 2.8123471, 2.7995668, 2.8022411, 2.8065217, 2.8058569, 2.8081899", \
+					  "2.8121559, 2.8142061, 2.8010990, 2.8039394, 2.8067167, 2.8068689, 2.8071454", \
+					  "2.8148254, 2.8163205, 2.7991175, 2.8029248, 2.8079952, 2.8075994, 2.8062047");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("0.7536661, 0.7596641, 0.7766728, 0.8649611, 1.1129456, 1.1328892, 1.0912125", \
+					  "-0.6038733, -0.6034161, -0.5992523, -0.5460788, -0.3285227, -0.3181923, -0.3489774", \
+					  "-0.1775387, -0.1774457, -0.1738578, -0.1734448, -0.1715671, -0.1697240, -0.3296571", \
+					  "-0.1456257, -0.1449283, -0.1464652, -0.1445172, -0.1420305, -0.1404474, -0.1598435", \
+					  "-0.1133944, -0.1115286, -0.1141496, -0.1164481, -0.1149210, -0.1123829, -0.1195527", \
+					  "-0.0817770, -0.0771157, -0.0898986, -0.0846383, -0.0638322, -0.0739469, -0.0972568", \
+					  "-0.0486329, -0.0449747, -0.0574142, -0.0377113, -0.0362460, -0.0340578, -0.0642239");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("1.1010429, 1.1139217, 1.1427621, 1.1932419, 1.2953354, 1.4062497, 1.4442594", \
+					  "2.5311934, 2.5424667, 2.5713323, 2.6199227, 2.7201839, 2.8306736, 2.8730985", \
+					  "2.4542649, 2.4695562, 2.5001294, 2.5573823, 2.6810438, 2.8144720, 2.8613336", \
+					  "2.7299066, 2.7309468, 2.7330133, 2.7357136, 2.7383382, 2.7397117, 2.8204164", \
+					  "2.7568245, 2.7592464, 2.7591241, 2.7630025, 2.7658986, 2.7652791, 2.7663629", \
+					  "2.7613029, 2.7623099, 2.7626356, 2.7652030, 2.7683470, 2.7691001, 2.7706097", \
+					  "2.7610611, 2.7621514, 2.7624715, 2.7711043, 2.7717178, 2.7718420, 2.7694268");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("2.1693560, 2.1776085, 2.1824299, 2.1856164, 2.1851593, 2.1889158, 2.1695732", \
+					  "2.1723071, 2.1744413, 2.1831084, 2.1859726, 2.1906080, 2.1893285, 2.1689459", \
+					  "2.1678674, 2.1757940, 2.1780765, 2.1865142, 2.1954157, 2.1880969, 2.1791115", \
+					  "2.1690543, 2.1754622, 2.1774870, 2.1892914, 2.1972360, 2.1877150, 2.1614751", \
+					  "2.1811008, 2.1768034, 2.1831413, 2.1843493, 2.1929815, 2.1806142, 2.1789872", \
+					  "2.1709010, 2.1780970, 2.1802275, 2.1873782, 2.1865599, 2.1904795, 2.1691107", \
+					  "2.1705159, 2.1745728, 2.1768669, 2.1829803, 2.1934836, 2.1925434, 2.1714086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02418912, 0.05851133, 0.1415337, 0.3423576, 0.8281328, 2.00318");
+					values("1.0117534, 1.0163852, 1.0351277, 1.0625312, 1.0928185, 1.1759971, 1.4746543", \
+					  "1.0105047, 1.0150436, 1.0379499, 1.0603600, 1.0917158, 1.1727624, 1.4790226", \
+					  "1.0101817, 1.0172723, 1.0355911, 1.0618907, 1.0915476, 1.1723031, 1.4748249", \
+					  "1.0100779, 1.0203019, 1.0353943, 1.0584469, 1.0940369, 1.1717184, 1.4725063", \
+					  "1.0090905, 1.0185184, 1.0382650, 1.0594597, 1.0907355, 1.1728214, 1.4758752", \
+					  "1.0144632, 1.0181925, 1.0358485, 1.0596036, 1.0913845, 1.1709381, 1.4729019", \
+					  "1.0088889, 1.0183434, 1.0353908, 1.0589806, 1.0924752, 1.1719258, 1.4761032");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("1.0526486, 1.0608886, 1.0794764, 1.1227688, 1.2251439, 1.4700351, 2.0613409", \
+					  "2.9823726, 2.9904927, 3.0091170, 3.0525937, 3.1548510, 3.4000822, 3.9909134", \
+					  "4.4939124, 4.5019628, 4.5206998, 4.5640358, 4.6663922, 4.9112181, 5.5025633", \
+					  "4.8300288, 4.8381099, 4.8567790, 4.9000981, 5.0022475, 5.2480469, 5.8386700", \
+					  "6.8202266, 6.8283001, 6.8468555, 6.8902484, 6.9925208, 7.2382642, 7.8481674", \
+					  "8.5063601, 8.5144878, 8.5330916, 8.5765288, 8.6787413, 8.9241139, 9.5213606", \
+					  "9.7581996, 9.7663566, 9.7849462, 9.8132178, 9.9304982, 10.1762660, 10.7676540", \
+					  "11.5254830, 11.5336610, 11.5522380, 11.6252020, 11.6978500, 11.9435020, 12.5103390", \
+					  "35.9604040, 35.9688920, 35.9688931, 35.9688969, 36.1315140, 36.1666470, 36.9201950", \
+					  "51.8064990, 51.8065014, 51.8065053, 51.8065091, 51.8065129, 52.1755870, 52.7498330", \
+					  "122.0748200, 122.0748253, 122.2706800, 122.2706872, 122.5038200, 122.5175200, 122.8447800", \
+					  "371.1240000, 371.1240082, 371.1890000, 371.1890107, 371.3731800, 371.5787800, 372.2641700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("0.0516756, 0.0614880, 0.0855739, 0.1448194, 0.2899672, 0.6440742, 1.4993313", \
+					  "0.0517346, 0.0614715, 0.0855769, 0.1446474, 0.2905563, 0.6453077, 1.4995405", \
+					  "0.0516660, 0.0613224, 0.0854425, 0.1448892, 0.2902353, 0.6435316, 1.4996336", \
+					  "0.0515711, 0.0615163, 0.0854989, 0.1449552, 0.2903491, 0.6443147, 1.4995246", \
+					  "0.0515718, 0.0615152, 0.0854993, 0.1449549, 0.2902554, 0.6447785, 1.4993590", \
+					  "0.0515727, 0.0615171, 0.0855409, 0.1449083, 0.2902755, 0.6452347, 1.4995043", \
+					  "0.0515716, 0.0615158, 0.0855006, 0.1447195, 0.2903389, 0.6447218, 1.4993611", \
+					  "0.0515632, 0.0615055, 0.0855031, 0.1448909, 0.2901070, 0.6450687, 1.4995246", \
+					  "0.0515624, 0.0615015, 0.0855058, 0.1449618, 0.2903098, 0.6442369, 1.4995293", \
+					  "0.0515724, 0.0614914, 0.0855421, 0.1449572, 0.2903026, 0.6439044, 1.4995207", \
+					  "0.0515621, 0.0615061, 0.0855038, 0.1449616, 0.2901314, 0.6449257, 1.4994190", \
+					  "0.0515690, 0.0613087, 0.0855360, 0.1449631, 0.2907348, 0.6452671, 1.4994593");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("0.9714890, 0.9818416, 1.0043215, 1.0503013, 1.1397754, 1.3204725, 1.7286397", \
+					  "2.8890881, 2.8995131, 2.9221570, 2.9683918, 3.0574463, 3.2384295, 3.6465118", \
+					  "4.2929176, 4.3032955, 4.3259996, 4.3722297, 4.4612762, 4.6423132, 5.0503255", \
+					  "4.5960067, 4.6062376, 4.6290807, 4.6753295, 4.7644266, 4.9453197, 5.3533249", \
+					  "6.3376762, 6.3480230, 6.3708132, 6.4170385, 6.5066739, 6.6791510, 7.0949691", \
+					  "7.7066797, 7.7171034, 7.7397564, 7.7859879, 7.8730782, 8.0501419, 8.4640508", \
+					  "8.7133927, 8.7242435, 8.7470751, 8.7933696, 8.8814307, 9.0627745, 9.4702886", \
+					  "10.0951110, 10.0951114, 10.0995060, 10.1456840, 10.2510530, 10.4292420, 10.8495430", \
+					  "26.7529380, 26.7776520, 26.8008740, 26.8031460, 26.9149680, 27.1152770, 27.5117640", \
+					  "36.1645290, 36.1878700, 36.2102670, 36.2574360, 36.3538040, 36.5356390, 36.9222700", \
+					  "71.9010060, 71.9071310, 71.9495500, 71.9832440, 72.0500140, 72.2161530, 72.6586360", \
+					  "181.6247700, 181.6357800, 181.6357804, 181.7026600, 181.7930400, 181.9130700, 182.3646600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.024191, 0.0585203, 0.141566, 0.342462, 0.82845, 2.0041");
+					values("0.0615344, 0.0706372, 0.0904493, 0.1306928, 0.2154026, 0.4208769, 0.9385410", \
+					  "0.0617555, 0.0706243, 0.0905764, 0.1314316, 0.2177859, 0.4219865, 0.9386274", \
+					  "0.0617100, 0.0705893, 0.0903709, 0.1310927, 0.2177940, 0.4216107, 0.9389444", \
+					  "0.0616587, 0.0706902, 0.0902250, 0.1312330, 0.2174625, 0.4213485, 0.9390275", \
+					  "0.0616376, 0.0706363, 0.0902687, 0.1310977, 0.2178026, 0.4220419, 0.9386242", \
+					  "0.0617520, 0.0705853, 0.0905522, 0.1311996, 0.2177973, 0.4219952, 0.9384747", \
+					  "0.0616220, 0.0707015, 0.0901562, 0.1311319, 0.2174136, 0.4220645, 0.9385915", \
+					  "0.0616366, 0.0708724, 0.0902774, 0.1313316, 0.2178624, 0.4213798, 0.9390105", \
+					  "0.0616757, 0.0707405, 0.0901786, 0.1311371, 0.2172094, 0.4220154, 0.9388843", \
+					  "0.0615342, 0.0706878, 0.0903146, 0.1311530, 0.2176770, 0.4211860, 0.9388464", \
+					  "0.0616739, 0.0707796, 0.0903535, 0.1314072, 0.2178139, 0.4221413, 0.9386973", \
+					  "0.0617303, 0.0709992, 0.0904594, 0.1312916, 0.2179459, 0.4219400, 0.9385190");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("2.4205284, 2.4293774, 2.4476470, 2.4907605, 2.5929952, 2.8379272, 3.4280832", \
+					  "4.3744155, 4.3824535, 4.4010977, 4.4441748, 4.5460551, 4.7910476, 5.3814935", \
+					  "5.9212768, 5.9293019, 5.9479830, 5.9910370, 6.0932734, 6.3381211, 6.9283992", \
+					  "6.2690755, 6.2771401, 6.2954850, 6.3388423, 6.4411139, 6.6859336, 7.2761961", \
+					  "8.3391303, 8.3472527, 8.3665661, 8.4089153, 8.5112095, 8.7559471, 9.3501802", \
+					  "10.0448720, 10.0529300, 10.0771250, 10.1146980, 10.2169060, 10.4616590, 11.0577760", \
+					  "11.3591250, 11.3592260, 11.3883580, 11.4289520, 11.5312230, 11.7767760, 12.3660730", \
+					  "13.1997700, 13.1997705, 13.2313970, 13.2696330, 13.3733120, 13.5444140, 14.2131790", \
+					  "38.5851070, 38.5851079, 38.5996020, 38.5996037, 38.6944810, 38.9400510, 39.5599710", \
+					  "54.8526590, 54.8526593, 54.8526631, 54.8598430, 54.9961890, 55.0588730, 55.7264240", \
+					  "128.5481900, 128.8425200, 128.8425217, 128.8425370, 128.8425522, 129.0627300, 129.6173300", \
+					  "445.3431500, 445.4669800, 445.5069400, 445.5118000, 445.5118256, 445.7995400, 446.4573100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0512399, 0.0610575, 0.0849621, 0.1443265, 0.2898535, 0.6431653, 1.4997887", \
+					  "0.0512055, 0.0610919, 0.0850955, 0.1445822, 0.2897799, 0.6431561, 1.5003869", \
+					  "0.0512246, 0.0609625, 0.0849653, 0.1444622, 0.2902023, 0.6437725, 1.5003030", \
+					  "0.0512300, 0.0610945, 0.0850944, 0.1444170, 0.2901898, 0.6437197, 1.5001783", \
+					  "0.0512429, 0.0610987, 0.0850924, 0.1443961, 0.2901759, 0.6439248, 1.4986249", \
+					  "0.0512411, 0.0610680, 0.0849796, 0.1444307, 0.2901103, 0.6434600, 1.4998396", \
+					  "0.0512530, 0.0610852, 0.0849779, 0.1444425, 0.2901057, 0.6434578, 1.4998289", \
+					  "0.0513036, 0.0610992, 0.0850913, 0.1444630, 0.2901226, 0.6434834, 1.4998549", \
+					  "0.0512532, 0.0610582, 0.0849956, 0.1443893, 0.2902010, 0.6437988, 1.4988607", \
+					  "0.0511846, 0.0610990, 0.0850695, 0.1444184, 0.2901754, 0.6434688, 1.4998278", \
+					  "0.0512525, 0.0610752, 0.0849533, 0.1444038, 0.2901664, 0.6436496, 1.4999155", \
+					  "0.0512548, 0.0610879, 0.0850756, 0.1444072, 0.2901265, 0.6434542, 1.4998324");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("2.4820732, 2.4919096, 2.5131655, 2.5572930, 2.6432050, 2.8212068, 3.2278373", \
+					  "4.9002762, 4.9100324, 4.9314557, 4.9754694, 5.0614974, 5.2395253, 5.6459748", \
+					  "7.0046182, 7.0131381, 7.0356925, 7.0795667, 7.1654829, 7.3436128, 7.7502298", \
+					  "7.4541830, 7.4566557, 7.4852933, 7.5291684, 7.6151290, 7.7929947, 8.1996863", \
+					  "10.0182210, 10.0203920, 10.0399080, 10.0839130, 10.1698820, 10.3478030, 10.7543920", \
+					  "11.9936540, 12.0249800, 12.0665930, 12.1104210, 12.1842270, 12.3744540, 12.7811280", \
+					  "13.4911530, 13.5205230, 13.5417510, 13.5860440, 13.6587420, 13.8497180, 14.2564540", \
+					  "15.5248310, 15.5340350, 15.5501140, 15.5587030, 15.6697620, 15.8607420, 16.2698050", \
+					  "39.8468210, 39.8468228, 39.8570240, 39.9202980, 40.0081320, 40.1838700, 40.5901730", \
+					  "53.6389880, 53.6443640, 53.6709020, 53.7563170, 53.7881450, 53.9797750, 54.4269800", \
+					  "107.4391000, 107.4391060, 107.4966700, 107.4975400, 107.6171100, 107.7845900, 108.2077500", \
+					  "275.4331700, 275.4445300, 275.4761700, 275.5248800, 275.6352400, 275.7243700, 276.2014900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0586089, 0.0670785, 0.0859456, 0.1246676, 0.2097766, 0.4164196, 0.9381848", \
+					  "0.0588413, 0.0672384, 0.0855471, 0.1255345, 0.2114807, 0.4181828, 0.9436528", \
+					  "0.0586112, 0.0668623, 0.0858384, 0.1254754, 0.2116231, 0.4179313, 0.9391017", \
+					  "0.0587006, 0.0667808, 0.0854775, 0.1254813, 0.2114948, 0.4179926, 0.9383972", \
+					  "0.0589592, 0.0667680, 0.0855221, 0.1254547, 0.2117861, 0.4168768, 0.9385356", \
+					  "0.0586314, 0.0669503, 0.0857165, 0.1249831, 0.2112374, 0.4183790, 0.9378603", \
+					  "0.0586104, 0.0672406, 0.0857028, 0.1254340, 0.2118770, 0.4182556, 0.9401626", \
+					  "0.0586555, 0.0669487, 0.0857510, 0.1256104, 0.2116135, 0.4169886, 0.9386514", \
+					  "0.0586319, 0.0671377, 0.0856095, 0.1256075, 0.2115463, 0.4180247, 0.9384973", \
+					  "0.0586005, 0.0670015, 0.0858474, 0.1255844, 0.2114215, 0.4168491, 0.9384893", \
+					  "0.0587891, 0.0671918, 0.0859755, 0.1254455, 0.2114509, 0.4176827, 0.9376018", \
+					  "0.0587736, 0.0671977, 0.0855357, 0.1254362, 0.2117807, 0.4178166, 0.9386260");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("2.4052762, 2.4131230, 2.4318001, 2.4744859, 2.5767837, 2.8199780, 3.4115144", \
+					  "3.9276884, 3.9344417, 3.9541779, 3.9976324, 4.0993258, 4.3446229, 4.9346602", \
+					  "4.8543880, 4.8623741, 4.8811519, 4.9242019, 5.0263327, 5.2712696, 5.8614411", \
+					  "5.0472022, 5.0551674, 5.0738524, 5.1169264, 5.2191189, 5.4633738, 6.0542300", \
+					  "6.1247225, 6.1364527, 6.1544134, 6.1983152, 6.3004864, 6.5454331, 7.1356188", \
+					  "6.9620061, 6.9694002, 6.9886177, 7.0313337, 7.1335058, 7.3784756, 7.9686329", \
+					  "7.5708284, 7.5753519, 7.5949007, 7.6374249, 7.7395379, 7.9844575, 8.5746628", \
+					  "8.3968246, 8.4061620, 8.4236733, 8.4681631, 8.5756025, 8.8151761, 9.4053512", \
+					  "18.6609870, 18.6674390, 18.6895070, 18.7408810, 18.8423270, 19.0869400, 19.6487980", \
+					  "24.6635810, 24.6635829, 24.7157780, 24.7157794, 24.8642300, 25.0293260, 25.6717860", \
+					  "49.8408500, 50.2971570, 50.2971592, 50.2971631, 50.2971669, 50.5859070, 51.3023330", \
+					  "171.9436100, 171.9436112, 172.0227500, 172.0227585, 172.2990400, 172.6596100, 173.1645400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0511812, 0.0609364, 0.0848644, 0.1441524, 0.2894161, 0.6433105, 1.5029807", \
+					  "0.0512186, 0.0610837, 0.0850772, 0.1444217, 0.2901113, 0.6432862, 1.4996306", \
+					  "0.0510681, 0.0609270, 0.0851240, 0.1442246, 0.2900031, 0.6434808, 1.4990676", \
+					  "0.0512578, 0.0610876, 0.0850768, 0.1444138, 0.2900509, 0.6432988, 1.4997579", \
+					  "0.0512520, 0.0609545, 0.0850989, 0.1444628, 0.2902016, 0.6437815, 1.5003141", \
+					  "0.0512326, 0.0610920, 0.0850957, 0.1444226, 0.2901935, 0.6438078, 1.5003155", \
+					  "0.0512278, 0.0610924, 0.0850936, 0.1444274, 0.2901037, 0.6434597, 1.4998296", \
+					  "0.0512564, 0.0609708, 0.0850654, 0.1439441, 0.2901478, 0.6433050, 1.4998014", \
+					  "0.0512582, 0.0610619, 0.0850483, 0.1444500, 0.2899170, 0.6436981, 1.4998899", \
+					  "0.0512233, 0.0610905, 0.0851098, 0.1444115, 0.2896401, 0.6438345, 1.5002491", \
+					  "0.0512441, 0.0610959, 0.0851178, 0.1444174, 0.2901820, 0.6431626, 1.5000702", \
+					  "0.0512381, 0.0610992, 0.0850983, 0.1439313, 0.2895555, 0.6433100, 1.4997689");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("3.5588983, 3.5676673, 3.5900576, 3.6340146, 3.7198751, 3.8982573, 4.3056430", \
+					  "5.8420166, 5.8517049, 5.8731282, 5.9170069, 6.0030643, 6.1810388, 6.5876682", \
+					  "8.2937230, 8.3033722, 8.3246539, 8.3687057, 8.4546121, 8.6325995, 9.0393408", \
+					  "8.8145162, 8.8243617, 8.8457872, 8.8898009, 8.9756051, 9.1535757, 9.5602690", \
+					  "11.7371740, 11.7468800, 11.7682600, 11.8121770, 11.8980750, 12.0761770, 12.4827720", \
+					  "13.9979090, 14.0077360, 14.0291570, 14.0726240, 14.1589990, 14.3369240, 14.7436580", \
+					  "15.6445950, 15.6542640, 15.6740100, 15.7293860, 15.8055720, 15.9836380, 16.3900980", \
+					  "17.9471480, 17.9568980, 17.9568987, 17.9854260, 18.1083400, 18.2862950, 18.6927470", \
+					  "44.8295300, 44.8382740, 44.8748170, 44.9153180, 44.9906280, 45.1701010, 45.5752910", \
+					  "60.0427940, 60.0544820, 60.0544835, 60.1259490, 60.2042580, 60.3748800, 60.7887150", \
+					  "119.1021100, 119.1345300, 119.1345330, 119.1469700, 119.2674300, 119.4427300, 119.8519300", \
+					  "306.7997100, 306.8389300, 306.8936100, 306.8936310, 307.0084100, 307.1173800, 307.5709000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0585307, 0.0668298, 0.0855640, 0.1245085, 0.2099701, 0.4165271, 0.9382153", \
+					  "0.0586109, 0.0668486, 0.0854125, 0.1256101, 0.2116321, 0.4169101, 0.9384835", \
+					  "0.0585695, 0.0668912, 0.0858293, 0.1254848, 0.2115194, 0.4182458, 0.9383166", \
+					  "0.0589889, 0.0671356, 0.0857855, 0.1252142, 0.2119085, 0.4182383, 0.9397619", \
+					  "0.0585932, 0.0668418, 0.0857984, 0.1254210, 0.2118210, 0.4180237, 0.9381971", \
+					  "0.0589587, 0.0670801, 0.0856064, 0.1254295, 0.2117679, 0.4182383, 0.9375244", \
+					  "0.0587191, 0.0667899, 0.0855566, 0.1256329, 0.2118565, 0.4175141, 0.9379879", \
+					  "0.0586938, 0.0668316, 0.0856943, 0.1247845, 0.2115985, 0.4170481, 0.9386398", \
+					  "0.0587205, 0.0668668, 0.0858148, 0.1253793, 0.2118363, 0.4181412, 0.9386668", \
+					  "0.0587432, 0.0670070, 0.0856595, 0.1254448, 0.2115571, 0.4169062, 0.9385752", \
+					  "0.0585803, 0.0672172, 0.0856794, 0.1256458, 0.2115444, 0.4175141, 0.9378923", \
+					  "0.0586219, 0.0668696, 0.0856257, 0.1254959, 0.2115735, 0.4181757, 0.9380719");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("11.9649230, 11.9728940, 11.9915860, 12.0346000, 12.1372910, 12.3831900, 12.9734720", \
+					  "12.0956560, 12.1036690, 12.1225660, 12.1652890, 12.2673970, 12.5124440, 13.1034050", \
+					  "12.1962240, 12.2041600, 12.2206900, 12.2659930, 12.3682030, 12.6130940, 13.2047280", \
+					  "12.2768310, 12.2847930, 12.3038680, 12.3465340, 12.4486960, 12.6937040, 13.2855500", \
+					  "12.3461410, 12.3539940, 12.3726100, 12.4158880, 12.5178040, 12.7630170, 13.3543290", \
+					  "12.4101900, 12.4190160, 12.4377130, 12.4799630, 12.5823720, 12.8272500, 13.4184070", \
+					  "12.4726490, 12.4808410, 12.5007470, 12.5424200, 12.6437900, 12.8897060, 13.4822680");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0512651, 0.0610924, 0.0850784, 0.1442177, 0.2901129, 0.6452460, 1.5037654", \
+					  "0.0511675, 0.0610846, 0.0851137, 0.1439636, 0.2904669, 0.6442040, 1.5008252", \
+					  "0.0512352, 0.0610040, 0.0849259, 0.1444620, 0.2903329, 0.6448473, 1.5034070", \
+					  "0.0513054, 0.0610545, 0.0850747, 0.1442064, 0.2904866, 0.6445038, 1.5040434", \
+					  "0.0512332, 0.0610509, 0.0849095, 0.1443969, 0.2903391, 0.6448425, 1.5033985", \
+					  "0.0513121, 0.0610592, 0.0850634, 0.1442672, 0.2901843, 0.6451113, 1.5024409", \
+					  "0.0512172, 0.0610688, 0.0851205, 0.1441901, 0.2904823, 0.6441581, 1.5054437");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("8.3491098, 8.3587892, 8.3803107, 8.4240046, 8.5098799, 8.6877980, 9.0955785", \
+					  "8.4182963, 8.4261895, 8.4494163, 8.4931528, 8.5790433, 8.7568957, 9.1634380", \
+					  "8.4430845, 8.4527993, 8.4740686, 8.5177989, 8.6036946, 8.7815373, 9.1881525", \
+					  "8.4590850, 8.4671585, 8.4900842, 8.5338385, 8.6197440, 8.7975485, 9.2041290", \
+					  "8.4613276, 8.4707859, 8.4950198, 8.5361096, 8.6249026, 8.8027141, 9.2064711", \
+					  "8.4664986, 8.4745047, 8.4976248, 8.5413614, 8.6272314, 8.8023642, 9.2116457", \
+					  "8.4655003, 8.4751717, 8.4958538, 8.5395859, 8.6254008, 8.8033536, 9.2099661");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0241891, 0.0585113, 0.141534, 0.342358, 0.828133, 2.00318");
+					values("0.0585263, 0.0669744, 0.0856467, 0.1250831, 0.2115745, 0.4179890, 0.9374233", \
+					  "0.0586687, 0.0667845, 0.0855326, 0.1253359, 0.2113540, 0.4186084, 0.9376266", \
+					  "0.0584994, 0.0667729, 0.0855992, 0.1253359, 0.2115114, 0.4186646, 0.9365754", \
+					  "0.0585011, 0.0667204, 0.0856238, 0.1253260, 0.2113038, 0.4187204, 0.9374342", \
+					  "0.0584328, 0.0667225, 0.0856217, 0.1252159, 0.2114354, 0.4187203, 0.9364109", \
+					  "0.0586814, 0.0667151, 0.0855250, 0.1253374, 0.2112917, 0.4185671, 0.9376347", \
+					  "0.0584326, 0.0666862, 0.0852917, 0.1252161, 0.2109573, 0.4185620, 0.9368529");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.334030;
+			max_transition : 3.764646;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02484362, 0.06172056, 0.1533362, 0.3809427, 0.9463999, 2.3512");
+					values("1.2358280, 1.2384337, 1.2436066, 1.2488226, 1.2529155, 1.2466167, 1.2334698", \
+					  "-0.1022642, -0.1001247, -0.0961225, -0.0918791, -0.0859578, -0.0997590, -0.0854660", \
+					  "0.1519407, 0.1527015, 0.1553495, 0.1582976, 0.1368207, 0.0564003, 0.0255276", \
+					  "0.2717159, 0.2730765, 0.2744104, 0.2799241, 0.2830443, 0.2684519, 0.1841399", \
+					  "0.3876341, 0.3871182, 0.3898481, 0.3953779, 0.3993347, 0.4115987, 0.2879309", \
+					  "0.4981245, 0.4965656, 0.4971232, 0.5024641, 0.5117210, 0.5047224, 0.4721097", \
+					  "0.5981939, 0.6026211, 0.5940289, 0.5965698, 0.6109030, 0.6170956, 0.5968865");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02484362, 0.06172056, 0.1533362, 0.3809427, 0.9463999, 2.3512");
+					values("1.2237353, 1.2344145, 1.2467679, 1.2705989, 1.2967895, 1.3088437, 1.3132492", \
+					  "2.6928553, 2.6998913, 2.7182904, 2.7425367, 2.7698273, 2.7833354, 2.7880526", \
+					  "2.6722650, 2.6737937, 2.6767414, 2.6817389, 2.6876593, 2.7256209, 2.7882104", \
+					  "2.6764192, 2.6781242, 2.6807259, 2.6866927, 2.6921729, 2.6976698, 2.7276187", \
+					  "2.6802976, 2.6818268, 2.6848235, 2.6905214, 2.6974824, 2.7009200, 2.7042053", \
+					  "2.6835324, 2.6865298, 2.6869934, 2.6933954, 2.6989301, 2.7035993, 2.7079426", \
+					  "2.6794489, 2.6861521, 2.6967116, 2.6925749, 2.6998878, 2.7064139, 2.7129154");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.1254544, 1.1280644, 1.1346211, 1.1377495, 1.1406543, 1.1349977, 1.1450301", \
+					  "-0.3171783, -0.3145076, -0.3120979, -0.3100583, -0.3051592, -0.3175936, -0.3106880", \
+					  "-0.1757737, -0.1752625, -0.1729058, -0.1711071, -0.1659974, -0.2827170, -0.2996901", \
+					  "-0.1453117, -0.1442193, -0.1421643, -0.1386154, -0.1330195, -0.1501912, -0.2481542", \
+					  "-0.1134322, -0.1118602, -0.1108753, -0.1056635, -0.1013091, -0.1042656, -0.1629829", \
+					  "-0.0776924, -0.0771464, -0.0745979, -0.0758397, -0.0681317, -0.0823133, -0.0823402", \
+					  "-0.0413626, -0.0451402, -0.0429260, -0.0362175, -0.0312950, -0.0475609, -0.0077957");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.3405282, 1.3480239, 1.3688701, 1.3992722, 1.4324876, 1.4524738, 1.4605741", \
+					  "2.7684736, 2.7780592, 2.7947842, 2.8244156, 2.8597363, 2.8795774, 2.8875374", \
+					  "2.7442714, 2.7545973, 2.7736873, 2.8071699, 2.8465099, 2.8698996, 2.8792769", \
+					  "2.7300170, 2.7318555, 2.7338524, 2.7377939, 2.7545798, 2.8355124, 2.8747315", \
+					  "2.7585295, 2.7550260, 2.7602736, 2.7662165, 2.7766209, 2.7788277, 2.8579719", \
+					  "2.7652407, 2.7570587, 2.7611262, 2.7697141, 2.7815560, 2.7833083, 2.7863291", \
+					  "2.7680299, 2.7621171, 2.7622522, 2.7698395, 2.7794780, 2.7791116, 2.7989570");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.2302304, 1.2339977, 1.2383997, 1.2436006, 1.2456223, 1.2410034, 1.2236711", \
+					  "0.2814512, 0.2830518, 0.2871485, 0.2919921, 0.2936868, 0.2886760, 0.2962603", \
+					  "0.8821044, 0.8832230, 0.8850537, 0.8879500, 0.8453056, 0.7871136, 0.7534695", \
+					  "1.3070656, 1.3080871, 1.3094840, 1.3104053, 1.3151435, 1.2917489, 1.2072995", \
+					  "1.7019718, 1.7027536, 1.7051341, 1.7035082, 1.7110536, 1.7156424, 1.5990695", \
+					  "2.0954130, 2.0962650, 2.0989272, 2.1007434, 2.1094884, 2.1154638, 2.0757430", \
+					  "2.4779553, 2.4725270, 2.4798653, 2.4819394, 2.4829086, 2.4730591, 2.5043450");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.4151172, 1.4229698, 1.4400261, 1.4684764, 1.4959592, 1.5110198, 1.5175411", \
+					  "2.8294676, 2.8355027, 2.8528263, 2.8816992, 2.9085501, 2.9249877, 2.9311529", \
+					  "2.7463327, 2.7472462, 2.7488459, 2.7907240, 2.8575679, 2.9100576, 2.9190216", \
+					  "2.7968077, 2.7981777, 2.8011833, 2.8064077, 2.8127073, 2.8167165, 2.9108560", \
+					  "2.7985090, 2.8004760, 2.8027296, 2.8059011, 2.8114273, 2.8186552, 2.8256529", \
+					  "2.7971536, 2.7984386, 2.8029280, 2.8076536, 2.8131091, 2.8187858, 2.8211222", \
+					  "2.7975729, 2.7986292, 2.8010888, 2.8109453, 2.8143490, 2.8175420, 2.8206400");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.7417103, 1.7653534, 1.9608344, 2.1884585, 2.1985208, 2.2035877, 2.1871026", \
+					  "1.7403294, 1.7684145, 1.9478075, 2.1842108, 2.2074331, 2.2064821, 2.1840404", \
+					  "1.7393347, 1.7672083, 1.9471473, 2.1807367, 2.1969318, 2.2025803, 2.1813991", \
+					  "1.7413335, 1.7614395, 1.9475455, 2.1872895, 2.1967602, 2.2030827, 2.1855437", \
+					  "1.7390322, 1.7647377, 1.9466453, 2.1802284, 2.1986180, 2.2001218, 2.1833967", \
+					  "1.7460089, 1.7646070, 1.9455183, 2.1879219, 2.1981149, 2.2000339, 2.1805358", \
+					  "1.7461142, 1.7708662, 1.9561308, 2.1884339, 2.1962830, 2.1951596, 2.1838726");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02481329, 0.06156995, 0.1527753, 0.3790859, 0.9406369, 2.33403");
+					values("1.4768853, 1.4767109, 1.4975610, 1.5193476, 1.5580004, 1.5923480, 1.6078159", \
+					  "1.4679902, 1.4814031, 1.4927650, 1.5188943, 1.5587362, 1.5916704, 1.6087711", \
+					  "1.4808711, 1.4724731, 1.4870478, 1.5102105, 1.5490096, 1.5913172, 1.6025266", \
+					  "1.4722531, 1.4782323, 1.4975114, 1.5246582, 1.5669121, 1.6003748, 1.5853196", \
+					  "1.4704274, 1.4792645, 1.4962643, 1.5269172, 1.5664287, 1.5885335, 1.6038970", \
+					  "1.4758218, 1.4844273, 1.4890402, 1.5166098, 1.5544370, 1.5873872, 1.6045951", \
+					  "1.4715915, 1.4744654, 1.4921008, 1.5170285, 1.5565280, 1.5891967, 1.6018912");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("2.7878326, 2.8157425, 2.8768428, 3.0088076, 3.2892429, 3.9288364, 5.4746652", \
+					  "4.7174074, 4.7445230, 4.8059392, 4.9378603, 5.2190345, 5.8561072, 7.4074924", \
+					  "6.2300987, 6.2572143, 6.3186252, 6.4506369, 6.7317707, 7.3692834, 8.9168779", \
+					  "6.5651680, 6.5925740, 6.6537158, 6.7859158, 7.0667650, 7.7056512, 9.2570458", \
+					  "8.5536467, 8.5998488, 8.6614564, 8.7747329, 9.0550776, 9.7220401, 11.2459180", \
+					  "10.2419530, 10.2695110, 10.3311400, 10.4630760, 10.7440900, 11.3875610, 12.9362400", \
+					  "11.4935420, 11.5210750, 11.5832330, 11.7146800, 11.9956270, 12.6189300, 14.1861950", \
+					  "13.2609210, 13.3032410, 13.3506480, 13.4968370, 13.7630220, 14.3904440, 15.9710680", \
+					  "37.6973440, 37.6973477, 37.7785800, 37.7785817, 38.2018860, 38.6114780, 40.1932780", \
+					  "53.4994240, 53.5218340, 53.5218373, 53.6939450, 53.8577540, 54.4916680, 56.1893930", \
+					  "123.9297500, 123.9297524, 124.0662000, 124.1460300, 124.2480900, 124.9841400, 126.7462300", \
+					  "372.0240300, 372.9617200, 372.9617463, 373.0812100, 373.7396500, 373.7396698, 375.5452600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("0.2641419, 0.2865735, 0.3407291, 0.4683207, 0.7891725, 1.6232633, 3.7551944", \
+					  "0.2637541, 0.2868979, 0.3398812, 0.4699021, 0.7898764, 1.6121989, 3.7436245", \
+					  "0.2643853, 0.2868838, 0.3389160, 0.4700300, 0.7877806, 1.6201420, 3.7126646", \
+					  "0.2627070, 0.2864289, 0.3408922, 0.4686691, 0.7894191, 1.6227620, 3.7183341", \
+					  "0.2629029, 0.2851104, 0.3401175, 0.4676081, 0.7894699, 1.6221025, 3.7581751", \
+					  "0.2628819, 0.2851074, 0.3401174, 0.4692026, 0.7880266, 1.6117759, 3.7433963", \
+					  "0.2629158, 0.2849546, 0.3405627, 0.4686907, 0.7887615, 1.6234590, 3.7203030", \
+					  "0.2629014, 0.2849548, 0.3405539, 0.4686924, 0.7886914, 1.6240949, 3.7303600", \
+					  "0.2629361, 0.2855454, 0.3405377, 0.4691967, 0.7891857, 1.6240993, 3.7497530", \
+					  "0.2628651, 0.2851047, 0.3405807, 0.4691004, 0.7885894, 1.6240878, 3.7457277", \
+					  "0.2629254, 0.2851048, 0.3400988, 0.4692095, 0.7881503, 1.6240576, 3.7486654", \
+					  "0.2628877, 0.2848779, 0.3405795, 0.4687933, 0.7893149, 1.6173615, 3.7434202");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("2.7997313, 2.8195339, 2.8665628, 2.9662086, 3.1663285, 3.5501115, 4.3746202", \
+					  "4.7153330, 4.7362883, 4.7831645, 4.8844147, 5.0838196, 5.4678351, 6.2931043", \
+					  "6.1220486, 6.1427365, 6.1893393, 6.2885630, 6.4885013, 6.8721406, 7.6979783", \
+					  "6.4253287, 6.4463603, 6.4926775, 6.5919510, 6.7917658, 7.1753736, 8.0013285", \
+					  "8.1669844, 8.1889251, 8.1954017, 8.3330765, 8.5330685, 8.9167500, 9.7424468", \
+					  "9.5309733, 9.5823349, 9.5959845, 9.7030322, 9.9020054, 10.2863230, 11.1108540", \
+					  "10.5869350, 10.5869356, 10.6093850, 10.7090930, 10.9090880, 11.2929980, 12.1180590", \
+					  "11.8996210, 11.9272570, 11.9754880, 12.0612010, 12.2611290, 12.6451410, 13.4702330", \
+					  "28.5983240, 28.6154660, 28.6671980, 28.7498310, 28.9627400, 29.3457700, 30.1282380", \
+					  "38.0056800, 38.0371720, 38.0830620, 38.1738660, 38.3790320, 38.7545040, 39.5821650", \
+					  "73.7190650, 73.7572070, 73.7618680, 73.9003740, 74.0891440, 74.4906430, 75.2648080", \
+					  "183.4670100, 183.4901900, 183.5317700, 183.6705400, 183.8382200, 184.2246700, 185.0278300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248092, 0.0615498, 0.1527, 0.378838, 0.939868, 2.33174");
+					values("0.2019450, 0.2197076, 0.2568629, 0.3443719, 0.5204828, 0.9104094, 1.9148936", \
+					  "0.2044380, 0.2197884, 0.2588835, 0.3444717, 0.5192675, 0.9069124, 1.9159136", \
+					  "0.2019067, 0.2182687, 0.2570665, 0.3459719, 0.5198700, 0.9091735, 1.9145188", \
+					  "0.2024205, 0.2188813, 0.2567752, 0.3450533, 0.5197213, 0.9089694, 1.9152406", \
+					  "0.2016007, 0.2188834, 0.2571655, 0.3445789, 0.5202617, 0.9070042, 1.9092867", \
+					  "0.2036127, 0.2194734, 0.2585806, 0.3434696, 0.5195099, 0.9105260, 1.9058677", \
+					  "0.2024582, 0.2185013, 0.2570755, 0.3456307, 0.5202772, 0.9105084, 1.9125741", \
+					  "0.2018172, 0.2180232, 0.2571806, 0.3457503, 0.5199178, 0.9105311, 1.9125352", \
+					  "0.2018754, 0.2180719, 0.2571060, 0.3445987, 0.5202897, 0.9104925, 1.9125121", \
+					  "0.2014647, 0.2195698, 0.2573535, 0.3441676, 0.5202914, 0.9084357, 1.9119277", \
+					  "0.2017798, 0.2182850, 0.2572047, 0.3456439, 0.5203400, 0.9106496, 1.9133888", \
+					  "0.2023218, 0.2195499, 0.2569449, 0.3437331, 0.5195813, 0.9097585, 1.9130426");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("2.9783038, 3.0052365, 3.0664817, 3.1971898, 3.4774055, 4.1163171, 5.6740510", \
+					  "4.4987840, 4.5262554, 4.5882547, 4.7195368, 4.9998685, 5.6377236, 7.1882429", \
+					  "5.4284652, 5.4554206, 5.5163079, 5.6478235, 5.9272516, 6.5665078, 8.1184712", \
+					  "5.6198646, 5.6466960, 5.7077658, 5.8390652, 6.1187859, 6.7575288, 8.3060963", \
+					  "6.7026895, 6.7299633, 6.7912613, 6.9220421, 7.2016295, 7.8343749, 9.3878176", \
+					  "7.5361992, 7.5635151, 7.6245019, 7.7553315, 8.0348910, 8.6731697, 10.2253920", \
+					  "8.1409066, 8.1681648, 8.2293612, 8.3604075, 8.6397449, 9.2792254, 10.8286880", \
+					  "8.9719103, 8.9992094, 9.0603125, 9.1914112, 9.4705239, 10.1112940, 11.6603560", \
+					  "19.2373020, 19.2457660, 19.3109940, 19.4639680, 19.7307410, 20.3824900, 21.9241870", \
+					  "25.2455120, 25.2763180, 25.3236280, 25.4069420, 25.6851750, 26.3897930, 27.8765030", \
+					  "50.7447770, 50.8118770, 50.9350180, 50.9350186, 50.9890260, 51.8830670, 53.3724960", \
+					  "172.8860800, 172.8860856, 172.8861008, 172.9014700, 172.9992400, 174.1487200, 175.3956600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2587026, 0.2825706, 0.3369718, 0.4666497, 0.7861201, 1.6222270, 3.7530778", \
+					  "0.2585810, 0.2813228, 0.3359178, 0.4664992, 0.7897246, 1.6123969, 3.7526751", \
+					  "0.2593894, 0.2824475, 0.3371570, 0.4655271, 0.7872848, 1.6188335, 3.7182242", \
+					  "0.2592644, 0.2833692, 0.3372949, 0.4660597, 0.7877574, 1.6214788, 3.7198957", \
+					  "0.2586208, 0.2815223, 0.3365460, 0.4658497, 0.7872684, 1.6209776, 3.7357033", \
+					  "0.2582823, 0.2817074, 0.3366190, 0.4657739, 0.7873270, 1.6202804, 3.7260723", \
+					  "0.2585815, 0.2815672, 0.3364810, 0.4658396, 0.7872151, 1.6165681, 3.7556136", \
+					  "0.2584001, 0.2816964, 0.3364510, 0.4658995, 0.7875971, 1.6201605, 3.7613814", \
+					  "0.2583129, 0.2817112, 0.3366289, 0.4658637, 0.7873757, 1.6161442, 3.7565595", \
+					  "0.2582064, 0.2815409, 0.3365728, 0.4658163, 0.7873742, 1.6213041, 3.7556878", \
+					  "0.2582873, 0.2817067, 0.3367955, 0.4658298, 0.7874293, 1.6205047, 3.7588683", \
+					  "0.2586123, 0.2815115, 0.3366539, 0.4658578, 0.7873996, 1.6212650, 3.7583758");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("4.0464236, 4.0641530, 4.1116843, 4.2170392, 4.4257322, 4.8189515, 5.6555223", \
+					  "6.3278396, 6.3489849, 6.3971246, 6.5025367, 6.7097710, 7.1037074, 7.9377847", \
+					  "8.7791179, 8.8001455, 8.8482555, 8.9536139, 9.1608463, 9.5547064, 10.3884800", \
+					  "9.3000940, 9.3216013, 9.3697521, 9.4747534, 9.6819743, 10.0756110, 10.9087610", \
+					  "12.2223790, 12.2434750, 12.2915780, 12.4027580, 12.6041300, 12.9979240, 13.8319030", \
+					  "14.5084560, 14.5199380, 14.5530130, 14.6625100, 14.8655670, 15.2593370, 16.0932600", \
+					  "16.1447280, 16.1818980, 16.1980970, 16.3330100, 16.5119400, 16.9056800, 17.7391040", \
+					  "18.3787560, 18.4321620, 18.5078490, 18.5972700, 18.8148390, 19.2086190, 20.0426770", \
+					  "45.3171470, 45.3974140, 45.4000570, 45.4356270, 45.6973160, 46.0912910, 46.9249920", \
+					  "60.5344330, 60.5344334, 60.5895850, 60.6761930, 60.9127110, 61.3060040, 62.1385180", \
+					  "119.5466100, 119.6078000, 119.6278800, 119.7633400, 119.9755400, 120.3637400, 121.1982000", \
+					  "307.2531700, 307.2781000, 307.3953400, 307.4360700, 307.7161400, 308.0820900, 308.9044200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2045677, 0.2212482, 0.2630939, 0.3552635, 0.5364386, 0.9286350, 1.9225641", \
+					  "0.2046383, 0.2220473, 0.2620825, 0.3558320, 0.5356970, 0.9272449, 1.9268529", \
+					  "0.2040625, 0.2218351, 0.2617204, 0.3552326, 0.5359684, 0.9255385, 1.9264075", \
+					  "0.2038427, 0.2216084, 0.2629650, 0.3543268, 0.5365111, 0.9246619, 1.9247715", \
+					  "0.2043486, 0.2218906, 0.2617585, 0.3551769, 0.5354758, 0.9270724, 1.9267097", \
+					  "0.2041312, 0.2215505, 0.2616838, 0.3555449, 0.5355871, 0.9273701, 1.9266182", \
+					  "0.2045762, 0.2219107, 0.2617390, 0.3545901, 0.5365189, 0.9287009, 1.9241351", \
+					  "0.2036448, 0.2213562, 0.2623551, 0.3540825, 0.5355769, 0.9272588, 1.9267818", \
+					  "0.2040369, 0.2217405, 0.2632356, 0.3546779, 0.5366982, 0.9287934, 1.9241333", \
+					  "0.2047495, 0.2220278, 0.2625798, 0.3546966, 0.5365924, 0.9289297, 1.9241351", \
+					  "0.2038606, 0.2217464, 0.2619130, 0.3548986, 0.5362092, 0.9289147, 1.9195947", \
+					  "0.2051242, 0.2220208, 0.2635579, 0.3558655, 0.5368808, 0.9290098, 1.9203996");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("2.9944942, 3.0205713, 3.0820968, 3.2136591, 3.4937093, 4.1318557, 5.6885248", \
+					  "4.9472413, 4.9743378, 5.0356532, 5.1665618, 5.4464802, 6.0843761, 7.6364524", \
+					  "6.4947421, 6.5220229, 6.5831967, 6.7138974, 6.9935702, 7.6326279, 9.1799919", \
+					  "6.8424457, 6.8697337, 6.9309332, 7.0616029, 7.3412578, 7.9802946, 9.5322497", \
+					  "8.8994812, 8.9267660, 8.9878061, 9.1177901, 9.3982626, 10.0374110, 11.5900050", \
+					  "10.6187060, 10.6460310, 10.7070370, 10.8380100, 11.1175390, 11.7566400, 13.3067370", \
+					  "11.9326030, 11.9598340, 12.0223720, 12.1515730, 12.4315200, 13.0705770, 14.6184100", \
+					  "13.7745240, 13.8007450, 13.8100840, 13.9333730, 14.2725760, 14.9116260, 16.4627090", \
+					  "39.0907220, 39.0907231, 39.1730520, 39.3148230, 39.5139000, 40.2370690, 41.7809010", \
+					  "55.3884690, 55.4099380, 55.5236210, 55.5236226, 55.6977750, 56.2366540, 58.1425400", \
+					  "129.2645200, 129.2645340, 129.5571400, 129.5571518, 129.6207000, 130.5651300, 131.9952800", \
+					  "445.9520000, 446.0351000, 446.1394100, 446.2791000, 446.5570700, 447.0415700, 448.6526800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2585677, 0.2820421, 0.3348803, 0.4653732, 0.7871862, 1.6245109, 3.7574432", \
+					  "0.2589435, 0.2812114, 0.3364925, 0.4646316, 0.7848764, 1.6181819, 3.7509995", \
+					  "0.2582484, 0.2817068, 0.3366203, 0.4658364, 0.7873737, 1.6207948, 3.7140873", \
+					  "0.2582579, 0.2817171, 0.3366415, 0.4658479, 0.7874339, 1.6209813, 3.7187873", \
+					  "0.2577861, 0.2798080, 0.3354512, 0.4641324, 0.7875268, 1.6189940, 3.7604240", \
+					  "0.2583017, 0.2817112, 0.3365859, 0.4657674, 0.7872669, 1.6101549, 3.7511922", \
+					  "0.2583099, 0.2817400, 0.3363958, 0.4656769, 0.7871513, 1.6198413, 3.7293408", \
+					  "0.2583156, 0.2818682, 0.3366659, 0.4657214, 0.7870600, 1.6194135, 3.7284913", \
+					  "0.2584311, 0.2817532, 0.3365824, 0.4657402, 0.7871706, 1.6210164, 3.7569725", \
+					  "0.2583160, 0.2817510, 0.3367317, 0.4656783, 0.7871847, 1.6198448, 3.7572412", \
+					  "0.2582865, 0.2817067, 0.3365787, 0.4657804, 0.7873013, 1.6203212, 3.7577048", \
+					  "0.2582789, 0.2817470, 0.3367087, 0.4656991, 0.7871837, 1.6203653, 3.7579815");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("2.9696028, 2.9882843, 3.0378693, 3.1422243, 3.3493699, 3.7432994, 4.5763979", \
+					  "5.3858265, 5.4068168, 5.4554499, 5.5603997, 5.7677516, 6.1611538, 6.9955055", \
+					  "7.4896018, 7.5108373, 7.5590467, 7.6643794, 7.8713756, 8.2655633, 9.0981755", \
+					  "7.9397280, 7.9607405, 8.0089908, 8.1141938, 8.3214706, 8.7150394, 9.5482084", \
+					  "10.4943750, 10.5157180, 10.5638830, 10.6689420, 10.8727750, 11.2697800, 12.1026980", \
+					  "12.5204310, 12.5439670, 12.5900240, 12.6949850, 12.8717670, 13.2962850, 14.1297890", \
+					  "13.9960320, 13.9960323, 14.0654570, 14.1707830, 14.3570910, 14.7713370, 15.6051760", \
+					  "16.0045930, 16.0178290, 16.0739670, 16.1790460, 16.3912530, 16.7802220, 17.6134540", \
+					  "40.2930630, 40.3330990, 40.3637410, 40.4901960, 40.6972520, 41.0870590, 41.8982220", \
+					  "54.1224700, 54.1470480, 54.1911580, 54.3308790, 54.5009370, 54.9018790, 55.7354590", \
+					  "107.9143700, 107.9570900, 107.9789800, 108.0873600, 108.3254700, 108.6649100, 109.5418900", \
+					  "275.9459200, 275.9763900, 275.9763947, 276.1397900, 276.3120800, 276.7025400, 277.5467500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0248113, 0.0615599, 0.152738, 0.378962, 0.940254, 2.33289");
+					values("0.2036593, 0.2211684, 0.2626274, 0.3550427, 0.5364348, 0.9287433, 1.9240212", \
+					  "0.2037766, 0.2206420, 0.2631275, 0.3546283, 0.5329513, 0.9255797, 1.9263746", \
+					  "0.2045608, 0.2214156, 0.2626987, 0.3547780, 0.5358643, 0.9272091, 1.9200037", \
+					  "0.2037486, 0.2216234, 0.2631096, 0.3548669, 0.5363771, 0.9288845, 1.9191400", \
+					  "0.2032872, 0.2217706, 0.2628764, 0.3546815, 0.5361635, 0.9287923, 1.9220978", \
+					  "0.2036890, 0.2217133, 0.2631365, 0.3546583, 0.5360035, 0.9282149, 1.9235886", \
+					  "0.2044826, 0.2218590, 0.2627214, 0.3546647, 0.5344941, 0.9278894, 1.9237654", \
+					  "0.2034676, 0.2211181, 0.2626966, 0.3543439, 0.5360077, 0.9277514, 1.9163124", \
+					  "0.2046447, 0.2214892, 0.2631776, 0.3545723, 0.5363461, 0.9280195, 1.9242576", \
+					  "0.2045875, 0.2213699, 0.2634202, 0.3553922, 0.5362910, 0.9281567, 1.9258082", \
+					  "0.2036556, 0.2205151, 0.2619865, 0.3546105, 0.5368453, 0.9277849, 1.9265449", \
+					  "0.2041991, 0.2224126, 0.2635781, 0.3555551, 0.5366339, 0.9287258, 1.9210249");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("11.2925370, 11.3196680, 11.3808090, 11.5116450, 11.7919520, 12.4320750, 13.9826900", \
+					  "11.4221650, 11.4493430, 11.5108420, 11.6418390, 11.9221340, 12.5612700, 14.1124750", \
+					  "11.5238420, 11.5508280, 11.6092660, 11.7427200, 12.0201920, 12.6626860, 14.2135090", \
+					  "11.6043740, 11.6315480, 11.6927220, 11.8235040, 12.1042040, 12.7435150, 14.2945420", \
+					  "11.6727290, 11.7002020, 11.7612410, 11.8921190, 12.1724160, 12.8121090, 14.3631740", \
+					  "11.7384540, 11.7651440, 11.8259410, 11.9569100, 12.2376070, 12.8769230, 14.4279730", \
+					  "11.7991340, 11.8264160, 11.8895170, 12.0185440, 12.2992070, 12.9382800, 14.4892480");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("0.2601347, 0.2823882, 0.3364080, 0.4644636, 0.7842549, 1.6162461, 3.7270000", \
+					  "0.2605976, 0.2821123, 0.3362936, 0.4643866, 0.7849476, 1.6155605, 3.7273207", \
+					  "0.2605222, 0.2826276, 0.3362107, 0.4644090, 0.7842157, 1.6162552, 3.7299310", \
+					  "0.2601240, 0.2823483, 0.3358968, 0.4644621, 0.7842352, 1.6158525, 3.7269237", \
+					  "0.2607059, 0.2822789, 0.3362039, 0.4643950, 0.7844774, 1.6158537, 3.7270902", \
+					  "0.2603278, 0.2824259, 0.3362275, 0.4644767, 0.7842176, 1.6158354, 3.7270835", \
+					  "0.2599608, 0.2823098, 0.3361181, 0.4644048, 0.7844191, 1.6132183, 3.7270165");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("10.7365930, 10.7634940, 10.8254590, 10.9587210, 11.2315450, 11.7203960, 12.6503820", \
+					  "10.8060010, 10.8329590, 10.8943850, 11.0282430, 11.3003980, 11.7905460, 12.7187370", \
+					  "10.8322360, 10.8593280, 10.9213630, 11.0545680, 11.3272540, 11.8164280, 12.7459670", \
+					  "10.8473720, 10.8746200, 10.9363850, 11.0699040, 11.3420560, 11.8322600, 12.7603970", \
+					  "10.8505440, 10.8799090, 10.9413500, 11.0752240, 11.3474210, 11.8374700, 12.7657030", \
+					  "10.8542140, 10.8811400, 10.9425670, 11.0758170, 11.3486980, 11.8387760, 12.7669470", \
+					  "10.8527140, 10.8798750, 10.9416620, 11.0750990, 11.3479060, 11.8367280, 12.7666810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0248133, 0.06157, 0.152775, 0.379086, 0.940637, 2.33403");
+					values("0.2957699, 0.3164669, 0.3652055, 0.4809495, 0.7095960, 1.1307477, 2.0888777", \
+					  "0.2947185, 0.3164484, 0.3646322, 0.4828158, 0.7090968, 1.1287827, 2.0873592", \
+					  "0.2964380, 0.3163400, 0.3645188, 0.4817033, 0.7093548, 1.1311890, 2.0904181", \
+					  "0.2950250, 0.3164055, 0.3651713, 0.4827153, 0.7091285, 1.1276925, 2.0854767", \
+					  "0.2952859, 0.3164081, 0.3642457, 0.4826676, 0.7093250, 1.1288073, 2.0871302", \
+					  "0.2955684, 0.3164510, 0.3641945, 0.4823744, 0.7094589, 1.1292933, 2.0874271", \
+					  "0.2965870, 0.3164976, 0.3648817, 0.4812563, 0.7096595, 1.1310896, 2.0902373");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.186372;
+			max_capacitance : 551.186000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.1646769, 9.1571456, 9.1624686, 9.1502171, 9.0596533, 7.7767195, -15.2346565", \
+					  "9.0864676, 9.0906795, 9.0983157, 9.0943302, 9.0644635, 7.7200424, -14.8632339", \
+					  "9.0145310, 9.0311236, 9.0287739, 9.0609669, 8.9591430, 7.6391693, -14.7951563", \
+					  "8.9767143, 8.9968527, 8.9865537, 9.0242874, 8.9228980, 7.5935569, -15.0588216", \
+					  "8.9483000, 8.9561418, 8.9549500, 8.9765916, 8.8804220, 7.6010365, -15.1569573", \
+					  "8.9196972, 8.9210312, 8.9198265, 8.9401253, 8.8535947, 7.4321901, -15.3071617", \
+					  "8.8852217, 8.8856051, 8.8871047, 8.9021404, 8.8325841, 7.4567428, -15.3851390");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3102953, 5.3001320, 5.3523814, 5.5043037, 6.1030119, 7.3153247, 7.8784185", \
+					  "5.2443612, 5.2522820, 5.3051416, 5.4578532, 6.0557499, 7.2563174, 7.8152401", \
+					  "5.2059616, 5.2136946, 5.2661535, 5.4188499, 6.0165719, 7.2163192, 7.7774823", \
+					  "5.2078084, 5.2216927, 5.2662920, 5.4161370, 6.0123619, 7.2165809, 7.7773494", \
+					  "5.2019044, 5.2121293, 5.2639494, 5.4153942, 6.0130294, 7.2161465, 7.7753857", \
+					  "5.1990462, 5.2101571, 5.2615522, 5.4119614, 6.0084322, 7.2157386, 7.7722691", \
+					  "5.1980225, 5.2066878, 5.2585548, 5.4108474, 6.0057826, 7.2086225, 7.7716261");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2779739, 0.2827104, 0.2786188, 0.2811093, 0.2791943, 0.2791138, 0.2805728", \
+					  "0.4417480, 0.4417645, 0.4417058, 0.4418724, 0.4420482, 0.4423386, 0.4424670", \
+					  "0.6161098, 0.6160465, 0.6162884, 0.6168979, 0.6176670, 0.6168829, 0.6175263", \
+					  "1.0032202, 1.0029450, 1.0021550, 0.9974794, 0.9879877, 0.9840072, 0.9836347", \
+					  "1.5796327, 1.5825784, 1.5939201, 1.6388719, 1.6945222, 1.7130090, 1.7151173", \
+					  "2.1003694, 2.1055227, 2.1271282, 2.2077889, 2.3146308, 2.3505185, 2.3583282", \
+					  "2.3699245, 2.3748058, 2.3995983, 2.4924379, 2.6068409, 2.6471238, 2.6541796");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8298270, 7.8301948, 7.8338125, 7.8559615, 7.9201879, 7.5052412, -1.3546583", \
+					  "7.8276297, 7.8312370, 7.8394822, 7.8582371, 7.9459049, 7.5638069, -1.3628271", \
+					  "7.8326819, 7.8324702, 7.8375738, 7.8480368, 7.9419660, 7.5652448, -1.2690858", \
+					  "7.8289159, 7.8308614, 7.8324755, 7.8437339, 7.9440061, 7.5589426, -0.5598446", \
+					  "7.8264210, 7.8303528, 7.8353184, 7.8452271, 7.9349514, 7.6631150, -0.7556284", \
+					  "7.8279842, 7.8294735, 7.8348355, 7.8471728, 7.9395824, 7.5504475, -1.2738963", \
+					  "7.8275489, 7.8301250, 7.8298483, 7.8500960, 7.9058933, 7.5616765, -0.8031308");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.9518558, 8.9493917, 8.9488426, 8.9729501, 9.0567320, 8.6459668, 0.1836331", \
+					  "8.8774160, 8.8776979, 8.8859162, 8.8997948, 8.9933415, 8.5284461, -0.5158759", \
+					  "8.8160170, 8.8144271, 8.8173892, 8.8360860, 8.9156890, 8.5395194, 0.1718645", \
+					  "8.7757787, 8.7776314, 8.7781119, 8.8020277, 8.8986466, 8.5020784, 0.4025818", \
+					  "8.7423727, 8.7452107, 8.7460243, 8.7697163, 8.8509993, 8.4141196, 0.1227967", \
+					  "8.7073714, 8.7087488, 8.7142747, 8.7287614, 8.8092960, 8.4360529, 0.1081246", \
+					  "8.6717839, 8.6738396, 8.6764078, 8.7077248, 8.7812571, 8.4484573, 0.2795622");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.1552089, 5.1695498, 5.2052244, 5.2902011, 5.4230714, 4.0240351, 5.5937816", \
+					  "5.1500018, 5.1618847, 5.1584078, 5.2291911, 5.3168067, 5.3402797, 5.3315224", \
+					  "5.1043888, 5.1152998, 5.1269311, 5.2156822, 5.2641868, 5.2808687, 5.2808462", \
+					  "5.1017960, 5.1034410, 5.1214340, 5.1834768, 5.2588115, 5.2955950, 5.2890867", \
+					  "5.0956266, 5.1291922, 5.1173605, 5.1935617, 5.3349343, 5.2614952, 5.3122527", \
+					  "5.1086515, 5.0969681, 5.1145682, 5.1732795, 5.2642176, 5.2370236, 5.2964396", \
+					  "5.0999954, 5.1062205, 5.1237984, 5.1758453, 5.2620711, 5.1418421, 5.3030899");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2777172, 0.2777001, 0.2780999, 0.2777102, 0.2777071, 0.2777122, 0.2777120", \
+					  "0.3920057, 0.3919425, 0.3919949, 0.3919476, 0.3920004, 0.3919475, 0.3919448", \
+					  "0.5588464, 0.5587885, 0.5588351, 0.5586820, 0.5588245, 0.5588267, 0.5587999", \
+					  "0.9168689, 0.9169747, 0.9168957, 0.9170751, 0.9170414, 0.9170654, 0.9171697", \
+					  "1.3859943, 1.3859977, 1.3859930, 1.3859909, 1.3859804, 1.3859902, 1.3858233", \
+					  "1.5343780, 1.5343071, 1.5339264, 1.5329976, 1.5322141, 1.5319921, 1.5323946", \
+					  "1.5939813, 1.5937958, 1.5929071, 1.5904311, 1.5882810, 1.5876795, 1.5870247");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4172057, 3.4373343, 3.4400642, 3.4612375, 3.3599360, 3.2992241, 5.4857125", \
+					  "3.4277215, 3.4275745, 3.4401449, 3.4337874, 3.4490246, 2.5478960, 3.5008685", \
+					  "3.4362078, 3.4252938, 3.4297800, 3.4234016, 3.4379818, 3.4547010, 3.4101397", \
+					  "3.4289869, 3.4284480, 3.4283624, 3.4261936, 3.4153966, 3.3723831, 3.4527700", \
+					  "3.4269999, 3.4235534, 3.4280173, 3.4117597, 3.5292174, 3.4508668, 3.3964631", \
+					  "3.4259644, 3.4315810, 3.4260093, 3.4260568, 3.4774888, 3.6143461, 3.4645852", \
+					  "3.4351651, 3.4331326, 3.4277719, 3.4216295, 3.4068303, 2.6324665, 3.4757437");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.3880660, 4.3948006, 4.3935460, 4.4823807, 5.1324562, 6.7162713, 7.1376803", \
+					  "4.3385589, 4.3429438, 4.3551259, 4.4327353, 5.0847411, 6.6583413, 7.0617542", \
+					  "4.3009217, 4.3023381, 4.3156100, 4.3954307, 5.0440699, 6.6297194, 7.0248188", \
+					  "4.2988927, 4.3004123, 4.3142931, 4.3972572, 5.0370809, 6.6137788, 7.0171970", \
+					  "4.2967907, 4.3003634, 4.3122298, 4.3928315, 5.0303242, 6.6027756, 6.9969615", \
+					  "4.2957618, 4.2944044, 4.3113739, 4.3899529, 5.0442599, 6.6310019, 7.0267604", \
+					  "4.2927817, 4.2957368, 4.3086944, 4.3967216, 5.0458820, 6.6322797, 7.0113020");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2998862, 0.2996787, 0.3007890, 0.2998766, 0.2998615, 0.2998445, 0.2997910", \
+					  "0.4435405, 0.4421641, 0.4420702, 0.4423332, 0.4434044, 0.4431061, 0.4423307", \
+					  "0.9909234, 0.9897211, 0.9907823, 0.9906408, 0.9906805, 0.9904590, 0.9902777", \
+					  "1.2301104, 1.2304817, 1.2304897, 1.2299435, 1.2300852, 1.2302426, 1.2294334", \
+					  "1.4484821, 1.4499432, 1.4485776, 1.4498077, 1.4486584, 1.4489694, 1.4492929", \
+					  "1.6300451, 1.6304891, 1.6301626, 1.6290398, 1.6297415, 1.6298571, 1.6282815", \
+					  "2.0107179, 2.0104139, 2.0103723, 2.0103744, 2.0086306, 2.0069681, 2.0061686");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.8252584, 4.8225375, 4.8361030, 4.9262466, 5.0382933, 6.2843660, 7.6016200", \
+					  "4.7794727, 4.7819277, 4.8026525, 4.8801231, 4.9956357, 6.2277400, 7.6471150", \
+					  "4.7384871, 4.7309813, 4.7600063, 4.8407694, 4.9584782, 6.1855691, 7.6111935", \
+					  "4.7345481, 4.7409818, 4.7559065, 4.8374502, 4.9533653, 6.1863837, 7.6041763", \
+					  "4.7243975, 4.7268916, 4.7542521, 4.8347588, 4.9523345, 6.1870113, 7.6045285", \
+					  "4.7291456, 4.7201623, 4.7544249, 4.8330100, 4.9492373, 6.1748628, 7.5780191", \
+					  "4.7183992, 4.7330589, 4.7578646, 4.8326233, 4.9475553, 6.1960340, 7.6029555");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2998643, 0.2998592, 0.2996528, 0.2998709, 0.2998556, 0.2996691, 0.2996827", \
+					  "0.4429197, 0.4429153, 0.4416926, 0.4429039, 0.4429041, 0.4422797, 0.4423628", \
+					  "1.0077688, 1.0077607, 1.0076959, 1.0074862, 1.0070581, 1.0066768, 1.0064707", \
+					  "1.2817337, 1.2817375, 1.2814235, 1.2820011, 1.2818284, 1.2808304, 1.2800365", \
+					  "1.5093130, 1.5093100, 1.5092734, 1.5093644, 1.5098614, 1.5099161, 1.5098027", \
+					  "1.6897451, 1.6897388, 1.6893086, 1.6896716, 1.6895944, 1.6904314, 1.6902456", \
+					  "2.0704219, 2.0704041, 2.0701569, 2.0697302, 2.0684846, 2.0675154, 2.0665363");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5856517, 7.5667831, 7.5883162, 7.5635279, 7.2548599, 5.8576602, -18.0744048", \
+					  "7.5958992, 7.5963459, 7.5882257, 7.5373220, 7.3476785, 5.8012675, -18.0953479", \
+					  "7.5970561, 7.6131726, 7.5892091, 7.5391909, 7.3463799, 5.7967410, -17.8610239", \
+					  "7.5957725, 7.5853078, 7.5886558, 7.5354935, 7.3413476, 5.8039814, -18.1189948", \
+					  "7.5914649, 7.6308856, 7.5884751, 7.5488913, 7.3515023, 5.8537459, -17.9446809", \
+					  "7.5908917, 7.6274606, 7.5861386, 7.5359556, 7.3356783, 5.8038030, -17.9779807", \
+					  "7.5871709, 7.5885994, 7.5861864, 7.5351977, 7.3435194, 5.8982254, -18.0458656");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2804393, 0.2808763, 0.2804435, 0.2808737, 0.2808724, 0.2808490, 0.2804655", \
+					  "0.4419946, 0.4420615, 0.4420689, 0.4420761, 0.4420088, 0.4420124, 0.4420886", \
+					  "0.6161804, 0.6161738, 0.6161855, 0.6163060, 0.6162278, 0.6162353, 0.6162393", \
+					  "0.9860044, 0.9859614, 0.9857532, 0.9851844, 0.9847142, 0.9845803, 0.9845581", \
+					  "1.3298174, 1.3298275, 1.3299123, 1.3301629, 1.3303134, 1.3303535, 1.3303698", \
+					  "1.4646965, 1.4648509, 1.4657250, 1.4680993, 1.4701522, 1.4707251, 1.4708348", \
+					  "1.4781043, 1.4783315, 1.4795896, 1.4832395, 1.4864097, 1.4873511, 1.4875293");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2776970, 0.2774218, 0.2776889, 0.2755695, 0.2735284, 0.2758706, 0.2729552", \
+					  "0.3919970, 0.3919347, 0.3919450, 0.3916514, 0.3917346, 0.3918316, 0.3921552", \
+					  "0.5588305, 0.5592406, 0.5588057, 0.5583554, 0.5585663, 0.5580924, 0.5585652", \
+					  "0.9164701, 0.9162935, 0.9166001, 0.9167529, 0.9163561, 0.9168203, 0.9166247", \
+					  "1.3834970, 1.3820024, 1.3833541, 1.3827075, 1.3821619, 1.3819069, 1.3831422", \
+					  "1.5320696, 1.5302473, 1.5321230, 1.5291927, 1.5277050, 1.5299863, 1.5285742", \
+					  "1.5929030, 1.5906543, 1.5913209, 1.5883538, 1.5866671, 1.5855890, 1.5847941");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3993352, 3.4120553, 3.4321967, 3.4264340, 3.4540228, 3.0616477, 2.0160121", \
+					  "3.4475574, 3.4252172, 3.4285613, 3.4238615, 3.4271109, 3.3860673, 3.4384679", \
+					  "3.4334834, 3.4390770, 3.4348814, 3.4249722, 3.4372514, 3.4155895, 3.4418981", \
+					  "3.4305694, 3.4336513, 3.4256775, 3.4240917, 3.4173532, 3.5156374, 3.4143725", \
+					  "3.4163053, 3.4189291, 3.4221350, 3.4222324, 3.4254204, 3.4959718, 3.4522045", \
+					  "3.4555001, 3.4244238, 3.4237566, 3.4287539, 3.4645577, 3.4335393, 3.4274741", \
+					  "3.4215959, 3.4405531, 3.4282787, 3.4337422, 3.4444634, 3.3901577, 3.4551755");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2821130, 0.2834342, 0.2833780, 0.2805148, 0.2805680, 0.2797149, 0.2804210", \
+					  "0.4417966, 0.4426065, 0.4417858, 0.4426870, 0.4427609, 0.4424955, 0.4425082", \
+					  "0.6158693, 0.6157023, 0.6149564, 0.6167807, 0.6175477, 0.6177194, 0.6174018", \
+					  "1.0030712, 1.0025220, 1.0017321, 0.9974306, 0.9881925, 0.9835321, 0.9828228", \
+					  "1.5804797, 1.5827513, 1.5940662, 1.6385721, 1.6951818, 1.7122625, 1.7152767", \
+					  "2.1031962, 2.1074105, 2.1285773, 2.2108236, 2.3171190, 2.3541686, 2.3612374", \
+					  "2.3740176, 2.3782870, 2.4039670, 2.4954444, 2.6111313, 2.6500835, 2.6572706");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.5479856, 9.5549835, 9.5880499, 9.7032963, 9.6010074, 7.7779761, -0.1497224", \
+					  "9.5459210, 9.5536778, 9.5781201, 9.7148761, 9.6216295, 7.7717274, 0.2246465", \
+					  "9.5498152, 9.5571453, 9.5877311, 9.7034233, 9.6121664, 7.5631232, 0.2281549", \
+					  "9.5518085, 9.5539475, 9.5841646, 9.7093726, 9.6151381, 7.6790262, 0.2243143", \
+					  "9.5474740, 9.5498003, 9.5742763, 9.7011844, 9.6232632, 7.5870569, 0.6050913", \
+					  "9.5350267, 9.5503079, 9.5890876, 9.7065822, 9.6049864, 7.8505417, 0.2473943", \
+					  "9.5448826, 9.5528381, 9.5882655, 9.7052994, 9.6088219, 7.8257725, 0.2014571");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.7314731, 8.7285978, 8.6994414, 8.6641242, 8.4501782, 6.7565867, -15.5508995", \
+					  "8.6451678, 8.6508846, 8.6370536, 8.5885135, 8.3780742, 6.5939284, -15.4217539", \
+					  "8.5788838, 8.5798561, 8.5742772, 8.4907260, 8.3237771, 5.8654649, -16.1410513", \
+					  "8.5421288, 8.5435123, 8.5381476, 8.5068645, 8.2865746, 5.8839067, -15.8933636", \
+					  "8.5084088, 8.5104115, 8.5042186, 8.4653755, 8.2548182, 6.5830752, -15.5580313", \
+					  "8.4759081, 8.4749922, 8.4653445, 8.4345957, 8.2141687, 6.5793380, -15.9632847", \
+					  "8.4418472, 8.4421603, 8.4318543, 8.3995182, 8.1776401, 6.5123485, -16.2302960");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1282630, 4.1901228, 4.1897390, 4.1398449, 4.1675397, 6.2141509, 4.0296123", \
+					  "4.0812361, 4.1094288, 4.1043196, 4.1019942, 4.0954951, 4.1072600, 4.1046986", \
+					  "4.0593385, 4.0490893, 4.0664812, 4.0554471, 4.0777287, 5.2233626, 4.0985940", \
+					  "4.0495713, 4.0535130, 4.0655433, 4.0587285, 4.0584730, 3.9918766, 4.0918457", \
+					  "4.0450949, 4.0444470, 4.0462385, 4.0499734, 4.0660656, 3.5075601, 4.0382358", \
+					  "4.0468099, 4.0620208, 4.0520015, 4.0519499, 4.0538334, 4.3562499, 4.0614935", \
+					  "4.0555288, 4.0506365, 4.0493714, 4.0516643, 4.0518998, 4.0361671, 4.0677369");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1040788, 4.1795240, 4.1123868, 4.1297613, 4.1246556, 3.8275252, 4.0490863", \
+					  "4.0857433, 4.1117069, 4.0896382, 4.0924615, 4.1016208, 4.1109536, 4.1073163", \
+					  "4.0632078, 4.0657279, 4.0338138, 4.0937771, 4.0665775, 3.9774881, 4.0935420", \
+					  "4.0553210, 4.0531574, 4.0639344, 4.0583278, 4.0871649, 4.0498762, 4.0179195", \
+					  "4.0481290, 4.0445888, 4.0466297, 4.0561490, 4.0175146, 3.4990885, 4.0714649", \
+					  "4.0607376, 4.0537731, 4.0496600, 4.0525757, 4.0436913, 4.2319279, 4.0561505", \
+					  "4.0547959, 4.0478353, 4.0493068, 4.0497192, 4.0003685, 4.0655004, 4.0642024");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.6957395, 8.7284963, 8.7008682, 8.6582799, 8.4467070, 6.8824535, -16.0032655", \
+					  "8.6468548, 8.6457099, 8.6371542, 8.5998343, 8.3904349, 6.8462084, -15.4259909", \
+					  "8.5786973, 8.5785559, 8.5732694, 8.5449665, 8.3287549, 6.7102904, -15.8129403", \
+					  "8.5438479, 8.5419970, 8.5370175, 8.5076703, 8.2911161, 6.7949574, -16.2992576", \
+					  "8.5077192, 8.5101149, 8.5033330, 8.4685952, 8.2612601, 6.7552357, -16.0587593", \
+					  "8.4766185, 8.4754744, 8.4652942, 8.4238560, 8.2160613, 6.6044316, -15.9884767", \
+					  "8.4421542, 8.4423945, 8.4315716, 8.3930225, 8.1809335, 6.6180617, -16.4485870");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.8594558, 8.8644275, 8.8636746, 8.8796653, 8.9519079, 8.5495136, 0.5112115", \
+					  "8.7907996, 8.7909774, 8.7928986, 8.8128739, 8.8890760, 8.6233961, -0.5486525", \
+					  "8.7272200, 8.7265157, 8.7291698, 8.7479924, 8.8328169, 8.4937566, -0.1818096", \
+					  "8.6909846, 8.6901640, 8.6905734, 8.7073395, 8.7996815, 8.4297317, -0.7412494", \
+					  "8.6548897, 8.6563686, 8.6560927, 8.6779459, 8.7559183, 8.4025967, 0.1757361", \
+					  "8.6201790, 8.6208451, 8.6231064, 8.6432829, 8.7316497, 8.3218924, -0.7452326", \
+					  "8.5849610, 8.5867417, 8.5876594, 8.6059225, 8.6815678, 8.3285177, -0.7766064");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3631283, 0.3625337, 0.3625673, 0.3624345, 0.3609690, 0.3606568, 0.3604409", \
+					  "0.4261413, 0.4261634, 0.4264651, 0.4268688, 0.4274267, 0.4267497, 0.4264954", \
+					  "0.6485366, 0.6485084, 0.6478524, 0.6444182, 0.6412616, 0.6391075, 0.6388609", \
+					  "1.2112052, 1.2123366, 1.2176065, 1.2361984, 1.2511912, 1.2526238, 1.2520242", \
+					  "1.6946036, 1.6968551, 1.7145178, 1.7854897, 1.8818564, 1.9145494, 1.9203332", \
+					  "2.1458975, 2.1497955, 2.1732706, 2.2590652, 2.3699071, 2.4070274, 2.4139635", \
+					  "2.4045404, 2.4069849, 2.4331972, 2.5263835, 2.6432555, 2.6823211, 2.6899721");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.1205335, 11.1340355, 11.1730295, 11.3394415, 11.3840765, 9.7987075, 2.2526619", \
+					  "11.0471951, 11.0590611, 11.1004641, 11.2765911, 11.3048131, 9.5842976, 2.1796493", \
+					  "10.9877447, 10.9952767, 11.0383397, 11.2059137, 11.2538017, 9.6705367, 2.0880674", \
+					  "10.9528324, 10.9591474, 11.0035714, 11.1718934, 11.2199514, 9.3546464, 2.0336608", \
+					  "10.9173327, 10.9247427, 10.9688267, 11.1383097, 11.1801427, 9.6078357, 2.0159997", \
+					  "10.8775863, 10.8895603, 10.9333993, 11.1013483, 11.1301153, 9.2862157, 2.1630579", \
+					  "10.8489400, 10.8554170, 10.8998470, 11.0721940, 11.1151660, 9.3832886, 1.9568413");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3658598, 6.3661421, 6.4193105, 6.6381251, 7.3103139, 8.5490913, 9.1025045", \
+					  "6.3107877, 6.3196612, 6.3948138, 6.5976559, 7.2696435, 8.4956732, 9.0596387", \
+					  "6.2699239, 6.2911149, 6.3448926, 6.5564322, 7.2327080, 8.4568052, 9.0213415", \
+					  "6.2680237, 6.2768014, 6.3369131, 6.5533532, 7.2258678, 8.4518697, 9.0180123", \
+					  "6.2790684, 6.2906338, 6.3326540, 6.5528186, 7.2307537, 8.4559447, 9.0167573", \
+					  "6.2562162, 6.2751702, 6.3272353, 6.5503012, 7.2280612, 8.4520586, 9.0117524", \
+					  "6.2687480, 6.2887077, 6.3404237, 6.5450934, 7.2233928, 8.4495734, 9.0156640");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2777182, 0.2776971, 0.2806587, 0.2777097, 0.2777014, 0.2769558, 0.2794938", \
+					  "0.3920150, 0.3920051, 0.3922972, 0.3920099, 0.3920063, 0.3916685, 0.3916496", \
+					  "0.5587512, 0.5587428, 0.5587396, 0.5587471, 0.5587427, 0.5585019, 0.5585595", \
+					  "0.9180137, 0.9180136, 0.9200654, 0.9180609, 0.9181352, 0.9179241, 0.9176912", \
+					  "1.3638941, 1.3638761, 1.3634289, 1.3636953, 1.3627904, 1.3602986, 1.3600646", \
+					  "1.5379606, 1.5379538, 1.5381791, 1.5380966, 1.5387590, 1.5383633, 1.5379774", \
+					  "1.6055052, 1.6054931, 1.6053807, 1.6054746, 1.6057715, 1.6054388, 1.6051787");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.3089325, 4.3170146, 4.3489664, 4.4254057, 4.5442187, 5.7651257, 7.1443319", \
+					  "4.3172918, 4.3235607, 4.3399157, 4.4217478, 4.5402203, 5.7723224, 7.1947583", \
+					  "4.3097551, 4.3124049, 4.3389431, 4.4230408, 4.5362302, 5.7713368, 7.1940911", \
+					  "4.3078579, 4.3123283, 4.3347951, 4.4214710, 4.5393355, 5.7706886, 7.1930582", \
+					  "4.3147812, 4.3251536, 4.3381402, 4.4195833, 4.5362369, 5.7581961, 7.1907437", \
+					  "4.3192067, 4.3188693, 4.3460360, 4.4159523, 4.5366821, 5.7793178, 7.1909816", \
+					  "4.3179973, 4.3230380, 4.3467660, 4.4225773, 4.5376142, 5.7617274, 7.1896997");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2776906, 0.2781990, 0.2780382, 0.2781183, 0.2781415, 0.2776998, 0.2776986", \
+					  "0.3920039, 0.3919456, 0.3919477, 0.3920073, 0.3920131, 0.3920062, 0.3920045", \
+					  "0.5587803, 0.5587537, 0.5587552, 0.5587820, 0.5587841, 0.5587756, 0.5587739", \
+					  "0.9220912, 0.9221952, 0.9221430, 0.9221643, 0.9222552, 0.9223484, 0.9223707", \
+					  "1.4333447, 1.4331146, 1.4330928, 1.4331727, 1.4323557, 1.4316607, 1.4314845", \
+					  "1.6465069, 1.6469305, 1.6468973, 1.6463104, 1.6456426, 1.6439624, 1.6432503", \
+					  "1.7323657, 1.7313774, 1.7292135, 1.7223615, 1.7134166, 1.7082961, 1.7065843");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4704942, 3.4732065, 3.4772644, 3.5356162, 3.8888631, 5.3322181, 5.8786443", \
+					  "3.4676772, 3.4693746, 3.4761528, 3.5318082, 3.8600087, 5.2501723, 5.9445370", \
+					  "3.4683234, 3.4706950, 3.4772817, 3.5330479, 3.8646463, 5.2549712, 5.9517906", \
+					  "3.4680342, 3.4686325, 3.4755954, 3.5317127, 3.8563978, 5.2548217, 5.9649412", \
+					  "3.4660578, 3.4672392, 3.4745410, 3.5306168, 3.8669086, 5.2555109, 5.9493062", \
+					  "3.4648214, 3.4667053, 3.4768658, 3.5294899, 3.8546990, 5.2459164, 5.9784394", \
+					  "3.4662557, 3.4645669, 3.4738206, 3.5289943, 3.8694993, 5.2598463, 5.9607006");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8869437, 3.8894395, 3.8927038, 3.9834364, 4.6199623, 6.2080440, 6.6188365", \
+					  "3.8834887, 3.8862226, 3.8990990, 3.9810035, 4.6185218, 6.2013260, 6.6050759", \
+					  "3.8842877, 3.8863625, 3.9000893, 3.9810163, 4.6242028, 6.2031629, 6.6123169", \
+					  "3.8802733, 3.8871050, 3.8972918, 3.9791538, 4.6336294, 6.1993552, 6.6051882", \
+					  "3.8805899, 3.8847992, 3.8989780, 3.9783967, 4.5788166, 6.1799433, 6.6051072", \
+					  "3.8781199, 3.8844161, 3.8956536, 3.9786146, 4.6354329, 6.1979868, 6.6095279", \
+					  "3.8803569, 3.8802359, 3.8955982, 3.9763197, 4.6186956, 6.1904001, 6.6015162");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2776985, 0.2809305, 0.2801386, 0.2737089, 0.2777012, 0.2758362, 0.2774173", \
+					  "0.3919970, 0.3936066, 0.3918304, 0.3921529, 0.3919999, 0.3916616, 0.3914371", \
+					  "0.5587973, 0.5586340, 0.5583243, 0.5593622, 0.5587984, 0.5590576, 0.5583090", \
+					  "0.9133701, 0.9175908, 0.9151903, 0.9132954, 0.9134078, 0.9134598, 0.9133993", \
+					  "1.3282917, 1.3272954, 1.3273540, 1.3278510, 1.3279395, 1.3266961, 1.3274237", \
+					  "1.4791594, 1.4833337, 1.4795695, 1.4786978, 1.4793301, 1.4794450, 1.4791930", \
+					  "1.5453307, 1.5447581, 1.5449380, 1.5453816, 1.5453781, 1.5449529, 1.5460756");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2810365, 0.2792307, 0.2808736, 0.2811210, 0.2807976, 0.2808000, 0.2808410", \
+					  "0.4419769, 0.4419800, 0.4421286, 0.4421023, 0.4421949, 0.4420735, 0.4423460", \
+					  "0.6156988, 0.6159955, 0.6157514, 0.6159548, 0.6166102, 0.6170013, 0.6170184", \
+					  "1.0033961, 1.0033137, 1.0021409, 0.9974275, 0.9878682, 0.9842099, 0.9828789", \
+					  "1.5800113, 1.5824999, 1.5938239, 1.6393426, 1.6950295, 1.7129183, 1.7157276", \
+					  "2.1013903, 2.1052336, 2.1254373, 2.2083358, 2.3139451, 2.3504786, 2.3572015", \
+					  "2.3697808, 2.3743826, 2.3996744, 2.4933757, 2.6073670, 2.6455320, 2.6543624");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8380513, 7.8330076, 7.8436718, 7.8576364, 7.9362558, 7.7843105, -0.9732342", \
+					  "7.8316777, 7.8327124, 7.8368315, 7.8612807, 7.9284640, 7.5211413, -0.6111398", \
+					  "7.8325665, 7.8312204, 7.8344201, 7.8492068, 7.9375034, 7.5068063, -0.7572572", \
+					  "7.8297789, 7.8301614, 7.8303236, 7.8515488, 7.9287457, 7.6713293, -1.5331381", \
+					  "7.8294446, 7.8294967, 7.8349619, 7.8443919, 7.9239619, 7.4792291, -0.6303018", \
+					  "7.8293078, 7.8290086, 7.8313392, 7.8534326, 7.9367826, 7.4950431, -0.4593296", \
+					  "7.8267787, 7.8292689, 7.8311935, 7.8450392, 7.9267733, 7.4976192, -0.8011908");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.5771205, 10.5842195, 10.6158645, 10.7309825, 10.6541535, 8.8618940, 1.2419405", \
+					  "10.5060571, 10.5122041, 10.5463591, 10.6747421, 10.5786131, 8.5359999, 1.1653290", \
+					  "10.4422777, 10.4491897, 10.4822327, 10.6085397, 10.5146287, 8.7504271, 0.9965137", \
+					  "10.4061244, 10.4129804, 10.4459824, 10.5685564, 10.4800424, 8.7106780, 1.0835164", \
+					  "10.3717067, 10.3778827, 10.4103737, 10.5319077, 10.4511357, 8.4421519, 1.0273081", \
+					  "10.3381733, 10.3434203, 10.3766543, 10.5003733, 10.4162043, 8.6466778, 1.0091675", \
+					  "10.3021460, 10.3083250, 10.3405080, 10.4636670, 10.3814300, 8.6068090, 0.9333113");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.3632169, 0.3631903, 0.3631950, 0.3631346, 0.3607945, 0.3612172, 0.3610190", \
+					  "0.4274217, 0.4270003, 0.4265216, 0.4279470, 0.4273560, 0.4267957, 0.4264252", \
+					  "0.6487787, 0.6487086, 0.6482968, 0.6447070, 0.6409542, 0.6388015, 0.6383253", \
+					  "1.2116096, 1.2127471, 1.2182919, 1.2360247, 1.2510056, 1.2520216, 1.2519209", \
+					  "1.6946222, 1.6973954, 1.7153901, 1.7860697, 1.8820177, 1.9149412, 1.9207771", \
+					  "2.1492583, 2.1526677, 2.1783668, 2.2616738, 2.3731671, 2.4093947, 2.4167512", \
+					  "2.4094684, 2.4114147, 2.4363854, 2.5290305, 2.6453504, 2.6873754, 2.6943219");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.5503570, 9.5564797, 9.5891263, 9.7049607, 9.6288567, 7.8377668, 0.2446487", \
+					  "9.5473808, 9.5533239, 9.5860235, 9.7149186, 9.6261493, 7.8483752, 0.1874854", \
+					  "9.5483494, 9.5545901, 9.5873894, 9.7160260, 9.6270425, 7.8502936, 0.2322263", \
+					  "9.5477927, 9.5539882, 9.5886801, 9.7166324, 9.6040177, 7.8373805, 0.1925447", \
+					  "9.5461305, 9.5515671, 9.5847359, 9.7092387, 9.6231236, 7.8557109, 0.2308618", \
+					  "9.5457282, 9.5505898, 9.5844611, 9.7080732, 9.6182023, 7.5724950, 0.1418132", \
+					  "9.5460536, 9.5520609, 9.5841689, 9.7206561, 9.6164339, 7.5652804, 0.2330558");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2810096, 0.2808569, 0.2804239, 0.2794292, 0.2807258, 0.2814219, 0.2805284", \
+					  "0.4417715, 0.4421281, 0.4420700, 0.4420022, 0.4431446, 0.4420547, 0.4425168", \
+					  "0.6155391, 0.6161076, 0.6160665, 0.6159502, 0.6163181, 0.6176840, 0.6176399", \
+					  "1.0030294, 1.0028992, 1.0017951, 0.9968599, 0.9887495, 0.9835111, 0.9832555", \
+					  "1.5804819, 1.5834842, 1.5939704, 1.6393472, 1.6958077, 1.7121456, 1.7152477", \
+					  "2.1035004, 2.1073851, 2.1285856, 2.2108394, 2.3169785, 2.3537783, 2.3605387", \
+					  "2.3739419, 2.3793903, 2.4036508, 2.4959724, 2.6111162, 2.6500208, 2.6572776");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8265805, 7.8367035, 7.8406768, 7.8499420, 7.9270400, 7.5061987, -1.7028862", \
+					  "7.8336370, 7.8323412, 7.8309473, 7.8502921, 7.9346933, 7.5498501, -0.2949152", \
+					  "7.8328579, 7.8321588, 7.8372381, 7.8503700, 7.9360592, 7.5510556, -0.2943137", \
+					  "7.8307183, 7.8304980, 7.8325250, 7.8503232, 7.9354210, 7.5637426, -0.7928637", \
+					  "7.8296970, 7.8302669, 7.8346523, 7.8513967, 7.9368093, 7.6783840, -1.5274424", \
+					  "7.8290970, 7.8288837, 7.8336654, 7.8481443, 7.9330425, 7.6020512, -0.7810114", \
+					  "7.8264109, 7.8289132, 7.8319932, 7.8493188, 7.9284907, 7.5161235, -0.2922054");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2804470, 0.2821669, 0.2806863, 0.2829609, 0.2808584, 0.2804072, 0.2804083", \
+					  "0.4420455, 0.4422213, 0.4420022, 0.4427540, 0.4422900, 0.4424154, 0.4424294", \
+					  "0.6159897, 0.6159999, 0.6157139, 0.6161532, 0.6168614, 0.6172772, 0.6173583", \
+					  "1.0033358, 1.0032379, 1.0024049, 0.9979420, 0.9873871, 0.9836321, 0.9829246", \
+					  "1.5804677, 1.5824755, 1.5938438, 1.6389194, 1.6945693, 1.7134617, 1.7166088", \
+					  "2.1007439, 2.1051139, 2.1270495, 2.2071985, 2.3142233, 2.3506623, 2.3575079", \
+					  "2.3699588, 2.3742850, 2.3997128, 2.4926415, 2.6064415, 2.6468883, 2.6536147");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.2788181, 0.2794716, 0.2808579, 0.2802438, 0.2816652, 0.2806545, 0.2803723", \
+					  "0.4419745, 0.4415586, 0.4417497, 0.4417308, 0.4419833, 0.4418948, 0.4418762", \
+					  "0.6155387, 0.6162490, 0.6163249, 0.6159921, 0.6160925, 0.6159924, 0.6159930", \
+					  "0.9861379, 0.9859410, 0.9862713, 0.9851408, 0.9846097, 0.9849432, 0.9848942", \
+					  "1.3292706, 1.3286676, 1.3287053, 1.3304689, 1.3300256, 1.3291172, 1.3292486", \
+					  "1.4645939, 1.4635910, 1.4654731, 1.4679628, 1.4703164, 1.4704933, 1.4705884", \
+					  "1.4786031, 1.4782964, 1.4783629, 1.4808958, 1.4853412, 1.4857390, 1.4866146");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5862467, 7.6133136, 7.5896545, 7.5430738, 7.3910183, 5.6687727, -18.9169078", \
+					  "7.5919111, 7.6025476, 7.5898780, 7.4914316, 7.2834591, 5.9227654, -18.0650979", \
+					  "7.5933820, 7.6032924, 7.5874960, 7.5435165, 7.3547673, 3.9499566, -18.0192009", \
+					  "7.5907999, 7.6038260, 7.5891233, 7.5715983, 7.4300787, 5.5272006, -17.9603958", \
+					  "7.5912281, 7.5945882, 7.5712753, 7.5324765, 7.2244390, 5.9554194, -18.0934739", \
+					  "7.5914807, 7.5911892, 7.5736096, 7.5461185, 7.2434344, 5.9693559, -17.9805447", \
+					  "7.5888709, 7.5914391, 7.5847501, 7.5320370, 7.4496316, 5.9074607, -17.8603626");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.1973856, 5.1940090, 5.1970712, 5.2571755, 5.4612184, 5.2376564, 5.4751591", \
+					  "5.1496115, 5.1663720, 5.1780258, 5.2429782, 5.2778240, 5.3414762, 5.3568338", \
+					  "5.1052111, 5.1023457, 5.1162634, 5.1954930, 5.2437235, 5.1620202, 5.3257473", \
+					  "5.1106409, 5.1014551, 5.1170906, 5.2149182, 5.2139103, 5.2282812, 5.2912721", \
+					  "5.1114086, 5.1193086, 5.1200671, 5.2269204, 5.3211861, 5.3089008, 5.2966567", \
+					  "5.1155396, 5.1040488, 5.1257229, 5.1802791, 5.2585797, 5.4172349, 5.4423910", \
+					  "5.1181762, 5.1251307, 5.1397475, 5.1871366, 5.1808604, 5.2238343, 5.2312381");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.6658125, 10.6722635, 10.7061515, 10.8239625, 10.7388255, 8.8052604, 1.1413250", \
+					  "10.5966721, 10.5942061, 10.6351051, 10.7536761, 10.6666601, 8.6482313, 1.2658920", \
+					  "10.5311417, 10.5350157, 10.5696637, 10.6901387, 10.6044757, 8.5581616, 1.2176396", \
+					  "10.4960724, 10.4994254, 10.5352024, 10.6498484, 10.5696754, 8.5249941, 1.5335344", \
+					  "10.4605837, 10.4641737, 10.4998847, 10.6259307, 10.5090767, 8.7486550, 1.1272881", \
+					  "10.4251173, 10.4295333, 10.4637423, 10.5909103, 10.4855253, 8.4529118, 1.0500300", \
+					  "10.3926660, 10.3930570, 10.4314950, 10.5616960, 10.4679240, 8.7038806, 1.0759879");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.3923759, 9.3947759, 9.4082763, 9.4657928, 9.6981955, 9.5964921, 1.2054224", \
+					  "9.3203317, 9.3235756, 9.3383676, 9.3994238, 9.6310554, 9.3321096, 1.3969388", \
+					  "9.2577496, 9.2591877, 9.2692664, 9.3331615, 9.5455342, 9.4472898, 1.0796200", \
+					  "9.2187594, 9.2217284, 9.2331386, 9.2990381, 9.5276781, 9.3300749, 1.5754054", \
+					  "9.1865746, 9.1870968, 9.2033130, 9.2597626, 9.4779752, 9.4363878, 1.0053943", \
+					  "9.1491153, 9.1539361, 9.1648449, 9.2272811, 9.4282592, 9.3357186, 1.0296306", \
+					  "9.1185351, 9.1177553, 9.1264411, 9.1957459, 9.4215305, 9.2916787, 0.3019820");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.2321807, 5.2307578, 5.2590160, 5.3725138, 5.7819983, 7.2216765, 7.9230833", \
+					  "5.1823973, 5.1920567, 5.2155149, 5.3274527, 5.7413977, 7.1057442, 7.8701909", \
+					  "5.1474125, 5.1499838, 5.1728818, 5.2910290, 5.6984301, 7.1087907, 7.8293384", \
+					  "5.1450969, 5.1491527, 5.1736170, 5.2861919, 5.6980578, 7.0987334, 7.8343949", \
+					  "5.1424632, 5.1472233, 5.1711625, 5.2850241, 5.6859582, 7.0762358, 7.8445336", \
+					  "5.1400387, 5.1442120, 5.1713158, 5.2824435, 5.6866626, 7.0825500, 7.8366030", \
+					  "5.1397358, 5.1444646, 5.1659895, 5.2798329, 5.6944279, 7.1047299, 7.8108447");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.1504253, 9.1389137, 9.1613107, 9.1399111, 9.0893058, 7.7071475, -14.9942545", \
+					  "9.0817136, 9.0838455, 9.0839409, 9.0861822, 9.0184430, 7.7409328, -14.9495889", \
+					  "9.0029057, 9.0133834, 9.0204360, 9.0210839, 8.9478456, 7.6193059, -15.3608513", \
+					  "8.9778124, 8.9902929, 8.9783441, 8.9859882, 8.9435985, 7.6596325, -14.7241876", \
+					  "8.9340423, 8.9626227, 8.9391432, 8.9505967, 8.8803595, 7.6091698, -14.8731713", \
+					  "8.8986342, 8.9201647, 8.9025911, 8.9468266, 8.8472318, 7.6405139, -14.9524377", \
+					  "8.8677981, 8.8855652, 8.8845096, 8.9197253, 8.8249184, 7.5305232, -15.1696020");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.1800271, 4.1819284, 4.1964814, 4.2393200, 4.5658201, 5.9283348, 6.6708281", \
+					  "4.1347865, 4.1356799, 4.1438795, 4.1983269, 4.5285506, 5.9198265, 6.6166142", \
+					  "4.0955057, 4.0965904, 4.1035839, 4.1580911, 4.4887524, 5.8753975, 6.5822774", \
+					  "4.0947804, 4.0939347, 4.1008081, 4.1570097, 4.4847982, 5.8715427, 6.5695417", \
+					  "4.0907654, 4.0937036, 4.1051242, 4.1547611, 4.4874433, 5.8883947, 6.5702001", \
+					  "4.0896897, 4.0908328, 4.0952096, 4.1543719, 4.4841068, 5.8636834, 6.5885805", \
+					  "4.0875531, 4.0898980, 4.0990790, 4.1514096, 4.4873522, 5.8663446, 6.5747615");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.0716722, 4.0876382, 4.1060959, 4.1605179, 4.4120863, 5.1358548, 5.6335587", \
+					  "4.2477131, 4.2470694, 4.2627223, 4.3144319, 4.5794905, 5.2743565, 5.8213295", \
+					  "4.4201841, 4.4193180, 4.4331686, 4.4929285, 4.7596658, 5.5796251, 5.9881177", \
+					  "4.7988185, 4.8009689, 4.8122008, 4.8666487, 5.1244521, 5.9274709, 6.3615852", \
+					  "5.2799093, 5.2822884, 5.3032157, 5.3869030, 5.6840829, 6.5058161, 6.9284648", \
+					  "5.6506846, 5.6504115, 5.6781295, 5.7885241, 6.1265926, 6.9838088, 7.3839916", \
+					  "5.8148615, 5.8238728, 5.8480645, 5.9602121, 6.2959862, 7.0819237, 7.5592529");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.5274080, 8.5328819, 8.5415580, 8.5709539, 8.4872315, 7.1904125, -7.3981593", \
+					  "8.6412595, 8.6476440, 8.6523384, 8.6737690, 8.5778610, 7.3429753, -6.8701000", \
+					  "8.8121470, 8.8130782, 8.8197131, 8.8435141, 8.7533756, 7.2888362, -6.6927117", \
+					  "9.1734476, 9.1747152, 9.1783044, 9.2129560, 9.1264582, 7.8115131, -6.3493822", \
+					  "9.6477319, 9.6526709, 9.6550608, 9.6791432, 9.5752573, 8.2453362, -5.8719482", \
+					  "9.8238496, 9.8295454, 9.8320031, 9.8640472, 9.7512893, 8.4386754, -5.7182782", \
+					  "9.8955830, 9.8996745, 9.9037204, 9.9285527, 9.8418366, 8.5217680, -5.5981244");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("15.9848699, 15.9919675, 16.0067283, 16.0708458, 16.0215754, 13.9967092, -9.1079013", \
+					  "15.9141893, 15.9189868, 15.9398896, 16.0118665, 15.9668806, 13.8398297, -9.1387656", \
+					  "15.9893101, 15.9966689, 16.0168827, 16.0896171, 16.0373676, 13.8818429, -9.1235592", \
+					  "16.0154832, 16.0243252, 16.0397594, 16.1225764, 16.0752960, 13.8825550, -8.9297852", \
+					  "16.0316209, 16.0423366, 16.0573351, 16.1373173, 16.0767712, 14.0931916, -8.8688834", \
+					  "16.0346985, 16.0429890, 16.0580916, 16.1426787, 16.0752404, 14.0093719, -9.0463426", \
+					  "16.1061988, 16.1119464, 16.1296546, 16.2169102, 16.1570111, 14.1591319, -9.2316401");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.2688281, 8.2925380, 8.3145037, 8.4509070, 8.9716904, 10.3400510, 11.0305390", \
+					  "8.2184200, 8.2378512, 8.2667510, 8.4025980, 8.8870413, 10.2361929, 10.9706408", \
+					  "8.2312790, 8.2357305, 8.2671278, 8.4183545, 8.8955738, 10.3990416, 10.9870613", \
+					  "8.4148824, 8.4199376, 8.4586218, 8.6058456, 9.0886814, 10.4181939, 11.1691235", \
+					  "8.5708124, 8.5837887, 8.6165959, 8.7889587, 9.3172363, 10.4721740, 11.3946812", \
+					  "8.7227988, 8.7257021, 8.7691169, 8.9334774, 9.4606927, 10.9131256, 11.5835290", \
+					  "8.8079576, 8.8177961, 8.8604021, 9.0227179, 9.5313664, 10.8824374, 11.6396192");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8024336, 4.8024338, 4.8024343, 4.8024347, 4.8024352, 4.8024357, 4.8024362", \
+					  "4.8360782, 4.8360785, 4.8360789, 4.8653428, 4.8653429, 4.8653434, 4.8653439", \
+					  "4.8853463, 4.8929722, 4.8929723, 4.8929728, 4.8929732, 4.8929737, 4.9605684", \
+					  "4.9077520, 4.9079647, 4.9079650, 4.9079655, 4.9079659, 4.9079664, 5.0110582", \
+					  "4.9086424, 4.9095634, 4.9095638, 4.9095643, 4.9095648, 4.9095653, 5.0198983", \
+					  "4.9040199, 4.9040201, 4.9040206, 4.9040211, 4.9040216, 4.9040220, 4.9625388", \
+					  "4.9017159, 4.9021529, 4.9021533, 4.9021538, 4.9021543, 4.9021547, 4.9947553");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.8219590, 13.0135080, 14.1888360, 21.4135590, 65.7545270, 337.9471400, 2014.6765000", \
+					  "12.8838380, 13.0742350, 14.2514440, 21.4767370, 65.8149370, 338.0095300, 2014.6836000", \
+					  "12.9069160, 13.0963890, 14.2720880, 21.4979310, 65.8381580, 338.0316700, 2014.7918000", \
+					  "12.9180830, 13.1095930, 14.2853030, 21.5102820, 65.8513530, 338.0422100, 2015.1729000", \
+					  "12.9220380, 13.1115660, 14.2887810, 21.5140050, 65.8543300, 338.0454500, 2014.5405000", \
+					  "12.9199620, 13.1115550, 14.2870840, 21.5134950, 65.8517510, 338.0461600, 2014.9039000", \
+					  "12.9177360, 13.1068370, 14.2821770, 21.5101710, 65.8479350, 338.0400200, 2014.8439000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0873410, 14.4677260, 16.7391770, 31.0671840, 119.1538500, 662.1106600, 4011.6338000", \
+					  "14.0873950, 14.4736330, 16.7505900, 31.0745670, 119.2022200, 662.1837100, 4013.0826000", \
+					  "14.0885370, 14.4561210, 16.7489440, 31.0672950, 119.2161000, 661.6224400, 4013.7379000", \
+					  "14.0867410, 14.4670400, 16.7391470, 31.0673440, 119.1590000, 662.1838800, 4013.8038000", \
+					  "14.0595290, 14.4564760, 16.7395980, 31.0670420, 119.2405500, 662.4854900, 4013.3855000", \
+					  "14.0871150, 14.4673460, 16.7393460, 31.0625050, 119.2362300, 662.0989600, 4014.3941000", \
+					  "14.0919250, 14.4552810, 16.7340970, 31.0604560, 119.1637900, 662.1939400, 4012.4073000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.8507725, 7.8879578, 8.1167046, 9.3788266, 12.2410850, 25.2038280, 50.1701930", \
+					  "7.9756894, 8.0125879, 8.2434584, 9.5079207, 12.3686570, 25.2968630, 50.2968900", \
+					  "8.0637754, 8.1011118, 8.3318934, 9.5966248, 12.4563520, 25.3901010, 50.3860990", \
+					  "8.1383984, 8.1758902, 8.4063290, 9.6705204, 12.5302840, 25.4992580, 50.4595260", \
+					  "8.1997733, 8.2378717, 8.4685707, 9.7310826, 12.5931930, 25.5551940, 50.5237740", \
+					  "8.2599936, 8.2981328, 8.5286791, 9.7894439, 12.6524500, 25.6213020, 50.5753870", \
+					  "8.3154772, 8.3521101, 8.5828917, 9.8466275, 12.7071700, 25.6436560, 50.6338720");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3368283, 2.3780553, 2.5551177, 2.9953224, 4.6428079, 16.4337980, 32.4035700", \
+					  "2.3414022, 2.3777140, 2.5543325, 2.9935703, 4.6414681, 16.4266470, 32.3467970", \
+					  "2.3412052, 2.3764402, 2.5546267, 2.9931311, 4.6417492, 16.4232500, 32.3476930", \
+					  "2.3444677, 2.3708611, 2.5582110, 2.9920515, 4.6246535, 16.4282030, 32.4181930", \
+					  "2.3388711, 2.3745992, 2.5553313, 2.9953431, 4.6427777, 16.4333200, 32.3419440", \
+					  "2.3340865, 2.3707400, 2.5590705, 2.9970231, 4.6367941, 16.4375870, 32.4025930", \
+					  "2.3397591, 2.3764850, 2.5546647, 2.9939795, 4.6419051, 16.4215110, 32.4186330");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("4.7420752, 4.7488116, 4.7900697, 5.0627316, 6.8370860, 18.1668670, 88.5400690", \
+					  "4.8123052, 4.8188928, 4.8602056, 5.1326219, 6.9070358, 18.2362200, 88.6101650", \
+					  "4.8379598, 4.8445297, 4.8856541, 5.1587681, 6.9328983, 18.2592250, 88.6356520", \
+					  "4.8500359, 4.8566404, 4.8979309, 5.1706794, 6.9479431, 18.2724590, 88.6570600", \
+					  "4.8528812, 4.8610755, 4.9004347, 5.1738405, 6.9487851, 18.2750440, 88.6540900", \
+					  "4.8527378, 4.8587481, 4.9005706, 5.1730606, 6.9509825, 18.2748390, 88.6605850", \
+					  "4.8505098, 4.8570943, 4.8982085, 5.1713305, 6.9454127, 18.2738620, 88.6570390");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("0.8160315, 0.8315915, 0.9314140, 1.5710352, 5.7334062, 31.6184410, 191.8319900", \
+					  "0.8160940, 0.8313843, 0.9312594, 1.5709137, 5.7333418, 31.6134920, 191.8290600", \
+					  "0.8157448, 0.8317030, 0.9319941, 1.5710252, 5.7341416, 31.6172440, 191.8219700", \
+					  "0.8169525, 0.8330270, 0.9320773, 1.5707642, 5.7274794, 31.6142280, 191.8507200", \
+					  "0.8161715, 0.8321124, 0.9322958, 1.5708638, 5.7328475, 31.6155240, 191.7915300", \
+					  "0.8168125, 0.8329474, 0.9321802, 1.5707339, 5.7269669, 31.6114600, 191.2395600", \
+					  "0.8159732, 0.8318342, 0.9320645, 1.5710651, 5.7331304, 31.6293870, 191.1317300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("76.8026780, 76.8099750, 76.8460280, 77.1205610, 78.6786960, 84.8835740, 110.8203700", \
+					  "76.9308950, 76.9406370, 76.9722770, 77.2740800, 78.8114960, 85.0181510, 110.9398000", \
+					  "77.0156630, 77.0423010, 77.0890480, 77.3746790, 78.9284870, 85.1186290, 111.0455000", \
+					  "77.0777080, 77.0865610, 77.1529190, 77.4141140, 78.9708850, 85.1893130, 111.1213900", \
+					  "77.1816320, 77.1816369, 77.2156950, 77.5059020, 79.0575570, 85.2706710, 111.1773700", \
+					  "77.2084120, 77.2084160, 77.2629040, 77.5214990, 79.0987190, 85.2898200, 111.2192000", \
+					  "77.2583770, 77.2647230, 77.3175590, 77.5823640, 79.1394260, 85.3439840, 111.2631500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("48.0525730, 48.0516780, 48.0290650, 47.8403730, 47.5524120, 39.3861440, 51.8028320", \
+					  "48.0770870, 48.0761350, 48.0210040, 47.8658000, 47.5483010, 39.3878280, 51.8245250", \
+					  "48.0628980, 48.0700530, 48.0328770, 47.8204600, 47.6135500, 39.4046790, 51.8951460", \
+					  "48.0552490, 48.0519230, 48.0487120, 47.8476140, 47.5246900, 39.4094710, 51.8183360", \
+					  "48.0359290, 48.0054960, 48.0285820, 47.8512910, 47.5376470, 39.4014460, 51.8988760", \
+					  "48.0891740, 48.0797980, 48.0472020, 47.8345150, 47.5709430, 39.3868870, 51.8214770", \
+					  "48.0932130, 48.0865910, 48.0258390, 47.8467900, 47.5223660, 39.4045650, 51.8349060");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("4.7232662, 4.7298339, 4.7704143, 5.0413997, 6.8094370, 18.2350900, 90.1730250", \
+					  "4.7929718, 4.7998707, 4.8408313, 5.1117213, 6.8757511, 18.2980880, 90.2410450", \
+					  "4.8191739, 4.8258868, 4.8666924, 5.1376339, 6.9021882, 18.3303770, 90.2711290", \
+					  "4.8316751, 4.8386721, 4.8790958, 5.1495253, 6.9146342, 18.3414900, 90.2748380", \
+					  "4.8348361, 4.8404458, 4.8832285, 5.1522635, 6.9197181, 18.3473860, 90.2866470", \
+					  "4.8350581, 4.8407087, 4.8812479, 5.1524731, 6.9191302, 18.3452670, 90.2801530", \
+					  "4.8329198, 4.8381041, 4.8789648, 5.1498641, 6.9168475, 18.3446430, 90.2781140");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("0.8232404, 0.8389554, 0.9378018, 1.5733878, 5.7283707, 31.6046180, 191.8451300", \
+					  "0.8233574, 0.8383960, 0.9358759, 1.5730943, 5.7278262, 31.6010930, 191.8762700", \
+					  "0.8231642, 0.8374766, 0.9363398, 1.5738756, 5.7280181, 31.6028840, 191.8556100", \
+					  "0.8216505, 0.8369224, 0.9364157, 1.5742619, 5.7296852, 31.6164870, 191.5997500", \
+					  "0.8220217, 0.8381815, 0.9364326, 1.5732906, 5.7286336, 31.6079390, 191.8573700", \
+					  "0.8211645, 0.8373856, 0.9376002, 1.5739121, 5.7285172, 31.6022340, 191.8604400", \
+					  "0.8217961, 0.8388837, 0.9365151, 1.5733482, 5.7297602, 31.6190590, 191.1319300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("11.4037460, 11.4161250, 11.4947700, 11.9905340, 15.5999430, 41.1796500, 88.5121170", \
+					  "11.5348110, 11.5407660, 11.6232360, 12.1232020, 15.7344720, 41.3105350, 88.6400360", \
+					  "11.6159300, 11.6279080, 11.7107040, 12.2102590, 15.8226050, 41.3798990, 88.7495230", \
+					  "11.6885850, 11.7008130, 11.7787040, 12.2812040, 15.8971240, 41.4625600, 88.7987990", \
+					  "11.7537890, 11.7638320, 11.8404760, 12.3467320, 15.9619570, 41.5352410, 88.8731650", \
+					  "11.8130340, 11.8214310, 11.9026180, 12.4027000, 16.0068370, 41.5918640, 88.9198830", \
+					  "11.8652420, 11.8780160, 11.9601290, 12.4580930, 16.0731640, 41.6458690, 88.9664590");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19324, 1.24491, 1.56353, 3.52845, 15.6457, 90.3704, 551.183");
+					values("62.8976050, 62.8926390, 62.8574380, 62.6720010, 62.3463170, 61.0047120, 57.0716700", \
+					  "62.8907340, 62.8309140, 62.8275100, 62.6661320, 62.2974310, 60.9836730, 57.0503720", \
+					  "62.8447910, 62.8458420, 62.8545320, 62.6914770, 62.3165660, 61.0127420, 57.0876790", \
+					  "62.8255140, 62.8236450, 62.8372020, 62.6814870, 62.3014170, 60.9700590, 57.0934550", \
+					  "62.8867550, 62.8393480, 62.8790100, 62.6839450, 62.3108530, 61.0390740, 57.1030120", \
+					  "62.8639190, 62.8267360, 62.8068480, 62.6496900, 62.3374210, 60.9915180, 57.0426300", \
+					  "62.8260680, 62.8614320, 62.8253080, 62.6427190, 62.2877110, 60.9688800, 57.0431280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7111525, 6.7200245, 6.7200249, 6.7200254, 6.7200258, 6.7200263, 6.7200268", \
+					  "6.5808745, 6.5808747, 6.5923871, 6.5923875, 6.5923880, 6.5923884, 6.5923889", \
+					  "6.4950472, 6.4950474, 6.4950479, 6.4950483, 6.4950488, 6.4950493, 6.4950498", \
+					  "6.4033663, 6.4033664, 6.4033669, 6.4033673, 6.4033678, 6.4033683, 6.4033688", \
+					  "6.3197234, 6.3197239, 6.3197244, 6.3197248, 6.3197253, 6.3197258, 6.3197263", \
+					  "6.2251870, 6.2251871, 6.2251876, 6.2251881, 6.2251885, 6.2251890, 6.2251895", \
+					  "6.1474057, 6.1528533, 6.1581686, 6.1581691, 6.1581696, 6.1581700, 6.1581705");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2066325, 5.2193774, 5.2193778, 5.2193783, 5.2193788, 5.2193792, 342.3577900", \
+					  "5.2677676, 5.2776410, 5.2798415, 5.2798417, 5.2798422, 5.2798427, 5.2798431", \
+					  "5.3161773, 5.3165814, 5.3165815, 5.3165820, 5.3165825, 5.3165830, 5.3165834", \
+					  "5.3064255, 5.3101816, 5.3101819, 5.3101824, 5.3101829, 5.3101833, 5.3101838", \
+					  "5.3261981, 5.3283036, 5.3283041, 5.3283046, 5.3283051, 5.3283055, 5.3283060", \
+					  "5.3275526, 5.3275531, 5.3275536, 5.3275540, 5.3275545, 5.3275550, 5.3275555", \
+					  "5.3211204, 5.3231903, 5.3231905, 5.3231910, 5.3231915, 5.3231919, 5.3231924");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4524417, 6.4852466, 6.7019572, 7.9837825, 15.8418190, 64.2326230, 243.4881000", \
+					  "6.5126438, 6.5489969, 6.7598038, 8.0451334, 15.9054870, 64.2978010, 243.5438700", \
+					  "6.5357662, 6.5748047, 6.7823631, 8.0708968, 15.9308090, 64.3236580, 243.5676500", \
+					  "6.5464172, 6.5805441, 6.7928299, 8.0800548, 15.9392850, 64.3340740, 243.6194100", \
+					  "6.5499002, 6.5883090, 6.7963906, 8.0834770, 15.9431210, 64.3354670, 243.6259800", \
+					  "6.5486834, 6.5872645, 6.7951555, 8.0837079, 15.9417070, 64.3363760, 243.5768900", \
+					  "6.5439907, 6.5786967, 6.7905539, 8.0777696, 15.9374700, 64.3288660, 243.6074200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3335900, 2.3934728, 2.7607452, 5.0425779, 19.3589700, 107.4525100, 194.4872400", \
+					  "2.3339830, 2.3933202, 2.7609712, 5.0435207, 19.3648280, 107.4560500, 194.4849400", \
+					  "2.3339175, 2.3933939, 2.7612295, 5.0433844, 19.3619470, 107.4611000, 194.3664100", \
+					  "2.3340501, 2.3938944, 2.7611105, 5.0431219, 19.3593730, 107.4537600, 194.3961900", \
+					  "2.3341553, 2.3932911, 2.7610414, 5.0431312, 19.3577470, 107.5209400, 194.4517900", \
+					  "2.3340831, 2.3932745, 2.7610070, 5.0412492, 19.3566640, 107.4516000, 194.3142400", \
+					  "2.3341302, 2.3939835, 2.7609632, 5.0431375, 19.3614920, 107.4513400, 194.3109900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.7305820, 14.9281050, 16.0777200, 23.2446100, 66.9650930, 335.7537000, 1991.7168000", \
+					  "14.8550660, 15.0409020, 16.2109830, 23.3427270, 66.9347950, 335.4940400, 1990.2634000", \
+					  "14.9357200, 15.1370900, 16.3124860, 23.4531540, 67.0660820, 336.1364200, 1989.9428000", \
+					  "15.0156560, 15.2133260, 16.3695880, 23.5117860, 67.1656370, 335.4099100, 1993.0289000", \
+					  "15.0812750, 15.2801750, 16.4401030, 23.5914350, 67.1970430, 335.7301600, 1992.0008000", \
+					  "15.1359090, 15.3336050, 16.4897550, 23.6242650, 67.2142020, 335.4957700, 1992.1929000", \
+					  "15.1932440, 15.3778480, 16.5452490, 23.6938540, 67.4741760, 335.5667700, 1989.8350000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3318700, 13.7123030, 15.9903890, 30.1115900, 117.2059400, 653.9803500, 3960.7207000", \
+					  "13.3249980, 13.7039410, 15.9852820, 30.0074770, 117.1887900, 653.2067100, 3961.5047000", \
+					  "13.3379740, 13.6981540, 15.9601720, 30.1376670, 116.9911100, 653.9646100, 3965.0081000", \
+					  "13.3288280, 13.7089700, 15.9869820, 30.0047840, 117.1501100, 653.0281100, 3959.7121000", \
+					  "13.3298620, 13.7047470, 15.9849640, 30.1368710, 117.0039900, 653.7266000, 3961.5243000", \
+					  "13.3295300, 13.7092130, 15.9876720, 30.0070230, 117.3483700, 653.0635200, 3962.5511000", \
+					  "13.3343800, 13.7075420, 15.9876180, 30.0110660, 117.2100000, 653.0241300, 3964.8794000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7223103, 6.7223104, 6.7223108, 6.7223113, 6.7223118, 6.7223123, 6.7223127", \
+					  "6.5593331, 6.5684560, 6.5684565, 6.5684569, 6.5684574, 6.5684579, 6.5684584", \
+					  "6.4788437, 6.4788440, 6.4788445, 6.4788449, 6.4788454, 6.4788459, 6.4788464", \
+					  "6.4030834, 6.4030836, 6.4030841, 6.4030846, 6.4030850, 6.4030855, 6.4030860", \
+					  "6.3157755, 6.3184822, 6.3184827, 6.3184832, 6.3184836, 6.3184841, 6.3184846", \
+					  "6.2462862, 6.2462867, 6.2462872, 6.2462876, 6.2462881, 6.2462886, 6.2462891", \
+					  "6.1436909, 6.1436914, 6.1436918, 6.1436923, 6.1436928, 6.1436933, 6.1436937");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.7902051, 4.7902053, 4.7902058, 4.7902062, 4.7902067, 4.7902072, 4.7902077", \
+					  "4.8623803, 4.8623808, 4.8623813, 4.8708411, 4.8708413, 4.8708418, 4.9708726", \
+					  "4.8893076, 4.8928452, 4.8972497, 4.8972500, 4.8972505, 4.8972509, 4.9029860", \
+					  "4.8998992, 4.8998993, 4.8998998, 4.8999002, 4.8999007, 4.8999012, 4.9774664", \
+					  "4.9060822, 4.9062181, 4.9062183, 4.9062188, 4.9062193, 4.9062198, 4.9908255", \
+					  "4.9050430, 4.9050434, 4.9050439, 4.9050444, 4.9050448, 4.9050453, 4.9628754", \
+					  "4.8991491, 4.8991492, 4.8991497, 4.8991502, 4.8991507, 4.8991511, 4.9896274");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.7924529, 7.8285751, 8.0622726, 9.4508348, 17.7873860, 61.7405010, 105.6241000", \
+					  "7.9196205, 7.9565785, 8.1897111, 9.5752828, 17.9127660, 61.8675170, 105.7547100", \
+					  "8.0069782, 8.0456983, 8.2778477, 9.6660724, 18.0024420, 61.9553260, 105.8396700", \
+					  "8.0791702, 8.1179241, 8.3499847, 9.7372288, 18.0760120, 62.0273610, 105.9100200", \
+					  "8.1435090, 8.1794456, 8.4139300, 9.8007895, 18.1322550, 62.0951820, 105.9836400", \
+					  "8.2006659, 8.2385245, 8.4708152, 9.8609636, 18.1980580, 62.1475420, 106.0246200", \
+					  "8.2585396, 8.3007654, 8.5275378, 9.9158051, 18.2547350, 62.2063400, 106.0936400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3857860, 2.4467046, 2.8151119, 5.1494244, 19.8299140, 53.1958340, 40.8395130", \
+					  "2.3867233, 2.4471707, 2.8148568, 5.1348490, 19.8268460, 53.1883690, 40.8873330", \
+					  "2.3863118, 2.4453006, 2.8133085, 5.1482285, 19.8304840, 53.1976840, 40.9605510", \
+					  "2.3869517, 2.4445950, 2.8158904, 5.1368425, 19.7950810, 53.2215230, 40.8321450", \
+					  "2.3868960, 2.4440512, 2.8126690, 5.1502997, 19.8198770, 53.2318510, 40.8999660", \
+					  "2.3874356, 2.4457696, 2.8153935, 5.1334692, 19.7899990, 53.1856340, 40.8892190", \
+					  "2.3869231, 2.4460720, 2.8165277, 5.1377191, 19.7921380, 53.1920320, 40.8215540");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.7882542, 4.7899444, 4.7899445, 4.8136557, 4.8136561, 4.8136566, 4.8136571", \
+					  "4.8660183, 4.8660186, 4.8660191, 4.8662456, 4.8662460, 4.8662465, 4.9328359", \
+					  "4.8910733, 4.8916937, 4.8983746, 4.8983748, 4.8983753, 4.8983758, 4.9772737", \
+					  "4.9008464, 4.9008468, 4.9008472, 4.9008477, 4.9008482, 4.9008487, 4.9917284", \
+					  "4.9071609, 4.9072897, 4.9072898, 4.9072903, 4.9072907, 4.9072912, 4.9929945", \
+					  "4.9063780, 4.9063781, 4.9063786, 4.9063790, 4.9063795, 4.9063800, 4.9701159", \
+					  "4.9001936, 4.9001940, 4.9001945, 4.9001949, 4.9001954, 4.9001959, 4.9906839");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.7740792, 7.8099571, 8.0411997, 9.3092032, 12.2096460, 25.8490760, 82.9859200", \
+					  "7.9015559, 7.9393141, 8.1689260, 9.4414068, 12.3395460, 25.9682480, 83.1211860", \
+					  "7.9891560, 8.0264559, 8.2551530, 9.5291171, 12.4272890, 26.0619560, 83.2273840", \
+					  "8.0618863, 8.0992155, 8.3290068, 9.6020543, 12.5002360, 26.1375370, 83.2731860", \
+					  "8.1239975, 8.1615550, 8.3921918, 9.6572581, 12.5628090, 26.1959110, 83.3359690", \
+					  "8.1828659, 8.2222833, 8.4490290, 9.7179749, 12.6197670, 26.2592420, 83.3884710", \
+					  "8.2382146, 8.2759923, 8.5068566, 9.7805817, 12.6768300, 26.3156710, 83.4284250");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3382711, 2.3784377, 2.5593010, 3.0145566, 4.6565032, 22.9144930, 52.8078100", \
+					  "2.3400777, 2.3750570, 2.5650519, 3.0138270, 4.6680189, 22.9182080, 52.7644810", \
+					  "2.3406854, 2.3823470, 2.5593936, 3.0137419, 4.6663473, 22.9081130, 52.7701760", \
+					  "2.3386306, 2.3793565, 2.5591711, 3.0135607, 4.6663615, 22.9130230, 52.7951660", \
+					  "2.3435160, 2.3814211, 2.5626230, 3.0152452, 4.6648880, 22.9079140, 52.7949060", \
+					  "2.3380871, 2.3750828, 2.5588485, 3.0137577, 4.6625450, 22.9124580, 52.7873920", \
+					  "2.3396745, 2.3765043, 2.5606968, 3.0138463, 4.6567248, 22.9125480, 52.7608530");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6939050, 12.8807570, 14.0475520, 21.2056510, 65.3479470, 337.2659000, 2013.5196000", \
+					  "12.8221820, 13.0136670, 14.1685610, 21.3246860, 65.4595240, 337.3836300, 2013.5396000", \
+					  "12.9090230, 13.0985020, 14.2553900, 21.4121680, 65.5461180, 337.4744300, 2013.6397000", \
+					  "12.9828880, 13.1706440, 14.3299360, 21.4869350, 65.6195170, 337.5413400, 2013.7493000", \
+					  "13.0426630, 13.2279140, 14.3915090, 21.5502660, 65.6990270, 337.6055400, 2013.7718000", \
+					  "13.1097170, 13.2886660, 14.4544690, 21.5993460, 65.7353070, 337.6613300, 2013.8368000", \
+					  "13.1549460, 13.3513520, 14.5103610, 21.6674220, 65.8006200, 337.7265300, 2013.8816000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0922150, 14.4588010, 16.7477980, 30.9777270, 119.0879100, 661.6670000, 4010.4628000", \
+					  "14.0985210, 14.4755670, 16.7335980, 31.0629390, 119.2103300, 662.2883000, 4011.5606000", \
+					  "14.0985610, 14.4612060, 16.7334750, 31.0629180, 119.2103800, 662.1569900, 4015.6283000", \
+					  "14.1009760, 14.4756230, 16.7363070, 31.0639440, 119.2330600, 662.1036700, 4011.6915000", \
+					  "14.0964310, 14.4610240, 16.7463200, 30.9556150, 118.9398400, 662.3416200, 4009.5128000", \
+					  "14.0994830, 14.4611500, 16.7521250, 31.0629280, 119.2534100, 662.2294100, 4013.1772000", \
+					  "14.0998830, 14.4642880, 16.7331870, 31.0630030, 119.2051900, 662.1398300, 4008.7393000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6755447, 8.7138119, 8.9451619, 10.2114190, 13.0814170, 26.0466010, 51.0102790", \
+					  "8.8059613, 8.8421137, 9.0728252, 10.3388440, 13.2101520, 26.1463920, 51.1370680", \
+					  "8.8927186, 8.9293817, 9.1607077, 10.4299030, 13.2981500, 26.2259740, 51.2325540", \
+					  "8.9638332, 8.9994279, 9.2305266, 10.4936690, 13.3686030, 26.3062570, 51.3008270", \
+					  "9.0296899, 9.0639709, 9.2921315, 10.5630200, 13.4320630, 26.3677290, 51.3573930", \
+					  "9.0897192, 9.1193204, 9.3576027, 10.6191440, 13.4861780, 26.4219560, 51.4295880", \
+					  "9.1480077, 9.1855465, 9.4123029, 10.6708280, 13.5466950, 26.5261750, 51.4758970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3447175, 2.3810396, 2.5629330, 3.0063159, 4.6437689, 16.4329790, 32.4315190", \
+					  "2.3476185, 2.3845630, 2.5636060, 3.0040286, 4.6444790, 16.4240050, 32.3465130", \
+					  "2.3473254, 2.3851854, 2.5636702, 3.0043654, 4.6439874, 16.4352900, 32.3263730", \
+					  "2.3478022, 2.3846107, 2.5636930, 3.0057374, 4.6445541, 16.4238060, 32.3482080", \
+					  "2.3417437, 2.3846649, 2.5641083, 3.0074381, 4.6439932, 16.4239040, 32.3456530", \
+					  "2.3418254, 2.3852202, 2.5637015, 3.0042275, 4.6445030, 16.4352940, 32.3463990", \
+					  "2.3416595, 2.3832001, 2.5637859, 3.0041472, 4.6450981, 16.4357650, 32.3434220");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.4411250, 12.6292790, 13.7947560, 20.9483310, 65.0941060, 337.0030000, 2013.9555000", \
+					  "12.5051620, 12.6953370, 13.8523980, 21.0088550, 65.1422170, 337.0644800, 2013.8857000", \
+					  "12.5277640, 12.7182790, 13.8761530, 21.0332530, 65.1665740, 337.0879500, 2013.5344000", \
+					  "12.5385170, 12.7290000, 13.8867420, 21.0468250, 65.1772180, 337.0982100, 2013.7643000", \
+					  "12.5405680, 12.7318330, 13.8904860, 21.0524360, 65.1797340, 337.0998600, 2014.0180000", \
+					  "12.5394900, 12.7302370, 13.8888640, 21.0514810, 65.1784550, 337.0988700, 2014.1042000", \
+					  "12.5349620, 12.7258790, 13.8844070, 21.0466490, 65.1729690, 337.0938400, 2013.6729000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0911360, 14.4588390, 16.7425220, 31.0527960, 119.1599600, 662.6091200, 4013.0089000", \
+					  "14.0935160, 14.4600780, 16.7425110, 31.0562990, 119.1764300, 662.5074900, 4013.0017000", \
+					  "14.0954830, 14.4309130, 16.7460050, 31.0538010, 119.1784900, 662.5269900, 4013.2263000", \
+					  "14.0957070, 14.4278170, 16.7454530, 30.9571040, 119.1792800, 662.5099300, 4012.3914000", \
+					  "14.0909950, 14.4729650, 16.7497620, 31.0541740, 119.1767300, 662.4424000, 4014.0249000", \
+					  "14.0927650, 14.4654160, 16.7490800, 31.0541350, 119.1735000, 662.4494500, 4011.8257000", \
+					  "14.0918650, 14.4700280, 16.7498480, 31.0540890, 119.1954400, 662.4970300, 4012.4301000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.2535820, 14.4342490, 15.5952000, 22.6558860, 66.1730580, 334.7232400, 1990.1640000", \
+					  "14.3843990, 14.5589900, 15.7200170, 22.7742040, 66.2886710, 334.4701400, 1992.6240000", \
+					  "14.4744000, 14.6592450, 15.8106950, 22.8502820, 66.3456060, 334.5897100, 1992.6288000", \
+					  "14.5445410, 14.7227750, 15.8861760, 22.9388760, 66.4486280, 334.7955200, 1989.0342000", \
+					  "14.6043290, 14.7830730, 15.9452060, 23.0079640, 66.5210390, 334.9863700, 1993.0351000", \
+					  "14.6710240, 14.8597710, 16.0130700, 23.0591850, 66.5888610, 335.5844700, 1993.5978000", \
+					  "14.7196420, 14.9155390, 16.0659310, 23.1044590, 66.5858410, 335.6834100, 1992.2577000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3432880, 13.7220000, 15.9726670, 30.1114580, 116.9003200, 653.4839900, 3963.8080000", \
+					  "13.3467990, 13.7144780, 15.9882630, 30.1474120, 116.9142600, 653.0805200, 3962.2182000", \
+					  "13.3466860, 13.6941970, 15.9697820, 30.0552120, 117.1963000, 653.2196700, 3964.0795000", \
+					  "13.3195330, 13.7139590, 15.9883090, 30.1460790, 116.9422400, 653.5425400, 3960.2769000", \
+					  "13.3444770, 13.7055160, 15.9578770, 30.1091950, 117.1024500, 653.2269500, 3961.2246000", \
+					  "13.3477170, 13.7117510, 15.9936260, 30.0904830, 117.1283200, 654.0538500, 3961.2573000", \
+					  "13.3438250, 13.7161480, 16.0123510, 30.0554390, 117.1732200, 654.0572000, 3963.0281000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8722075, 4.8722079, 4.8755897, 4.8783200, 4.8783205, 4.8783210, 4.9549285", \
+					  "4.9893325, 4.9893330, 4.9893334, 4.9893339, 4.9893344, 4.9893349, 4.9893353", \
+					  "5.0031295, 5.0255888, 5.0255893, 5.0255898, 5.0255902, 5.0255907, 5.0255912", \
+					  "5.0303943, 5.0305382, 5.0305384, 5.0305388, 5.0305393, 5.0305398, 5.0305403", \
+					  "5.0312599, 5.0312603, 5.0348690, 5.0348695, 5.0348700, 5.0348704, 5.0348709", \
+					  "5.0259557, 5.0259560, 5.0259564, 5.0259569, 5.0259574, 5.0259579, 5.0259583", \
+					  "4.9632907, 4.9632910, 5.0365450, 5.0365451, 5.0365456, 5.0365460, 5.0493094");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2121312, 5.2121313, 5.2249916, 5.2345435, 5.2345436, 5.2345441, 660.4680600", \
+					  "5.2669891, 5.2771125, 5.2771128, 5.2807017, 5.2807019, 5.2807024, 5.2807029", \
+					  "5.3128106, 5.3158931, 5.3158935, 5.3158939, 5.3158944, 5.3158949, 5.3158954", \
+					  "5.3052602, 5.3095111, 5.3095115, 5.3095119, 5.3095124, 5.3095129, 5.3095134", \
+					  "5.3145190, 5.3275842, 5.3275846, 5.3275850, 5.3275855, 5.3275860, 5.3275865", \
+					  "5.3172844, 5.3203566, 5.3203567, 5.3203571, 5.3203576, 5.3203581, 5.3203586", \
+					  "5.3201323, 5.3225699, 5.3225701, 5.3225706, 5.3225711, 5.3225716, 5.3225720");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7509616, 6.7852488, 6.9980746, 8.2843545, 16.1444530, 64.5395910, 243.8487500", \
+					  "6.8794250, 6.9150197, 7.1295165, 8.4157747, 16.2746880, 64.6666010, 243.9605300", \
+					  "6.9670829, 7.0021930, 7.2158590, 8.5004066, 16.3600800, 64.7538630, 244.0893600", \
+					  "7.0388437, 7.0739601, 7.2858186, 8.5702485, 16.4313770, 64.8260180, 244.1645700", \
+					  "7.1020878, 7.1394903, 7.3519031, 8.6321172, 16.4935370, 64.8889580, 244.1780700", \
+					  "7.1653892, 7.1960753, 7.4124320, 8.6905851, 16.5566760, 64.9555860, 244.2867200", \
+					  "7.2133219, 7.2559199, 7.4684161, 8.7566789, 16.6110360, 65.0079240, 244.3285600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3350295, 2.3947295, 2.7616882, 5.0424291, 19.3571350, 107.4504300, 194.5611700", \
+					  "2.3350111, 2.3946665, 2.7615539, 5.0433129, 19.3640850, 107.4430400, 194.5658700", \
+					  "2.3347648, 2.3946665, 2.7617715, 5.0424047, 19.3611970, 107.4430400, 194.6196000", \
+					  "2.3346918, 2.3946582, 2.7617810, 5.0424305, 19.3604710, 107.4430500, 194.3768200", \
+					  "2.3350137, 2.3948394, 2.7616367, 5.0424043, 19.3655030, 107.4656700, 194.4765800", \
+					  "2.3343347, 2.3946665, 2.7617708, 5.0424043, 19.3611940, 107.4429800, 194.6196700", \
+					  "2.3347462, 2.3948381, 2.7619298, 5.0408580, 19.3126850, 107.4430600, 194.4202400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.2299192, 6.2493655, 6.2527512, 6.2527516, 6.2527521, 6.2527526, 6.2527531", \
+					  "6.3413679, 6.3413680, 6.3419376, 6.3419378, 6.3419383, 6.3419388, 6.3451195", \
+					  "6.3249543, 6.3479568, 6.3479569, 6.3492549, 6.3567742, 6.3567746, 6.3567751", \
+					  "6.3514167, 6.3520988, 6.3520992, 6.3704650, 6.3704651, 6.3704656, 6.3704660", \
+					  "6.3391679, 6.3391684, 6.3391688, 6.3780536, 6.3780540, 6.3780544, 6.3907715", \
+					  "6.3610739, 6.3664816, 6.3679146, 6.3679150, 6.3679154, 6.3679159, 6.3679164", \
+					  "6.3348526, 6.3348530, 6.3348535, 6.4215335, 6.4215339, 6.4215343, 6.4215348");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.4407200, 12.6289590, 13.7944170, 20.9532590, 65.0939710, 336.9857500, 2013.5242000", \
+					  "12.5048600, 12.6945890, 13.8520650, 21.0125220, 65.1410520, 337.0665200, 2014.1165000", \
+					  "12.5274010, 12.7179120, 13.8757890, 21.0363980, 65.1662120, 337.0890900, 2013.6220000", \
+					  "12.5381580, 12.7286360, 13.8863750, 21.0469370, 65.1768700, 337.0997200, 2013.2302000", \
+					  "12.5402040, 12.7314670, 13.8901360, 21.0580890, 65.1794210, 337.2095100, 2014.2377000", \
+					  "12.5391270, 12.7298780, 13.8884970, 21.0577510, 65.1781630, 337.1010000, 2013.7306000", \
+					  "12.5346090, 12.7255250, 13.8840420, 21.0522390, 65.1726850, 337.0942900, 2013.8848000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0919550, 14.4601130, 16.7306190, 30.9732720, 119.1009700, 662.1562500, 4011.9662000", \
+					  "14.0945460, 14.4624410, 16.7299350, 30.9406790, 119.2625800, 662.2177600, 4013.7656000", \
+					  "14.0973660, 14.4281400, 16.7320910, 30.9444700, 119.2693700, 662.6195300, 4013.5797000", \
+					  "14.0975560, 14.4305230, 16.7310930, 30.9437280, 119.2708800, 662.5756400, 4012.6438000", \
+					  "14.0938770, 14.4729770, 16.7388860, 30.9719820, 119.2627200, 662.3309900, 4012.4778000", \
+					  "14.0954270, 14.4674230, 16.7372850, 30.9725010, 119.2604100, 662.5867900, 4013.2350000", \
+					  "14.0948780, 14.4709950, 16.7385540, 30.9724800, 119.2790500, 662.4297800, 4010.6825000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.2621250, 14.4345070, 15.5939310, 22.6558700, 66.1689010, 334.9667000, 1989.9603000", \
+					  "14.3873010, 14.5589990, 15.7199910, 22.7742020, 66.2576910, 334.4649100, 1991.4726000", \
+					  "14.4777720, 14.6582950, 15.8200240, 22.8639190, 66.4857820, 335.1331100, 1990.1097000", \
+					  "14.5435520, 14.7223250, 15.8858560, 22.9389820, 66.4392490, 334.7775200, 1992.2504000", \
+					  "14.6045180, 14.7830840, 15.9451470, 23.0079330, 66.5207120, 334.9835500, 1991.7939000", \
+					  "14.6707900, 14.8597670, 16.0126130, 23.0588560, 66.5944100, 335.5900400, 1990.2805000", \
+					  "14.7253530, 14.9155620, 16.0663770, 23.1052790, 66.5575970, 335.6669300, 1993.6535000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3478810, 13.7095250, 15.9643530, 30.1112950, 117.1049200, 653.9543700, 3963.7875000", \
+					  "13.3478160, 13.7144950, 15.9884000, 30.1473650, 117.1966000, 653.0689800, 3966.6538000", \
+					  "13.3430370, 13.6900100, 15.9936770, 30.0160220, 117.2130800, 653.5209400, 3959.4229000", \
+					  "13.3270590, 13.7134000, 15.9880680, 30.1460440, 116.9713600, 653.4994600, 3963.6429000", \
+					  "13.3446370, 13.7055500, 15.9580900, 30.1090890, 117.1020500, 653.2324100, 3960.7917000", \
+					  "13.3473450, 13.7117480, 15.9940590, 30.0912400, 117.1248300, 654.0518000, 3960.4483000", \
+					  "13.3469720, 13.7160790, 16.0156670, 30.0554500, 117.3522700, 654.0830700, 3960.1459000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4366428, 6.4714469, 6.6859456, 7.9698692, 15.8288880, 64.2196130, 243.5629700", \
+					  "6.4989703, 6.5335062, 6.7499122, 8.0321323, 15.8903890, 64.2814580, 243.5882600", \
+					  "6.5219647, 6.5607555, 6.7685821, 8.0573344, 15.9177740, 64.3107820, 243.6029000", \
+					  "6.5322632, 6.5714758, 6.7788548, 8.0677074, 15.9272330, 64.3211780, 243.6120500", \
+					  "6.5360370, 6.5704522, 6.7827042, 8.0698301, 15.9296750, 64.3245740, 243.6614700", \
+					  "6.5345462, 6.5736749, 6.7814203, 8.0701916, 15.9304680, 64.3212350, 243.6134100", \
+					  "6.5303434, 6.5647834, 6.7770021, 8.0640010, 15.9239980, 64.3187970, 243.6058300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3346705, 2.3946196, 2.7617841, 5.0421534, 19.3622130, 107.4727100, 194.4630700", \
+					  "2.3349134, 2.3942601, 2.7612894, 5.0426423, 19.3370780, 107.4569700, 194.5630700", \
+					  "2.3349851, 2.3943718, 2.7616684, 5.0434470, 19.3585880, 107.4637600, 194.3796100", \
+					  "2.3350322, 2.3942133, 2.7617173, 5.0409006, 19.3604550, 107.4594600, 194.5002300", \
+					  "2.3351281, 2.3948150, 2.7616736, 5.0424478, 19.3203250, 107.4516200, 194.5531800", \
+					  "2.3351057, 2.3943951, 2.7616582, 5.0434821, 19.3607350, 107.5240000, 194.5880500", \
+					  "2.3351254, 2.3948079, 2.7616727, 5.0433386, 19.3272100, 107.4519000, 194.5543500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.4347580, 5.4355032, 5.4355033, 5.4382387, 5.4382389, 5.4382394, 5.4382399", \
+					  "5.5025167, 5.5091172, 5.5091174, 5.5091179, 5.5091184, 5.5091188, 5.5274296", \
+					  "5.5303833, 5.5329283, 5.5329288, 5.5329292, 5.5329297, 5.5329302, 5.5506892", \
+					  "5.5442912, 5.5442913, 5.5442918, 5.5457061, 5.5457066, 5.5457070, 5.5733520", \
+					  "5.5441385, 5.5480402, 5.5480407, 5.5480412, 5.5480416, 5.5480421, 5.5652758", \
+					  "5.5392309, 5.5405165, 5.5405167, 5.5405171, 5.5405176, 5.5405181, 5.5771396", \
+					  "5.5422551, 5.5422552, 5.5422557, 5.5422562, 5.5422566, 5.5422571, 5.5704796");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.5363737, 6.5714865, 6.7885302, 8.1419067, 11.4765540, 22.4500920, 88.5203160", \
+					  "6.5985448, 6.6336980, 6.8510091, 8.2041574, 11.5389140, 22.5142000, 88.5808620", \
+					  "6.6214265, 6.6569670, 6.8735444, 8.2275200, 11.5622120, 22.5355360, 88.6368380", \
+					  "6.6314230, 6.6676837, 6.8848833, 8.2370031, 11.5729460, 22.5470700, 88.6147620", \
+					  "6.6346417, 6.6708328, 6.8878774, 8.2409786, 11.5760980, 22.5497450, 88.6507510", \
+					  "6.6351471, 6.6684578, 6.8855357, 8.2392537, 11.5743800, 22.5478320, 88.6490880", \
+					  "6.6305463, 6.6656525, 6.8813901, 8.2349843, 11.5709260, 22.5455370, 88.6461460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4475151, 2.5134923, 2.8266465, 3.4731411, 4.7319189, 18.6996140, 111.8075500", \
+					  "2.4474860, 2.5133950, 2.8265421, 3.4732599, 4.7347735, 18.7045540, 111.8135300", \
+					  "2.4472828, 2.5134674, 2.8265990, 3.4731293, 4.7323248, 18.6995630, 111.7975800", \
+					  "2.4473616, 2.5135183, 2.8274600, 3.4729664, 4.7335247, 18.7006710, 111.7646400", \
+					  "2.4472697, 2.5134732, 2.8266884, 3.4730316, 4.7324127, 18.6994950, 111.7980200", \
+					  "2.4473885, 2.5134999, 2.8266487, 3.4730823, 4.7326361, 18.7006750, 111.7832400", \
+					  "2.4472950, 2.5134801, 2.8274509, 3.4731953, 4.7349973, 18.7046060, 111.7980300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.9749434, 8.0145339, 8.2452845, 9.4780628, 12.2939120, 25.2106760, 50.1769360", \
+					  "8.1036324, 8.1429219, 8.3747445, 9.6080379, 12.4185480, 25.3487670, 50.3033700", \
+					  "8.1917850, 8.2294456, 8.4629317, 9.6961985, 12.5070460, 25.4651870, 50.3966360", \
+					  "8.2646032, 8.3028668, 8.5351175, 9.7676356, 12.5802670, 25.4926590, 50.4730620", \
+					  "8.3266434, 8.3631839, 8.5966896, 9.8308570, 12.6407700, 25.5978720, 50.5251520", \
+					  "8.3862055, 8.4245677, 8.6555385, 9.8914921, 12.7008640, 25.6565440, 50.5876870", \
+					  "8.4419325, 8.4800336, 8.7128837, 9.9443467, 12.7588550, 25.7186890, 50.6501580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.2824775, 2.3186435, 2.4802589, 2.8897500, 4.6049928, 16.3979440, 32.4162420", \
+					  "2.2910285, 2.3242968, 2.4804392, 2.8956255, 4.6007300, 16.3936470, 32.4088990", \
+					  "2.2854487, 2.3167517, 2.4809861, 2.8948834, 4.6126289, 16.4063230, 32.3418770", \
+					  "2.2851618, 2.3236482, 2.4805733, 2.8944367, 4.5988533, 16.4126530, 32.3210830", \
+					  "2.2823530, 2.3206035, 2.4805253, 2.8953233, 4.6079194, 16.4100250, 32.4083730", \
+					  "2.2829698, 2.3184183, 2.4802327, 2.8962879, 4.6120294, 16.4009500, 32.4119650", \
+					  "2.2827265, 2.3231082, 2.4804634, 2.8906035, 4.5976845, 16.4053410, 32.3960170");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.4862923, 5.4862927, 5.4862931, 5.4862936, 5.4862941, 5.4862946, 5.4862950", \
+					  "5.5546066, 5.5546067, 5.5555480, 5.5558247, 5.5558250, 5.5558255, 5.5932321", \
+					  "5.5790448, 5.5807186, 5.5807188, 5.5807193, 5.5814713, 5.5814717, 5.6208345", \
+					  "5.5912159, 5.5921920, 5.5921925, 5.5924447, 5.5924452, 5.5924457, 5.6307856", \
+					  "5.5808109, 5.5808113, 5.5808118, 5.5808123, 5.5808127, 5.5808132, 5.6198903", \
+					  "5.5811067, 5.5841292, 5.5841296, 5.5841301, 5.5841306, 5.5841311, 5.6282818", \
+					  "5.5922751, 5.5922754, 5.5922759, 5.5922764, 5.5922769, 5.5922773, 5.6340146");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8539976, 4.8631019, 4.8632094, 4.8632095, 4.8632100, 4.8632105, 4.9540207", \
+					  "4.9428062, 4.9428386, 4.9430824, 4.9438169, 4.9670068, 4.9670070, 4.9725730", \
+					  "4.9672546, 4.9672549, 5.0122320, 5.0122321, 5.0122326, 5.0122331, 5.0122335", \
+					  "4.9778430, 4.9785056, 4.9786189, 4.9798419, 4.9803286, 4.9803288, 4.9913078", \
+					  "5.0162852, 5.0162857, 5.0162862, 5.0162867, 5.0162871, 5.0162876, 5.0162881", \
+					  "5.0208668, 5.0208672, 5.0208676, 5.0208681, 5.0208686, 5.0208691, 5.0231668", \
+					  "5.0110107, 5.0256372, 5.0257802, 5.0257805, 5.0257810, 5.0257814, 5.0401932");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2027098, 5.2081042, 5.2234634, 5.2328457, 5.2328461, 5.2328465, 272.5049600", \
+					  "5.2670366, 5.2844804, 5.2844809, 5.2844813, 5.2844818, 5.2844823, 5.2844828", \
+					  "5.3154383, 5.3154386, 5.3154390, 5.3154395, 5.3154400, 5.3154405, 5.3154409", \
+					  "5.3053753, 5.3142730, 5.3142732, 5.3142736, 5.3142741, 5.3142746, 5.3142751", \
+					  "5.3251186, 5.3255782, 5.3255785, 5.3255790, 5.3255795, 5.3255799, 5.3255804", \
+					  "5.3269020, 5.3269022, 5.3269027, 5.3269032, 5.3269036, 5.3269041, 5.3269046", \
+					  "5.3201661, 5.3220703, 5.3220704, 5.3220709, 5.3220714, 5.3220718, 5.3220723");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7513484, 6.7858665, 6.9989072, 8.3371141, 11.7424360, 22.7113400, 88.7748750", \
+					  "6.8826824, 6.9167472, 7.1298145, 8.4668468, 11.8752310, 22.8419650, 88.9054520", \
+					  "6.9661694, 7.0008430, 7.2141493, 8.5535889, 11.9582020, 22.9259990, 89.0227700", \
+					  "7.0373440, 7.0720012, 7.2855784, 8.6216687, 12.0289580, 22.9968510, 89.0621480", \
+					  "7.1032722, 7.1328743, 7.3432296, 8.6910852, 12.0961150, 23.0551860, 89.1257630", \
+					  "7.1635706, 7.1977841, 7.4048927, 8.7413454, 12.1481120, 23.1179130, 89.1864430", \
+					  "7.2231234, 7.2538824, 7.4657382, 8.8073122, 12.2105920, 23.1810200, 89.2475570");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4449025, 2.5110405, 2.8600020, 3.5430245, 4.7902762, 18.7059680, 111.7789900", \
+					  "2.4449922, 2.5109257, 2.8593552, 3.5432626, 4.7887654, 18.6999430, 111.7950700", \
+					  "2.4447977, 2.5110913, 2.8593852, 3.5430110, 4.7880273, 18.6989940, 111.7768600", \
+					  "2.4449425, 2.5110705, 2.8596239, 3.5430914, 4.7913016, 18.7038860, 111.7929100", \
+					  "2.4447393, 2.5108202, 2.8592537, 3.5433725, 4.7921800, 18.7029080, 111.7977500", \
+					  "2.4447979, 2.5110920, 2.8601892, 3.5430110, 4.7918380, 18.7036790, 111.7993400", \
+					  "2.4449438, 2.5111027, 2.8602232, 3.5431498, 4.7916564, 18.7034940, 111.8012400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0330040, 15.2109990, 16.3713330, 23.4289440, 66.9288700, 335.1715900, 1990.2102000", \
+					  "15.1645080, 15.3546950, 16.5039450, 23.5546480, 67.1004730, 336.0395600, 1990.4608000", \
+					  "15.2365890, 15.4270750, 16.5875880, 23.6403860, 67.1482640, 335.3863200, 1993.1338000", \
+					  "15.3185300, 15.5107200, 16.6558780, 23.7055030, 67.2182380, 336.1977300, 1991.2343000", \
+					  "15.3749580, 15.5565850, 16.7177450, 23.7764100, 67.2720760, 335.6435300, 1992.8862000", \
+					  "15.4462240, 15.6207560, 16.7758700, 23.8340830, 67.3510740, 335.7745600, 1990.9112000", \
+					  "15.4982300, 15.6945920, 16.8477160, 23.8986410, 67.4001730, 336.3353800, 1990.8141000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3265320, 13.7129810, 16.0105480, 30.1107620, 117.0769400, 653.6938600, 3961.9135000", \
+					  "13.3496290, 13.7179210, 15.9964500, 30.0374590, 117.1346500, 654.0344100, 3964.4178000", \
+					  "13.3453510, 13.7167040, 15.9921370, 30.1508400, 116.9734400, 653.1632300, 3964.8148000", \
+					  "13.3491290, 13.7187680, 15.9884000, 30.0956490, 117.1786800, 654.0701600, 3962.7603000", \
+					  "13.3399230, 13.7217800, 15.9740980, 30.1130570, 117.1814600, 653.1073500, 3961.7685000", \
+					  "13.3496610, 13.7134100, 15.9818490, 30.1761570, 116.9044000, 653.1702800, 3962.7375000", \
+					  "13.3494260, 13.7186150, 15.9968930, 30.1775140, 116.9671400, 654.0026900, 3964.5083000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7208159, 6.7208164, 6.7267742, 6.7267745, 6.7267750, 6.7267755, 6.7267759", \
+					  "6.5565446, 6.5593961, 6.5834422, 6.5834425, 6.5834430, 6.5834435, 6.5834439", \
+					  "6.4775789, 6.4775794, 6.4831673, 6.4831675, 6.4831679, 6.4831684, 6.4831689", \
+					  "6.4013213, 6.4013217, 6.4013222, 6.4013227, 6.4013231, 6.4013236, 6.4013241", \
+					  "6.3143997, 6.3211170, 6.3211172, 6.3211177, 6.3211182, 6.3211186, 6.3211191", \
+					  "6.2453780, 6.2453783, 6.2453788, 6.2453793, 6.2453797, 6.2453802, 6.2453807", \
+					  "6.1430470, 6.1430471, 6.1430476, 6.1430481, 6.1430486, 6.1430491, 6.1430495");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8012860, 4.8012865, 4.8012870, 4.8012875, 4.8012879, 4.8012884, 4.8012889", \
+					  "4.8348476, 4.8360493, 4.8360494, 4.8360498, 4.8360503, 4.8360508, 4.8380824", \
+					  "4.8876343, 4.8900914, 4.8904227, 4.8904231, 4.8904236, 4.8904241, 4.9221365", \
+					  "4.9066022, 4.9070272, 4.9070275, 4.9070280, 4.9070285, 4.9070290, 4.9860698", \
+					  "4.9074482, 4.9081277, 4.9081281, 4.9081285, 4.9081290, 4.9081295, 4.9737712", \
+					  "4.9031890, 4.9031895, 4.9031899, 4.9031904, 4.9031909, 4.9031914, 4.9855906", \
+					  "4.9003392, 4.9006976, 4.9006980, 4.9006985, 4.9006990, 4.9006994, 4.9965170");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6336387, 8.6733714, 8.9061798, 10.2953020, 18.6239720, 62.5848390, 106.4830100", \
+					  "8.7638599, 8.8022540, 9.0334957, 10.4196720, 18.7631720, 62.7149250, 106.6026500", \
+					  "8.8506633, 8.8889065, 9.1210151, 10.5122260, 18.8361700, 62.7978810, 106.6793900", \
+					  "8.9214662, 8.9602983, 9.1917780, 10.5803060, 18.9145480, 62.8745770, 106.7612400", \
+					  "8.9805239, 9.0241770, 9.2558521, 10.6384830, 18.9816940, 62.9275760, 106.8346500", \
+					  "9.0398053, 9.0792778, 9.3134744, 10.7044320, 19.0305500, 63.0007550, 106.8733200", \
+					  "9.1039754, 9.1427061, 9.3713650, 10.7625940, 19.0890430, 63.0508130, 106.9319600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3876403, 2.4444924, 2.8127136, 5.1371498, 19.8190140, 53.1848490, 40.8431970", \
+					  "2.3863156, 2.4463056, 2.8162181, 5.1481965, 19.7798610, 53.1792640, 40.8322900", \
+					  "2.3870546, 2.4470055, 2.8149407, 5.1348077, 19.7997070, 53.1713840, 40.8286350", \
+					  "2.3876554, 2.4439086, 2.8127496, 5.1501430, 19.8290340, 53.1789080, 40.8323120", \
+					  "2.3842788, 2.4462741, 2.8161353, 5.1366493, 19.7928680, 53.2162720, 40.8308730", \
+					  "2.3876428, 2.4440108, 2.8161258, 5.1484292, 19.7996820, 53.2026760, 40.8284890", \
+					  "2.3853786, 2.4448239, 2.8151659, 5.1491598, 19.8147680, 53.2045980, 40.8314310");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8610236, 4.8610237, 4.8611661, 4.8611663, 4.8611668, 4.8611672, 4.8611677", \
+					  "4.9950253, 4.9950254, 4.9950259, 4.9950264, 4.9950269, 4.9950274, 4.9950278", \
+					  "4.9856306, 4.9857154, 4.9859881, 4.9881823, 4.9881824, 4.9881828, 4.9881833", \
+					  "5.0306213, 5.0306218, 5.0306223, 5.0306228, 5.0306232, 5.0306237, 5.0491487", \
+					  "4.9685549, 4.9730713, 4.9730714, 4.9730719, 4.9730723, 4.9730728, 4.9730733", \
+					  "5.0013682, 5.0016080, 5.0016082, 5.0016087, 5.0022364, 5.0022367, 5.0086220", \
+					  "5.0298201, 5.0298858, 5.0298861, 5.0298865, 5.0298870, 5.0298875, 5.0298880");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8023785, 4.8023789, 4.8049573, 4.8049577, 4.8049844, 4.8049849, 4.8049853", \
+					  "4.8381783, 4.8381784, 4.8682964, 4.8682969, 4.8682974, 4.8682979, 5.0317404", \
+					  "4.8840407, 4.8919473, 4.8919476, 4.8927049, 4.8927053, 4.8927057, 4.9822564", \
+					  "4.9074993, 4.9076401, 4.9078257, 4.9078258, 4.9078262, 4.9078267, 4.9913242", \
+					  "4.9095759, 4.9106701, 4.9106706, 4.9115498, 4.9115499, 4.9115503, 5.0195936", \
+					  "4.9053259, 4.9053262, 4.9053267, 4.9053271, 4.9053276, 4.9053281, 4.9690376", \
+					  "4.9024877, 4.9031015, 4.9031017, 4.9031022, 4.9031027, 4.9031032, 4.9932583");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.6852293, 6.7229524, 6.7229527, 6.7229531, 6.7229536, 6.7229541, 6.7229546", \
+					  "6.5972094, 6.6038934, 6.6038936, 6.6038940, 6.6038945, 6.6038950, 6.6038955", \
+					  "6.4903873, 6.4903877, 6.4903882, 6.4903887, 6.4903892, 6.4903896, 6.4903901", \
+					  "6.4035555, 6.4082601, 6.4082602, 6.4082606, 6.4082611, 6.4082616, 6.4082621", \
+					  "6.3114158, 6.3230758, 6.3230761, 6.3230765, 6.3230770, 6.3230775, 6.3230780", \
+					  "6.2258634, 6.2258637, 6.2258642, 6.2258647, 6.2258652, 6.2258657, 6.2258661", \
+					  "6.1475065, 6.1475070, 6.1584213, 6.1584218, 6.1584223, 6.1584228, 6.1584232");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("5.2023421, 5.2023423, 5.2023428, 5.2023433, 5.2023438, 5.2023442, 1222.7529000", \
+					  "5.2676907, 5.2782223, 5.2782224, 5.2782228, 5.2782233, 5.2782238, 5.2782243", \
+					  "5.3159050, 5.3166569, 5.3166574, 5.3166578, 5.3166583, 5.3166588, 5.3166593", \
+					  "5.3063238, 5.3100986, 5.3100989, 5.3100994, 5.3100999, 5.3101004, 5.3101008", \
+					  "5.3261777, 5.3283129, 5.3283132, 5.3283136, 5.3283141, 5.3283146, 5.3283151", \
+					  "5.3276934, 5.3276938, 5.3276942, 5.3276947, 5.3276952, 5.3276957, 5.3276961", \
+					  "5.3211040, 5.3231899, 5.3231900, 5.3231905, 5.3231910, 5.3231915, 5.3231919");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4360606, 6.4706001, 6.6833006, 8.0210247, 11.4282330, 22.3981400, 88.4603250", \
+					  "6.4978321, 6.5322667, 6.7449890, 8.0841378, 11.4904650, 22.4595540, 88.5227180", \
+					  "6.5212773, 6.5559433, 6.7685368, 8.1065981, 11.5137310, 22.4823240, 88.5434020", \
+					  "6.5318617, 6.5664136, 6.7790626, 8.1176648, 11.5239450, 22.4924420, 88.5891890", \
+					  "6.5354016, 6.5699020, 6.7823120, 8.1208729, 11.5275650, 22.4970990, 88.5930620", \
+					  "6.5342376, 6.5686216, 6.7813897, 8.1201712, 11.5264680, 22.4951360, 88.5555240", \
+					  "6.5296391, 6.5642665, 6.7768302, 8.1155616, 11.5221200, 22.4905840, 88.5538820");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4447682, 2.5109623, 2.8593987, 3.5431382, 4.7906741, 18.7049590, 111.7680700", \
+					  "2.4447286, 2.5109721, 2.8601270, 3.5431256, 4.7904773, 18.7019820, 111.8091400", \
+					  "2.4446003, 2.5109779, 2.8601943, 3.5436252, 4.7919739, 18.7006530, 111.7925600", \
+					  "2.4446050, 2.5109603, 2.8601175, 3.5431651, 4.7920450, 18.7007970, 111.7914100", \
+					  "2.4446178, 2.5108553, 2.8599554, 3.5432288, 4.7915614, 18.7037570, 111.8018600", \
+					  "2.4446354, 2.5107998, 2.8602156, 3.5432495, 4.7916715, 18.7006460, 111.8105800", \
+					  "2.4446145, 2.5108491, 2.8599394, 3.5432757, 4.7916915, 18.7010090, 111.7749500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.3101675, 6.3101676, 6.3101681, 6.3101686, 6.3101690, 6.3101695, 6.3101700", \
+					  "6.3448899, 6.3448904, 6.3448909, 6.3448914, 6.3448918, 6.3448923, 6.3448928", \
+					  "6.4024985, 6.4024990, 6.4024995, 6.4025000, 6.4025004, 6.4025009, 6.4116050", \
+					  "6.3746040, 6.3746045, 6.3746050, 6.3746055, 6.3746059, 6.3746064, 6.3760153", \
+					  "6.4146950, 6.4146951, 6.4148824, 6.4148891, 6.4148896, 6.4148901, 6.4148906", \
+					  "6.3860925, 6.4174137, 6.4174140, 6.4174145, 6.4174150, 6.4174154, 6.4291714", \
+					  "6.4181052, 6.4211338, 6.4211343, 6.4211348, 6.4211352, 6.4211357, 6.4530710");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7503780, 6.7849735, 6.9978556, 8.3367242, 11.7430330, 22.7125700, 88.7765450", \
+					  "6.8802182, 6.9136488, 7.1271553, 8.4663485, 11.8726990, 22.8405540, 88.9041300", \
+					  "6.9668533, 7.0015909, 7.2147417, 8.5533927, 11.9593200, 22.9276850, 88.9908800", \
+					  "7.0390662, 7.0738233, 7.2860074, 8.6231573, 12.0297190, 22.9998440, 89.0616220", \
+					  "7.1018276, 7.1327162, 7.3508351, 8.6863015, 12.0893300, 23.0642850, 89.1195460", \
+					  "7.1649264, 7.1908235, 7.4058537, 8.7491925, 12.1507360, 23.1200800, 89.1894200", \
+					  "7.2202133, 7.2563733, 7.4683157, 8.8044319, 12.2135870, 23.1815210, 89.2440400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4451292, 2.5113223, 2.8593705, 3.5432244, 4.7905249, 18.7049680, 111.7914200", \
+					  "2.4449944, 2.5112035, 2.8601030, 3.5431542, 4.7916418, 18.7006160, 111.7701300", \
+					  "2.4449944, 2.5112101, 2.8600946, 3.5431538, 4.7916444, 18.7006140, 111.8173400", \
+					  "2.4451425, 2.5112006, 2.8594214, 3.5430638, 4.7878475, 18.7019000, 111.8124800", \
+					  "2.4450368, 2.5112665, 2.8600740, 3.5433809, 4.7915099, 18.7005660, 111.8175000", \
+					  "2.4449547, 2.5112104, 2.8597475, 3.5435761, 4.7883710, 18.7025280, 111.7575500", \
+					  "2.4450038, 2.5112958, 2.8600953, 3.5434564, 4.7911417, 18.7023130, 111.8161600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6750855, 8.7116057, 8.9441174, 10.2110040, 13.0801230, 26.0454770, 51.0080720", \
+					  "8.8052898, 8.8418570, 9.0732710, 10.3409340, 13.2084110, 26.1823420, 51.1343540", \
+					  "8.8915071, 8.9278673, 9.1606926, 10.4284720, 13.2960810, 26.2702620, 51.2231180", \
+					  "8.9634771, 9.0006518, 9.2311989, 10.4982140, 13.3671940, 26.3410310, 51.2911530", \
+					  "9.0219121, 9.0600558, 9.2944141, 10.5576150, 13.4284320, 26.3907120, 51.3544410", \
+					  "9.0867660, 9.1181072, 9.3582280, 10.6238280, 13.4921590, 26.4655370, 51.4070950", \
+					  "9.1399937, 9.1820418, 9.4147898, 10.6744600, 13.5453640, 26.5181940, 51.4755950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3447719, 2.3799784, 2.5645566, 3.0066944, 4.6437951, 16.4373030, 32.4318850", \
+					  "2.3415163, 2.3820648, 2.5685805, 3.0032552, 4.6317852, 16.4366580, 32.4162490", \
+					  "2.3400655, 2.3861637, 2.5643019, 3.0033183, 4.6442055, 16.4365750, 32.4069700", \
+					  "2.3406972, 2.3809585, 2.5684568, 3.0033571, 4.6311790, 16.4367240, 32.4155850", \
+					  "2.3463664, 2.3816500, 2.5647529, 3.0062974, 4.6289794, 16.4349190, 32.3504570", \
+					  "2.3468376, 2.3839315, 2.5685796, 3.0031855, 4.6320108, 16.4365450, 32.3469890", \
+					  "2.3459783, 2.3826077, 2.5641171, 3.0043699, 4.6443249, 16.4384040, 32.4153860");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7512933, 6.7855992, 7.0006299, 8.2842426, 16.1444420, 64.5398760, 243.8540900", \
+					  "6.8819062, 6.9154451, 7.1255064, 8.4140517, 16.2720540, 64.6674180, 244.0068600", \
+					  "6.9672303, 7.0021082, 7.2156303, 8.5003702, 16.3601740, 64.7539840, 244.0936800", \
+					  "7.0378658, 7.0727464, 7.2876762, 8.5724485, 16.4330430, 64.8288680, 244.1856000", \
+					  "7.0967054, 7.1389898, 7.3510473, 8.6310337, 16.4968800, 64.8896400, 244.1898900", \
+					  "7.1593984, 7.1935107, 7.4055451, 8.6913863, 16.5546030, 64.9479690, 244.3048900", \
+					  "7.2226531, 7.2564399, 7.4701898, 8.7541476, 16.6149370, 65.0080030, 244.3433400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3349973, 2.3949602, 2.7620064, 5.0425673, 19.3577310, 107.4507000, 194.5882000", \
+					  "2.3350899, 2.3949607, 2.7618099, 5.0425650, 19.3597260, 107.4429000, 194.5705700", \
+					  "2.3349969, 2.3949607, 2.7620073, 5.0425650, 19.3605540, 107.4429000, 194.5709200", \
+					  "2.3349878, 2.3949407, 2.7620126, 5.0426494, 19.3596300, 107.5465300, 194.5089800", \
+					  "2.3349813, 2.3946112, 2.7620084, 5.0437783, 19.3607920, 107.4625300, 194.5985700", \
+					  "2.3349780, 2.3951097, 2.7620073, 5.0425677, 19.3605520, 107.5456000, 194.5171100", \
+					  "2.3345508, 2.3949600, 2.7620091, 5.0438196, 19.3559040, 107.4952800, 194.5675200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6832008, 8.7218694, 8.9530552, 10.3436410, 18.6839470, 69.9519450, 304.0958600", \
+					  "8.8106082, 8.8499528, 9.0827941, 10.4646720, 18.7953190, 70.0555130, 304.2308900", \
+					  "8.8971257, 8.9364569, 9.1704102, 10.5578270, 18.8889830, 70.1508750, 304.3289800", \
+					  "8.9675307, 9.0083487, 9.2418810, 10.6301940, 18.9571190, 70.2341300, 304.3973900", \
+					  "9.0299395, 9.0727914, 9.3042098, 10.6936730, 19.0141860, 70.2555310, 304.4728200", \
+					  "9.0867660, 9.1313090, 9.3570396, 10.7535250, 19.0875800, 70.3397160, 304.5114200", \
+					  "9.1498382, 9.1880441, 9.4245447, 10.8099450, 19.1521060, 70.4760690, 304.5906000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3783364, 2.4362066, 2.8086169, 5.1403015, 19.8431580, 110.6664800, 224.4288200", \
+					  "2.3779505, 2.4373130, 2.8085030, 5.1282312, 19.7847920, 110.6635100, 224.4244500", \
+					  "2.3779190, 2.4373199, 2.8085365, 5.1230891, 19.7920010, 110.5343800, 224.4717100", \
+					  "2.3779614, 2.4373092, 2.8084022, 5.1232134, 19.7789290, 110.6444000, 224.4388500", \
+					  "2.3799052, 2.4364193, 2.8084981, 5.1231601, 19.7850550, 110.6366200, 224.4550600", \
+					  "2.3779598, 2.4373108, 2.8085041, 5.1230487, 19.7854850, 110.5327300, 224.4132800", \
+					  "2.3778111, 2.4394278, 2.8027400, 5.1234696, 19.7966090, 110.4499000, 224.5007100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.8591757, 4.9098903, 4.9098905, 4.9098909, 4.9098914, 4.9098919, 4.9098924", \
+					  "4.9610036, 4.9610041, 4.9611857, 4.9611862, 4.9611867, 4.9611872, 4.9611877", \
+					  "4.9913278, 4.9913281, 4.9913285, 4.9913290, 4.9913295, 4.9913300, 4.9944306", \
+					  "5.0003472, 5.0003474, 5.0003479, 5.0003484, 5.0003489, 5.0003493, 5.0003498", \
+					  "4.9673350, 4.9753606, 4.9753607, 5.0228595, 5.0228599, 5.0228603, 5.0228608", \
+					  "5.0010516, 5.0010517, 5.0010522, 5.0010527, 5.0321805, 5.0321806, 5.0421485", \
+					  "4.9364290, 4.9364293, 4.9541091, 4.9541095, 4.9541100, 4.9541104, 4.9541109");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.7884896, 4.7907864, 4.7907866, 4.7907870, 4.7907875, 4.7907880, 4.8336894", \
+					  "4.8614495, 4.8614500, 4.8660072, 4.8660076, 4.8660081, 4.8660086, 4.9769899", \
+					  "4.8922701, 4.8922704, 4.8922709, 4.8922713, 4.8922718, 4.8922723, 4.9793960", \
+					  "4.8976121, 4.8976951, 4.8976954, 4.8976958, 4.8976963, 4.8976968, 4.9831161", \
+					  "4.9038902, 4.9070223, 4.9070228, 4.9070232, 4.9070237, 4.9070242, 4.9899542", \
+					  "4.9056332, 4.9059961, 4.9075877, 4.9198232, 4.9198235, 4.9198239, 4.9198244", \
+					  "4.8999871, 4.8999875, 4.8999880, 4.8999885, 4.8999889, 4.8999894, 4.9800555");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.2104151, 4.2104152, 4.2123744, 4.2123869, 4.2123874, 4.2123879, 4.2123883", \
+					  "4.3346509, 4.3349231, 4.3349236, 4.3349240, 4.3349245, 4.3349250, 4.3750381", \
+					  "4.4345891, 4.4345892, 4.4353294, 4.4354658, 4.4354661, 4.4354666, 4.4722759", \
+					  "4.5053574, 4.5110111, 4.5110114, 4.5177946, 4.5177949, 4.5177954, 4.5423696", \
+					  "4.5694430, 4.5752744, 4.5752748, 4.5752752, 4.5752757, 4.5752762, 4.6169362", \
+					  "4.6330531, 4.6339097, 4.6339100, 4.6339105, 4.6339109, 4.6339114, 4.6904431", \
+					  "4.6941389, 4.6941393, 4.6941398, 4.6941402, 4.6941407, 4.6941412, 4.7418194");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6163068, 8.6533714, 8.8827319, 10.1537190, 13.0550400, 26.6831610, 83.8445970", \
+					  "8.7453911, 8.7829939, 9.0110008, 10.2808900, 13.1828650, 26.8230700, 83.9630230", \
+					  "8.8325036, 8.8691783, 9.0997911, 10.3678480, 13.2717590, 26.8958630, 84.0446290", \
+					  "8.9028322, 8.9401979, 9.1709369, 10.4349990, 13.3425920, 26.9786170, 84.1061980", \
+					  "8.9668539, 9.0064276, 9.2293408, 10.5028250, 13.4009110, 27.0451020, 84.1575980", \
+					  "9.0321342, 9.0613268, 9.2954506, 10.5571740, 13.4661640, 27.0994480, 84.2492300", \
+					  "9.0873273, 9.1221084, 9.3499066, 10.6157610, 13.5259410, 27.1543520, 84.3018310");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3422893, 2.3762989, 2.5603015, 3.0163905, 4.6583164, 22.9183290, 52.7668580", \
+					  "2.3385613, 2.3792943, 2.5591640, 3.0138161, 4.6713984, 22.9166060, 52.8047760", \
+					  "2.3435434, 2.3800535, 2.5618618, 3.0166094, 4.6576192, 22.9135320, 52.8066160", \
+					  "2.3436668, 2.3802083, 2.5595110, 3.0152343, 4.6583639, 22.9143410, 52.7989210", \
+					  "2.3356681, 2.3792841, 2.5590721, 3.0142542, 4.6595936, 22.9166480, 52.7866360", \
+					  "2.3385600, 2.3758127, 2.5609681, 3.0157723, 4.6724118, 22.9161490, 52.7649890", \
+					  "2.3386013, 2.3792486, 2.5608633, 3.0190861, 4.6584958, 22.9181490, 52.8049820");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("4.2104480, 4.2106794, 4.2106798, 4.2143364, 4.2143367, 4.2143372, 4.2143376", \
+					  "4.3366106, 4.3372514, 4.3372515, 4.3372520, 4.3372524, 4.3372529, 4.4235251", \
+					  "4.4297228, 4.4298294, 4.4298299, 4.4298304, 4.4298308, 4.4298313, 4.5265334", \
+					  "4.5046606, 4.5050554, 4.5050557, 4.5050562, 4.5050567, 4.5050571, 4.5639328", \
+					  "4.5696256, 4.5696257, 4.5709094, 4.5709098, 4.5709103, 4.5709107, 4.6252491", \
+					  "4.6313904, 4.6345199, 4.6345203, 4.6385629, 4.6385630, 4.6385634, 4.6789634", \
+					  "4.6921214, 4.6921218, 4.6921223, 4.6921227, 4.6921232, 4.6921237, 4.7460769");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6929300, 12.8813300, 14.0444600, 21.2076280, 65.3434070, 337.2647400, 2013.5326000", \
+					  "12.8207730, 13.0115210, 14.1708970, 21.3307500, 65.4609020, 337.3830600, 2013.5856000", \
+					  "12.9085450, 13.1009590, 14.2548750, 21.4115750, 65.5464020, 337.4688000, 2013.6463000", \
+					  "12.9814270, 13.1719180, 14.3294500, 21.4845940, 65.6189630, 337.5388900, 2013.6802000", \
+					  "13.0417740, 13.2317330, 14.3918370, 21.5484520, 65.6825070, 337.6006800, 2014.1249000", \
+					  "13.1057830, 13.2953670, 14.4492430, 21.6159200, 65.7409270, 337.6644300, 2013.8459000", \
+					  "13.1648560, 13.3496720, 14.5144820, 21.6639830, 65.8001370, 337.7215000, 2013.8564000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0951190, 14.4591820, 16.7660640, 30.9692150, 118.9145400, 661.8383800, 4010.4856000", \
+					  "14.0992560, 14.4750130, 16.7423670, 31.0619940, 119.2385100, 662.0501300, 4011.1854000", \
+					  "14.0969320, 14.4366150, 16.7411520, 31.0522130, 119.2383500, 662.0824100, 4009.9430000", \
+					  "14.0970450, 14.4713730, 16.7416370, 31.0529020, 119.2397400, 662.0785500, 4015.1494000", \
+					  "14.0968650, 14.4636840, 16.7450370, 31.0619300, 119.2561700, 662.0604700, 4009.9294000", \
+					  "14.0969300, 14.4654080, 16.7411700, 31.0683990, 119.2380200, 661.8453200, 4009.7275000", \
+					  "14.0968490, 14.4606430, 16.7434170, 30.9569610, 119.2508700, 662.0697400, 4009.6884000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0272950, 15.2068460, 16.3674000, 23.4277340, 66.8858460, 335.7233700, 1990.0926000", \
+					  "15.1658280, 15.3527340, 16.5065850, 23.5503470, 67.1924450, 335.9129400, 1992.6479000", \
+					  "15.2477830, 15.4408650, 16.5872810, 23.6379500, 67.1239210, 335.4965100, 1994.0371000", \
+					  "15.3204720, 15.5110290, 16.6543690, 23.6982930, 67.1830520, 336.2702000, 1990.4689000", \
+					  "15.3825750, 15.5546810, 16.7138230, 23.7773430, 67.2936340, 335.7681900, 1990.9508000", \
+					  "15.4384700, 15.6377900, 16.7795770, 23.8465190, 67.4701610, 336.4098700, 1991.1611000", \
+					  "15.5073250, 15.6950350, 16.8273890, 23.8983020, 67.4129130, 336.1900000, 1990.1481000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3398830, 13.7227120, 15.9842730, 30.1016140, 117.1828200, 653.4948500, 3962.0268000", \
+					  "13.3491430, 13.7123100, 15.9963420, 30.0219620, 117.2215700, 653.8368800, 3961.7153000", \
+					  "13.3483380, 13.7183490, 15.9914000, 30.0960500, 117.1698300, 653.1036000, 3964.7439000", \
+					  "13.3487350, 13.7181360, 15.9818260, 30.0591660, 117.1861000, 654.0513500, 3962.7494000", \
+					  "13.3403470, 13.7170400, 15.9717200, 30.0200260, 116.9084200, 653.4185100, 3966.4533000", \
+					  "13.3390100, 13.7145060, 16.0067800, 30.1105310, 117.2215000, 654.1245100, 3961.7453000", \
+					  "13.3481730, 13.7137020, 15.9814690, 30.0216850, 116.9249400, 653.6871000, 3962.9385000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.4502590, 6.4846941, 6.6975190, 8.0350731, 11.4335780, 22.4006840, 88.4644680", \
+					  "6.5120331, 6.5463119, 6.7593873, 8.0986284, 11.4941750, 22.4616660, 88.5278620", \
+					  "6.5354788, 6.5698909, 6.7827250, 8.1218738, 11.5175320, 22.4859370, 88.5474660", \
+					  "6.5461196, 6.5800534, 6.7933450, 8.1322531, 11.5281440, 22.4951630, 88.5917540", \
+					  "6.5493997, 6.5838792, 6.7966783, 8.1344469, 11.5323570, 22.4986570, 88.5614360", \
+					  "6.5481549, 6.5829209, 6.7954331, 8.1348208, 11.5304400, 22.4991140, 88.5605970", \
+					  "6.5438495, 6.5783081, 6.7910824, 8.1297609, 11.5256230, 22.4920140, 88.5552180");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4449325, 2.5111686, 2.8551208, 3.5343557, 4.7859525, 18.7058080, 111.7805400", \
+					  "2.4448954, 2.5110987, 2.8559526, 3.5342782, 4.7867563, 18.7037370, 111.7960200", \
+					  "2.4449260, 2.5110567, 2.8552494, 3.5343952, 4.7867394, 18.7036150, 111.8017000", \
+					  "2.4449254, 2.5111571, 2.8551848, 3.5344381, 4.7854343, 18.7031310, 111.7829200", \
+					  "2.4449542, 2.5110451, 2.8555525, 3.5343946, 4.7853921, 18.7004460, 111.7952900", \
+					  "2.4450031, 2.5110332, 2.8551328, 3.5343091, 4.7865032, 18.7020820, 111.8006900", \
+					  "2.4449298, 2.5110640, 2.8551632, 3.5341994, 4.7845363, 18.6990580, 111.8085500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.7240420, 14.9099170, 16.0979600, 23.2452140, 66.7911310, 335.3266300, 1990.4078000", \
+					  "14.8551700, 15.0522070, 16.2320500, 23.3645250, 66.9323300, 336.0281900, 1992.2713000", \
+					  "14.9421510, 15.1404200, 16.2966330, 23.4331100, 67.1662480, 335.3829400, 1992.6197000", \
+					  "15.0123040, 15.2127840, 16.3702670, 23.5051410, 67.1552910, 335.5037800, 1989.6759000", \
+					  "15.0798110, 15.2625490, 16.4552250, 23.5881550, 67.1573940, 336.2556800, 1990.5947000", \
+					  "15.1301730, 15.3318050, 16.5011290, 23.6267490, 67.4355150, 336.1754500, 1992.8332000", \
+					  "15.1863300, 15.3875100, 16.5699690, 23.6990590, 67.2670030, 336.1376200, 1993.7977000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3338120, 13.7056070, 15.9812410, 30.1123110, 117.3004700, 653.6755600, 3960.3774000", \
+					  "13.3329650, 13.6948710, 15.9945190, 30.0262000, 117.3492200, 654.0524300, 3961.9226000", \
+					  "13.3262240, 13.6991130, 15.9873710, 30.0051650, 117.2030900, 653.1840900, 3963.6880000", \
+					  "13.3376470, 13.7091240, 15.9854160, 30.0055160, 117.1257900, 653.0707400, 3960.4120000", \
+					  "13.3197360, 13.7063030, 15.9953240, 30.1384380, 117.3492900, 654.0229300, 3963.2446000", \
+					  "13.3379460, 13.6987260, 15.9781660, 30.0053030, 117.2112000, 653.7829900, 3959.9040000", \
+					  "13.3371090, 13.6831160, 15.9948230, 30.1391550, 117.3438400, 653.9229400, 3960.3710000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7520283, 6.7862413, 7.0002630, 8.2844194, 16.1445970, 64.5402030, 243.8750100", \
+					  "6.8828059, 6.9173414, 7.1309239, 8.4157561, 16.2763460, 64.6705800, 244.0073100", \
+					  "6.9671291, 7.0016672, 7.2170074, 8.5011251, 16.3616460, 64.7567550, 244.1078900", \
+					  "7.0448398, 7.0779915, 7.2867641, 8.5732559, 16.4322050, 64.8233890, 244.1318000", \
+					  "7.1051978, 7.1360060, 7.3523174, 8.6350642, 16.4972940, 64.8926530, 244.2155600", \
+					  "7.1692758, 7.2028672, 7.4112521, 8.6977474, 16.5556970, 64.9527510, 244.2570800", \
+					  "7.2183286, 7.2542439, 7.4688611, 8.7568974, 16.6137820, 65.0103830, 244.2950800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3347790, 2.3947015, 2.7618476, 5.0424451, 19.3610120, 107.4491600, 194.3087400", \
+					  "2.3348561, 2.3946742, 2.7617533, 5.0433457, 19.3606980, 107.4397500, 194.3644300", \
+					  "2.3347908, 2.3947140, 2.7618505, 5.0424438, 19.3616430, 107.4388200, 194.5086700", \
+					  "2.3343616, 2.3941777, 2.7620031, 5.0435460, 19.3402040, 107.5790500, 194.6045400", \
+					  "2.3346454, 2.3942628, 2.7618030, 5.0424802, 19.3272300, 107.4379200, 194.3346500", \
+					  "2.3345097, 2.3941289, 2.7618479, 5.0433857, 19.3616250, 107.4394400, 194.5445400", \
+					  "2.3347908, 2.3947029, 2.7617719, 5.0413473, 19.3613780, 107.4393100, 194.3759700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0329940, 15.2136630, 16.3655170, 23.4295870, 66.9001990, 335.5515300, 1990.1024000", \
+					  "15.1645610, 15.3550750, 16.5040020, 23.5554970, 67.0996630, 336.0392800, 1994.1174000", \
+					  "15.2368360, 15.4314090, 16.5871960, 23.6400660, 67.1486050, 336.1323600, 1992.9312000", \
+					  "15.3196300, 15.5105690, 16.6553990, 23.7051890, 67.2167810, 336.1946300, 1990.9978000", \
+					  "15.3748850, 15.5727400, 16.7150720, 23.7747760, 67.3170460, 336.2302400, 1990.0403000", \
+					  "15.4412940, 15.6391950, 16.7785980, 23.8430950, 67.3565810, 336.3449300, 1993.9903000", \
+					  "15.4806070, 15.6938110, 16.8472080, 23.9000180, 67.4205580, 336.3847700, 1990.5328000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3259440, 13.7171420, 15.9692450, 30.1506870, 117.1978600, 653.2802100, 3962.0363000", \
+					  "13.3496080, 13.7179790, 15.9964500, 30.0364280, 117.1352400, 654.0346900, 3960.7139000", \
+					  "13.3452560, 13.7189170, 15.9921180, 30.1508790, 116.9656600, 654.0463500, 3962.4824000", \
+					  "13.3493320, 13.7185650, 15.9843690, 30.0961320, 117.1791300, 654.0716400, 3965.7057000", \
+					  "13.3402720, 13.7130320, 15.9743740, 30.1737940, 117.1357000, 654.0465200, 3962.2810000", \
+					  "13.3374420, 13.7186070, 15.9917540, 30.1728920, 116.9026000, 654.0973900, 3964.6716000", \
+					  "13.3453030, 13.7130160, 15.9966530, 30.1729910, 116.9272300, 654.0369100, 3961.8139000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6931970, 12.8796210, 14.0418820, 21.2053450, 65.3472650, 337.2588800, 2013.4948000", \
+					  "12.8217200, 13.0114200, 14.1676060, 21.3259260, 65.4599570, 337.4947800, 2014.1458000", \
+					  "12.9091300, 13.0985160, 14.2548830, 21.4104890, 65.5452300, 337.4727800, 2014.1720000", \
+					  "12.9825790, 13.1726060, 14.3288990, 21.4965660, 65.6192540, 337.5414500, 2014.6615000", \
+					  "13.0439210, 13.2326660, 14.3886940, 21.5481140, 65.6806520, 337.6010200, 2014.3059000", \
+					  "13.1016530, 13.2917120, 14.4498820, 21.6107580, 65.7398830, 337.6648300, 2014.5062000", \
+					  "13.1617510, 13.3510010, 14.5030820, 21.6660840, 65.8001940, 337.8864100, 2014.1229000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0914560, 14.4681320, 16.7495120, 30.9772900, 119.1507300, 662.1817200, 4012.8539000", \
+					  "14.0629610, 14.4605260, 16.7498620, 31.0531870, 119.1992600, 662.0572300, 4012.5906000", \
+					  "14.0629500, 14.4605380, 16.7498620, 31.0605480, 119.1402500, 662.6492000, 4011.3669000", \
+					  "14.0605600, 14.4610370, 16.7489840, 30.9582940, 119.1997000, 662.7386100, 4014.6074000", \
+					  "14.0609520, 14.4603440, 16.7569550, 31.0531010, 119.1109100, 661.9680400, 4012.9603000", \
+					  "14.0629090, 14.4605260, 16.7498600, 30.9660030, 119.0816300, 662.7530500, 4013.1408000", \
+					  "14.0990000, 14.4619100, 16.7569900, 31.0542840, 119.1126300, 662.5588800, 4010.2741000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("8.6843892, 8.7229264, 8.9523411, 10.3437880, 18.6847000, 69.9509070, 304.1409400", \
+					  "8.8119574, 8.8511412, 9.0820889, 10.4732180, 18.8000350, 70.0281860, 304.2563900", \
+					  "8.8990024, 8.9382493, 9.1706873, 10.5605520, 18.8842330, 70.1237750, 304.3466300", \
+					  "8.9706793, 9.0088461, 9.2409724, 10.6324110, 18.9593180, 70.1845550, 304.3919900", \
+					  "9.0351939, 9.0685752, 9.3013552, 10.6846620, 19.0275950, 70.2476370, 304.4780500", \
+					  "9.0890167, 9.1336076, 9.3678620, 10.7507940, 19.0761430, 70.2917480, 304.5499900", \
+					  "9.1521875, 9.1911554, 9.4232853, 10.8008800, 19.1579840, 70.4215280, 304.5678100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3773414, 2.4376006, 2.8067126, 5.1405755, 19.8443110, 110.6279700, 224.4715200", \
+					  "2.3782849, 2.4370634, 2.8081166, 5.1401545, 19.7904800, 110.6790500, 224.4889900", \
+					  "2.3782183, 2.4369839, 2.8066800, 5.1404525, 19.7899850, 110.6722000, 224.4547400", \
+					  "2.3783011, 2.4370921, 2.8068026, 5.1399773, 19.7907220, 110.6799800, 224.4522600", \
+					  "2.3782931, 2.4348645, 2.8068250, 5.1255094, 19.8583430, 110.6797200, 224.4546900", \
+					  "2.3782609, 2.4381541, 2.8020286, 5.1398934, 19.7905160, 110.6848000, 224.5352800", \
+					  "2.3779951, 2.4370672, 2.8056419, 5.1286708, 19.8416540, 110.6569100, 224.4267400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.7509833, 6.7860384, 6.9988819, 8.3371834, 11.7420560, 22.7108130, 88.7745770", \
+					  "6.8806099, 6.9155042, 7.1269777, 8.4652267, 11.8709160, 22.8402770, 88.9053030", \
+					  "6.9674577, 7.0012107, 7.2136883, 8.5526946, 11.9584540, 22.9260520, 88.9901490", \
+					  "7.0371500, 7.0722335, 7.2842052, 8.6230809, 12.0295460, 22.9972810, 89.0648980", \
+					  "7.1058550, 7.1388824, 7.3479449, 8.6887724, 12.0916490, 23.0626650, 89.1621280", \
+					  "7.1633077, 7.1927495, 7.4087629, 8.7438643, 12.1559970, 23.1164970, 89.1827060", \
+					  "7.2230320, 7.2600748, 7.4669453, 8.8086569, 12.2057470, 23.1791280, 89.2456950");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.4447924, 2.5107609, 2.8594813, 3.5430876, 4.7894018, 18.7064120, 111.7869300", \
+					  "2.4447278, 2.5109839, 2.8602716, 3.5430787, 4.7919793, 18.7063560, 111.8016300", \
+					  "2.4447389, 2.5109936, 2.8592115, 3.5430627, 4.7917394, 18.7028380, 111.8006900", \
+					  "2.4447213, 2.5108307, 2.8595206, 3.5430672, 4.7889372, 18.7049040, 111.7986800", \
+					  "2.4446933, 2.5109985, 2.8602478, 3.5430268, 4.7921294, 18.7033520, 111.8285800", \
+					  "2.4446911, 2.5109939, 2.8601310, 3.5431056, 4.7911288, 18.7005930, 111.8006200", \
+					  "2.4448219, 2.5110087, 2.8592246, 3.5431491, 4.7917879, 18.7012080, 111.8093200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.6937480, 12.8803080, 14.0425700, 21.2025240, 65.3435280, 337.2680600, 2013.5367000", \
+					  "12.8216070, 13.0107870, 14.1719340, 21.3267550, 65.4610520, 337.3796700, 2013.5835000", \
+					  "12.9083290, 13.0992240, 14.2555140, 21.4131560, 65.5450380, 337.4667300, 2013.9548000", \
+					  "12.9823650, 13.1716720, 14.3301920, 21.4902730, 65.6171150, 337.5397200, 2013.6420000", \
+					  "13.0424430, 13.2315390, 14.3938480, 21.5510370, 65.6748330, 337.6036100, 2013.7300000", \
+					  "13.1012610, 13.2898300, 14.4495770, 21.6002680, 65.7363590, 337.6612800, 2013.8330000", \
+					  "13.1563610, 13.3520070, 14.5006090, 21.6752250, 65.8005490, 337.7219600, 2013.9009000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0917730, 14.4595370, 16.7540630, 31.0443010, 119.3152200, 661.9055700, 4010.5497000", \
+					  "14.0995220, 14.4604100, 16.7524700, 31.0543200, 119.2301400, 662.1683600, 4016.1140000", \
+					  "14.0995220, 14.4604060, 16.7469420, 31.0592900, 119.2294500, 662.2064500, 4010.0040000", \
+					  "14.0977420, 14.4602940, 16.7527060, 31.0597090, 119.2293400, 662.6307300, 4016.0776000", \
+					  "14.0951350, 14.4619150, 16.7480270, 31.0526750, 119.2273800, 662.5069800, 4011.3905000", \
+					  "14.0884660, 14.4652300, 16.7469700, 31.0593190, 119.2276800, 662.1984900, 4016.8429000", \
+					  "14.0944930, 14.4654320, 16.7493720, 30.9832620, 119.2237400, 662.1798400, 4010.7625000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("15.0273840, 15.2064530, 16.3670730, 23.4292390, 66.8904150, 335.7218100, 1990.0533000", \
+					  "15.1658650, 15.3523720, 16.5071160, 23.5533550, 67.1653450, 336.1126900, 1993.0728000", \
+					  "15.2493910, 15.4419570, 16.5957720, 23.6406180, 67.1735170, 336.2200100, 1992.3832000", \
+					  "15.3181560, 15.5099700, 16.6557450, 23.6971790, 67.1849630, 336.2723600, 1990.0383000", \
+					  "15.3847900, 15.5528550, 16.7331430, 23.7765100, 67.3817060, 336.1149100, 1993.5242000", \
+					  "15.4359730, 15.6383600, 16.7796500, 23.8308560, 67.3377090, 336.4116300, 1993.9964000", \
+					  "15.5016780, 15.6952300, 16.8420570, 23.8976060, 67.5363550, 336.4513900, 1993.6710000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("13.3388030, 13.7203420, 15.9727250, 30.1500870, 117.1849800, 653.4924100, 3961.9918000", \
+					  "13.3494240, 13.7123430, 15.9963850, 30.1759580, 117.2197700, 654.0594800, 3965.4410000", \
+					  "13.3488180, 13.7184090, 15.9964660, 30.1503070, 117.1372300, 654.1003500, 3966.6552000", \
+					  "13.3485220, 13.7180820, 15.9809480, 30.0597310, 117.1869900, 654.0554400, 3964.3273000", \
+					  "13.3485050, 13.7201050, 15.9969300, 30.0197060, 117.2184800, 653.7757700, 3964.9376000", \
+					  "13.3391390, 13.7147310, 15.9701670, 30.1502650, 117.1599600, 654.1153500, 3963.8962000", \
+					  "13.3344690, 13.7134520, 15.9882030, 30.0266050, 117.2221200, 654.0732900, 3965.4788000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("6.5372228, 6.5718613, 6.7875972, 8.0850935, 15.9829430, 64.4276030, 243.5868100", \
+					  "6.5990591, 6.6348997, 6.8483885, 8.1478815, 16.0473360, 64.4926170, 243.6974300", \
+					  "6.6213048, 6.6565331, 6.8719759, 8.1690921, 16.0669590, 64.5118450, 243.6736700", \
+					  "6.6322841, 6.6673427, 6.8816752, 8.1811766, 16.0802410, 64.5265170, 243.7126300", \
+					  "6.6366557, 6.6717338, 6.8869586, 8.1846379, 16.0822450, 64.5343900, 243.6943900", \
+					  "6.6358452, 6.6706138, 6.8854438, 8.1835605, 16.0801380, 64.5326990, 243.6879400", \
+					  "6.6319381, 6.6666148, 6.8802377, 8.1795495, 16.0815700, 64.5281160, 243.6882200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3294189, 2.3893874, 2.7573117, 5.0409548, 19.3580580, 107.4569900, 194.2021600", \
+					  "2.3291993, 2.3890596, 2.7575848, 5.0417963, 19.3580640, 107.4640700, 194.2392600", \
+					  "2.3291946, 2.3893523, 2.7573097, 5.0420277, 19.3271890, 107.5696900, 194.2130400", \
+					  "2.3293671, 2.3892617, 2.7574936, 5.0424767, 19.3614510, 107.4420200, 194.3978700", \
+					  "2.3292888, 2.3893496, 2.7575411, 5.0398379, 19.3270150, 107.4697200, 194.2029300", \
+					  "2.3291753, 2.3892797, 2.7577094, 5.0420086, 19.3004050, 107.4679600, 194.3155300", \
+					  "2.3292051, 2.3893270, 2.7577911, 5.0420526, 19.3625220, 107.4673300, 194.3935400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.9842168, 8.0223970, 8.2588993, 9.6591899, 18.0191810, 69.4171550, 303.3181600", \
+					  "8.1140268, 8.1519955, 8.3835756, 9.7902175, 18.1463880, 69.4376330, 303.4600400", \
+					  "8.2020586, 8.2392626, 8.4723357, 9.8766550, 18.2280840, 69.4980290, 303.5417000", \
+					  "8.2732186, 8.3126999, 8.5445873, 9.9422239, 18.2976230, 69.6256000, 303.6021200", \
+					  "8.3327008, 8.3764427, 8.6083425, 10.0049360, 18.3551360, 69.6401870, 303.6802800", \
+					  "8.3946770, 8.4329850, 8.6666034, 10.0633390, 18.4305960, 69.7050880, 303.7438000", \
+					  "8.4511100, 8.4898444, 8.7268530, 10.1189370, 18.4867130, 69.7479120, 303.7742700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3537949, 2.4146052, 2.7856326, 5.1140030, 19.8588360, 110.6442400, 224.3112200", \
+					  "2.3546878, 2.4189961, 2.7862572, 5.1226077, 19.7823710, 110.4935900, 224.3240000", \
+					  "2.3491571, 2.4143556, 2.7857399, 5.1159335, 19.7868030, 110.5187100, 224.3085500", \
+					  "2.3493392, 2.4185143, 2.7861318, 5.1231979, 19.7874370, 110.4719400, 224.2965800", \
+					  "2.3526068, 2.4136209, 2.7870888, 5.1154601, 19.7839630, 110.6758800, 224.3199900", \
+					  "2.3532376, 2.4182922, 2.7860245, 5.1233471, 19.8581810, 110.6325800, 224.2840900", \
+					  "2.3601683, 2.4154276, 2.7934186, 5.1230593, 19.7795630, 110.5461000, 224.2566000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("12.8225920, 13.0118780, 14.1884770, 21.4144190, 65.7539160, 337.9476500, 2014.5437000", \
+					  "12.8833950, 13.0751830, 14.2502680, 21.4751770, 65.8155700, 338.0091000, 2015.0230000", \
+					  "12.9068480, 13.0965090, 14.2721060, 21.4987460, 65.8392590, 338.0374400, 2014.7404000", \
+					  "12.9168980, 13.1084190, 14.2839540, 21.5080720, 65.8497470, 338.0401100, 2015.1811000", \
+					  "12.9209360, 13.1124840, 14.2882320, 21.5130510, 65.8540960, 338.0462500, 2015.2413000", \
+					  "12.9206580, 13.1101250, 14.2872000, 21.5124930, 65.8518360, 338.0455100, 2015.1681000", \
+					  "12.9165430, 13.1060110, 14.2829000, 21.5084250, 65.8485180, 338.0420100, 2014.8532000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("14.0978790, 14.4571400, 16.7412360, 31.0698350, 119.2394400, 662.6011100, 4012.7429000", \
+					  "14.0884730, 14.4711390, 16.7431690, 31.0757000, 119.2399400, 662.9725200, 4012.3814000", \
+					  "14.0876870, 14.4561460, 16.7309990, 31.0764890, 119.1992200, 662.4376300, 4012.0781000", \
+					  "14.0877250, 14.4684760, 16.7446640, 31.0760130, 119.2034300, 662.1098700, 4013.8470000", \
+					  "14.0876380, 14.4684670, 16.7444330, 31.0765100, 119.1957100, 661.8666900, 4013.6310000", \
+					  "14.0896580, 14.4566940, 16.7446890, 31.0707090, 119.2371100, 661.9627000, 4013.4869000", \
+					  "14.0899410, 14.4571990, 16.7444850, 31.0707370, 119.3316700, 662.6035000, 4013.4573000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("7.8537052, 7.8903968, 8.1283904, 9.5145118, 17.8479760, 69.1184140, 303.2895100", \
+					  "7.9831937, 8.0218490, 8.2535800, 9.6424548, 17.9637000, 69.2113390, 303.3771100", \
+					  "8.0711349, 8.1100913, 8.3415204, 9.7275876, 18.0573300, 69.2821370, 303.4859300", \
+					  "8.1470652, 8.1842160, 8.4133145, 9.8016129, 18.1459650, 69.4874840, 303.5693800", \
+					  "8.2088611, 8.2459977, 8.4779108, 9.8648316, 18.2090380, 69.4784530, 303.6434700", \
+					  "8.2689438, 8.3066256, 8.5348066, 9.9265938, 18.2669260, 69.4895230, 303.6923300", \
+					  "8.3244300, 8.3618863, 8.5932994, 9.9824220, 18.3134930, 69.5099090, 303.7162900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.19637, 1.24804, 1.56667, 3.53158, 15.6488, 90.3735, 551.186");
+					values("2.3748199, 2.4342954, 2.8028317, 5.1215623, 19.7873180, 110.5029300, 224.4722500", \
+					  "2.3753968, 2.4338491, 2.8047766, 5.1343774, 19.7895500, 110.6658400, 224.3870700", \
+					  "2.3753592, 2.4339848, 2.8047480, 5.1260987, 19.7904020, 110.6800600, 224.4251900", \
+					  "2.3722151, 2.4371265, 2.8054787, 5.1220348, 19.8216200, 110.4597500, 224.4426300", \
+					  "2.3764490, 2.4333628, 2.8019311, 5.1236286, 19.8433410, 110.6110800, 224.4780700", \
+					  "2.3721178, 2.4377365, 2.8057536, 5.1364886, 19.8137220, 110.6602900, 224.4411800", \
+					  "2.3766704, 2.4315674, 2.8023466, 5.1382920, 19.7911520, 110.6866200, 224.4047900");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.4917399, -1.5350005, -1.5782611, -1.5781920, -1.5781256, -1.5780565, -1.5779874");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.5315288, 1.5860571, 1.6405855, 1.6412367, 1.6418619, 1.6425132, 1.6431644");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157654;
+			capacitance : 0.157093;
+			fall_capacitance : 0.156533;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0586390, 0.0616001, 0.0645613, 0.0646670, 0.0647685, 0.0648743, 0.0649800");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0608409, -0.0626428, -0.0644446, -0.0644518, -0.0644587, -0.0644658, -0.0644730");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150080;
+			capacitance : 0.149650;
+			fall_capacitance : 0.149221;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0612647, -0.0628762, -0.0644876, -0.0644043, -0.0643244, -0.0642410, -0.0641577");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0590427, 0.0616965, 0.0643504, 0.0643554, 0.0643603, 0.0643654, 0.0643705");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p95v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_100C_1v40_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_100C_1v40_5v50.lib
new file mode 100644
index 0000000..42c0618
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_100C_1v40_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ff_100C_1v40_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ff_1p40v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+		is_macro_cell : true;
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.189810e+03;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1187.2000000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.9310000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1029.3100000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1181.3300000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1189.8100000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1020.8300000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1024.7300000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1026.6900000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1185.2300000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1009.4400000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1161.8000000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1020.0700000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1020.4800000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1016.0600000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1009.0800000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1176.5700000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1180.5700000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1180.9800000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006336;
+			capacitance : 0.006174;
+			fall_capacitance : 0.006012;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5641066, -1.0104558, -1.2419143, -1.5701892, -1.6961678, -1.8747063, -1.8536354", \
+					  "-0.4974653, -0.9345579, -1.2415141, -1.4678407, -1.6356809, -1.9233719, -2.1244688", \
+					  "-0.4970357, -0.9740225, -1.2470322, -1.4706276, -1.6616004, -1.8410940, -2.0409607", \
+					  "-0.5272465, -1.0162306, -1.3114069, -1.5940669, -1.8320720, -1.9605932, -2.0661699", \
+					  "-0.5605071, -1.0138137, -1.3248311, -1.6627339, -1.8229247, -2.0688222, -2.1844668", \
+					  "-0.6051599, -1.0594990, -1.3641235, -1.6509281, -1.8965682, -2.0840966, -2.1847967", \
+					  "-0.6506285, -1.1047306, -1.4097035, -1.7359440, -1.9302741, -2.0739450, -2.2760426");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0874522, -1.6058462, -2.1617618, -2.6414088, -3.0717124, -3.4612590, -3.8252336", \
+					  "-1.0149966, -1.5486862, -2.0843922, -2.5810986, -3.0118638, -3.4077620, -3.8149241", \
+					  "-1.0172891, -1.5539937, -2.0969375, -2.5986048, -3.0162230, -3.4493403, -3.8092384", \
+					  "-1.0505955, -1.5934662, -2.1380937, -2.6433355, -3.0852545, -3.4876035, -3.8909149", \
+					  "-1.0808042, -1.6297481, -2.1979167, -2.6702227, -3.0910072, -3.4589835, -3.9023750", \
+					  "-1.1178268, -1.6629734, -2.2453374, -2.7652256, -3.1956819, -3.5979572, -3.9585310", \
+					  "-1.1693999, -1.6976838, -2.2896300, -2.8193933, -3.2845404, -3.6909710, -4.0709642");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3051061, 1.7530368, 1.9996241, 2.3781209, 2.5523055, 2.8041765, 2.9534409", \
+					  "1.2591102, 1.7032104, 1.9402898, 2.3232450, 2.4340825, 2.8088463, 2.9539276", \
+					  "1.2537694, 1.6985221, 2.0026505, 2.1378015, 2.2959814, 2.4575622, 2.6114924", \
+					  "1.2835391, 1.6991922, 1.9808657, 2.1987148, 2.4650198, 2.5940661, 2.6589629", \
+					  "1.3045587, 1.7767578, 2.0732108, 2.3181255, 2.5124316, 2.7130532, 2.8454510", \
+					  "1.3461588, 1.8349699, 2.1223372, 2.4405143, 2.6085761, 2.8657495, 3.0158430", \
+					  "1.3916284, 1.8313533, 2.1666331, 2.4493463, 2.6799856, 2.9095491, 3.0719738");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.0975556, 1.6191054, 2.1687967, 2.6512841, 3.0895458, 3.4743997, 3.8474089", \
+					  "1.0296351, 1.5587237, 2.1005271, 2.5954876, 3.0233489, 3.4228938, 3.8274129", \
+					  "1.0335041, 1.5642008, 2.1072295, 2.6056255, 3.0198788, 3.5400698, 3.8855362", \
+					  "1.0606979, 1.6003134, 2.1465818, 2.6225307, 3.0789969, 3.5324688, 3.9537944", \
+					  "1.0924326, 1.6436178, 2.2135287, 2.6796803, 3.1047891, 3.4756283, 3.9604549", \
+					  "1.1370842, 1.6809912, 2.2661220, 2.7748637, 3.2260424, 3.6106250, 3.9667015", \
+					  "1.1856058, 1.7061948, 2.3005209, 2.8338772, 3.2992827, 3.7038399, 4.0821651");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114541, 0.0171032, 0.0227523, 0.0227319, 0.0227112, 0.0226908, 0.0226704");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161464, 0.0217328, 0.0273192, 0.0272331, 0.0271458, 0.0270596, 0.0269734");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004542;
+			capacitance : 0.004405;
+			fall_capacitance : 0.004267;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.4348085, 1.8956261, 2.1790499, 2.5338323, 2.8330367, 2.8825771, 3.0327949", \
+					  "1.3859093, 1.8470363, 2.1227844, 2.4772105, 2.7551961, 2.9318708, 3.2921848", \
+					  "1.3784071, 1.8346504, 2.1032823, 2.3570957, 2.5086516, 2.6396414, 2.9625032", \
+					  "1.4040255, 1.8544503, 2.1684734, 2.4513443, 2.6127077, 2.9227450, 3.0973695", \
+					  "1.4250386, 1.9162337, 2.2577416, 2.5108041, 2.7267913, 2.9204570, 3.1201990", \
+					  "1.4666386, 1.9516875, 2.3195277, 2.5837202, 2.8540579, 3.0299372, 3.2244734", \
+					  "1.5136342, 1.9923275, 2.3615268, 2.5945628, 2.8630909, 3.0743439, 3.3220858");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.2013523, 1.7322519, 2.2821296, 2.8022023, 3.2670660, 3.6823474, 4.0686390", \
+					  "1.1448343, 1.6783605, 2.2353979, 2.7363148, 3.2054571, 3.6165527, 4.0230053", \
+					  "1.1433960, 1.6631208, 2.2242522, 2.7363081, 3.2250021, 3.6542312, 4.0367512", \
+					  "1.1781598, 1.6996327, 2.2624314, 2.7687046, 3.2228414, 3.6676347, 4.0812218", \
+					  "1.2023010, 1.7475054, 2.3289822, 2.8419265, 3.2910397, 3.6828234, 4.1348283", \
+					  "1.2423393, 1.8000474, 2.3729334, 2.9158834, 3.3831947, 3.7965389, 4.1821078", \
+					  "1.2878089, 1.8192240, 2.4140159, 2.9684031, 3.4761388, 3.8858293, 4.2702138");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.6312461, -1.0920928, -1.3663155, -1.5953288, -2.0295065, -2.1888797, -1.9665755", \
+					  "-0.5689742, -1.0241324, -1.3384567, -1.5780106, -1.7804621, -1.9640809, -2.1375909", \
+					  "-0.5644663, -1.0450512, -1.3615411, -1.5990853, -1.7525672, -2.0826191, -2.2566799", \
+					  "-0.6050023, -1.1028891, -1.4243219, -1.7117536, -1.9412183, -2.1341666, -2.3519495", \
+					  "-0.6413148, -1.1230377, -1.4591085, -1.7527608, -2.0075561, -2.2378225, -2.4463848", \
+					  "-0.6844406, -1.1696559, -1.4793616, -1.7699467, -2.1315536, -2.1886927, -2.3510433", \
+					  "-0.7299102, -1.2091854, -1.5039826, -1.8200176, -2.0396941, -2.2662058, -2.5095021");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1897207, -1.7161464, -2.2705712, -2.7894759, -3.2575251, -3.6744640, -4.0669909", \
+					  "-1.1301211, -1.6605840, -2.2262338, -2.7280913, -3.1952467, -3.6188017, -4.0161458", \
+					  "-1.1273230, -1.6526343, -2.2089954, -2.7294568, -3.1999849, -3.6437566, -4.0064278", \
+					  "-1.1573753, -1.6883664, -2.2519692, -2.7544062, -3.2150320, -3.6566325, -4.0709926", \
+					  "-1.1921618, -1.7303633, -2.3190773, -2.8352886, -3.2830693, -3.6734679, -4.1186745", \
+					  "-1.2261325, -1.7761927, -2.3613042, -2.9105713, -3.3720495, -3.7915859, -4.1847869", \
+					  "-1.2716020, -1.7910446, -2.3978532, -2.9567737, -3.4617504, -3.8746834, -4.2892345");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0106762, 0.0191089, 0.0275416, 0.0274437, 0.0273447, 0.0272468, 0.0271489");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0162853, 0.0241120, 0.0319388, 0.0318931, 0.0318469, 0.0318013, 0.0317556");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005904;
+			capacitance : 0.006066;
+			rise_capacitance : 0.006228;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5244337, -0.9615955, -1.1931390, -1.5975775, -1.8448752, -1.8840269, -2.0819477", \
+					  "-0.4518502, -0.9028174, -1.1961066, -1.4109397, -1.6133880, -1.7955316, -1.9534571", \
+					  "-0.4532069, -0.9402435, -1.2134691, -1.4849814, -1.6976721, -1.8842498, -2.0480603", \
+					  "-0.4890994, -0.9618264, -1.2717340, -1.5486016, -1.7834032, -1.9263761, -2.1531063", \
+					  "-0.5284644, -0.9854647, -1.2818793, -1.5467671, -1.8004970, -1.9822978, -2.1578303", \
+					  "-0.5715894, -1.0200310, -1.3313610, -1.6539799, -1.8331569, -1.9903285, -2.1527533", \
+					  "-0.6155331, -1.0876013, -1.3136209, -1.6728697, -1.9069426, -2.0357979, -2.2378956");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0874530, -1.6054899, -2.1604167, -2.6347775, -3.0592697, -3.4676155, -3.8137886", \
+					  "-1.0149486, -1.5483362, -2.0864796, -2.5746078, -2.9992259, -3.4016789, -3.8125362", \
+					  "-1.0188167, -1.5506890, -2.0934634, -2.5993827, -3.0013818, -3.4483427, -3.8116005", \
+					  "-1.0460226, -1.5864752, -2.1366322, -2.6458633, -3.0825904, -3.4833964, -3.8894885", \
+					  "-1.0731797, -1.6297152, -2.2030977, -2.6720672, -3.0982005, -3.4650466, -3.8932250", \
+					  "-1.1193572, -1.6611703, -2.2544925, -2.7678581, -3.1978766, -3.5930254, -3.9582588", \
+					  "-1.1633011, -1.6910598, -2.2861759, -2.8118152, -3.2862883, -3.6976362, -4.0659051");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3005285, 1.7484485, 1.9874336, 2.3735596, 2.5462020, 2.6857386, 3.0319767", \
+					  "1.2516771, 1.6977203, 1.9339466, 2.3171290, 2.4377216, 2.7347363, 3.0159240", \
+					  "1.2476611, 1.6883354, 2.0014006, 2.2261184, 2.3514011, 2.5215275, 2.8311021", \
+					  "1.2774017, 1.6904862, 1.9750235, 2.1928857, 2.3768188, 2.6947176, 2.7964771", \
+					  "1.2984553, 1.7706543, 2.0717866, 2.3107359, 2.5064666, 2.7026020, 2.8455618", \
+					  "1.3385294, 1.8107285, 2.1143874, 2.4268250, 2.6024725, 2.8342935, 3.0099139", \
+					  "1.3840000, 1.8409932, 2.1574778, 2.4418850, 2.6586231, 2.9095491, 3.0612926");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.0990815, 1.6206315, 2.1764280, 2.6543399, 3.0895480, 3.4759287, 3.8382580", \
+					  "1.0311362, 1.5645140, 2.1021034, 2.5969987, 3.0248557, 3.4212591, 3.8274133", \
+					  "1.0365476, 1.5657269, 2.1088685, 2.6086231, 3.0277548, 3.4564552, 3.8359008", \
+					  "1.0622238, 1.6003140, 2.1480751, 2.6556022, 3.1035615, 3.4985386, 3.9000827", \
+					  "1.0924324, 1.6489980, 2.2135305, 2.6811618, 3.1047962, 3.5151074, 3.9365931", \
+					  "1.1416620, 1.6809912, 2.2645961, 2.7763456, 3.2010004, 3.6076564, 3.9652178", \
+					  "1.1840799, 1.7061952, 2.3039622, 2.8353766, 3.2944028, 3.6978256, 4.0792035");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0115061, 0.0171332, 0.0227602, 0.0227441, 0.0227278, 0.0227117, 0.0226956");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161412, 0.0216745, 0.0272077, 0.0271341, 0.0270595, 0.0269859, 0.0269122");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028579;
+			capacitance : 0.028526;
+			fall_capacitance : 0.028472;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0531976, 0.3479519, 0.7491015, 1.1990730, 1.6544660, 2.1044376, 2.5544092");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3253797, 0.7277290, 1.1300783, 1.5678236, 2.0108429, 2.4485881, 2.8863333");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032176;
+			capacitance : 0.032499;
+			rise_capacitance : 0.032822;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0310051, 0.0598996, 0.0887940, 0.0897929, 0.0908039, 0.0918028, 0.0928017");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0383546, 0.0611267, 0.0838988, 0.0884024, 0.0929603, 0.0974640, 0.1019676");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052269;
+			capacitance : 0.051575;
+			fall_capacitance : 0.050882;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0095721, -0.0098253, -0.0100785, -0.0100965, -0.0101147, -0.0101327, -0.0101507");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0099245, 0.0099936, 0.0100627, 0.0100879, 0.0101133, 0.0101384, 0.0101635");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016744;
+			capacitance : 0.016678;
+			fall_capacitance : 0.016613;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0139170, 0.0134938, 0.0130707, 0.0131441, 0.0132184, 0.0132918, 0.0133652");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163644, 0.0160897, 0.0158149, 0.0158762, 0.0159382, 0.0159995, 0.0160607");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035659;
+			capacitance : 0.036229;
+			rise_capacitance : 0.036799;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0162266, 0.4295680, 0.6871642, 0.9146913, 1.1412003, 1.2679838, 1.5160712", \
+					  "-0.0596154, 0.3888191, 0.6798777, 0.8920678, 1.0451539, 2.3431892, 3.4399684", \
+					  "-0.0452390, 0.3878067, 0.6919208, 0.9371740, 1.1077788, 1.3069344, 1.4723936", \
+					  "-0.0187057, 0.4108021, 0.7089311, 0.9559849, 1.1741573, 1.3466174, 1.4975915", \
+					  "0.0106030, 0.4396133, 0.7379666, 0.9846661, 1.2180990, 1.4003602, 1.5643109", \
+					  "0.0613583, 0.4834117, 0.7385923, 1.0259425, 1.2385173, 1.4419603, 1.5952298", \
+					  "0.0839397, 0.5323266, 0.8135756, 1.0673047, 1.2852735, 1.4813841, 1.6574165");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0681140, 0.4774903, 0.9850725, 1.4586073, 1.8394536, 2.2330858, 2.5959113", \
+					  "-0.1260358, 0.4481163, 0.9537038, 1.3631090, 1.7472972, 2.0838363, 2.4350529", \
+					  "-0.0955730, 0.4519461, 0.9755087, 1.3812364, 1.7877265, 2.1600673, 2.5536960", \
+					  "-0.0867245, 0.4659614, 0.9980240, 1.4473930, 1.8302634, 2.1543519, 2.5049530", \
+					  "-0.0409091, 0.4964320, 1.0188308, 1.4774258, 1.8950939, 2.2546243, 2.5747818", \
+					  "-0.0102389, 0.5529846, 1.0598427, 1.5309601, 1.9539739, 2.3178149, 2.6694485", \
+					  "0.0275023, 0.5937273, 1.0936884, 1.5613357, 1.9780813, 2.3571809, 2.7164440");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.3956202, -0.0567320, -0.3119192, -0.5377593, -0.7579087, -0.9187050, -1.0713002", \
+					  "0.4605425, 0.0054117, -0.2986163, -0.5438937, -0.7275968, -0.9137291, -1.0706827", \
+					  "0.4427052, 0.0056692, -0.3130146, -0.5547801, -0.7674363, -0.9508417, -1.1089679", \
+					  "0.4188097, -0.0255713, -0.3357002, -0.5681476, -0.8107969, -0.9791222, -1.1435120", \
+					  "0.3817653, -0.0633251, -0.3618170, -0.5987763, -0.8158446, -1.0003358, -1.1773153", \
+					  "0.3531043, -0.1057626, -0.4051274, -0.6293067, -0.8422067, -1.0336024, -1.2311224", \
+					  "0.2862724, -0.1299233, -0.4628040, -0.6838948, -0.8727367, -1.1036381, -1.2353933");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.0782180, -0.4718488, -0.9781212, -1.4215768, -1.8316445, -2.2305910, -2.5957245", \
+					  "0.1331715, -0.4410000, -0.9465248, -1.3572610, -1.7224304, -2.0751673, -2.4290758", \
+					  "0.1072040, -0.4460302, -0.9686153, -1.3744766, -1.7832810, -2.1602049, -2.5421478", \
+					  "0.0983554, -0.4615369, -0.9909724, -1.4419473, -1.8249711, -2.1505697, -2.4989494", \
+					  "0.0450380, -0.4892552, -1.0132905, -1.4719380, -1.8896293, -2.2494106, -2.5696382", \
+					  "0.0219689, -0.5459336, -1.0558239, -1.5223983, -1.9484480, -2.3122890, -2.6584341", \
+					  "-0.0204489, -0.5866542, -1.0895767, -1.5420368, -1.9725554, -2.3501292, -2.7093922");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0774803, 0.1125725, 0.1476648, 0.1429548, 0.1381881, 0.1334781, 0.1287681");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0941614, 0.2467901, 0.3994188, 0.3992591, 0.3990975, 0.3989378, 0.3987781");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.013135;
+			capacitance : 0.013388;
+			rise_capacitance : 0.013640;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("5.2973099, 18.1478330, 30.9983570, 32.1651070, 33.3459140, 34.5126640, 35.6794140");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("4.0013314, 14.8662400, 25.7311480, 26.9884680, 28.2609370, 29.5182570, 30.7755770");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006626;
+			capacitance : 0.006462;
+			fall_capacitance : 0.006297;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.3840527, -0.8273386, -1.0650046, -1.2804357, -1.4245258, -1.5557201, -1.7650930", \
+					  "-0.3197746, -0.7594445, -1.0622963, -1.3098940, -1.4908803, -1.5856697, -1.9175867", \
+					  "-0.3215594, -0.7983367, -1.0949509, -1.3245042, -1.4839995, -1.6563837, -1.8625174", \
+					  "-0.3548219, -0.8196426, -1.1173175, -1.3996640, -1.6178225, -1.7691544, -1.9137278", \
+					  "-0.4002896, -0.8352211, -1.1402031, -1.4506763, -1.6550780, -1.8571757, -2.0402193", \
+					  "-0.4403637, -0.9046227, -1.1707802, -1.5147773, -1.7027815, -1.9018275, -2.0520452", \
+					  "-0.4858333, -0.9609294, -1.2115121, -1.5481526, -1.7289589, -1.9305124, -2.1356618");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0920299, -1.6119308, -2.1648127, -2.6490696, -3.0838944, -3.4749843, -3.8389764", \
+					  "-1.0195765, -1.5515058, -2.0919870, -2.5872650, -3.0161990, -3.4173604, -3.8234546", \
+					  "-1.0188151, -1.5570267, -2.1015627, -2.6014297, -3.0067079, -3.4498997, -3.8098886", \
+					  "-1.0551735, -1.5920159, -2.1410377, -2.6464934, -3.0902973, -3.4875666, -3.8984870", \
+					  "-1.0884340, -1.6368358, -2.2097466, -2.6747248, -3.1000382, -3.4635634, -3.9374266", \
+					  "-1.1269824, -1.6676318, -2.2544925, -2.7697080, -3.1986513, -3.6069092, -3.9645222", \
+					  "-1.1663483, -1.6976713, -2.2947606, -2.8268933, -3.2919598, -3.6985150, -4.0858230");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3386754, 1.7881315, 2.0286080, 2.4086344, 2.5843490, 2.8041803, 2.9534371", \
+					  "1.2894289, 1.7293646, 1.9739932, 2.3555864, 2.5479357, 2.8110725, 3.0711729", \
+					  "1.2828867, 1.7322260, 2.0027245, 2.1721150, 2.3206766, 2.4759004, 2.8333103", \
+					  "1.3110102, 1.7405943, 2.0074138, 2.3027480, 2.5071985, 2.7632788, 2.6879586", \
+					  "1.3350762, 1.8086063, 2.1051417, 2.3538860, 2.5378682, 2.7280110, 2.8811105", \
+					  "1.3751504, 1.8564368, 2.1481100, 2.4450789, 2.6604244, 2.8757619, 3.0321854", \
+					  "1.4190941, 1.8702713, 2.2144451, 2.4719465, 2.7257619, 2.9553254, 3.0918102");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1112885, 1.6328386, 2.1886287, 2.6665310, 3.1002199, 3.4881207, 3.8519692", \
+					  "1.0463298, 1.5737956, 2.1144036, 2.6076244, 3.0384111, 3.4305772, 3.8398598", \
+					  "1.0488257, 1.5718305, 2.1166584, 2.6279348, 3.0447503, 3.5323602, 3.8359469", \
+					  "1.0774827, 1.6149084, 2.1540694, 2.6662004, 3.1164332, 3.5109061, 3.9090263", \
+					  "1.1076915, 1.6476225, 2.2194274, 2.6959186, 3.1136993, 3.5227057, 3.9548816", \
+					  "1.1508173, 1.6858735, 2.2706997, 2.7866954, 3.2113013, 3.6239885, 3.9756126", \
+					  "1.1962869, 1.7221239, 2.3131175, 2.8458798, 3.3047756, 3.7113548, 4.0940161");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114655, 0.0171345, 0.0228035, 0.0227878, 0.0227719, 0.0227561, 0.0227404");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161472, 0.0217251, 0.0273029, 0.0272055, 0.0271070, 0.0270096, 0.0269123");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017660;
+			capacitance : 0.017582;
+			fall_capacitance : 0.017504;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0436991, 0.0763111, 0.1089230, 0.1038893, 0.0987950, 0.0937613, 0.0887276");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0405002, 0.1908625, 0.3412249, 0.3411803, 0.3411351, 0.3410904, 0.3410458");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005215;
+			capacitance : 0.005078;
+			fall_capacitance : 0.004941;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3294999, 1.7898791, 2.0389979, 2.4323830, 2.5629868, 2.8678724, 3.0472993", \
+					  "1.2722618, 1.7273052, 1.9815903, 2.3772598, 2.5567742, 2.7837226, 2.7009946", \
+					  "1.2701524, 1.7350142, 2.0138351, 2.2011600, 2.3810352, 2.5543335, 3.0130738", \
+					  "1.2957044, 1.7453489, 2.0646580, 2.3362965, 2.5439207, 2.6379170, 2.8347913", \
+					  "1.3274391, 1.8164307, 2.1357587, 2.3578220, 2.5880647, 2.7535894, 2.9219843", \
+					  "1.3690391, 1.8512211, 2.1837246, 2.4636928, 2.7055161, 2.9220307, 3.1152685", \
+					  "1.4145088, 1.8836217, 2.2316689, 2.5056953, 2.8005301, 2.9263337, 3.1024914");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.2776551, 1.7911897, 2.3318652, 2.8133778, 3.2714276, 3.7069253, 4.0950326", \
+					  "1.2109172, 1.7336024, 2.2879532, 2.7934713, 3.2537873, 3.6863306, 4.0672743", \
+					  "1.2059659, 1.7256039, 2.2662521, 2.7233780, 3.2221413, 3.6962336, 4.0962645", \
+					  "1.2285631, 1.7603924, 2.3169167, 2.7953360, 3.2271828, 3.6600496, 4.0552209", \
+					  "1.2740307, 1.8133382, 2.3665830, 2.8596803, 3.3264829, 3.7551165, 4.1781208", \
+					  "1.3049496, 1.8504013, 2.4369982, 2.9498207, 3.4064978, 3.8262263, 4.2019946", \
+					  "1.3534709, 1.8864910, 2.4793330, 3.0218088, 3.4993788, 3.9043602, 4.3140387");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5045773, -0.9663974, -1.2049353, -1.4682449, -1.7177260, -1.8621744, -1.8861221", \
+					  "-0.4355915, -0.8956979, -1.2125219, -1.4589804, -1.6336219, -1.9662040, -1.8751553", \
+					  "-0.4359943, -0.9280364, -1.2336360, -1.4563018, -1.6533379, -1.8815975, -2.0793575", \
+					  "-0.4755002, -0.9634290, -1.2717774, -1.5593915, -1.8214954, -1.9750316, -2.0518551", \
+					  "-0.5101468, -0.9742309, -1.3017517, -1.6444233, -1.8468876, -2.0245656, -2.2239550", \
+					  "-0.5563245, -1.0129598, -1.3419955, -1.6524540, -1.9179304, -2.0841193, -2.2534612", \
+					  "-0.6078974, -1.0516878, -1.3683497, -1.7108291, -1.9479879, -2.1380319, -2.3370778");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.2385601, -1.7703317, -2.3128015, -2.8210887, -3.2210980, -3.7653726, -4.2148806", \
+					  "-1.1831629, -1.7127193, -2.2644250, -2.7693409, -3.1740334, -3.7231708, -4.1302163", \
+					  "-1.1763758, -1.7032206, -2.2580928, -2.7570292, -3.1561282, -3.6993117, -4.1094645", \
+					  "-1.2123546, -1.7441126, -2.2981656, -2.7947191, -3.2192280, -3.6541081, -4.0369598", \
+					  "-1.2334082, -1.7986978, -2.3454238, -2.8455489, -3.3265048, -3.7353939, -4.1509855", \
+					  "-1.2719565, -1.8280907, -2.4195392, -2.9324545, -3.4002487, -3.8416285, -4.2323569", \
+					  "-1.3220037, -1.8592843, -2.4540005, -3.0193347, -3.4902507, -3.9096705, -4.3251395");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0106629, 0.0188953, 0.0271278, 0.0270895, 0.0270508, 0.0270125, 0.0269742");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163284, 0.0235819, 0.0308354, 0.0307996, 0.0307635, 0.0307278, 0.0306921");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005718;
+			capacitance : 0.005550;
+			fall_capacitance : 0.005382;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1040093, -0.1029041, -0.1017989, -0.1016903, -0.1015804, -0.1014718, -0.1013631");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1096376, 0.1089853, 0.1083330, 0.1084033, 0.1084746, 0.1085450, 0.1086154");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5641066, -0.4974653, -0.4970357, -0.5272465, -0.5605071, -0.6051599, -0.6506285", \
+					  "-1.0104558, -0.9345579, -0.9740225, -1.0162306, -1.0138137, -1.0594990, -1.1047306", \
+					  "-1.2419143, -1.2415141, -1.2470322, -1.3114069, -1.3248311, -1.3641235, -1.4097035", \
+					  "-1.5701892, -1.4678407, -1.4706276, -1.5940669, -1.6627339, -1.6509281, -1.7359440", \
+					  "-1.6961678, -1.6356809, -1.6616004, -1.8320720, -1.8229247, -1.8965682, -1.9302741", \
+					  "-1.8747063, -1.9233719, -1.8410940, -1.9605932, -2.0688222, -2.0840966, -2.0739450", \
+					  "-1.8536354, -2.1244688, -2.0409607, -2.0661699, -2.1844668, -2.1847967, -2.2760426");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3051061, 1.2591102, 1.2537694, 1.2835391, 1.3045587, 1.3461588, 1.3916284", \
+					  "1.7530368, 1.7032104, 1.6985221, 1.6991922, 1.7767578, 1.8349699, 1.8313533", \
+					  "1.9996241, 1.9402898, 2.0026505, 1.9808657, 2.0732108, 2.1223372, 2.1666331", \
+					  "2.3781209, 2.3232450, 2.1378015, 2.1987148, 2.3181255, 2.4405143, 2.4493463", \
+					  "2.5523055, 2.4340825, 2.2959814, 2.4650198, 2.5124316, 2.6085761, 2.6799856", \
+					  "2.8041765, 2.8088463, 2.4575622, 2.5940661, 2.7130532, 2.8657495, 2.9095491", \
+					  "2.9534409, 2.9539276, 2.6114924, 2.6589629, 2.8454510, 3.0158430, 3.0719738");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0162266, -0.0596154, -0.0452390, -0.0187057, 0.0106030, 0.0613583, 0.0839397", \
+					  "0.4295680, 0.3888191, 0.3878067, 0.4108021, 0.4396133, 0.4834117, 0.5323266", \
+					  "0.6871642, 0.6798777, 0.6919208, 0.7089311, 0.7379666, 0.7385923, 0.8135756", \
+					  "0.9146913, 0.8920678, 0.9371740, 0.9559849, 0.9846661, 1.0259425, 1.0673047", \
+					  "1.1412003, 1.0451539, 1.1077788, 1.1741573, 1.2180990, 1.2385173, 1.2852735", \
+					  "1.2679838, 2.3431892, 1.3069344, 1.3466174, 1.4003602, 1.4419603, 1.4813841", \
+					  "1.5160712, 3.4399684, 1.4723936, 1.4975915, 1.5643109, 1.5952298, 1.6574165");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3956202, 0.4605425, 0.4427052, 0.4188097, 0.3817653, 0.3531043, 0.2862724", \
+					  "-0.0567320, 0.0054117, 0.0056692, -0.0255713, -0.0633251, -0.1057626, -0.1299233", \
+					  "-0.3119192, -0.2986163, -0.3130146, -0.3357002, -0.3618170, -0.4051274, -0.4628040", \
+					  "-0.5377593, -0.5438937, -0.5547801, -0.5681476, -0.5987763, -0.6293067, -0.6838948", \
+					  "-0.7579087, -0.7275968, -0.7674363, -0.8107969, -0.8158446, -0.8422067, -0.8727367", \
+					  "-0.9187050, -0.9137291, -0.9508417, -0.9791222, -1.0003358, -1.0336024, -1.1036381", \
+					  "-1.0713002, -1.0706827, -1.1089679, -1.1435120, -1.1773153, -1.2311224, -1.2353933");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.3840527, -0.3197746, -0.3215594, -0.3548219, -0.4002896, -0.4403637, -0.4858333", \
+					  "-0.8273386, -0.7594445, -0.7983367, -0.8196426, -0.8352211, -0.9046227, -0.9609294", \
+					  "-1.0650046, -1.0622963, -1.0949509, -1.1173175, -1.1402031, -1.1707802, -1.2115121", \
+					  "-1.2804357, -1.3098940, -1.3245042, -1.3996640, -1.4506763, -1.5147773, -1.5481526", \
+					  "-1.4245258, -1.4908803, -1.4839995, -1.6178225, -1.6550780, -1.7027815, -1.7289589", \
+					  "-1.5557201, -1.5856697, -1.6563837, -1.7691544, -1.8571757, -1.9018275, -1.9305124", \
+					  "-1.7650930, -1.9175867, -1.8625174, -1.9137278, -2.0402193, -2.0520452, -2.1356618");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3386754, 1.2894289, 1.2828867, 1.3110102, 1.3350762, 1.3751504, 1.4190941", \
+					  "1.7881315, 1.7293646, 1.7322260, 1.7405943, 1.8086063, 1.8564368, 1.8702713", \
+					  "2.0286080, 1.9739932, 2.0027245, 2.0074138, 2.1051417, 2.1481100, 2.2144451", \
+					  "2.4086344, 2.3555864, 2.1721150, 2.3027480, 2.3538860, 2.4450789, 2.4719465", \
+					  "2.5843490, 2.5479357, 2.3206766, 2.5071985, 2.5378682, 2.6604244, 2.7257619", \
+					  "2.8041803, 2.8110725, 2.4759004, 2.7632788, 2.7280110, 2.8757619, 2.9553254", \
+					  "2.9534371, 3.0711729, 2.8333103, 2.6879586, 2.8811105, 3.0321854, 3.0918102");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3294999, 1.2722618, 1.2701524, 1.2957044, 1.3274391, 1.3690391, 1.4145088", \
+					  "1.7898791, 1.7273052, 1.7350142, 1.7453489, 1.8164307, 1.8512211, 1.8836217", \
+					  "2.0389979, 1.9815903, 2.0138351, 2.0646580, 2.1357587, 2.1837246, 2.2316689", \
+					  "2.4323830, 2.3772598, 2.2011600, 2.3362965, 2.3578220, 2.4636928, 2.5056953", \
+					  "2.5629868, 2.5567742, 2.3810352, 2.5439207, 2.5880647, 2.7055161, 2.8005301", \
+					  "2.8678724, 2.7837226, 2.5543335, 2.6379170, 2.7535894, 2.9220307, 2.9263337", \
+					  "3.0472993, 2.7009946, 3.0130738, 2.8347913, 2.9219843, 3.1152685, 3.1024914");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5045773, -0.4355915, -0.4359943, -0.4755002, -0.5101468, -0.5563245, -0.6078974", \
+					  "-0.9663974, -0.8956979, -0.9280364, -0.9634290, -0.9742309, -1.0129598, -1.0516878", \
+					  "-1.2049353, -1.2125219, -1.2336360, -1.2717774, -1.3017517, -1.3419955, -1.3683497", \
+					  "-1.4682449, -1.4589804, -1.4563018, -1.5593915, -1.6444233, -1.6524540, -1.7108291", \
+					  "-1.7177260, -1.6336219, -1.6533379, -1.8214954, -1.8468876, -1.9179304, -1.9479879", \
+					  "-1.8621744, -1.9662040, -1.8815975, -1.9750316, -2.0245656, -2.0841193, -2.1380319", \
+					  "-1.8861221, -1.8751553, -2.0793575, -2.0518551, -2.2239550, -2.2534612, -2.3370778");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.4348085, 1.3859093, 1.3784071, 1.4040255, 1.4250386, 1.4666386, 1.5136342", \
+					  "1.8956261, 1.8470363, 1.8346504, 1.8544503, 1.9162337, 1.9516875, 1.9923275", \
+					  "2.1790499, 2.1227844, 2.1032823, 2.1684734, 2.2577416, 2.3195277, 2.3615268", \
+					  "2.5338323, 2.4772105, 2.3570957, 2.4513443, 2.5108041, 2.5837202, 2.5945628", \
+					  "2.8330367, 2.7551961, 2.5086516, 2.6127077, 2.7267913, 2.8540579, 2.8630909", \
+					  "2.8825771, 2.9318708, 2.6396414, 2.9227450, 2.9204570, 3.0299372, 3.0743439", \
+					  "3.0327949, 3.2921848, 2.9625032, 3.0973695, 3.1201990, 3.2244734, 3.3220858");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.6312461, -0.5689742, -0.5644663, -0.6050023, -0.6413148, -0.6844406, -0.7299102", \
+					  "-1.0920928, -1.0241324, -1.0450512, -1.1028891, -1.1230377, -1.1696559, -1.2091854", \
+					  "-1.3663155, -1.3384567, -1.3615411, -1.4243219, -1.4591085, -1.4793616, -1.5039826", \
+					  "-1.5953288, -1.5780106, -1.5990853, -1.7117536, -1.7527608, -1.7699467, -1.8200176", \
+					  "-2.0295065, -1.7804621, -1.7525672, -1.9412183, -2.0075561, -2.1315536, -2.0396941", \
+					  "-2.1888797, -1.9640809, -2.0826191, -2.1341666, -2.2378225, -2.1886927, -2.2662058", \
+					  "-1.9665755, -2.1375909, -2.2566799, -2.3519495, -2.4463848, -2.3510433, -2.5095021");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0070985, -0.0540978, -0.0376451, -0.0144493, 0.0166711, 0.0689523, 0.0915337", \
+					  "0.4245414, 0.3950257, 0.3934039, 0.4103092, 0.4455421, 0.4882284, 0.5422556", \
+					  "0.6883675, 0.6815999, 0.6945364, 0.7114364, 0.7488500, 0.7793121, 0.8135959", \
+					  "0.9037790, 0.9074574, 0.9413328, 0.9620969, 0.9983527, 1.0333999, 1.0762210", \
+					  "1.1391217, 1.0749095, 1.1146805, 1.1792959, 1.2180990, 1.2690828, 1.2775103", \
+					  "1.2872402, 1.1734996, 1.2869168, 3.3566006, 1.4095155, 1.4480638, 1.4765840", \
+					  "1.4080112, 3.4486794, 1.4786063, 1.5082617, 1.5841473, 1.6242215, 1.6651134");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4124394, 0.4773674, 0.4579432, 0.4356286, 0.3989937, 0.3668714, 0.3214018", \
+					  "-0.0358383, 0.0266916, 0.0038836, -0.0009846, -0.0482460, -0.0859816, -0.1117287", \
+					  "-0.3095627, -0.2834603, -0.2962329, -0.3226373, -0.3511711, -0.3895045, -0.4414417", \
+					  "-0.4982748, -0.5370194, -0.5409487, -0.5506769, -0.5807423, -0.6194340, -0.6542225", \
+					  "-0.7348289, -0.7310778, -0.7506622, -0.7858007, -0.7979947, -0.8392103, -0.8551122", \
+					  "-0.9055683, -0.9053438, -0.9338308, -0.9536105, -0.9867615, -1.0244471, -1.0845346", \
+					  "-1.0600190, -1.0566906, -1.0991846, -1.1114577, -1.1605306, -1.2036566, -1.2262380");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.3255150, -1.2744967, -1.2603861, -1.2841036, -1.3249935, -1.3650677, -1.4090114", \
+					  "-1.7750591, -1.7197019, -1.7071751, -1.7213741, -1.8002236, -1.8403741, -1.8610801", \
+					  "-2.0602195, -2.0167263, -1.9948878, -1.9998234, -2.0963473, -2.1360318, -2.2060051", \
+					  "-2.3896404, -2.3376090, -2.1593238, -2.2324999, -2.3451636, -2.4443853, -2.4558606", \
+					  "-2.5742450, -2.4220874, -2.3097555, -2.4056359, -2.5379234, -2.6506807, -2.6927702", \
+					  "-2.7863633, -2.8095872, -2.4606883, -2.7318130, -2.7210278, -2.8665001, -2.9421700", \
+					  "-2.9616558, -3.0849383, -2.6136455, -2.8914632, -2.8815447, -3.0322979, -3.0817066");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3508776, 1.2959753, 1.2922808, 1.3155676, 1.3549317, 1.3797471, 1.4282684", \
+					  "1.7959059, 1.7393594, 1.7414565, 1.7423003, 1.8180164, 1.8627546, 1.8878441", \
+					  "2.0376683, 1.9818518, 2.0064286, 2.0270603, 2.1294535, 2.1562588, 2.2252483", \
+					  "2.4104252, 2.3568299, 2.2261458, 2.3105744, 2.3685134, 2.4651699, 2.4780307", \
+					  "2.5904526, 2.5427063, 2.3236931, 2.4253904, 2.5537997, 2.6707932, 2.7120291", \
+					  "2.7889600, 2.7540177, 2.4776583, 2.7556495, 2.7338878, 2.8942473, 2.9446441", \
+					  "2.9565009, 3.1157191, 2.7125185, 2.8309766, 2.8966434, 3.0391956, 3.0948620");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5244337, -0.4518502, -0.4532069, -0.4890994, -0.5284644, -0.5715894, -0.6155331", \
+					  "-0.9615955, -0.9028174, -0.9402435, -0.9618264, -0.9854647, -1.0200310, -1.0876013", \
+					  "-1.1931390, -1.1961066, -1.2134691, -1.2717340, -1.2818793, -1.3313610, -1.3136209", \
+					  "-1.5975775, -1.4109397, -1.4849814, -1.5486016, -1.5467671, -1.6539799, -1.6728697", \
+					  "-1.8448752, -1.6133880, -1.6976721, -1.7834032, -1.8004970, -1.8331569, -1.9069426", \
+					  "-1.8840269, -1.7955316, -1.8842498, -1.9263761, -1.9822978, -1.9903285, -2.0357979", \
+					  "-2.0819477, -1.9534571, -2.0480603, -2.1531063, -2.1578303, -2.1527533, -2.2378956");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3005285, 1.2516771, 1.2476611, 1.2774017, 1.2984553, 1.3385294, 1.3840000", \
+					  "1.7484485, 1.6977203, 1.6883354, 1.6904862, 1.7706543, 1.8107285, 1.8409932", \
+					  "1.9874336, 1.9339466, 2.0014006, 1.9750235, 2.0717866, 2.1143874, 2.1574778", \
+					  "2.3735596, 2.3171290, 2.2261184, 2.1928857, 2.3107359, 2.4268250, 2.4418850", \
+					  "2.5462020, 2.4377216, 2.3514011, 2.3768188, 2.5064666, 2.6024725, 2.6586231", \
+					  "2.6857386, 2.7347363, 2.5215275, 2.6947176, 2.7026020, 2.8342935, 2.9095491", \
+					  "3.0319767, 3.0159240, 2.8311021, 2.7964771, 2.8455618, 3.0099139, 3.0612926");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4679711, -0.4135142, -0.4179680, -0.4250320, -0.4628719, -0.5075237, -0.5529933", \
+					  "-0.9144718, -0.8647979, -0.9112518, -0.9212923, -0.9389876, -0.9698983, -1.0232961", \
+					  "-1.1445048, -1.1500555, -1.1776665, -1.2091731, -1.2622700, -1.2869912, -1.3155897", \
+					  "-1.4741964, -1.4043083, -1.4113313, -1.4795263, -1.5849140, -1.5780946, -1.6373426", \
+					  "-1.6050899, -1.5860166, -1.5548679, -1.7346698, -1.7069579, -1.7546615, -1.8108122", \
+					  "-1.7430933, -1.8557865, -1.7853941, -1.8629424, -1.9105814, -2.0116908, -1.9976511", \
+					  "-1.7548019, -2.0431698, -1.9511770, -1.9850992, -2.1074340, -2.1176580, -2.1799122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.6758898, 1.6251615, 1.6242717, 1.6571972, 1.6984025, 1.7314963, 1.7787781", \
+					  "2.1193909, 2.0709259, 2.0738987, 2.0949949, 2.1268248, 2.2059313, 2.2617859", \
+					  "2.3641940, 2.3146319, 2.3131679, 2.3609353, 2.4182496, 2.5285730, 2.5689602", \
+					  "2.7369634, 2.6933110, 2.5886977, 2.5866596, 2.6511538, 2.7628127, 2.8112375", \
+					  "2.8620591, 2.8641325, 2.9216687, 2.8840650, 2.8302314, 2.9776742, 3.0824113", \
+					  "3.1082366, 3.0249663, 2.9862331, 3.0766543, 3.0025551, 3.1572985, 3.2874615", \
+					  "3.2975090, 3.7940946, 3.1438677, 3.1986174, 4.8998821, 3.2914087, 3.4446288");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.3020511, 1.2488367, 1.2476640, 1.2728384, 1.2999956, 1.3385439, 1.3870652", \
+					  "1.7500712, 1.6978172, 1.6884294, 1.6922398, 1.7706543, 1.8168320, 1.8315261", \
+					  "1.9913762, 1.9396727, 2.0002533, 1.9790743, 2.0736955, 2.1159759, 2.1590037", \
+					  "2.3707525, 2.3145017, 2.1268558, 2.1992808, 2.3130636, 2.4331264, 2.4448657", \
+					  "2.5477279, 2.4516203, 2.2951639, 2.3855327, 2.5070066, 2.6039984, 2.6723562", \
+					  "2.7935252, 2.8102323, 2.7613756, 2.5940128, 2.7124146, 2.8390453, 2.9324372", \
+					  "2.9499001, 2.9543712, 2.7542968, 3.2171308, 2.8480374, 3.0134942, 3.0628185");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1689005, -0.1075493, -0.1140541, -0.1412132, -0.1882067, -0.2404879, -0.2966386", \
+					  "-0.6061694, -0.5682564, -0.5842178, -0.6090908, -0.6393910, -0.7048661, -0.7382987", \
+					  "-0.9326651, -0.8719259, -0.9060653, -0.9256578, -0.9415748, -0.9662020, -0.9906850", \
+					  "-1.0686215, -1.1228368, -1.1626355, -1.2256737, -1.2834944, -1.2689223, -1.3120379", \
+					  "-1.3702943, -1.3197283, -1.3862051, -1.4174783, -1.4340921, -1.4983138, -1.5368165", \
+					  "-1.4325050, -1.5043093, -1.5611478, -1.6185566, -1.6786478, -1.6866785, -1.7428293", \
+					  "-1.5936202, -1.6721560, -1.7283210, -1.7992195, -1.8349691, -1.9025092, -1.9098318");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023596;
+			capacitance : 0.024402;
+			rise_capacitance : 0.024682;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0070985, 0.4245414, 0.6883675, 0.9037790, 1.1391217, 1.2872402, 1.4080112", \
+					  "-0.0540978, 0.3950257, 0.6815999, 0.9074574, 1.0749095, 1.1734996, 3.4486794", \
+					  "-0.0376451, 0.3934039, 0.6945364, 0.9413328, 1.1146805, 1.2869168, 1.4786063", \
+					  "-0.0144493, 0.4103092, 0.7114364, 0.9620969, 1.1792959, 3.3566006, 1.5082617", \
+					  "0.0166711, 0.4455421, 0.7488500, 0.9983527, 1.2180990, 1.4095155, 1.5841473", \
+					  "0.0689523, 0.4882284, 0.7793121, 1.0333999, 1.2690828, 1.4480638, 1.6242215", \
+					  "0.0915337, 0.5422556, 0.8135959, 1.0762210, 1.2775103, 1.4765840, 1.6651134");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0681070, 0.4772906, 0.9737809, 1.3837240, 1.8124589, 2.0990297, 2.5754328", \
+					  "-0.1260287, 0.4465332, 0.9483550, 1.3708269, 1.7005454, 2.0846814, 2.4719576", \
+					  "-0.1088975, 0.4519689, 0.9754427, 1.3877767, 1.8242363, 2.1565870, 2.5033474", \
+					  "-0.0804509, 0.4702772, 0.9995499, 1.4459835, 1.8459694, 2.1847204, 2.4506617", \
+					  "-0.0477652, 0.4996399, 1.0249233, 1.4835568, 1.9023614, 2.2833071, 2.6160214", \
+					  "-0.0011755, 0.5515252, 1.0615084, 1.5307984, 1.9326117, 2.3086595, 2.6816556", \
+					  "0.0458199, 0.5953747, 1.0937475, 1.5460544, 1.9902882, 2.3464997, 2.7179699");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.4124394, -0.0358383, -0.3095627, -0.4982748, -0.7348289, -0.9055683, -1.0600190", \
+					  "0.4773674, 0.0266916, -0.2834603, -0.5370194, -0.7310778, -0.9053438, -1.0566906", \
+					  "0.4579432, 0.0038836, -0.2962329, -0.5409487, -0.7506622, -0.9338308, -1.0991846", \
+					  "0.4356286, -0.0009846, -0.3226373, -0.5506769, -0.7858007, -0.9536105, -1.1114577", \
+					  "0.3989937, -0.0482460, -0.3511711, -0.5807423, -0.7979947, -0.9867615, -1.1605306", \
+					  "0.3668714, -0.0859816, -0.3895045, -0.6194340, -0.8392103, -1.0244471, -1.2036566", \
+					  "0.3214018, -0.1117287, -0.4414417, -0.6542225, -0.8551122, -1.0845346, -1.2262380");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.0812617, -0.4701505, -0.9612594, -1.3779721, -1.7495098, -2.0921704, -2.5714863", \
+					  "0.1347183, -0.4378831, -0.9411036, -1.3786477, -1.6967747, -2.0728321, -2.4076436", \
+					  "0.1102475, -0.4461501, -0.9688959, -1.3781912, -1.7869469, -2.1501336, -2.4937267", \
+					  "0.1029249, -0.4566565, -0.9863947, -1.4414920, -1.8392151, -2.1651631, -2.5618842", \
+					  "0.0480565, -0.4974458, -1.0163374, -1.4780801, -1.8968418, -2.2566664, -2.6184992", \
+					  "0.0234866, -0.5445016, -1.0636869, -1.5206935, -1.9240340, -2.3000819, -2.6837592", \
+					  "-0.0158782, -0.5868268, -1.0844191, -1.5405107, -1.9847623, -2.3394479, -2.7154958");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006213;
+			capacitance : 0.006370;
+			rise_capacitance : 0.006527;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.3255150, -1.7750591, -2.0602195, -2.3896404, -2.5742450, -2.7863633, -2.9616558", \
+					  "-1.2744967, -1.7197019, -2.0167263, -2.3376090, -2.4220874, -2.8095872, -3.0849383", \
+					  "-1.2603861, -1.7071751, -1.9948878, -2.1593238, -2.3097555, -2.4606883, -2.6136455", \
+					  "-1.2841036, -1.7213741, -1.9998234, -2.2324999, -2.4056359, -2.7318130, -2.8914632", \
+					  "-1.3249935, -1.8002236, -2.0963473, -2.3451636, -2.5379234, -2.7210278, -2.8815447", \
+					  "-1.3650677, -1.8403741, -2.1360318, -2.4443853, -2.6506807, -2.8665001, -3.0322979", \
+					  "-1.4090114, -1.8610801, -2.2060051, -2.4558606, -2.6927702, -2.9421700, -3.0817066");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.2634760, -0.7970867, -1.3279885, -1.8060405, -2.2617352, -2.6725364, -3.0893097", \
+					  "-0.1946895, -0.7430056, -1.2822124, -1.7585176, -2.1984305, -2.6255870, -3.0050630", \
+					  "-0.2009774, -0.7564071, -1.3109213, -1.7896242, -2.2532271, -2.6738267, -3.0543783", \
+					  "-0.2372918, -0.7846211, -1.3678644, -1.8548680, -2.2895587, -2.6869904, -3.0886924", \
+					  "-0.2827595, -0.8050519, -1.3995992, -1.9065891, -2.3738553, -2.8013562, -3.1619071", \
+					  "-0.3197819, -0.8643721, -1.4209389, -1.9377934, -2.4367294, -2.8757896, -3.2585341", \
+					  "-0.3637255, -0.8885947, -1.4619741, -1.9771595, -2.4944060, -2.9101268, -3.3413079");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3508776, 1.7959059, 2.0376683, 2.4104252, 2.5904526, 2.7889600, 2.9565009", \
+					  "1.2959753, 1.7393594, 1.9818518, 2.3568299, 2.5427063, 2.7540177, 3.1157191", \
+					  "1.2922808, 1.7414565, 2.0064286, 2.2261458, 2.3236931, 2.4776583, 2.7125185", \
+					  "1.3155676, 1.7423003, 2.0270603, 2.3105744, 2.4253904, 2.7556495, 2.8309766", \
+					  "1.3549317, 1.8180164, 2.1294535, 2.3685134, 2.5537997, 2.7338878, 2.8966434", \
+					  "1.3797471, 1.8627546, 2.1562588, 2.4651699, 2.6707932, 2.8942473, 3.0391956", \
+					  "1.4282684, 1.8878441, 2.2252483, 2.4780307, 2.7120291, 2.9446441, 3.0948620");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1112874, 1.6450411, 2.1970886, 2.6793073, 3.1060213, 3.5023551, 3.8671042", \
+					  "1.0504545, 1.5815968, 2.1243097, 2.6178791, 3.0504277, 3.4421431, 3.8481474", \
+					  "1.0502795, 1.5779296, 2.1315028, 2.6306253, 3.0799348, 3.4657149, 3.8387958", \
+					  "1.0805240, 1.6193999, 2.1712088, 2.6725342, 3.1226098, 3.5231292, 3.9217534", \
+					  "1.1137847, 1.6503426, 2.2261660, 2.7071832, 3.1266918, 3.5067061, 3.9642816", \
+					  "1.1553846, 1.7060672, 2.2874842, 2.7956113, 3.2270052, 3.6362072, 3.9961677", \
+					  "1.2008542, 1.7217861, 2.3268503, 2.8550960, 3.3111208, 3.7349762, 4.1150916");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0112469, 0.0166452, 0.0220435, 0.0220141, 0.0219843, 0.0219548, 0.0219254");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0167659, 0.0224890, 0.0282121, 0.0281221, 0.0280310, 0.0279410, 0.0278509");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.019259;
+			capacitance : 0.019967;
+			rise_capacitance : 0.020675;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.5533515, 1.3773818, 2.2014122, 3.0842215, 3.9776671, 4.8604764, 5.7432856");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("7.6289981, 27.9908110, 48.3526240, 49.5710300, 50.8041160, 52.0225230, 53.2409290");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033463;
+			capacitance : 0.033427;
+			fall_capacitance : 0.033391;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0549176, 0.6240160, 1.3029496, 2.1328078, 2.9726644, 3.8025226, 4.6323809");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1533679, 1.8436689, 2.5339700, 3.3419577, 4.1596802, 4.9676679, 5.7756556");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006769;
+			capacitance : 0.006609;
+			fall_capacitance : 0.006449;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.4679711, -0.9144718, -1.1445048, -1.4741964, -1.6050899, -1.7430933, -1.7548019", \
+					  "-0.4135142, -0.8647979, -1.1500555, -1.4043083, -1.5860166, -1.8557865, -2.0431698", \
+					  "-0.4179680, -0.9112518, -1.1776665, -1.4113313, -1.5548679, -1.7853941, -1.9511770", \
+					  "-0.4250320, -0.9212923, -1.2091731, -1.4795263, -1.7346698, -1.8629424, -1.9850992", \
+					  "-0.4628719, -0.9389876, -1.2622700, -1.5849140, -1.7069579, -1.9105814, -2.1074340", \
+					  "-0.5075237, -0.9698983, -1.2869912, -1.5780946, -1.7546615, -2.0116908, -2.1176580", \
+					  "-0.5529933, -1.0232961, -1.3155897, -1.6373426, -1.8108122, -1.9976511, -2.1799122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.4475580, -1.9735839, -2.5318740, -3.0098030, -3.4406961, -3.8325691, -4.1959082", \
+					  "-1.3911798, -1.9197499, -2.4789035, -2.9610407, -3.3939198, -3.7883982, -4.1482020", \
+					  "-1.3880764, -1.9269492, -2.4693407, -2.9549800, -3.3936824, -3.8121161, -4.2080838", \
+					  "-1.4228648, -1.9605912, -2.5052238, -2.9781370, -3.4292033, -3.8800187, -4.1964234", \
+					  "-1.4622833, -2.0049821, -2.5232198, -3.0343108, -3.4969132, -3.9055112, -4.2796941", \
+					  "-1.5053894, -2.0498125, -2.6250306, -3.1001419, -3.5355092, -3.9330336, -4.2916601", \
+					  "-1.5539107, -2.0993904, -2.6783801, -3.1814877, -3.6322109, -4.0420891, -4.4205126");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.6758898, 2.1193909, 2.3641940, 2.7369634, 2.8620591, 3.1082366, 3.2975090", \
+					  "1.6251615, 2.0709259, 2.3146319, 2.6933110, 2.8641325, 3.0249663, 3.7940946", \
+					  "1.6242717, 2.0738987, 2.3131679, 2.5886977, 2.9216687, 2.9862331, 3.1438677", \
+					  "1.6571972, 2.0949949, 2.3609353, 2.5866596, 2.8840650, 3.0766543, 3.1986174", \
+					  "1.6984025, 2.1268248, 2.4182496, 2.6511538, 2.8302314, 3.0025551, 4.8998821", \
+					  "1.7314963, 2.2059313, 2.5285730, 2.7628127, 2.9776742, 3.1572985, 3.2914087", \
+					  "1.7787781, 2.2617859, 2.5689602, 2.8112375, 3.0824113, 3.2874615, 3.4446288");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.4591879, 1.9898903, 2.5480347, 3.0226795, 3.4508592, 3.8517627, 4.2012445", \
+					  "1.4090266, 1.9304669, 2.4916865, 2.9691416, 3.4039463, 3.7921939, 4.1503608", \
+					  "1.4042833, 1.9365117, 2.4778713, 2.9693874, 3.4037569, 3.8183548, 4.2285218", \
+					  "1.4360200, 1.9647406, 2.5163501, 2.9417418, 3.4368080, 3.8524324, 4.2015132", \
+					  "1.4784698, 2.0175762, 2.5504979, 3.0457002, 3.5067041, 3.9156028, 4.3248128", \
+					  "1.5139662, 2.0708697, 2.6405989, 3.1051642, 3.5437171, 3.9381909, 4.2892941", \
+					  "1.5624876, 2.1171234, 2.7006884, 3.1940699, 3.6419523, 4.0473174, 4.4346800");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114323, 0.0170853, 0.0227383, 0.0227082, 0.0226778, 0.0226478, 0.0226177");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160036, 0.0215475, 0.0270913, 0.0270310, 0.0269699, 0.0269096, 0.0268492");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013948;
+			capacitance : 0.013858;
+			fall_capacitance : 0.013769;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.3020511, 1.7500712, 1.9913762, 2.3707525, 2.5477279, 2.7935252, 2.9499001", \
+					  "1.2488367, 1.6978172, 1.9396727, 2.3145017, 2.4516203, 2.8102323, 2.9543712", \
+					  "1.2476640, 1.6884294, 2.0002533, 2.1268558, 2.2951639, 2.7613756, 2.7542968", \
+					  "1.2728384, 1.6922398, 1.9790743, 2.1992808, 2.3855327, 2.5940128, 3.2171308", \
+					  "1.2999956, 1.7706543, 2.0736955, 2.3130636, 2.5070066, 2.7124146, 2.8480374", \
+					  "1.3385439, 1.8168320, 2.1159759, 2.4331264, 2.6039984, 2.8390453, 3.0134942", \
+					  "1.3870652, 1.8315261, 2.1590037, 2.4448657, 2.6723562, 2.9324372, 3.0628185");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.0899252, 1.6190981, 2.1754290, 2.6511387, 3.0888021, 3.4741748, 3.8386124", \
+					  "1.0307114, 1.5587169, 2.0994926, 2.5760960, 3.0225984, 3.4604884, 3.8270669", \
+					  "1.0334948, 1.5641935, 2.1060314, 2.6060414, 3.0198633, 3.4575920, 3.9038704", \
+					  "1.0667940, 1.6004537, 2.1469891, 2.6535676, 3.0955952, 3.4931760, 3.9007036", \
+					  "1.0924253, 1.6422614, 2.2140510, 2.6841516, 3.1073879, 3.4674384, 3.9706552", \
+					  "1.1233441, 1.6747156, 2.2630702, 2.7758074, 3.2015897, 3.6117658, 3.9684041", \
+					  "1.1703395, 1.7061990, 2.3023288, 2.8294165, 3.2946508, 3.6999801, 4.0788153");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.1689005, -0.6061694, -0.9326651, -1.0686215, -1.3702943, -1.4325050, -1.5936202", \
+					  "-0.1075493, -0.5682564, -0.8719259, -1.1228368, -1.3197283, -1.5043093, -1.6721560", \
+					  "-0.1140541, -0.5842178, -0.9060653, -1.1626355, -1.3862051, -1.5611478, -1.7283210", \
+					  "-0.1412132, -0.6090908, -0.9256578, -1.2256737, -1.4174783, -1.6185566, -1.7992195", \
+					  "-0.1882067, -0.6393910, -0.9415748, -1.2834944, -1.4340921, -1.6786478, -1.8349691", \
+					  "-0.2404879, -0.7048661, -0.9662020, -1.2689223, -1.4983138, -1.6866785, -1.9025092", \
+					  "-0.2966386, -0.7382987, -0.9906850, -1.3120379, -1.5368165, -1.7428293, -1.9098318");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.0859251, -1.6104229, -2.1653598, -2.6458726, -3.0832048, -3.4732725, -3.8378289", \
+					  "-1.0195898, -1.5515069, -2.0925450, -2.5871265, -3.0155114, -3.4157301, -3.8242407", \
+					  "-1.0203397, -1.5555188, -2.0989221, -2.6018673, -3.0068732, -3.4505260, -3.8128706", \
+					  "-1.0444843, -1.5921395, -2.1414861, -2.6446271, -3.0862856, -3.4876284, -3.8963625", \
+					  "-1.0777448, -1.6382668, -2.2102399, -2.6761650, -3.1025642, -3.4865093, -3.9349561", \
+					  "-1.1269745, -1.6660972, -2.2560184, -2.7691262, -3.1977447, -3.6080101, -3.9676695", \
+					  "-1.1724439, -1.6976677, -2.2930990, -2.8179003, -3.2892331, -3.6991456, -4.0883920");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114700, 0.0171402, 0.0228104, 0.0227846, 0.0227585, 0.0227327, 0.0227070");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160792, 0.0216868, 0.0272944, 0.0272207, 0.0271461, 0.0270724, 0.0269987");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.211770;
+			max_transition : 5.026971;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02457336, 0.06038503, 0.1483863, 0.3646351, 0.8960311, 2.20185");
+					values("6.5122790, 8.3991607, 9.3642997, 9.5169527, 9.4937794, 9.4974874, 9.5098126", \
+					  "6.4629122, 8.3524893, 9.3153614, 9.4309877, 9.4396301, 9.4450407, 9.3840521", \
+					  "7.7156476, 7.7156467, 7.7163553, 7.6961050, 7.6095380, 7.6060920, 7.5674710", \
+					  "7.8006499, 7.8004920, 7.8012223, 7.7701292, 7.7650933, 7.6295480, 7.6519676", \
+					  "7.7943251, 7.7954275, 7.7956547, 7.7950207, 7.7509032, 7.7647090, 7.7282182", \
+					  "7.8307803, 7.8304602, 7.8312339, 7.8312955, 7.8372482, 7.8337996, 7.6946010", \
+					  "7.8739385, 7.8736800, 7.8743548, 7.8753202, 7.8640913, 7.8518433, 7.7857018");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02457336, 0.06038503, 0.1483863, 0.3646351, 0.8960311, 2.20185");
+					values("7.1701139, 7.1819196, 7.1843864, 7.1922334, 7.1937461, 7.1971279, 7.2036774", \
+					  "7.0616221, 7.0691057, 7.0753281, 7.0825281, 7.0876794, 7.0923787, 7.0958569", \
+					  "9.0842117, 9.0812661, 9.0710694, 9.0794689, 9.0916328, 9.2074091, 9.2048444", \
+					  "9.0920110, 9.0936399, 9.0955368, 9.0970079, 9.0991130, 9.0986827, 9.2170056", \
+					  "9.0820450, 9.0981164, 9.1060293, 9.0987912, 9.0848787, 9.1023725, 9.1363974", \
+					  "9.1173622, 9.0801793, 9.0844285, 9.1110383, 9.0923623, 9.1015025, 9.1124963", \
+					  "9.0605162, 9.0549061, 9.1319894, 9.1339514, 9.0622483, 9.1014592, 9.1280395");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("12.2216664, 12.2228055, 12.2322144, 12.2191712, 12.2203309, 12.2180408, 12.2090521", \
+					  "50.2107402, 50.2055222, 50.2150152, 50.2118262, 49.9382152, 49.5113382, 49.4533222", \
+					  "73.6977150, 73.6939260, 73.7124670, 73.5543020, 73.6447080, 73.7013580, 73.0144420", \
+					  "105.2834800, 105.2819300, 105.3020300, 105.2290900, 105.2358100, 105.2842000, 104.5403800", \
+					  "136.1228600, 136.1679200, 136.1094800, 136.1692300, 136.2201500, 136.0292200, 136.0028300", \
+					  "166.7742200, 166.7719200, 166.8147600, 166.9243100, 166.9609600, 166.8300600, 166.7441300", \
+					  "197.4895900, 197.4540500, 197.3396200, 197.5398600, 197.4482900, 197.3716600, 197.3105800");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("11.0639899, 11.0668259, 11.0767889, 11.0858079, 11.0934859, 11.1017879, 11.1093879", \
+					  "25.3512225, 25.3516035, 25.3532305, 25.3559505, 25.8907285, 25.9183895, 25.9279035", \
+					  "51.7912870, 51.7945440, 51.7899580, 51.8040470, 51.7767560, 51.7729160, 52.3255540", \
+					  "68.9852480, 68.9825140, 68.9829170, 69.0058700, 68.9549880, 68.8670370, 69.3495680", \
+					  "86.2554400, 86.2161940, 86.1956410, 86.3494480, 86.2512080, 86.1440090, 86.0631640", \
+					  "103.5915100, 103.5490700, 103.4892000, 103.6804800, 103.4988100, 103.5758300, 103.3999600", \
+					  "121.0503600, 120.9938100, 120.8738700, 121.0956900, 121.0452600, 120.8868300, 120.7776500");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("10.6352628, 10.6454023, 10.6416584, 10.6357806, 10.6330326, 10.6335684, 10.6043537", \
+					  "21.6317192, 21.6354792, 21.6559992, 21.6756212, 21.6260872, 20.9317452, 20.8989022", \
+					  "22.7201520, 22.7209100, 22.7069870, 22.7222390, 22.7243290, 22.7089080, 22.0557430", \
+					  "32.6289550, 32.6695510, 32.6392180, 32.6754110, 32.6357210, 32.4906800, 32.1551920", \
+					  "42.1825870, 42.1661250, 42.3253650, 42.2987860, 42.2540410, 42.1454920, 42.1749750", \
+					  "51.7529660, 51.7744810, 51.7647180, 51.8045950, 51.7617300, 51.7059420, 51.5297040", \
+					  "61.0658680, 60.9747670, 61.0659720, 61.0163170, 61.0421570, 61.0005030, 61.0059640");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("11.3260029, 11.3285629, 11.3407979, 11.3545549, 11.3701719, 11.3862579, 11.4005989", \
+					  "19.4948685, 19.5375425, 19.7057585, 19.9457545, 19.9898265, 20.0160625, 20.0334375", \
+					  "38.9004420, 38.9019720, 38.9037100, 38.9520290, 38.9066560, 39.4241760, 39.4759780", \
+					  "48.9090050, 48.9083840, 48.9073020, 49.0154550, 48.9051130, 48.8976360, 49.4670140", \
+					  "59.0055500, 59.0049400, 59.0105950, 59.1183840, 58.9924150, 58.9676020, 59.3671920", \
+					  "69.1815560, 69.1889880, 69.1812720, 69.3411990, 69.1542380, 69.0875620, 69.0879780", \
+					  "79.2689660, 79.2981650, 79.2497040, 79.4393090, 79.3333870, 79.2279370, 79.2246130");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("28.8379691, 28.8642551, 28.8871241, 28.9727031, 28.9822941, 29.0751171, 28.8097081", \
+					  "28.8479360, 28.8527920, 28.8749910, 28.9693670, 28.9698420, 29.0770570, 28.7992550", \
+					  "28.8226039, 28.8550309, 28.8852859, 28.9832319, 28.9956479, 29.0478589, 28.8130139", \
+					  "28.8970269, 28.9252179, 28.9279239, 29.0514869, 29.0612479, 29.1177759, 28.8780329", \
+					  "28.9750100, 29.1216660, 29.0598780, 29.1325560, 29.1820720, 29.1630490, 28.9691400", \
+					  "29.0580170, 29.0294860, 29.1156740, 29.1163990, 29.1585770, 29.1771140, 29.2969380", \
+					  "29.1963691, 29.0926921, 29.1902531, 29.2724961, 29.2317371, 29.2527861, 29.2015141");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02459178, 0.06047557, 0.1487202, 0.3657295, 0.8993939, 2.21177");
+					values("22.7481841, 22.7951171, 22.8200611, 22.8658921, 22.9004711, 22.8968171, 22.9907081", \
+					  "22.7568188, 22.7820278, 22.8156028, 22.8629158, 22.9048438, 22.9105928, 22.9164578", \
+					  "22.7541995, 22.7786905, 22.8125345, 22.8637865, 22.9014025, 22.9097255, 22.9146355", \
+					  "22.7590689, 22.7882119, 22.8076339, 22.8628729, 22.8961129, 22.9165919, 22.9158669", \
+					  "22.7396797, 22.7852997, 22.7804737, 22.8944067, 22.9512967, 22.8969167, 22.9657907", \
+					  "22.7550132, 22.7854352, 22.8118672, 22.8588642, 22.8998482, 22.9076062, 22.9116582", \
+					  "22.7696726, 22.7871126, 22.8247576, 22.8692146, 22.9072006, 22.9164546, 22.9214356");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("2.9732805, 3.0005258, 3.0635561, 3.2123073, 3.5641274, 4.4177240, 6.5301120", \
+					  "5.1778759, 5.2044871, 5.2671316, 5.4149751, 5.7669736, 6.6225163, 8.7319183", \
+					  "6.8998984, 6.9265305, 6.9891590, 7.1369817, 7.4889650, 8.3440659, 10.4452090", \
+					  "7.2785418, 7.3051480, 7.3678241, 7.5155677, 7.8675590, 8.7228651, 10.8215870", \
+					  "9.4590877, 9.4857233, 9.5483603, 9.6961399, 10.0481910, 10.9032530, 13.0065540", \
+					  "11.2915050, 11.3181300, 11.3808480, 11.5284950, 11.8805230, 12.7361500, 14.8432430", \
+					  "12.6270270, 12.6536500, 12.7164110, 12.8639930, 13.2160000, 14.0716780, 16.1749710", \
+					  "14.5225330, 14.5490890, 14.6119360, 14.7593650, 15.1114710, 15.9661580, 18.0658140", \
+					  "38.3642490, 38.5083430, 38.6475460, 38.6475468, 38.7907630, 40.0021700, 42.1556590", \
+					  "52.4818450, 52.5099110, 52.6872590, 52.7197270, 53.0722990, 54.0420970, 56.0314990", \
+					  "109.1014000, 109.4328800, 109.4328805, 109.4328881, 109.7672400, 110.8725300, 113.0428600", \
+					  "302.7738600, 303.6511800, 303.7169200, 304.2350200, 304.5355200, 304.6434600, 307.5970800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("0.1647639, 0.1947868, 0.2700239, 0.4571914, 0.9239200, 2.0919160, 4.9787143", \
+					  "0.1654643, 0.1960525, 0.2709403, 0.4573162, 0.9250242, 2.0987987, 4.9943898", \
+					  "0.1654732, 0.1961672, 0.2708757, 0.4572879, 0.9230429, 2.0960063, 5.0075939", \
+					  "0.1662086, 0.1953166, 0.2704039, 0.4571545, 0.9250590, 2.0999698, 4.9388573", \
+					  "0.1659965, 0.1953258, 0.2699915, 0.4572796, 0.9228183, 2.1069428, 4.9801248", \
+					  "0.1662037, 0.1953201, 0.2704133, 0.4571428, 0.9266793, 2.1255102, 5.0071378", \
+					  "0.1662079, 0.1953555, 0.2704954, 0.4570492, 0.9259285, 2.1100686, 5.0432498", \
+					  "0.1662027, 0.1954321, 0.2706214, 0.4572836, 0.9232536, 2.0960453, 4.9614770", \
+					  "0.1661788, 0.1953249, 0.2706406, 0.4572797, 0.9256969, 2.0915767, 4.9954925", \
+					  "0.1657392, 0.1959420, 0.2699583, 0.4572318, 0.9226221, 2.1070907, 4.9986806", \
+					  "0.1656087, 0.1960252, 0.2706243, 0.4572321, 0.9237496, 2.0936639, 4.9990234", \
+					  "0.1657142, 0.1957150, 0.2699709, 0.4572759, 0.9226093, 2.1070734, 4.9957731");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("2.5621034, 2.6000830, 2.6791449, 2.8328253, 3.1184668, 3.6864332, 4.9837272", \
+					  "4.4921498, 4.5289470, 4.6083519, 4.7613535, 5.0457261, 5.6165725, 6.9131461", \
+					  "5.8245542, 5.8615384, 5.9417289, 6.0947483, 6.3792442, 6.9502142, 8.2496667", \
+					  "6.1003234, 6.1369363, 6.2163572, 6.3696861, 6.6560810, 7.2258772, 8.5207059", \
+					  "7.7199704, 7.7565590, 7.8363334, 7.9890752, 8.2738847, 8.8445322, 10.1574490", \
+					  "8.8743279, 8.9111944, 8.9916989, 9.1443182, 9.4293053, 10.0006020, 11.2998010", \
+					  "9.7116422, 9.7485788, 9.8280237, 9.9814725, 10.2660810, 10.8364470, 12.1343750", \
+					  "10.7796460, 10.8162680, 10.8958430, 11.0489650, 11.3352850, 11.9050550, 13.1991880", \
+					  "21.7616360, 21.7616377, 21.7756620, 21.9676240, 22.2341100, 22.8793850, 24.1209330", \
+					  "27.0406750, 27.0737960, 27.1577070, 27.3058870, 27.6263920, 28.1647270, 29.4569600", \
+					  "45.9489730, 45.9841940, 46.0658000, 46.1815510, 46.4904130, 47.0560690, 48.3349790", \
+					  "101.5992400, 101.5992470, 101.9280700, 101.9729400, 102.2053600, 102.8246100, 104.2600200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246101, 0.0605659, 0.149053, 0.366822, 0.902754, 2.22169");
+					values("0.2200312, 0.2488965, 0.3090977, 0.4279354, 0.6858859, 1.3446317, 3.0144296", \
+					  "0.2240464, 0.2551364, 0.3147871, 0.4361349, 0.7015366, 1.3553791, 3.0820915", \
+					  "0.2242310, 0.2546180, 0.3154909, 0.4364906, 0.7019127, 1.3471172, 3.0349765", \
+					  "0.2229423, 0.2541772, 0.3124283, 0.4392575, 0.7019984, 1.3443848, 3.0255174", \
+					  "0.2250881, 0.2553798, 0.3138182, 0.4365282, 0.7011214, 1.3343970, 3.0782836", \
+					  "0.2245496, 0.2547014, 0.3142977, 0.4364180, 0.7032504, 1.3477083, 3.0562461", \
+					  "0.2250584, 0.2553580, 0.3137836, 0.4365101, 0.7010817, 1.3496695, 3.0501839", \
+					  "0.2231588, 0.2545375, 0.3117330, 0.4403626, 0.7013224, 1.3436877, 3.0590581", \
+					  "0.2249589, 0.2553490, 0.3140085, 0.4364735, 0.7020331, 1.3468483, 3.0467810", \
+					  "0.2238158, 0.2554835, 0.3117803, 0.4382568, 0.6997464, 1.3478877, 3.0536917", \
+					  "0.2247401, 0.2532880, 0.3156904, 0.4365664, 0.7013778, 1.3490158, 3.0544558", \
+					  "0.2239878, 0.2551555, 0.3143910, 0.4374759, 0.7010633, 1.3491340, 3.0547402");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.2854000, 1.3120083, 1.3742276, 1.5191842, 1.8695587, 2.7225258, 4.8110618", \
+					  "1.8361375, 1.8629474, 1.9248334, 2.0696591, 2.4179136, 3.2807421, 5.3616378", \
+					  "2.1054616, 2.1323450, 2.1942148, 2.3373450, 2.6886720, 3.5409677, 5.6337388", \
+					  "2.1597206, 2.1857116, 2.2477757, 2.3931253, 2.7423293, 3.5992551, 5.6860423", \
+					  "2.4493498, 2.4763183, 2.5381262, 2.6816231, 3.0325560, 3.8836117, 5.9837100", \
+					  "2.6645222, 2.6914087, 2.7532228, 2.8977818, 3.2476921, 4.1021044, 6.1906804", \
+					  "2.8333760, 2.8603402, 2.9221665, 3.0629055, 3.4165517, 4.2576032, 6.3754086", \
+					  "3.0476721, 3.0728806, 3.1363991, 3.2809906, 3.6308561, 4.4820907, 6.5758385", \
+					  "5.6085718, 5.6355138, 5.6970286, 5.8421201, 6.1893082, 7.0449078, 9.1417158", \
+					  "7.1206467, 7.1645494, 7.2212902, 7.3549455, 7.7032647, 8.5828065, 10.6698320", \
+					  "13.5242190, 13.5405190, 13.5903420, 13.7574050, 14.0796650, 14.9029660, 17.0561660", \
+					  "36.6634330, 36.7542690, 36.7930680, 36.9391660, 37.2289360, 38.0830870, 40.2191130");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.1631168, 0.1927180, 0.2668469, 0.4521194, 0.9235061, 2.0822379, 4.9109223", \
+					  "0.1655828, 0.1948624, 0.2695589, 0.4543958, 0.9313258, 2.0996844, 4.9656865", \
+					  "0.1652225, 0.1958474, 0.2701830, 0.4572617, 0.9291793, 2.1035036, 4.9570175", \
+					  "0.1659664, 0.1965734, 0.2708228, 0.4565752, 0.9312525, 2.1123769, 4.9817572", \
+					  "0.1653262, 0.1958213, 0.2693605, 0.4563231, 0.9308762, 2.1075011, 4.9808011", \
+					  "0.1652414, 0.1958824, 0.2703989, 0.4570101, 0.9285718, 2.1115980, 4.9818363", \
+					  "0.1654244, 0.1956396, 0.2690539, 0.4568744, 0.9309974, 2.1125663, 5.0007225", \
+					  "0.1652430, 0.1959248, 0.2703180, 0.4570603, 0.9290235, 2.1125026, 5.0142890", \
+					  "0.1652620, 0.1956904, 0.2701783, 0.4573742, 0.9266361, 2.1099824, 5.0086055", \
+					  "0.1649346, 0.1959538, 0.2691083, 0.4566242, 0.9272500, 2.1056565, 5.0014912", \
+					  "0.1652755, 0.1959499, 0.2701147, 0.4572966, 0.9295225, 2.1113933, 5.0162585", \
+					  "0.1656161, 0.1959509, 0.2690609, 0.4572890, 0.9285174, 2.1060040, 5.0054045");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.7628087, 1.7968524, 1.8710655, 2.0148958, 2.2884332, 2.8452025, 4.1337231", \
+					  "3.1165412, 3.1515184, 3.2238965, 3.3689465, 3.6424170, 4.2024864, 5.4901728", \
+					  "4.3187618, 4.3525406, 4.4262420, 4.5709050, 4.8462225, 5.4046474, 6.6924390", \
+					  "4.5990274, 4.6329451, 4.7205462, 4.8512465, 5.1231259, 5.6828142, 6.9777921", \
+					  "6.3118835, 6.3457950, 6.4182011, 6.5637762, 6.8426522, 7.3973316, 8.6881888", \
+					  "7.7774507, 7.8025781, 7.8838864, 8.0293470, 8.3010399, 8.8629495, 10.1518770", \
+					  "8.9004848, 8.9239283, 9.0080103, 9.1525516, 9.4041051, 9.9860697, 11.2778180", \
+					  "10.5176740, 10.5528330, 10.6197190, 10.7625130, 11.1045080, 11.6025590, 12.8943470", \
+					  "33.9444140, 33.9781430, 33.9781437, 34.2064940, 34.4691950, 35.0415090, 36.3027580", \
+					  "49.5774120, 49.6106050, 49.6834250, 49.8329590, 50.1023050, 50.6603120, 51.9483830", \
+					  "119.3965600, 119.4469100, 119.5312200, 119.6536500, 119.9510800, 120.5059300, 121.7982800", \
+					  "389.5085300, 389.5085602, 389.6455200, 389.7908100, 390.0673300, 390.5896100, 391.8417200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.2031532, 0.2301949, 0.2884984, 0.4063181, 0.6649095, 1.3154179, 2.9943823", \
+					  "0.2070361, 0.2350018, 0.2937781, 0.4138900, 0.6763206, 1.3158558, 3.0136675", \
+					  "0.2098593, 0.2342193, 0.2968520, 0.4173597, 0.6689492, 1.3279603, 3.0231497", \
+					  "0.2089722, 0.2343634, 0.2930396, 0.4168807, 0.6709015, 1.3313256, 3.0328657", \
+					  "0.2101426, 0.2354049, 0.2985193, 0.4189457, 0.6791488, 1.3294910, 3.0421015", \
+					  "0.2070403, 0.2340514, 0.2930075, 0.4138182, 0.6763236, 1.3273782, 3.0400849", \
+					  "0.2100270, 0.2338577, 0.2978830, 0.4181472, 0.6758114, 1.3300191, 3.0445160", \
+					  "0.2066753, 0.2354508, 0.2930140, 0.4133402, 0.6761798, 1.3268584, 3.0452845", \
+					  "0.2102250, 0.2356765, 0.2945520, 0.4176258, 0.6813589, 1.3295313, 3.0310738", \
+					  "0.2065906, 0.2341174, 0.2934312, 0.4182934, 0.6758035, 1.3288780, 3.0444522", \
+					  "0.2068458, 0.2349621, 0.2929615, 0.4129423, 0.6780919, 1.3288841, 3.0343961", \
+					  "0.2093254, 0.2339650, 0.2938562, 0.4172632, 0.6763596, 1.3286812, 3.0575067");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.2727678, 1.2994029, 1.3615130, 1.5067007, 1.8553938, 2.7080962, 4.7985140", \
+					  "0.7770149, 0.8037173, 0.8653814, 1.0107103, 1.3600062, 2.2137465, 4.3032595", \
+					  "-0.0400954, -0.0137803, 0.0481330, 0.1935425, 0.5424253, 1.3947885, 3.4903922", \
+					  "-0.2484203, -0.2215932, -0.1597179, -0.0148368, 0.3346002, 1.1906615, 3.2833045", \
+					  "-1.6147030, -1.5878048, -1.5260300, -1.3810727, -1.0315336, -0.1788525, 1.9133828", \
+					  "-2.8534437, -2.8273521, -2.7654410, -2.6199551, -2.2713758, -1.4128433, 0.6810299", \
+					  "-3.8435872, -3.8174446, -3.7558467, -3.6101016, -3.2614154, -2.4035129, -0.3026648", \
+					  "-5.2826810, -5.2563061, -5.1968336, -5.0579132, -4.6999697, -3.8556496, -1.7409446", \
+					  "-27.7829940, -27.7614870, -27.6908330, -27.5467080, -27.2016130, -26.3427240, -24.2377580", \
+					  "-43.2978840, -43.2707100, -43.2087400, -43.0645490, -42.7151580, -41.8644890, -39.7578720", \
+					  "-113.9523100, -113.9245100, -113.8952500, -113.7509000, -113.3803500, -112.5501000, -110.4364100", \
+					  "-391.8041800, -391.7911900, -391.7911834, -391.5838500, -391.2323600, -390.4663100, -388.2837500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.1631902, 0.1927123, 0.2662609, 0.4523921, 0.9214505, 2.0789168, 4.9159148", \
+					  "0.1650202, 0.1954863, 0.2703565, 0.4571956, 0.9248204, 2.0904760, 4.9201114", \
+					  "0.1655602, 0.1947929, 0.2707676, 0.4567582, 0.9300191, 2.0970312, 4.9257367", \
+					  "0.1655728, 0.1949481, 0.2694721, 0.4544146, 0.9305736, 2.1057891, 4.9278754", \
+					  "0.1652753, 0.1958974, 0.2703961, 0.4573450, 0.9282286, 2.1128028, 4.9866276", \
+					  "0.1660650, 0.1953631, 0.2708308, 0.4572895, 0.9283573, 2.1094557, 5.0253832", \
+					  "0.1659290, 0.1952002, 0.2702600, 0.4570777, 0.9285626, 2.1078284, 4.9944440", \
+					  "0.1654032, 0.1942756, 0.2700675, 0.4572895, 0.9310082, 2.1058775, 4.9968802", \
+					  "0.1652408, 0.1953606, 0.2706300, 0.4556060, 0.9285363, 2.1061255, 5.0069655", \
+					  "0.1654431, 0.1959544, 0.2708220, 0.4566965, 0.9309621, 2.1056936, 5.0034168", \
+					  "0.1654226, 0.1959108, 0.2691833, 0.4568019, 0.9307484, 2.1066575, 5.0174962", \
+					  "0.1652328, 0.1957346, 0.2691538, 0.4570782, 0.9309711, 2.1061983, 5.0171827");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("1.9070656, 1.9397854, 2.0153190, 2.1586626, 2.4329381, 2.9930123, 4.2829069", \
+					  "3.8190016, 3.8527825, 3.9263703, 4.0711181, 4.3454649, 4.9023248, 6.1946084", \
+					  "5.6299183, 5.6635794, 5.7367413, 5.8821885, 6.1562018, 6.7140045, 8.0062286", \
+					  "6.0489236, 6.0826779, 6.1554153, 6.3009127, 6.5755730, 7.1347483, 8.4233118", \
+					  "8.6363432, 8.6702858, 8.7423828, 8.8879784, 9.1610346, 9.7214548, 11.0150240", \
+					  "10.8434230, 10.8773290, 10.9494890, 11.0953840, 11.3688370, 11.9307180, 13.2179170", \
+					  "12.5526340, 12.5867320, 12.6594030, 12.8045410, 13.0781650, 13.6375020, 14.9290770", \
+					  "14.9957660, 15.0328020, 15.1023660, 15.2476890, 15.5212960, 16.0834810, 17.3743810", \
+					  "50.6773080, 50.7122170, 50.7860140, 50.9294120, 51.2045270, 51.7635140, 53.0570180", \
+					  "74.5011660, 74.5330770, 74.6088490, 74.7507370, 75.0256890, 75.5836780, 76.8751320", \
+					  "181.0728500, 181.1046200, 181.1785400, 181.3223600, 181.5969300, 182.1557400, 183.4453100", \
+					  "593.2522500, 593.3009100, 593.3782700, 593.5262400, 593.7943700, 594.3530300, 595.6515000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0245989, 0.0605104, 0.148849, 0.36615, 0.900688, 2.21559");
+					values("0.2032482, 0.2307690, 0.2885950, 0.4062228, 0.6673870, 1.3260304, 3.0547116", \
+					  "0.2099868, 0.2344001, 0.2966364, 0.4176483, 0.6714255, 1.3204053, 3.0216480", \
+					  "0.2092934, 0.2333315, 0.2943924, 0.4178489, 0.6798596, 1.3259762, 3.0027609", \
+					  "0.2108866, 0.2341496, 0.2939060, 0.4132637, 0.6806114, 1.3290846, 3.0067897", \
+					  "0.2102487, 0.2357351, 0.2977857, 0.4111993, 0.6736155, 1.3280250, 3.0391607", \
+					  "0.2101609, 0.2354038, 0.2974082, 0.4187447, 0.6790173, 1.3309274, 3.0400378", \
+					  "0.2070341, 0.2367557, 0.2934337, 0.4138429, 0.6757011, 1.3236718, 3.0424363", \
+					  "0.2095808, 0.2349409, 0.2971971, 0.4154497, 0.6800464, 1.3295409, 3.0197540", \
+					  "0.2066967, 0.2346647, 0.2927505, 0.4118968, 0.6806550, 1.3234440, 3.0434073", \
+					  "0.2080077, 0.2336913, 0.2925728, 0.4169472, 0.6766176, 1.3343541, 3.0404979", \
+					  "0.2094441, 0.2345271, 0.2933570, 0.4161211, 0.6759745, 1.3225108, 3.0344074", \
+					  "0.2067559, 0.2377237, 0.2952128, 0.4203696, 0.6724368, 1.3244617, 3.0170353");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("5.9837970, 6.0107994, 6.0724901, 6.2172769, 6.5657395, 7.4215536, 9.5084411", \
+					  "6.5299255, 6.5580257, 6.6197181, 6.7646808, 7.1131532, 7.9687794, 10.0558000", \
+					  "7.0902288, 7.1188535, 7.1818591, 7.3237207, 7.6737594, 8.5296170, 10.6165750", \
+					  "7.6073645, 7.6104358, 7.6721109, 7.8408693, 8.1653413, 9.0211998, 11.1081640", \
+					  "8.0840126, 8.0929929, 8.1558511, 8.3175689, 8.6498453, 9.5003205, 11.5959410", \
+					  "8.5177794, 8.5286045, 8.5901863, 8.7512646, 9.0833794, 9.9394111, 12.0444240", \
+					  "8.9236218, 8.9479961, 9.0021324, 9.1588248, 9.4953325, 10.3513680, 12.4494300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("0.1653180, 0.1956727, 0.2702259, 0.4597190, 0.9342242, 2.0989961, 4.9630908", \
+					  "0.1653200, 0.1956727, 0.2702259, 0.4597228, 0.9342033, 2.0989830, 4.9632081", \
+					  "0.1653200, 0.1956739, 0.2704031, 0.4597029, 0.9343044, 2.0994275, 4.9626059", \
+					  "0.1653200, 0.1956739, 0.2702280, 0.4597021, 0.9343074, 2.0994315, 4.9625806", \
+					  "0.1653207, 0.1943621, 0.2708179, 0.4596966, 0.9332454, 2.1042879, 4.9663442", \
+					  "0.1653118, 0.1956822, 0.2704917, 0.4595178, 0.9345439, 2.1010942, 4.9463127", \
+					  "0.1653209, 0.1956868, 0.2704916, 0.4596313, 0.9345447, 2.1011466, 4.9534901");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("4.6245376, 4.6614810, 4.7436117, 4.9218238, 5.3337761, 6.2503958, 7.6989650", \
+					  "5.0889120, 5.1260574, 5.2081179, 5.3741056, 5.7945813, 6.7070363, 8.1616163", \
+					  "5.4071894, 5.4443032, 5.5263847, 5.7032747, 6.1126979, 7.0249810, 8.4786329", \
+					  "5.6729523, 5.7085923, 5.7844698, 5.9630052, 6.3782859, 7.2914164, 8.7445340", \
+					  "5.8859433, 5.9223777, 6.0066583, 6.1861107, 6.5946657, 7.5104092, 8.9636334", \
+					  "6.0854450, 6.1222658, 6.2031758, 6.3824119, 6.7944463, 7.7103159, 9.1625374", \
+					  "6.2740888, 6.3103283, 6.3860268, 6.5653283, 6.9809096, 7.8997351, 9.3525863");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0245918, 0.0604756, 0.14872, 0.365729, 0.899394, 2.21177");
+					values("0.2202954, 0.2537822, 0.3367340, 0.5372592, 1.0465163, 1.8475921, 3.2405703", \
+					  "0.2183181, 0.2525168, 0.3367300, 0.5372781, 1.0407896, 1.8445713, 3.2495793", \
+					  "0.2183428, 0.2521488, 0.3367213, 0.5376896, 1.0405090, 1.8444350, 3.2496266", \
+					  "0.2194147, 0.2524417, 0.3368066, 0.5373864, 1.0420405, 1.8433803, 3.2499587", \
+					  "0.2175769, 0.2518851, 0.3345673, 0.5394298, 1.0465183, 1.8456684, 3.2496856", \
+					  "0.2173273, 0.2595942, 0.3347086, 0.5383843, 1.0453386, 1.8413885, 3.2496614", \
+					  "0.2212003, 0.2541410, 0.3376677, 0.5382164, 1.0437773, 1.8492563, 3.2431520");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 8.213430;
+			max_transition : 3.768139;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("9.2301552, 9.1313727, 8.8564333, 8.2790185, 7.6020353, 6.7702389, 5.3103327", \
+					  "9.1865174, 9.0838049, 8.7797200, 8.2502933, 7.5651265, 6.7742487, 5.5512587", \
+					  "7.6313678, 7.5029713, 7.1691784, 6.5554133, 5.7530799, 5.0047709, 3.7869564", \
+					  "7.7021332, 7.5719422, 7.2300746, 6.6554613, 6.0203296, 4.9857280, 4.2474639", \
+					  "7.7085014, 7.5296141, 7.2999141, 6.6679787, 6.0419564, 5.4188060, 3.9983386", \
+					  "7.7550770, 7.6300459, 7.2784643, 6.6990333, 6.0767502, 5.5128805, 3.6395826", \
+					  "7.8046051, 7.6839125, 7.3030540, 6.7259145, 6.1206587, 5.6527630, 3.5898519");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("6.7448829, 6.6519225, 6.4854064, 6.2701090, 6.0205309, 5.8294260, 5.7419204", \
+					  "6.5474396, 6.4582254, 6.2925142, 6.1189699, 5.9106955, 5.7294247, 5.6379822", \
+					  "9.0140950, 8.9236908, 8.6539317, 8.2578718, 7.9248353, 7.7699487, 7.7487660", \
+					  "9.0364964, 8.9268783, 8.6676265, 8.2620586, 7.9368257, 7.7358155, 7.7587858", \
+					  "9.0447727, 8.9328709, 8.6580968, 8.2574052, 7.9362307, 7.7386023, 7.6963583", \
+					  "9.0504635, 8.9059983, 8.6628794, 8.2561093, 7.9463163, 7.7330902, 7.6462300", \
+					  "9.0967919, 8.9673425, 8.7461817, 8.2433140, 7.8945314, 7.7420995, 7.6360862");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("10.3437208, 10.2268374, 9.9293381, 9.4130892, 8.7264392, 7.7597638, 6.2066192", \
+					  "21.5786652, 21.4574002, 21.0923512, 20.4638822, 19.8248182, 18.3202727, 17.1252112", \
+					  "22.6541090, 22.5265640, 22.1815760, 21.5401290, 20.8921270, 20.2256280, 18.1013540", \
+					  "32.5843690, 32.3731630, 32.0247440, 31.4549880, 30.7811350, 30.1308320, 28.9965810", \
+					  "42.2308200, 42.0658130, 41.6431130, 41.0558460, 40.3979840, 39.7546580, 39.0999840", \
+					  "51.6806350, 51.5240940, 51.0983230, 50.5444470, 49.7984330, 49.2105420, 48.4880400", \
+					  "61.0068870, 60.8732860, 60.4286000, 59.8845420, 59.1525300, 58.5188980, 57.7948240");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("10.4390439, 10.3603269, 10.2427739, 10.1869519, 10.0674109, 9.9218149, 9.8438429", \
+					  "19.3111165, 19.1958455, 18.9341665, 18.5074035, 18.1384245, 18.5449215, 18.4730575", \
+					  "38.8484240, 38.7348940, 38.4734610, 38.0442310, 37.6753420, 37.4509000, 37.9261000", \
+					  "48.8564120, 48.7425240, 48.4787180, 48.0531660, 47.6909870, 47.4542350, 47.8854070", \
+					  "58.9483250, 58.8388500, 58.5698500, 58.1474520, 57.7792470, 57.5332980, 57.7648030", \
+					  "69.1378140, 69.0281440, 68.7492700, 68.3225070, 67.9649950, 67.6680310, 67.4946070", \
+					  "79.2226840, 79.1022940, 78.9165680, 78.4314490, 78.0461880, 77.8061090, 77.6055120");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("11.9119964, 11.7932760, 11.5068426, 11.0072986, 10.3105805, 9.3589545, 7.7857499", \
+					  "50.1319632, 50.0020912, 49.6562362, 49.0395552, 48.4011912, 46.6262782, 45.5250092", \
+					  "73.6504820, 73.4793750, 73.1221330, 72.5109070, 71.8574440, 71.1689460, 69.3192520", \
+					  "105.1772400, 105.0779800, 104.7019600, 104.0920800, 103.4208200, 102.7746100, 100.4562300", \
+					  "136.0940000, 135.9403300, 135.7062900, 134.9945300, 134.2925800, 133.6405400, 132.7025400", \
+					  "166.7109900, 166.6450200, 166.3579000, 165.6337300, 164.9845700, 164.3151000, 163.5865200", \
+					  "197.3448100, 197.2088200, 196.8810900, 196.2877600, 195.6408600, 194.9504300, 194.4212300");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("10.4603669, 10.3644059, 10.2028979, 10.0232499, 9.8182629, 9.6438659, 9.5525189", \
+					  "25.2935875, 25.1802995, 24.9155225, 24.4891795, 24.1266075, 24.4469905, 24.3697845", \
+					  "51.7349740, 51.6254260, 51.3555270, 50.9287920, 50.5653540, 50.3232590, 50.7468550", \
+					  "68.9082680, 68.8214970, 68.5470780, 68.1028840, 67.7465790, 67.4394980, 67.7623580", \
+					  "86.1140530, 86.0327430, 85.8053000, 85.3928870, 85.0218090, 84.8052740, 84.4864180", \
+					  "103.4138000, 103.4376500, 103.1017300, 102.6732900, 102.3765200, 102.0381300, 101.7629000", \
+					  "121.0326700, 120.8505100, 120.5851300, 120.1810200, 119.8082600, 119.4912200, 119.1605600");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("28.0032841, 27.9033051, 27.6165061, 27.1562391, 26.9406951, 26.2309431, 24.5663941", \
+					  "27.9914180, 27.8738770, 27.6131650, 27.1539060, 26.9399210, 26.2331150, 24.5533800", \
+					  "28.0986309, 27.9757209, 27.6286939, 27.1823989, 26.9602809, 26.2545829, 24.5665739", \
+					  "28.1747779, 27.9776929, 27.6947399, 27.2168189, 27.0305489, 26.3302359, 24.6337769", \
+					  "28.1072500, 28.0624240, 27.7618890, 27.3391610, 27.0620730, 26.3828820, 24.8360500", \
+					  "28.1274430, 28.0382330, 27.8399650, 27.4545910, 27.0680130, 26.3515630, 24.8829470", \
+					  "28.2469741, 28.2752681, 27.8731311, 27.5682501, 27.1535311, 26.4612651, 24.9748071");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.09365009, 0.2865908, 0.8770339, 2.683926, 8.21343");
+					values("21.9689661, 21.8160721, 21.3843331, 20.5874891, 19.6652181, 18.7256631, 18.1722771", \
+					  "21.9818858, 21.7835558, 21.3976518, 20.5832398, 19.6394958, 18.7276488, 18.1735578", \
+					  "21.9620445, 21.7891065, 21.3914405, 20.5798815, 19.6330155, 18.7255315, 18.1688935", \
+					  "21.9612309, 21.7881319, 21.3613499, 20.5885719, 19.6627119, 18.7483669, 18.1321339", \
+					  "21.9559487, 21.8290137, 21.3819347, 20.5831377, 19.6405377, 18.7346817, 18.1758907", \
+					  "21.9730602, 21.7951562, 21.3704972, 20.5828792, 19.6445152, 18.7312522, 18.1596052", \
+					  "21.9792026, 21.8129986, 21.3781786, 20.5912506, 19.6479076, 18.7375236, 18.1681036");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("3.3358496, 3.3477234, 3.3762664, 3.4467433, 3.6255079, 4.1431369, 5.7337308", \
+					  "5.5376557, 5.5483431, 5.5777227, 5.6480784, 5.8269309, 6.3437295, 7.9356912", \
+					  "7.2594815, 7.2702151, 7.2994948, 7.3700415, 7.5486311, 8.0666140, 9.6482902", \
+					  "7.6384925, 7.6491258, 7.6777020, 7.7485387, 7.9279934, 8.4441165, 10.0293480", \
+					  "9.8194199, 9.8299475, 9.8589528, 9.9296624, 10.1084430, 10.6269690, 12.2122980", \
+					  "11.6515130, 11.6621570, 11.6907690, 11.7615790, 11.9410440, 12.4594440, 14.0503700", \
+					  "12.9869550, 12.9975810, 13.0262650, 13.0970540, 13.2765240, 13.7925170, 15.3817390", \
+					  "14.8823650, 14.8931380, 14.9216340, 14.9925070, 15.1719060, 15.6876860, 17.2764630", \
+					  "38.8281050, 38.8281079, 39.0432430, 39.0432454, 39.0432492, 39.5291020, 41.2351260", \
+					  "52.8432020, 52.8533660, 52.8824560, 53.0667530, 53.1317960, 53.6504000, 55.2020470", \
+					  "109.4961300, 109.4961358, 109.8758900, 109.8758965, 110.0494400, 110.3902600, 112.2012200", \
+					  "304.3261900, 304.3262177, 304.3262482, 304.5203400, 304.6236000, 304.8027400, 306.9054900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("0.1096277, 0.1211128, 0.1465731, 0.2194923, 0.4601901, 1.2526893, 3.7617283", \
+					  "0.1110394, 0.1210774, 0.1475880, 0.2197680, 0.4613779, 1.2588584, 3.7630832", \
+					  "0.1122140, 0.1203495, 0.1475534, 0.2197691, 0.4608684, 1.2526572, 3.7526080", \
+					  "0.1108050, 0.1208937, 0.1463176, 0.2199429, 0.4608875, 1.2566551, 3.7608241", \
+					  "0.1114958, 0.1217151, 0.1472837, 0.2194403, 0.4598565, 1.2598709, 3.7490038", \
+					  "0.1108002, 0.1208811, 0.1463511, 0.2199392, 0.4617423, 1.2568201, 3.7506314", \
+					  "0.1108007, 0.1207326, 0.1466867, 0.2198482, 0.4610938, 1.2556117, 3.7493848", \
+					  "0.1112806, 0.1208742, 0.1470377, 0.2197446, 0.4610993, 1.2574098, 3.7667256", \
+					  "0.1107931, 0.1208968, 0.1470407, 0.2197577, 0.4610034, 1.2575270, 3.7527657", \
+					  "0.1116127, 0.1217347, 0.1474654, 0.2194874, 0.4601961, 1.2595588, 3.7514600", \
+					  "0.1119979, 0.1211863, 0.1474966, 0.2197196, 0.4606398, 1.2589423, 3.7625063", \
+					  "0.1116144, 0.1216847, 0.1472693, 0.2195902, 0.4603192, 1.2595344, 3.7508339");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("1.9682869, 1.9785609, 2.0069584, 2.0782684, 2.2624276, 2.7875113, 4.3900359", \
+					  "3.8965897, 3.9069326, 3.9352357, 4.0068033, 4.1911310, 4.7160840, 6.3183707", \
+					  "5.2275229, 5.2379390, 5.2661795, 5.3380078, 5.5221334, 6.0469616, 7.6495388", \
+					  "5.5048845, 5.5154721, 5.5436713, 5.6149618, 5.7994600, 6.3243810, 7.9259719", \
+					  "7.1253039, 7.1351312, 7.1636670, 7.2357733, 7.4196964, 7.9440712, 9.5479589", \
+					  "8.2795397, 8.2896641, 8.3180574, 8.3902254, 8.5740375, 9.0988106, 10.7018200", \
+					  "9.1170973, 9.1273265, 9.1556851, 9.2274712, 9.4116075, 9.9364650, 11.5387590", \
+					  "10.1859340, 10.1962980, 10.2245490, 10.2963240, 10.4805580, 11.0053930, 12.6075910", \
+					  "21.1051900, 21.1216790, 21.1441990, 21.2001940, 21.3817120, 21.9312660, 23.5035100", \
+					  "26.4481490, 26.4547020, 26.4861060, 26.6253310, 26.7437930, 27.2632300, 28.8699800", \
+					  "45.1518570, 45.3560820, 45.3752270, 45.4723480, 45.6390520, 46.1672580, 47.7680440", \
+					  "101.1029300, 101.1449400, 101.1449471, 101.1449547, 101.3860800, 101.9415900, 103.4974000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306016, 0.0936458, 0.286571, 0.876953, 2.68362, 8.21229");
+					values("0.0926646, 0.1006698, 0.1244180, 0.1897488, 0.3992244, 1.0845486, 3.2406084", \
+					  "0.0926586, 0.1007895, 0.1244648, 0.1894612, 0.4009610, 1.0841753, 3.2433181", \
+					  "0.0921832, 0.1009203, 0.1236122, 0.1900490, 0.4004282, 1.0833902, 3.2472662", \
+					  "0.0927606, 0.1004246, 0.1243927, 0.1898151, 0.3997891, 1.0854841, 3.2467558", \
+					  "0.0926624, 0.1007925, 0.1244587, 0.1894275, 0.4009642, 1.0857377, 3.2490768", \
+					  "0.0922268, 0.1009185, 0.1236119, 0.1901105, 0.4003947, 1.0835058, 3.2491769", \
+					  "0.0926665, 0.1008041, 0.1244419, 0.1895374, 0.4009656, 1.0857544, 3.2449088", \
+					  "0.0929792, 0.1005705, 0.1242987, 0.1900245, 0.4003520, 1.0837217, 3.2435989", \
+					  "0.0927260, 0.1007554, 0.1244185, 0.1900242, 0.4003970, 1.0842448, 3.2462539", \
+					  "0.0928035, 0.1004848, 0.1234231, 0.1893613, 0.4005429, 1.0855065, 3.2477108", \
+					  "0.0926568, 0.1005141, 0.1244475, 0.1895615, 0.4007045, 1.0844839, 3.2441256", \
+					  "0.0922689, 0.1008005, 0.1238597, 0.1898883, 0.4010260, 1.0848480, 3.2452068");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.8604256, 0.8712480, 0.9002593, 0.9725434, 1.1516592, 1.6669748, 3.2501890", \
+					  "0.3634845, 0.3745191, 0.4039787, 0.4765609, 0.6557840, 1.1708403, 2.7535092", \
+					  "-0.4539665, -0.4429129, -0.4125440, -0.3403562, -0.1614031, 0.3538911, 1.9362292", \
+					  "-0.6622224, -0.6511734, -0.6207674, -0.5486318, -0.3696545, 0.1456935, 1.7300072", \
+					  "-2.0281252, -2.0170825, -1.9875925, -1.9150124, -1.7358267, -1.2207639, 0.3644333", \
+					  "-3.2675329, -3.2564695, -3.2260914, -3.1539031, -2.9749458, -2.4595819, -0.8756666", \
+					  "-4.2575561, -4.2464938, -4.2163211, -4.1443782, -3.9650567, -3.4498535, -1.8674724", \
+					  "-5.6960228, -5.6849054, -5.6595160, -5.5834137, -5.4039542, -4.8887547, -3.3038241", \
+					  "-28.1926840, -28.1869990, -28.1642420, -28.0798420, -27.8930850, -27.3840220, -25.8007430", \
+					  "-43.7112040, -43.7006840, -43.6711250, -43.5974100, -43.4195260, -42.9027480, -41.3164510", \
+					  "-114.3687200, -114.3657500, -114.3281100, -114.2630600, -114.0860500, -113.5934900, -112.0074300", \
+					  "-392.2299600, -392.2253900, -392.2253875, -392.1201100, -391.9439000, -391.4434500, -389.8347800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.1163918, 0.1253358, 0.1532248, 0.2244531, 0.4622132, 1.2565071, 3.7474224", \
+					  "0.1160918, 0.1264634, 0.1537648, 0.2257854, 0.4643718, 1.2554482, 3.7457419", \
+					  "0.1163729, 0.1264689, 0.1538497, 0.2254163, 0.4631814, 1.2553938, 3.7467616", \
+					  "0.1163080, 0.1263595, 0.1536717, 0.2254156, 0.4629559, 1.2549605, 3.7517753", \
+					  "0.1161548, 0.1265572, 0.1534808, 0.2258318, 0.4643198, 1.2553988, 3.7534091", \
+					  "0.1166237, 0.1262961, 0.1537102, 0.2254199, 0.4628711, 1.2547193, 3.7504848", \
+					  "0.1166882, 0.1267928, 0.1544419, 0.2246266, 0.4638008, 1.2555811, 3.7420609", \
+					  "0.1163287, 0.1263905, 0.1544399, 0.2252060, 0.4640088, 1.2557926, 3.7534993", \
+					  "0.1159472, 0.1268568, 0.1536530, 0.2249085, 0.4642563, 1.2533852, 3.7543573", \
+					  "0.1170862, 0.1260644, 0.1537931, 0.2251524, 0.4630800, 1.2564928, 3.7447858", \
+					  "0.1172994, 0.1261281, 0.1541884, 0.2254227, 0.4630685, 1.2563636, 3.7458134", \
+					  "0.1170835, 0.1264588, 0.1537552, 0.2257197, 0.4644160, 1.2563124, 3.7536743");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.8573288, 0.8679920, 0.8971820, 0.9703420, 1.1568854, 1.6826252, 3.2800131", \
+					  "2.7691169, 2.7799554, 2.8089484, 2.8820577, 3.0687273, 3.5945174, 5.1918772", \
+					  "4.5804991, 4.5912110, 4.6203610, 4.6934661, 4.8801132, 5.4059051, 7.0030852", \
+					  "4.9992162, 5.0099218, 5.0390474, 5.1122875, 5.2989244, 5.8245475, 7.4226492", \
+					  "7.5873618, 7.5979827, 7.6271274, 7.7002840, 7.8868760, 8.4128606, 10.0105900", \
+					  "9.7942259, 9.8048423, 9.8339816, 9.9071471, 10.0937290, 10.6197260, 12.2172670", \
+					  "11.5021750, 11.5128840, 11.5420310, 11.6151400, 11.8017840, 12.3276140, 13.9255470", \
+					  "13.9468400, 13.9573720, 13.9865310, 14.0598220, 14.2500270, 14.7720000, 16.3691530", \
+					  "49.6287280, 49.6369630, 49.6662020, 49.7404250, 49.9262820, 50.4540660, 52.0493590", \
+					  "73.4480780, 73.4575780, 73.4883440, 73.5608410, 73.7472660, 74.2738280, 75.8688190", \
+					  "180.0153500, 180.0258500, 180.0558400, 180.1295000, 180.3135800, 180.8397500, 182.4371200", \
+					  "592.2112800, 592.2113400, 592.2378300, 592.3292900, 592.5093700, 593.0451200, 594.6178000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.0964152, 0.1049898, 0.1285878, 0.1935211, 0.4029143, 1.0831548, 3.2365697", \
+					  "0.0968555, 0.1050992, 0.1285529, 0.1943923, 0.4037830, 1.0835891, 3.2338912", \
+					  "0.0963501, 0.1051192, 0.1286331, 0.1942748, 0.4037667, 1.0837315, 3.2301468", \
+					  "0.0966005, 0.1052156, 0.1290693, 0.1944591, 0.4042848, 1.0839244, 3.2342962", \
+					  "0.0965931, 0.1052160, 0.1287944, 0.1944815, 0.4037470, 1.0835113, 3.2303624", \
+					  "0.0965966, 0.1052290, 0.1288128, 0.1944854, 0.4037332, 1.0842075, 3.2354657", \
+					  "0.0963685, 0.1051065, 0.1286789, 0.1943052, 0.4037573, 1.0841228, 3.2276106", \
+					  "0.0967978, 0.1052493, 0.1287125, 0.1944327, 0.4037927, 1.0830928, 3.2317098", \
+					  "0.0964557, 0.1053271, 0.1290021, 0.1944774, 0.4034214, 1.0841898, 3.2350427", \
+					  "0.0966888, 0.1052582, 0.1292659, 0.1945322, 0.4038328, 1.0841875, 3.2345526", \
+					  "0.0967925, 0.1054107, 0.1291921, 0.1946015, 0.4036814, 1.0840735, 3.2333931", \
+					  "0.0968787, 0.1054216, 0.1296280, 0.1946771, 0.4037736, 1.0834472, 3.2252603");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.8727252, 0.8837380, 0.9131875, 0.9853813, 1.1642272, 1.6796405, 3.2670746", \
+					  "1.4222515, 1.4332869, 1.4636917, 1.5357799, 1.7148132, 2.2301501, 3.8141135", \
+					  "1.6918990, 1.7029407, 1.7324363, 1.8050140, 1.9842028, 2.4992524, 4.0836019", \
+					  "1.7459716, 1.7568889, 1.7866078, 1.8589182, 2.0381650, 2.5532125, 4.1396273", \
+					  "2.0358721, 2.0454574, 2.0767830, 2.1490167, 2.3280640, 2.8424023, 4.4294395", \
+					  "2.2509843, 2.2720288, 2.2917359, 2.3641169, 2.5430340, 3.0630314, 4.6403015", \
+					  "2.4199180, 2.4283162, 2.4608526, 2.5330174, 2.7121634, 3.2257590, 4.8143849", \
+					  "2.6343987, 2.6345142, 2.6748963, 2.7472731, 2.9244951, 3.4383980, 5.0238733", \
+					  "5.1952371, 5.2061151, 5.2354978, 5.3079798, 5.4872480, 6.0038334, 7.5857152", \
+					  "6.7239001, 6.7239006, 6.7601342, 6.8309394, 7.0164465, 7.5261388, 9.0980681", \
+					  "13.0543010, 13.1125130, 13.1404030, 13.1672330, 13.3776460, 13.8912170, 15.5044780", \
+					  "36.2955890, 36.2955914, 36.3029220, 36.3905000, 36.6040210, 37.0851050, 38.6008100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.1156981, 0.1258481, 0.1524752, 0.2241697, 0.4622899, 1.2556898, 3.7517980", \
+					  "0.1161970, 0.1264087, 0.1536527, 0.2253840, 0.4630210, 1.2571770, 3.7493120", \
+					  "0.1161732, 0.1265737, 0.1533168, 0.2258317, 0.4643230, 1.2555761, 3.7600389", \
+					  "0.1160514, 0.1263642, 0.1537818, 0.2257520, 0.4643936, 1.2552135, 3.7647894", \
+					  "0.1170723, 0.1266933, 0.1538024, 0.2249923, 0.4630384, 1.2564892, 3.7325321", \
+					  "0.1155691, 0.1267418, 0.1537162, 0.2252406, 0.4633217, 1.2564836, 3.7510870", \
+					  "0.1170921, 0.1264426, 0.1538256, 0.2251276, 0.4629673, 1.2533227, 3.7398769", \
+					  "0.1155223, 0.1264835, 0.1537187, 0.2253932, 0.4633680, 1.2553973, 3.7514396", \
+					  "0.1172514, 0.1270513, 0.1537106, 0.2252745, 0.4632703, 1.2533863, 3.7467052", \
+					  "0.1155061, 0.1268187, 0.1537880, 0.2250030, 0.4635611, 1.2564386, 3.7490944", \
+					  "0.1155389, 0.1267802, 0.1534086, 0.2253010, 0.4643878, 1.2551875, 3.7549062", \
+					  "0.1163831, 0.1263899, 0.1531940, 0.2250145, 0.4633858, 1.2553051, 3.7450443");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.7134151, 0.7241166, 0.7531716, 0.8264031, 1.0128887, 1.5386770, 3.1360923", \
+					  "2.0673763, 2.0780004, 2.1071604, 2.1803317, 2.3669580, 2.8931142, 4.4896931", \
+					  "3.2692020, 3.2800525, 3.3090464, 3.3821692, 3.5688232, 4.0946335, 5.6926384", \
+					  "3.5504280, 3.5611445, 3.5903078, 3.6634229, 3.8501278, 4.3758530, 5.9739049", \
+					  "5.2681184, 5.2737557, 5.3029030, 5.3761000, 5.5627369, 6.0928800, 7.6862978", \
+					  "6.7174999, 6.7381918, 6.7673454, 6.8404638, 7.0271553, 7.5509075, 9.1509298", \
+					  "7.8527309, 7.8623419, 7.8920559, 7.9646902, 8.1513143, 8.6773069, 10.2748300", \
+					  "9.4674952, 9.4778585, 9.4930863, 9.5801349, 9.7668318, 10.2910520, 11.8905530", \
+					  "32.8578160, 32.9073870, 32.9504740, 33.0091080, 33.1948090, 33.7204150, 35.3123970", \
+					  "48.5195420, 48.5368080, 48.5715860, 48.6394570, 48.8264470, 49.3530620, 50.9494380", \
+					  "118.3632100, 118.3632165, 118.3850600, 118.4502700, 118.6597400, 119.1875900, 120.7791300", \
+					  "388.4198300, 388.4471500, 388.5346000, 388.5945700, 388.8031300, 389.2665200, 390.9180700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305909, 0.0935804, 0.286271, 0.87573, 2.67894, 8.19512");
+					values("0.0970483, 0.1048780, 0.1289912, 0.1942014, 0.4032739, 1.0829840, 3.2364611", \
+					  "0.0966484, 0.1052562, 0.1288481, 0.1945353, 0.4034120, 1.0835778, 3.2353638", \
+					  "0.0969080, 0.1051515, 0.1286203, 0.1944526, 0.4034330, 1.0836516, 3.2313670", \
+					  "0.0966737, 0.1052666, 0.1287131, 0.1941827, 0.4039034, 1.0837121, 3.2342993", \
+					  "0.0967504, 0.1052010, 0.1288110, 0.1943068, 0.4034059, 1.0841420, 3.2383258", \
+					  "0.0963910, 0.1051181, 0.1286329, 0.1943806, 0.4038491, 1.0841428, 3.2346505", \
+					  "0.0966295, 0.1051810, 0.1288084, 0.1942616, 0.4033869, 1.0837135, 3.2305676", \
+					  "0.0964093, 0.1052041, 0.1288964, 0.1942952, 0.4038641, 1.0842388, 3.2335945", \
+					  "0.0966348, 0.1052598, 0.1288103, 0.1942056, 0.4038882, 1.0836971, 3.2370484", \
+					  "0.0969822, 0.1052095, 0.1287623, 0.1943283, 0.4038585, 1.0841570, 3.2307519", \
+					  "0.0966589, 0.1052740, 0.1289596, 0.1943897, 0.4038767, 1.0843044, 3.2326213", \
+					  "0.0966513, 0.1053001, 0.1288946, 0.1945607, 0.4038341, 1.0837874, 3.2326162");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("4.6086606, 4.6197544, 4.6491642, 4.7222781, 4.9024860, 5.4175668, 7.0012196", \
+					  "5.1558531, 5.1666387, 5.1963549, 5.2694711, 5.4496785, 5.9647589, 7.5482980", \
+					  "5.7174439, 5.7269420, 5.7579439, 5.8308527, 6.0112688, 6.5263519, 8.1099802", \
+					  "6.2090342, 6.2440701, 6.2734773, 6.3226357, 6.5028543, 7.0179413, 8.6015408", \
+					  "6.6900121, 6.7206098, 6.7500614, 6.8038712, 6.9837465, 7.4992341, 9.0847303", \
+					  "7.1276713, 7.1546813, 7.1840649, 7.2412383, 7.4214119, 7.9364586, 9.5189989", \
+					  "7.5388407, 7.5602564, 7.5901596, 7.6524227, 7.8325746, 8.3476035, 9.9307611");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("0.1166520, 0.1269798, 0.1530756, 0.2263369, 0.4643287, 1.2572925, 3.7511172", \
+					  "0.1166633, 0.1270004, 0.1530346, 0.2263357, 0.4643551, 1.2572871, 3.7500851", \
+					  "0.1166884, 0.1269995, 0.1529793, 0.2263421, 0.4644011, 1.2573140, 3.7510079", \
+					  "0.1166896, 0.1269976, 0.1529546, 0.2263353, 0.4644225, 1.2573140, 3.7508228", \
+					  "0.1166176, 0.1269487, 0.1533183, 0.2263286, 0.4632693, 1.2576356, 3.7523109", \
+					  "0.1164500, 0.1266639, 0.1541103, 0.2263557, 0.4637131, 1.2573483, 3.7482515", \
+					  "0.1163947, 0.1267744, 0.1541298, 0.2263536, 0.4634181, 1.2573276, 3.7506447");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("3.8554799, 3.8686805, 3.9040535, 3.9907571, 4.1991477, 4.7391508, 6.3348189", \
+					  "4.3196877, 4.3329851, 4.3685651, 4.4557487, 4.6634043, 5.2041247, 6.7993247", \
+					  "4.6354218, 4.6512629, 4.6868451, 4.7740234, 4.9816813, 5.5224056, 7.1176047", \
+					  "4.8960827, 4.9158784, 4.9517448, 5.0388254, 5.2463056, 5.7870317, 7.3823636", \
+					  "5.1173439, 5.1292086, 5.1646887, 5.2523688, 5.4596732, 6.0003775, 7.5955553", \
+					  "5.3151776, 5.3284568, 5.3661675, 5.4532356, 5.6588609, 6.2015344, 7.7967695", \
+					  "5.4977187, 5.5109690, 5.5533151, 5.6338134, 5.8413301, 6.3886403, 7.9840543");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0306023, 0.0936501, 0.286591, 0.877034, 2.68393, 8.21343");
+					values("0.1911084, 0.1999767, 0.2274443, 0.2915190, 0.4790159, 1.1268286, 3.2417720", \
+					  "0.1907357, 0.2007021, 0.2274491, 0.2915145, 0.4786715, 1.1268448, 3.2471448", \
+					  "0.1899559, 0.2006533, 0.2274448, 0.2915190, 0.4787029, 1.1268296, 3.2471135", \
+					  "0.1907395, 0.1999808, 0.2278852, 0.2913864, 0.4790477, 1.1267970, 3.2461231", \
+					  "0.1901506, 0.2020268, 0.2269368, 0.2907853, 0.4791709, 1.1232765, 3.2460761", \
+					  "0.1907619, 0.2005061, 0.2272886, 0.2914832, 0.4790798, 1.1268336, 3.2472944", \
+					  "0.1899445, 0.2008014, 0.2267246, 0.2912299, 0.4785118, 1.1249663, 3.2433651");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.040764;
+			max_capacitance : 551.041000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("56.3640896, 56.6448856, 58.5025846, 68.3196896, 96.7542496, 164.2380986, 212.8138786", \
+					  "56.2075509, 56.4968789, 58.3653669, 68.1819239, 96.6298279, 164.0699599, 212.3761199", \
+					  "56.1232632, 56.4142252, 58.2672152, 68.3374032, 96.5266592, 163.8886412, 212.7219512", \
+					  "56.1060169, 56.4798919, 58.4239649, 68.4033159, 96.7112269, 164.0585209, 212.5529509", \
+					  "56.1468965, 56.5076765, 58.4125245, 68.3632175, 96.7898745, 164.1333825, 212.6026725", \
+					  "56.3037812, 56.6994822, 58.5463972, 68.3384182, 96.2086522, 164.2316122, 212.5737722", \
+					  "56.4705539, 56.8232409, 58.5737919, 68.5850889, 96.4236469, 164.3260119, 212.7064319");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9529717, 71.2327347, 70.9698817, 70.8504987, 63.5047077, 65.9006867, -131.5473703", \
+					  "71.3080195, 71.0811245, 71.1642865, 71.3571255, 70.8818045, 57.4408795, -106.9447625", \
+					  "70.8180752, 71.2206282, 70.7872042, 71.9123942, 71.9355632, 67.1549712, -114.5297548", \
+					  "71.1660252, 71.2456762, 71.1983742, 71.4717872, 71.5750862, 60.3034432, -132.0621148", \
+					  "71.1278399, 71.1743579, 71.1476849, 71.3361109, 71.5778959, 60.3716449, -132.7523281", \
+					  "71.1601799, 71.2084339, 71.1647949, 71.4052409, 71.5195869, 60.4418859, -131.2826081", \
+					  "71.1654529, 71.1599919, 71.1825849, 71.4031369, 71.5260669, 60.0453819, -132.3994581");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5808513, 3.5811686, 3.5810487, 3.5813425, 3.5818920, 3.5818894, 3.5819037", \
+					  "22.7331209, 22.7799519, 23.0124849, 24.1427539, 26.3006979, 27.3219249, 27.5337659", \
+					  "22.7301799, 22.7856479, 23.0143899, 24.1386319, 26.3095509, 27.3317459, 27.5464179", \
+					  "22.7503585, 22.7917235, 23.0302665, 24.1510405, 26.3178215, 27.3407915, 27.5541985", \
+					  "22.7607365, 22.8012595, 23.0390205, 24.1601495, 26.3270305, 27.3492155, 27.5622445", \
+					  "22.7593421, 22.8011261, 23.0371081, 24.1617431, 26.3243111, 27.3473261, 27.5596201", \
+					  "22.7667688, 22.8138108, 23.0447758, 24.1638838, 26.3325038, 27.3553748, 27.5674978");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.3652858, 64.3874418, 64.6811788, 65.4513678, 66.5673368, 64.0001128, -23.4569462", \
+					  "64.3219848, 64.3612588, 64.7314968, 65.3530698, 65.9068278, 64.5042698, -19.5785082", \
+					  "64.4332267, 64.4063447, 64.7300027, 65.6518907, 66.0592037, 61.2802557, -22.0150403", \
+					  "64.4753739, 64.5235229, 64.8099609, 65.6420169, 66.4192499, 63.7744759, -14.4562881", \
+					  "64.5335801, 64.5722991, 64.8228001, 65.5775501, 66.0801281, 62.4954881, -22.2157589", \
+					  "64.6647463, 64.7130063, 64.9506703, 65.7215953, 66.8655973, 62.4345443, -23.4423987", \
+					  "64.7660345, 64.7964895, 65.0547965, 65.9110485, 66.3592395, 63.0483385, -21.2402945");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.6744787, 71.7184917, 71.9716507, 72.9801217, 73.4245577, 71.0298137, -15.5438223", \
+					  "71.4925385, 71.6185345, 72.0270415, 73.1949815, 72.7806535, 69.7636225, -6.7554558", \
+					  "71.5788352, 71.5809792, 71.9357022, 72.9213032, 73.1625402, 65.6244172, -11.6459098", \
+					  "71.5785142, 71.6269962, 71.9279432, 72.8755672, 73.3474052, 70.1739082, -12.4853138", \
+					  "71.6018629, 71.6468139, 71.9509659, 72.8096199, 73.5847289, 70.5899779, -7.7891426", \
+					  "71.6323649, 71.6793969, 71.9412779, 72.8597649, 73.8310789, 70.0585479, -13.1246621", \
+					  "71.6545889, 71.6994909, 72.0014439, 72.8701439, 73.8564599, 69.2387579, -5.4243663");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.0040666, 42.1334006, 42.3033096, 42.5296526, 42.4926166, 31.6169936, 28.4464786", \
+					  "41.9513649, 42.0257979, 42.2749079, 42.2593469, 44.7928939, 46.4506749, 59.7798739", \
+					  "41.5107062, 41.5222692, 42.1398312, 42.7132262, 47.6266332, 47.4046892, 46.6749742", \
+					  "41.7501499, 41.7991909, 42.0881609, 43.4412799, 45.0621569, 45.8699519, 45.9883989", \
+					  "41.8721485, 41.8674745, 42.1386225, 43.1364355, 45.2721995, 45.9796085, 45.9474155", \
+					  "41.9585482, 42.0002052, 42.1958232, 43.2353912, 45.2031642, 46.0633342, 46.0806812", \
+					  "42.0415199, 42.0875209, 42.3050829, 43.3252739, 45.2884189, 46.1710189, 46.1694539");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3683438, 3.3682965, 3.3684225, 3.3683119, 3.3687510, 3.3683291, 3.3680712", \
+					  "16.3189329, 16.3186649, 16.3305279, 16.3088239, 16.3055579, 16.3043659, 16.3045239", \
+					  "16.3385379, 16.3346549, 16.3413899, 16.3278669, 16.3215859, 16.3167649, 16.3182899", \
+					  "16.3410915, 16.3371675, 16.3356345, 16.3276235, 16.3191685, 16.3198295, 16.3157405", \
+					  "16.3515265, 16.3508085, 16.3478935, 16.3397995, 16.3318995, 16.3306145, 16.3299015", \
+					  "16.3457761, 16.3430471, 16.3419471, 16.3312371, 16.3241601, 16.3240681, 16.3215581", \
+					  "16.3510018, 16.3559348, 16.3476568, 16.3438218, 16.3368878, 16.3296468, 16.3344648");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("28.0203468, 28.0428038, 28.1175938, 27.9754138, 29.0821448, 40.7691708, 27.4131758", \
+					  "28.0189298, 27.9512898, 28.0803308, 28.0203648, 28.8777108, 33.3240268, 26.8417888", \
+					  "28.1758057, 27.8452737, 28.0597407, 29.1748957, 30.6014277, 33.1486127, 26.8196167", \
+					  "28.1342289, 28.1325549, 28.1832139, 27.7714959, 28.9704329, 25.6192129, 27.7836979", \
+					  "28.2291201, 28.2650081, 28.2377731, 28.2835731, 28.1973771, 28.3912561, 28.0386531", \
+					  "28.3008543, 28.2881613, 28.3067393, 28.3174603, 28.3580253, 28.3198023, 28.0272513", \
+					  "28.3778985, 28.3807905, 28.3823105, 28.3864635, 28.3979005, 28.4028635, 28.1624875");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("90.9034856, 92.0744446, 98.8744636, 132.2691086, 174.3036686, 195.6042286, 200.6290586", \
+					  "90.7939339, 91.9927109, 99.0787159, 132.9561399, 173.8670399, 195.6476499, 201.1907799", \
+					  "90.6206132, 91.7655862, 98.9848672, 133.0329812, 173.8797012, 195.3789612, 200.3985712", \
+					  "90.5443409, 91.5486139, 99.0314819, 132.9372609, 174.0244109, 195.4938509, 200.7405409", \
+					  "90.8750715, 92.0500895, 98.5050995, 132.2429525, 173.9477725, 195.5655825, 200.7994625", \
+					  "91.2053142, 92.1772522, 98.6000932, 133.2043022, 174.0430322, 195.6496322, 200.8895022", \
+					  "90.9950799, 92.2676519, 99.4070109, 133.3192619, 174.1210919, 195.7300419, 200.9576419");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4474407, 3.4471794, 3.4473804, 3.4473796, 3.4478885, 3.4493433, 3.4484388", \
+					  "22.4476245, 22.4452455, 22.4325395, 22.3924845, 22.3404945, 22.3003155, 22.2891855", \
+					  "22.4987682, 22.5040192, 22.4868212, 22.4549562, 22.3869412, 22.3535552, 22.3405552", \
+					  "22.5299052, 22.5273572, 22.5167332, 22.4767272, 22.4160472, 22.3783272, 22.3610872", \
+					  "22.5003559, 22.4985599, 22.4854219, 22.4336559, 22.3851879, 22.3432539, 22.3276609", \
+					  "22.5091739, 22.5013069, 22.4959969, 22.4675279, 22.4084589, 22.3639909, 22.3512169", \
+					  "22.5264879, 22.5252879, 22.5138889, 22.4757979, 22.4191629, 22.3721499, 22.3661739");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3683132, 3.3681424, 3.3681224, 3.3686491, 3.3680032, 3.3683163, 3.3686647", \
+					  "16.3242479, 16.3238449, 16.3321389, 16.3075999, 16.3077039, 16.3085679, 16.3085919", \
+					  "16.3359909, 16.3208419, 16.3404819, 16.3272309, 16.3184479, 16.3180449, 16.3178889", \
+					  "16.3394665, 16.3400785, 16.3335145, 16.3276615, 16.3253475, 16.3178235, 16.3174335", \
+					  "16.3514535, 16.3506775, 16.3473815, 16.3402935, 16.3315825, 16.3303195, 16.3297935", \
+					  "16.3453791, 16.3452431, 16.3420151, 16.3308821, 16.3240351, 16.3236831, 16.3237781", \
+					  "16.3510748, 16.3504868, 16.3473118, 16.3434988, 16.3364648, 16.3292588, 16.3289728");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.9954458, 27.8643668, 27.9284248, 27.9403618, 26.8279498, 28.1126958, 27.5608548", \
+					  "28.1575568, 27.7105608, 28.0333058, 28.0223958, 27.5674508, 31.1845538, 27.3847218", \
+					  "28.1308957, 27.9856677, 28.0200917, 28.9691767, 27.3210447, 31.3830807, 26.7520107", \
+					  "28.1822509, 28.1575189, 28.2227459, 28.1015899, 27.2681489, 27.7403369, 27.9031359", \
+					  "28.2149981, 28.2416021, 28.2403911, 28.2528451, 28.2976321, 28.2365191, 28.0405751", \
+					  "28.3120963, 28.2982813, 28.2967443, 28.3230633, 28.3587243, 28.3702893, 28.0497573", \
+					  "28.3803115, 28.3719155, 28.3828665, 28.3893585, 28.4079055, 28.4334945, 28.1616375");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("52.4338796, 52.7162476, 54.3646446, 63.3350656, 108.3832986, 183.8617586, 207.6044886", \
+					  "52.2783749, 52.5646489, 54.1324369, 63.2064109, 108.0500999, 183.8333199, 207.7322499", \
+					  "52.1863742, 52.4589762, 54.0986572, 63.0921542, 108.3849312, 183.6690512, 207.5020412", \
+					  "52.1879599, 52.5371669, 54.2007319, 63.2955959, 108.6797409, 183.7002709, 207.4036109", \
+					  "52.2194785, 52.5100835, 54.2681555, 63.4081675, 108.7249025, 183.7674725, 207.5819225", \
+					  "52.3357922, 52.5819462, 54.4441992, 63.4248352, 108.4199222, 183.8567822, 207.5643022", \
+					  "52.4328119, 52.6905989, 54.4466419, 63.5761579, 108.4617119, 183.9490919, 207.7103319");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4485102, 3.4485369, 3.4504073, 3.4482508, 3.4486581, 3.4486650, 3.4476890", \
+					  "23.1153785, 23.1057575, 23.0901885, 23.0499325, 22.9993235, 22.9618895, 22.9604185", \
+					  "23.1417962, 23.1494772, 23.1417642, 23.0941362, 23.0336102, 23.0052942, 22.9931662", \
+					  "23.1734252, 23.1625762, 23.1582602, 23.1078182, 23.0551292, 23.0178552, 23.0191672", \
+					  "23.1399379, 23.1369819, 23.1243359, 23.0825569, 23.0268629, 22.9878859, 22.9740599", \
+					  "23.1513529, 23.1613949, 23.1302099, 23.1035419, 23.0484379, 23.0089279, 22.9969899", \
+					  "23.1622629, 23.1814869, 23.1511079, 23.1229709, 23.0577779, 23.0205979, 23.0083299");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5766286, 3.5828092, 3.5832685, 3.5820860, 3.5825878, 3.5843277, 3.5822790", \
+					  "22.7893779, 22.8355599, 23.0742669, 24.1935679, 26.3600919, 27.3779179, 27.5857169", \
+					  "22.7802159, 22.8402659, 23.0579349, 24.1902209, 26.3587899, 27.3714759, 27.5828899", \
+					  "22.8025775, 22.8399855, 23.0796495, 24.1969625, 26.3657895, 27.3901095, 27.5994855", \
+					  "22.8113575, 22.8510705, 23.0888925, 24.2099965, 26.3759105, 27.3993695, 27.6107315", \
+					  "22.8088921, 22.8497561, 23.0863081, 24.2063891, 26.3726201, 27.3962541, 27.6085641", \
+					  "22.8155168, 22.8556218, 23.0931818, 24.2139428, 26.3804718, 27.4043998, 27.6162578");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.6085678, 87.7135678, 87.8533168, 87.9761418, 90.9208978, 90.9653068, 6.7120055", \
+					  "87.7842308, 87.8415038, 87.8839148, 87.9871168, 90.6919398, 89.9652498, 2.9821497", \
+					  "87.8287277, 87.8766057, 87.9058877, 88.0409537, 90.7241677, 90.3566147, 10.7094097", \
+					  "87.9488749, 87.8545549, 87.9993479, 88.1569789, 90.8211329, 90.0254289, 8.6703241", \
+					  "87.9324111, 87.9387951, 88.0346491, 88.1683131, 90.9119381, 90.6328211, 8.8792690", \
+					  "87.9258753, 88.0025163, 88.1819413, 88.3061413, 91.0096793, 90.1701083, 3.5544234", \
+					  "88.0790035, 88.1460685, 88.2437545, 88.3360765, 91.1081085, 90.7375095, 2.7332281");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.6834948, 61.1348488, 61.3373818, 60.5866418, 61.0605678, 47.6499728, -147.1315302", \
+					  "61.3702488, 61.4601138, 61.3217038, 61.2142688, 61.9845068, 55.6528808, -152.5828802", \
+					  "61.3563957, 60.8540757, 61.3117377, 60.8479487, 58.7824477, 42.8332057, -183.3280403", \
+					  "61.7412559, 60.9882189, 60.0946979, 59.6983829, 59.0781009, 47.2443209, -143.0297131", \
+					  "61.3407311, 61.3718311, 61.2026891, 60.9353261, 59.1156711, 44.4332181, -147.8173539", \
+					  "61.4567993, 61.4460713, 61.3134343, 60.8414923, 59.1074353, 45.6860323, -147.0916867", \
+					  "61.5224065, 61.4147225, 61.4154155, 61.0111975, 59.0854325, 45.5707915, -146.9310195");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5804302, 3.5804292, 3.5806391, 3.5805861, 3.5807089, 3.5808531, 3.5808737", \
+					  "15.7936999, 15.7945949, 15.7991369, 15.8123689, 15.8229749, 15.8254709, 15.8269549", \
+					  "15.7921069, 15.7909999, 15.7952479, 15.8178859, 15.8211039, 15.8332159, 15.8322779", \
+					  "15.8015185, 15.8002255, 15.8073705, 15.8258595, 15.8353005, 15.8423915, 15.8410125", \
+					  "15.8102565, 15.8111995, 15.8164475, 15.8316385, 15.8449835, 15.8490215, 15.8507215", \
+					  "15.8078571, 15.8101231, 15.8157161, 15.8292721, 15.8420091, 15.8457561, 15.8503221", \
+					  "15.8138588, 15.8214908, 15.8271048, 15.8367378, 15.8493878, 15.8537798, 15.8615308");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.4669256, 34.3392736, 34.7316336, 34.5825026, 36.1345706, 69.6917516, 35.4972296", \
+					  "34.3657489, 34.0798279, 34.5939579, 34.5308729, 35.5771269, 74.2921409, 35.8257469", \
+					  "34.1351682, 34.0016052, 34.2541602, 33.6240212, 34.8485142, 14.7621282, 35.5679182", \
+					  "34.3727279, 34.3934169, 34.3584859, 34.0530879, 34.0519639, 34.5938879, 34.1512669", \
+					  "34.4602225, 34.4546715, 34.4095515, 34.4658085, 34.4342435, 34.4259585, 34.3165775", \
+					  "34.5483952, 34.5356312, 34.5481912, 34.5522392, 34.5830622, 34.6110332, 34.3897892", \
+					  "34.6212019, 34.6215349, 34.6173959, 34.6621999, 34.6825729, 34.6383649, 34.4410649");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.5709817, 68.4334387, 68.4211017, 67.9091987, 66.1531307, 51.2736447, -141.5505103", \
+					  "68.0338185, 68.2986065, 67.9277575, 67.9962935, 63.7218585, 41.2550905, -137.7781025", \
+					  "68.4191892, 68.3543932, 68.3172352, 67.8946292, 65.9549932, 52.5222802, -137.6852148", \
+					  "68.3888992, 68.4022702, 68.3045082, 67.9576472, 65.8563972, 52.7489792, -141.2763548", \
+					  "68.4342309, 68.3784769, 68.3158389, 67.9741129, 66.1648379, 52.6490659, -139.9304881", \
+					  "68.4096649, 68.3517189, 68.3140469, 67.9227009, 66.1769509, 52.7567909, -140.1253281", \
+					  "68.4388139, 68.4509229, 68.3438969, 68.0952209, 66.0549159, 52.7971979, -140.7765781");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.5199006, 34.1441006, 34.8198966, 34.7908846, 36.0034986, 73.9669916, 35.6153536", \
+					  "34.4636249, 34.3247879, 34.6167909, 34.6168429, 35.5739559, 81.5243689, 35.5574929", \
+					  "34.1395082, 34.0065662, 34.2633432, 33.6407202, 34.8535592, 4.6418472, 33.1109012", \
+					  "34.3622259, 34.3726619, 34.3913109, 34.5076759, 34.4756909, 35.8403499, 34.1954469", \
+					  "34.4598485, 34.4565075, 34.4109325, 34.4700695, 34.4206785, 34.3774525, 34.3202415", \
+					  "34.5165492, 34.5324572, 34.5463412, 34.5525102, 34.5852512, 34.6283102, 34.3248412", \
+					  "34.6328799, 34.6432539, 34.6589039, 34.6573189, 34.6874129, 34.6484269, 34.4388959");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.5643547, 68.4351317, 68.4214557, 67.9146317, 66.1437287, 52.3399237, -141.8182403", \
+					  "68.0300645, 68.3003975, 67.9276255, 67.9670455, 63.6470155, 41.5801565, -136.9761625", \
+					  "68.8172242, 68.3530332, 68.3173882, 67.8956282, 65.8811842, 49.7924882, -143.1277948", \
+					  "68.4194162, 68.4072872, 68.3039742, 67.9357342, 66.0639462, 52.6275172, -140.6007248", \
+					  "68.4236899, 68.3790729, 68.3193569, 67.9523239, 66.1652889, 52.6729879, -138.9216981", \
+					  "68.4126909, 68.3762819, 68.4878459, 67.9289939, 66.1702829, 52.6083539, -140.4175381", \
+					  "68.4333949, 68.4453089, 68.3465199, 67.9316989, 66.1659519, 52.8535659, -140.9841781");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.1659407, 71.2254487, 71.5348057, 72.3704357, 72.9958587, 69.4270327, -10.5058643", \
+					  "71.1459955, 71.1784905, 71.5229095, 72.4195255, 73.4718235, 65.3501875, -6.6239308", \
+					  "71.0710402, 71.1905692, 71.4748282, 72.5178542, 72.9482952, 65.2477952, -12.9538178", \
+					  "71.1163212, 71.1711392, 71.4721062, 72.5048982, 72.8243652, 69.8071532, -6.4192368", \
+					  "71.1388099, 71.1800619, 71.5041639, 72.5147369, 73.1207729, 69.2777989, -8.5497555", \
+					  "71.1623179, 71.2015919, 71.4754139, 72.4158769, 73.0665099, 69.8729949, -12.7551981", \
+					  "71.2061489, 71.2287769, 71.5054099, 72.4118789, 73.2580289, 70.5234799, -17.3562291");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3643685, 5.3631351, 5.3622604, 5.3531843, 5.3427348, 5.3452913, 5.3397554", \
+					  "27.9389619, 27.9794979, 28.2145679, 29.3351479, 31.4820659, 32.4967779, 32.7072039", \
+					  "27.9075212, 27.9580972, 28.1935792, 29.3368922, 31.4881882, 32.5187322, 32.7294172", \
+					  "27.9682649, 28.0056529, 28.2385549, 29.3700529, 31.5367639, 32.5548179, 32.7643549", \
+					  "28.0559515, 28.0963025, 28.3354065, 29.4597475, 31.6219445, 32.6388635, 32.8499905", \
+					  "28.1458412, 28.1860712, 28.4234412, 29.5505742, 31.7109222, 32.7311822, 32.9416562", \
+					  "28.2279079, 28.2684689, 28.5071639, 29.6304339, 31.7936339, 32.8124749, 33.0229009");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.9944966, 64.3767746, 66.5673066, 77.4752756, 107.7149286, 175.8015686, 224.3716486", \
+					  "63.8491949, 64.1625769, 66.3923759, 77.2988189, 107.6335899, 175.6741699, 224.3269199", \
+					  "63.7491422, 64.1007292, 66.3134732, 77.2957652, 107.4780612, 175.5958712, 224.2109512", \
+					  "63.8654039, 64.2210569, 66.3670279, 77.1888369, 107.6174309, 175.6767309, 224.2868809", \
+					  "63.9472295, 64.2571345, 66.4012245, 77.2733685, 107.3953125, 175.8026125, 224.3866525", \
+					  "63.9642502, 64.3954062, 66.5545332, 77.2756932, 107.2252822, 175.8579622, 224.3963322", \
+					  "64.0882629, 64.4139609, 66.5672309, 77.5981989, 107.1777019, 175.9464619, 224.4967919");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("97.8550427, 97.9758557, 98.1302877, 98.8152197, 103.4371397, 105.7537697, 27.7502497", \
+					  "97.8333355, 97.9685815, 98.1095245, 98.7534955, 103.8582375, 104.6053675, 22.0811765", \
+					  "97.8238062, 97.8393982, 98.0769302, 98.7425642, 103.3565852, 105.9901652, 26.0140882", \
+					  "97.7257512, 97.9089452, 98.0045632, 98.6835412, 103.3794052, 104.5301252, 22.6588072", \
+					  "97.7910249, 97.8350249, 98.0809319, 98.6499359, 103.4932919, 105.9309519, 26.3339069", \
+					  "97.7404529, 97.7728019, 98.0715079, 98.7433449, 103.7119219, 105.3653019, 26.3091339", \
+					  "97.7399519, 97.7976349, 98.1330129, 98.6758419, 103.8662519, 104.7204819, 22.2410669");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3686381, 3.3688389, 3.3711844, 3.3681582, 3.3681281, 3.3691390, 3.3686686", \
+					  "17.9776319, 17.9745599, 17.9678779, 17.9402559, 17.9015899, 17.8663599, 17.8552449", \
+					  "18.1669929, 18.1561709, 18.1698589, 18.0489259, 18.0545829, 18.0116399, 18.0150399", \
+					  "18.1692975, 18.1676755, 18.1477105, 18.1164665, 18.0525335, 18.0260715, 18.0116045", \
+					  "18.1763775, 18.1699585, 18.1628275, 18.1221935, 18.0665205, 18.0323835, 18.0169165", \
+					  "18.1758261, 18.1748231, 18.1612871, 18.1224241, 18.0693301, 18.0298521, 18.0159731", \
+					  "18.1846428, 18.1844398, 18.1704228, 18.1318458, 18.0779588, 18.0387268, 18.0259158");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("47.2982018, 47.6701228, 49.3488358, 58.4073848, 103.1692398, 178.9507698, 202.6645998", \
+					  "47.4181898, 47.6980778, 49.3516298, 58.3393048, 103.4352898, 178.8876298, 202.5259198", \
+					  "47.3907237, 47.7702397, 49.4059757, 58.4956147, 103.4303297, 179.0270297, 202.6358797", \
+					  "47.5482819, 47.7831049, 49.4028129, 58.4760059, 103.2976269, 179.1318069, 202.7557369", \
+					  "47.5200891, 47.8090451, 49.4892011, 58.6792561, 103.9745761, 179.0709761, 202.8117861", \
+					  "47.8405383, 48.0094893, 49.7494103, 58.6976243, 103.7012533, 179.1529833, 202.9795333", \
+					  "47.7443305, 48.0071175, 49.6685765, 58.9031615, 104.1762305, 179.2143405, 202.9997205");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5803962, 3.5807314, 3.5804129, 3.5809075, 3.5805031, 3.5807898, 3.5806035", \
+					  "15.7936979, 15.7945829, 15.7991539, 15.8123549, 15.8229699, 15.8259639, 15.8269269", \
+					  "15.7916299, 15.7857389, 15.7955939, 15.8108959, 15.8214529, 15.8301009, 15.8260309", \
+					  "15.8017015, 15.8008315, 15.8075775, 15.8231135, 15.8370275, 15.8397605, 15.8420165", \
+					  "15.8099995, 15.8111445, 15.8168705, 15.8321375, 15.8459895, 15.8489715, 15.8512385", \
+					  "15.8078761, 15.8102981, 15.8161771, 15.8319271, 15.8445311, 15.8484971, 15.8477841", \
+					  "15.8138518, 15.8215638, 15.8180978, 15.8427828, 15.8461698, 15.8599138, 15.8550178");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.7965988, 60.8829048, 61.4200238, 60.6133148, 57.1082888, 45.9906228, -145.5104802", \
+					  "61.4751928, 61.4678788, 61.1614298, 60.8717648, 58.3737428, 41.8182428, -160.3759602", \
+					  "60.9253797, 60.9618367, 61.3562367, 60.4676767, 58.5684247, 44.6079217, -138.4105803", \
+					  "61.1348659, 61.4706389, 59.4540919, 61.7003849, 59.9248539, 58.7269239, -144.6027231", \
+					  "61.4745271, 61.3823371, 61.3448461, 60.9783611, 58.6428411, 45.4422471, -146.3259839", \
+					  "61.4506023, 61.4113633, 61.3259173, 60.9657333, 59.0983733, 45.6848043, -146.0054267", \
+					  "61.5364445, 61.4387305, 61.2117805, 61.0521925, 59.1264065, 45.3962755, -147.5008295");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.5389598, 86.9302568, 93.9728518, 127.9050398, 169.1940198, 190.6273998, 195.9778298", \
+					  "85.8930978, 86.7455058, 94.1910498, 128.2208398, 169.1900298, 190.6316398, 196.0831298", \
+					  "85.6789537, 86.7554187, 94.4691927, 128.2154097, 169.3705597, 190.7623497, 196.0821997", \
+					  "85.9746489, 87.1811699, 94.3295969, 127.3635769, 169.4643969, 190.8728169, 196.0003569", \
+					  "85.9351901, 87.0583541, 94.7081371, 128.3780261, 169.4238761, 190.8545261, 196.0915461", \
+					  "86.4920023, 87.3571153, 94.1348463, 128.4644233, 169.3372533, 190.9357833, 196.1704733", \
+					  "86.5824715, 87.5882505, 94.4670255, 127.6071505, 169.5663205, 191.0074605, 196.2412705");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3688458, 3.3684245, 3.3691953, 3.3682775, 3.3685789, 3.3683878, 3.3673197", \
+					  "17.3325059, 17.3311329, 17.3233009, 17.2968119, 17.2554489, 17.2191829, 17.2105739", \
+					  "17.5430029, 17.5380089, 17.5579599, 17.4908829, 17.4535659, 17.4102589, 17.3901309", \
+					  "17.5470605, 17.5473735, 17.5364045, 17.4893465, 17.4305205, 17.3976635, 17.3835775", \
+					  "17.5634125, 17.5603195, 17.5475975, 17.5027895, 17.4449345, 17.4044335, 17.3924715", \
+					  "17.5544651, 17.5523521, 17.5400101, 17.4995091, 17.4429571, 17.4113631, 17.3930251", \
+					  "17.5649058, 17.5611588, 17.5454268, 17.5097428, 17.4553148, 17.4152478, 17.4030768");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5808722, 3.5808628, 3.5809908, 3.5813381, 3.5820457, 3.5822354, 3.5819100", \
+					  "22.7309059, 22.7719769, 23.0118499, 24.1401549, 26.3005119, 27.3213709, 27.5338349", \
+					  "22.7438859, 22.7850839, 23.0225489, 24.1393809, 26.3071939, 27.3319179, 27.5408199", \
+					  "22.7507095, 22.7899835, 23.0284435, 24.1506865, 26.3176855, 27.3414365, 27.5540005", \
+					  "22.7605345, 22.8002825, 23.0387205, 24.1599715, 26.3268555, 27.3498275, 27.5620585", \
+					  "22.7610091, 22.8018551, 23.0366581, 24.1584171, 26.3242161, 27.3471131, 27.5593841", \
+					  "22.7661028, 22.8041818, 23.0440278, 24.1661858, 26.3327528, 27.3554628, 27.5673208");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.3135978, 64.3977318, 64.6677588, 65.4446238, 66.4844998, 63.4437938, -14.7520072", \
+					  "64.3174738, 64.3834008, 64.6791158, 65.4753328, 66.7268808, 63.8989758, -16.8721742", \
+					  "64.3712967, 64.4515107, 64.7449977, 65.6510297, 66.8233357, 63.9828507, -21.6156443", \
+					  "64.5129479, 64.5305239, 64.7309469, 65.5256589, 66.7136389, 62.0845229, -15.3759391", \
+					  "64.5806671, 64.6095751, 64.8787551, 65.6079841, 66.1942381, 63.5501931, -22.4704889", \
+					  "64.6419733, 64.7271343, 64.9435163, 65.7357993, 66.8579993, 63.0023403, -13.9765347", \
+					  "64.7679425, 64.7938455, 65.0748285, 65.9094395, 66.2829185, 62.7438395, -15.8604765");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3660056, 3.3650726, 3.3678728, 3.3671815, 3.3686216, 3.3684498, 3.3683295", \
+					  "18.0388619, 18.0366259, 18.0225139, 17.9853479, 17.9280859, 17.8817049, 17.8684309", \
+					  "18.0210489, 18.0188449, 18.0242879, 17.9835149, 17.9261269, 17.8883239, 17.8618349", \
+					  "18.0401505, 18.0369135, 18.0243585, 17.9844155, 17.9308235, 17.8831285, 17.8696065", \
+					  "18.0433955, 18.0415685, 18.0285785, 17.9899015, 17.9327965, 17.8863735, 17.8714355", \
+					  "18.0437861, 18.0412511, 18.0292451, 17.9896921, 17.9348071, 17.8873481, 17.8735331", \
+					  "18.0550588, 18.0527308, 18.0402078, 17.9995008, 17.9442658, 17.8880968, 17.8833558");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("30.4318398, 30.4989868, 30.8952118, 32.5845238, 36.6020078, 50.2107368, 83.3391428", \
+					  "30.4509708, 30.4292388, 30.9371898, 32.4648978, 36.6453878, 50.3503898, 83.7146108", \
+					  "30.3715427, 30.5036487, 30.9596487, 32.6308567, 36.9670647, 50.2562787, 83.3409697", \
+					  "30.5877109, 30.6277579, 31.0431689, 32.7241229, 36.8571639, 50.3740669, 83.3460499", \
+					  "30.6558391, 30.7258421, 31.1364091, 32.8207501, 36.9743441, 50.4155161, 83.4558601", \
+					  "30.7470963, 30.8100433, 31.2197153, 32.8539873, 37.0367493, 50.3144613, 83.5500493", \
+					  "30.8272455, 30.8940455, 31.2628755, 32.9594945, 37.1076485, 50.3616815, 83.5765435");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.6394347, 94.6402117, 94.7117797, 94.8737677, 97.8207787, 97.7574677, 11.5834927", \
+					  "94.5829155, 94.5878905, 94.6775825, 94.7646235, 97.9128065, 97.8189935, 17.2272045", \
+					  "94.6150542, 94.5919752, 94.6291812, 94.7713452, 97.8230502, 97.8337012, 17.4453472", \
+					  "94.4178692, 94.5717392, 94.7013582, 94.7310912, 97.8919242, 97.9275762, 18.9645282", \
+					  "94.4833519, 94.5166099, 94.7257899, 94.8152809, 97.8691019, 96.4203299, 11.3163029", \
+					  "94.4390519, 94.4933699, 94.6713459, 94.7754989, 97.4375079, 96.6026339, 15.7729409", \
+					  "94.5059349, 94.5690369, 94.6930349, 94.8456489, 97.5579099, 96.6027509, 13.1553969");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3634803, 5.3656860, 5.3629148, 5.3569981, 5.3492695, 5.3459744, 5.3453655", \
+					  "27.9664959, 28.0067539, 28.2455969, 29.3700879, 31.5308179, 32.5481089, 32.7587279", \
+					  "27.9258002, 27.9985092, 28.2483802, 29.3128452, 31.4770062, 32.5141382, 32.7250882", \
+					  "28.0078439, 28.0615059, 28.2938249, 29.4164919, 31.5746019, 32.5971069, 32.8077999", \
+					  "28.1020055, 28.1423045, 28.3799425, 29.5045485, 31.6677135, 32.6862985, 32.8978545", \
+					  "28.1902002, 28.2312502, 28.4703182, 29.5939242, 31.7561632, 32.7751752, 32.9884802", \
+					  "28.2745909, 28.3151389, 28.5534119, 29.6753389, 31.8384659, 32.8585039, 33.0696129");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.7391718, 87.8100458, 87.8672088, 87.9807698, 90.6243708, 91.0073078, 10.9077188", \
+					  "87.7438328, 87.8632088, 87.8909368, 87.9636778, 90.6835298, 89.9645148, 2.9734555", \
+					  "87.8098877, 87.8576697, 87.9103567, 88.0230997, 90.7230297, 90.0238667, 10.5463267", \
+					  "87.8068699, 88.0112969, 87.9970659, 88.0778339, 90.8098359, 90.1015069, 7.5786557", \
+					  "87.9048021, 87.9502931, 87.9834961, 88.2123471, 90.8214411, 90.8235921, 10.1948341", \
+					  "87.9901023, 87.9933153, 88.1897553, 88.3014433, 91.3031323, 90.9639873, 7.3705390", \
+					  "87.9817695, 88.1627215, 88.2425605, 88.3785145, 91.1260875, 90.1589655, 3.0017661");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.5799671, 3.5854267, 3.5822213, 3.5813225, 3.5825830, 3.5819034, 3.5817350", \
+					  "22.7966569, 22.8365179, 23.0739009, 24.1873659, 26.3604659, 27.3810869, 27.5907269", \
+					  "22.7818939, 22.8172389, 23.0517859, 24.1781399, 26.3471409, 27.3718279, 27.5926809", \
+					  "22.7987245, 22.8426775, 23.0773165, 24.2001935, 26.3623235, 27.3888415, 27.6017425", \
+					  "22.8101545, 22.8514465, 23.0879655, 24.2098355, 26.3744605, 27.3986775, 27.6117615", \
+					  "22.8093681, 22.8491941, 23.0868581, 24.2061121, 26.3759211, 27.3994251, 27.6085361", \
+					  "22.8226968, 22.8558678, 23.0998528, 24.2137138, 26.3866738, 27.4010858, 27.6162248");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3686725, 3.3681476, 3.3687447, 3.3682859, 3.3689753, 3.3680422, 3.3680003", \
+					  "16.3190799, 16.3186789, 16.3305999, 16.3086389, 16.3054779, 16.3043899, 16.3043769", \
+					  "16.3365829, 16.3362009, 16.3392869, 16.3286719, 16.3208369, 16.3181759, 16.3175059", \
+					  "16.3488735, 16.3375445, 16.3356155, 16.3255535, 16.3286015, 16.3268395, 16.3154905", \
+					  "16.3515465, 16.3506765, 16.3476805, 16.3403965, 16.3319485, 16.3305235, 16.3301495", \
+					  "16.3436681, 16.3430491, 16.3397931, 16.3331401, 16.3236811, 16.3219931, 16.3239571", \
+					  "16.3565058, 16.3558138, 16.3477218, 16.3388808, 16.3320138, 16.3349278, 16.3293958");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("28.0232038, 27.9614698, 28.0076538, 27.9851718, 28.7540268, 40.4729878, 27.4579678", \
+					  "27.9289948, 27.9874448, 27.8215188, 28.3940988, 29.9157148, 20.7906198, 28.6879818", \
+					  "28.1895987, 27.8182707, 28.1659317, 28.0060617, 30.6208637, 38.6245167, 28.6639157", \
+					  "28.1203699, 28.1508059, 28.1867729, 28.2285979, 27.9004069, 28.5309839, 27.8362989", \
+					  "28.2365231, 28.2648541, 28.2389111, 28.2738591, 28.3469361, 28.2382161, 27.9838601", \
+					  "28.2948363, 28.3159233, 28.2989313, 28.3141783, 28.3130193, 28.3141853, 28.1639913", \
+					  "28.3785385, 28.3769535, 28.3831915, 28.3931295, 28.4066115, 28.3924325, 28.1609105");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.9790377, 94.9947057, 95.0678977, 95.1897997, 98.1931127, 98.2317207, 14.7910927", \
+					  "94.8953175, 94.9554295, 95.0677635, 95.2019615, 98.2282625, 98.0340915, 15.2529435", \
+					  "94.8789192, 94.9638992, 94.9768752, 95.1243682, 97.8006232, 97.3189602, 11.3690292", \
+					  "94.8283882, 94.8822552, 95.0375332, 95.0583432, 97.7820812, 98.2043892, 13.8888652", \
+					  "94.8516889, 94.8807909, 95.0298169, 95.1724259, 98.2353299, 98.2004479, 17.5312209", \
+					  "94.8304129, 94.8613529, 95.0021229, 95.1694959, 98.2343779, 98.2421009, 18.5059189", \
+					  "94.8634129, 94.8659869, 95.0396539, 95.1867309, 97.8928779, 97.0041799, 13.0564439");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("42.2591126, 41.8707636, 42.2156856, 43.4916336, 44.2391566, 56.8178486, 46.7668296", \
+					  "41.8281849, 41.8546089, 42.0898649, 43.0223589, 44.9950859, 45.6184659, 45.2058409", \
+					  "41.5553612, 41.5801952, 42.1624862, 43.2227602, 45.0445732, 46.3183632, 45.9406242", \
+					  "41.8130509, 41.8772119, 42.2832579, 43.6340599, 45.2049869, 45.9908269, 45.9194749", \
+					  "41.9179065, 41.9055935, 42.1460955, 43.1827905, 45.2213505, 45.8518465, 45.9724345", \
+					  "42.0016002, 42.0214382, 42.2422482, 43.2764662, 45.2476802, 46.1512112, 46.0996242", \
+					  "42.0737059, 42.1120779, 42.3357499, 43.3690929, 45.3295419, 46.2200489, 46.1862289");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.3325196, 44.4341816, 45.2032956, 47.7209486, 53.9834836, 68.3337126, 101.5589486", \
+					  "44.2280889, 44.3371439, 45.0257939, 47.5699989, 53.8005809, 67.9169279, 101.3493799", \
+					  "44.1926992, 44.2093702, 44.8979022, 47.4818112, 53.6254972, 68.2125302, 100.8476212", \
+					  "44.2814059, 44.3549069, 45.0493819, 47.5569669, 53.7529929, 68.0660159, 101.3275509", \
+					  "44.3395805, 44.4524585, 45.0856785, 47.7606285, 53.8754285, 68.2821645, 101.3788625", \
+					  "44.4583782, 44.5516122, 45.1560662, 47.8795162, 53.9846952, 68.2192502, 101.5393822", \
+					  "44.5385089, 44.6488959, 45.2404189, 47.9300139, 54.0307319, 68.2697929, 101.5767619");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("74.3960097, 74.4459557, 74.8402237, 76.2475567, 78.6276037, 76.7343817, 1.3937724", \
+					  "74.3700285, 74.4269615, 74.7997195, 76.3097545, 78.9878555, 75.7851805, -1.0076732", \
+					  "74.2594612, 74.2826932, 74.7830842, 76.1414072, 79.0592402, 78.7609852, -4.9446041", \
+					  "74.2556922, 74.3426432, 74.6329672, 76.3102572, 78.8128872, 78.0796962, 3.1392778", \
+					  "74.2855989, 74.3819099, 74.7514759, 76.2586729, 78.7134179, 77.9105599, -0.9683320", \
+					  "74.3198749, 74.3843509, 74.7589199, 76.1846469, 78.7398949, 76.8743529, -2.6264386", \
+					  "74.3192079, 74.3972009, 74.7959029, 76.2840249, 78.9573449, 78.3174609, -3.5535971");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("36.9664566, 37.0045206, 37.4283026, 39.0073486, 43.1333056, 56.7758296, 90.0377126", \
+					  "36.7389469, 36.8344089, 37.3656369, 38.9313259, 42.9731649, 56.5780809, 88.7524689", \
+					  "36.7306162, 36.7841462, 37.2348142, 38.8526272, 42.9877782, 56.6653892, 90.2747442", \
+					  "36.8147989, 36.8756389, 37.2736409, 38.9672129, 43.0560779, 56.5946539, 89.5480339", \
+					  "36.8892975, 36.9499045, 37.3589835, 39.0333975, 43.1796475, 56.4439605, 89.6861685", \
+					  "36.9896852, 37.0523302, 37.4501642, 39.1263932, 43.2512082, 56.7453132, 89.7858172", \
+					  "37.0710509, 37.1494709, 37.5331019, 39.1864949, 43.3394609, 56.8411219, 89.9114669");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.1878537, 71.1035737, 71.1457757, 71.2758387, 71.6963777, 61.0049437, -131.7232403", \
+					  "71.1482855, 71.1602975, 71.1984605, 71.4057535, 71.6085845, 59.7801295, -132.1977525", \
+					  "70.8356452, 71.2673062, 70.5426292, 72.0152432, 68.7126692, 55.4158022, -132.7538648", \
+					  "71.1175222, 71.0552312, 71.0787062, 71.3606542, 71.4101562, 60.2334092, -130.3175048", \
+					  "71.0445799, 71.0777409, 71.0763599, 71.2394999, 71.5237589, 60.2879899, -131.8977381", \
+					  "71.0258159, 71.1123509, 71.0646249, 71.2926649, 71.4455489, 60.0633419, -131.6650981", \
+					  "71.0748099, 71.1016629, 71.0874519, 71.3895929, 71.4150579, 60.0459439, -132.7335281");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.1268340, 44.3420947, 45.7134101, 52.2723631, 67.5466417, 95.8748771, 112.3619540", \
+					  "60.5812474, 60.6979147, 62.2954769, 69.6744276, 86.3690335, 112.6019824, 131.7329758", \
+					  "60.5738415, 60.7478583, 62.3481693, 69.9982513, 86.4605941, 114.7624498, 131.7109732", \
+					  "60.7075556, 60.9491002, 62.4278604, 69.5820290, 86.0894288, 112.3572085, 131.8238244", \
+					  "60.7603882, 61.0139719, 62.5500403, 69.8567739, 86.3072767, 112.7411976, 131.9578209", \
+					  "60.9372349, 61.1369344, 62.6054717, 69.8973988, 86.2098073, 112.7947839, 132.0373170", \
+					  "60.9868047, 61.1970983, 62.6780797, 69.9441914, 86.4566049, 112.8694008, 132.0973937");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.4104964, 73.4294380, 73.5957903, 73.6653522, 73.9965095, 72.0975506, -58.5167099", \
+					  "87.4688187, 87.5165356, 87.4352124, 87.7853158, 88.0415834, 84.7351048, -44.6860828", \
+					  "87.5180556, 87.4093313, 87.6425653, 87.7696774, 87.8813557, 79.6431422, -45.5950373", \
+					  "87.5216653, 87.5814795, 87.3554972, 88.1386860, 88.5203056, 78.5112604, -43.1292607", \
+					  "87.6601018, 87.6587684, 87.7069242, 87.8162982, 88.0314819, 81.5869084, -44.7427581", \
+					  "87.7063518, 87.7399940, 87.8109244, 87.9019640, 88.3285450, 81.6396877, -45.8766121", \
+					  "87.8163430, 87.8259006, 87.8872295, 88.0227189, 88.1644569, 81.4236134, -46.2769012");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("84.8287970, 85.0779024, 87.6227164, 99.0387154, 125.6391302, 181.2333413, 199.0044580", \
+					  "92.1017453, 92.4432738, 95.0660021, 106.8798793, 134.4843750, 192.7751076, 212.9271341", \
+					  "91.7961289, 92.1333792, 94.8431182, 106.6572012, 134.7035171, 170.2617237, 210.4508006", \
+					  "92.0123650, 92.4211526, 94.9999708, 107.1286396, 134.2913408, 178.5061641, 210.2810518", \
+					  "92.2142728, 92.6083668, 94.9753695, 107.0501887, 134.4251780, 178.3258672, 210.4567092", \
+					  "92.4363892, 92.8275137, 95.1963027, 107.3350439, 134.3698392, 178.5867997, 210.5956967", \
+					  "92.5780125, 93.0069691, 95.4576508, 107.5858125, 134.5290653, 178.7352268, 210.7812640");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("131.8136762, 131.8502107, 132.0187746, 132.5537832, 133.1489238, 126.0585655, -85.0457188", \
+					  "138.0672203, 138.1878862, 138.3242332, 139.1354962, 140.0731200, 126.1126507, -71.4121519", \
+					  "138.1263024, 138.2163952, 138.2449406, 139.2476382, 140.3425492, 130.1700692, -76.2464625", \
+					  "138.1196216, 138.2173527, 138.3895046, 139.0790111, 140.7358051, 131.6720632, -76.5215862", \
+					  "138.1371620, 138.1810670, 138.4186905, 139.0398221, 140.9767460, 131.6071491, -76.7210552", \
+					  "138.1322256, 138.1840586, 138.4296846, 139.0448831, 140.9650930, 131.3765373, -77.6767785", \
+					  "138.1817446, 138.2371315, 138.4656515, 139.1154480, 141.0046345, 131.2569915, -79.9000872");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1790683, 2.1791478, 2.1791479, 2.1791481, 2.1791484, 2.1791486, 2.3292221", \
+					  "2.6207225, 2.6213789, 2.6226048, 2.6244826, 2.6244828, 2.6244830, 2.7546962", \
+					  "2.9233400, 2.9244314, 2.9251543, 2.9251544, 2.9251546, 2.9251549, 3.0472489", \
+					  "3.1597138, 3.1619665, 3.1619667, 3.1619670, 3.1619672, 3.1619674, 3.2910412", \
+					  "3.3780845, 3.3780846, 3.3780848, 3.3797982, 3.3797983, 3.3797986, 3.5029302", \
+					  "3.5478964, 3.5478966, 3.5480516, 3.5488783, 3.5488784, 3.5510686, 3.6845269", \
+					  "3.7071084, 3.7200627, 3.7200629, 3.7200631, 3.7205894, 3.7205896, 3.8437737");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.8820320, 9.0836005, 10.3696620, 18.1949820, 66.3526830, 360.7411000, 2174.5755000", \
+					  "9.3379953, 9.5448414, 10.8247450, 18.6396410, 66.7103280, 361.4132100, 2174.2535000", \
+					  "9.6273070, 9.8330837, 11.1130550, 18.9464000, 67.1748810, 361.6418100, 2174.6007000", \
+					  "9.8862181, 10.0941100, 11.3691860, 19.2019270, 67.2675710, 362.0465600, 2179.4961000", \
+					  "10.0948180, 10.2990110, 11.5764710, 19.3977600, 67.4784030, 362.2622600, 2175.0270000", \
+					  "10.2773640, 10.4851280, 11.7657700, 19.5962450, 67.6899960, 362.0926600, 2178.2987000", \
+					  "10.4417490, 10.6483650, 11.9148670, 19.7604560, 67.8374480, 362.6297500, 2176.9974000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3396630, 13.7737170, 16.2234010, 31.6212760, 126.9896200, 714.6787800, 4339.7877000", \
+					  "13.3740740, 13.7846370, 16.2439000, 31.6204560, 127.1129000, 714.2469900, 4341.0732000", \
+					  "13.3685970, 13.7731590, 16.2585020, 31.5934230, 127.1726800, 714.9454900, 4341.1296000", \
+					  "13.3732370, 13.7764010, 16.2558450, 31.5849640, 126.7505800, 714.9993200, 4340.6735000", \
+					  "13.3511140, 13.7642400, 16.2543770, 31.6203310, 127.1769600, 715.0121600, 4338.1851000", \
+					  "13.3723820, 13.7663280, 16.2546830, 31.6233470, 127.1566800, 715.3166200, 4341.5912000", \
+					  "13.3687730, 13.7751180, 16.2417530, 31.5810600, 126.7080700, 715.1724600, 4337.8227000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.6906684, 2.7035858, 2.7796578, 3.1438989, 4.4625916, 8.1583140, 19.8550540", \
+					  "3.2634089, 3.2763217, 3.3524215, 3.7166570, 5.0353024, 8.7313756, 20.4295980", \
+					  "3.7834069, 3.7963241, 3.8724508, 4.2366604, 5.5552989, 9.2509014, 20.9470180", \
+					  "4.2348547, 4.2479846, 4.3233777, 4.6882267, 6.0064829, 9.6988311, 21.3993110", \
+					  "4.6475108, 4.6601438, 4.7362874, 5.1014850, 6.4181558, 10.1111100, 21.8133530", \
+					  "5.0282476, 5.0407154, 5.1160862, 5.4816307, 6.7975172, 10.4905240, 22.1919940", \
+					  "5.3873159, 5.4002278, 5.4754601, 5.8400351, 7.1581954, 10.8530090, 22.5527100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6899662, 0.6958438, 0.7340385, 0.9763929, 2.0242630, 4.7649276, 17.2456240", \
+					  "0.6899839, 0.6958297, 0.7340522, 0.9764132, 2.0241411, 4.7639541, 17.2475850", \
+					  "0.6897106, 0.6955708, 0.7339622, 0.9850651, 2.0240325, 4.7603654, 17.2413750", \
+					  "0.6872095, 0.6931594, 0.7406067, 0.9830895, 2.0226123, 4.7624655, 17.2421190", \
+					  "0.6840084, 0.6914197, 0.7333837, 0.9828128, 2.0219120, 4.7446798, 17.2303030", \
+					  "0.6852572, 0.6968151, 0.7350190, 0.9759993, 2.0270710, 4.7421063, 17.2471250", \
+					  "0.6876274, 0.6965761, 0.7348237, 0.9843303, 2.0281186, 4.7706914, 17.2438350");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8866896, 3.8886441, 3.8886444, 3.8886446, 3.8886449, 3.8886451, 3.8886453", \
+					  "4.3433710, 4.3433712, 4.3433717, 4.3433722, 4.3433726, 4.3433731, 4.3433736", \
+					  "4.6512074, 4.6527342, 4.6527346, 4.6527350, 4.6527355, 4.6527360, 4.6527365", \
+					  "4.9010906, 4.9010909, 4.9050231, 4.9050234, 4.9050239, 4.9050243, 4.9050248", \
+					  "5.1029896, 5.1029900, 5.1029904, 5.1029909, 5.1029914, 5.1029919, 5.1029923", \
+					  "5.2946856, 5.2946857, 5.2977707, 5.2977708, 5.2977713, 5.2977717, 5.2977722", \
+					  "5.4327374, 5.4383258, 5.4383262, 5.4383266, 5.4383271, 5.4383276, 5.4383281");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5654848, 3.5751484, 3.5751485, 3.5751488, 3.5751490, 3.5751492, 3.5751495", \
+					  "4.0026533, 4.0036299, 4.0036300, 4.0036304, 4.0036309, 4.0036314, 4.0036319", \
+					  "4.3224269, 4.3238590, 4.3300488, 4.3300493, 4.3300498, 4.3300503, 4.3300508", \
+					  "4.5933608, 4.5986468, 4.5986469, 4.5986474, 4.5986479, 4.5986484, 4.5986488", \
+					  "4.7678035, 4.7699218, 4.7699221, 4.7699225, 4.7699230, 4.7699235, 4.7699240", \
+					  "4.9705653, 4.9728848, 4.9728849, 4.9728854, 4.9728859, 4.9728864, 4.9728869", \
+					  "5.1329327, 5.1373088, 5.1373089, 5.1373094, 5.1373098, 5.1373103, 5.1373108");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7465368, 2.7740013, 2.9419855, 3.9457593, 10.0753040, 24.1221780, 52.2580660", \
+					  "3.2009269, 3.2284382, 3.3963534, 4.4007638, 10.5327200, 24.5963890, 52.7243600", \
+					  "3.4962830, 3.5237444, 3.6917149, 4.6955475, 10.8243380, 24.8669970, 53.0015390", \
+					  "3.7495425, 3.7770400, 3.9450581, 4.9494138, 11.0800050, 25.1477420, 53.2583790", \
+					  "3.9613663, 3.9889096, 4.1567838, 5.1616769, 11.2959080, 25.3570530, 53.4857030", \
+					  "4.1478012, 4.1753045, 4.3432293, 5.3479030, 11.4807310, 25.5460770, 53.6670190", \
+					  "4.3052117, 4.3327248, 4.5006394, 5.5137592, 11.6422960, 25.7113410, 53.8412830");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7066715, 1.7574293, 2.0723205, 4.0335966, 11.9695660, 17.0667960, 40.2113760", \
+					  "1.7072888, 1.7573655, 2.0716231, 4.0342387, 11.9708700, 17.0887290, 40.2311610", \
+					  "1.7067974, 1.7582222, 2.0722180, 4.0332186, 11.9689740, 17.0856480, 40.2211460", \
+					  "1.7059992, 1.7567988, 2.0721866, 4.0348116, 11.9683130, 17.0348000, 40.2283650", \
+					  "1.7121409, 1.7605760, 2.0719064, 4.0349915, 11.9706090, 17.1368150, 40.2261970", \
+					  "1.7066306, 1.7569538, 2.0681525, 4.0356776, 11.9711410, 17.0410140, 40.2288730", \
+					  "1.7059258, 1.7571791, 2.0774884, 4.0335890, 11.9699530, 17.0866750, 40.2431300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("9.1122878, 9.3204759, 10.6250020, 18.5694500, 66.6972110, 361.5823200, 2179.5170000", \
+					  "9.6774313, 9.8955031, 11.1957520, 19.1432860, 67.2997020, 362.6185800, 2180.0920000", \
+					  "10.2062150, 10.4178430, 11.7118970, 19.6520990, 67.8492000, 363.3335000, 2181.2612000", \
+					  "10.6551940, 10.8675990, 12.1670580, 20.1172450, 68.1958530, 363.2091200, 2184.7018000", \
+					  "11.0711870, 11.2809240, 12.5793250, 20.5291820, 68.6839310, 363.8560000, 2183.7927000", \
+					  "11.4436660, 11.6605880, 12.9519400, 20.9016000, 69.0916620, 364.3208400, 2181.3687000", \
+					  "11.8061910, 12.0133150, 13.3169010, 21.2707880, 69.3551880, 364.1677900, 2183.4005000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1721340, 13.5837830, 16.0839910, 31.5289660, 127.3904900, 716.6654000, 4351.7257000", \
+					  "13.1693690, 13.5862230, 16.0807030, 31.5276130, 127.3451900, 716.7608400, 4351.6989000", \
+					  "13.1809430, 13.5825560, 16.0850690, 31.4795690, 127.2359100, 717.1717000, 4347.2478000", \
+					  "13.1535180, 13.5673690, 16.0812630, 31.5132310, 127.0425800, 715.9747500, 4352.4728000", \
+					  "13.1798820, 13.5758410, 16.0869340, 31.5091530, 126.8537600, 716.8216000, 4348.2614000", \
+					  "13.1744670, 13.5862640, 16.0623890, 31.4755160, 127.0541100, 716.9268800, 4352.8385000", \
+					  "13.1517720, 13.5454520, 16.0602270, 31.5151740, 127.0253200, 716.3814100, 4355.7120000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8833310, 3.8833312, 3.8833315, 3.8833317, 3.8833319, 3.8833322, 3.8833324", \
+					  "4.3379758, 4.3379763, 4.3379767, 4.3379772, 4.3379777, 4.3379782, 4.3379787", \
+					  "4.6489972, 4.6581681, 4.6581686, 4.6581691, 4.6581696, 4.6581700, 4.6581705", \
+					  "4.9003845, 4.9003847, 4.9042579, 4.9042581, 4.9042585, 4.9042590, 4.9042595", \
+					  "5.1050955, 5.1050957, 5.1050962, 5.1050966, 5.1050971, 5.1050976, 5.1050981", \
+					  "5.2893459, 5.2896780, 5.2896784, 5.2896789, 5.2896793, 5.2896798, 5.2896803", \
+					  "5.4316542, 5.4316543, 5.4363505, 5.4363506, 5.4363511, 5.4363516, 5.4363521");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1765258, 2.1765260, 2.1765262, 2.1765265, 2.1765267, 2.1765270, 2.3302571", \
+					  "2.6252800, 2.6252801, 2.6252803, 2.6252805, 2.6252808, 2.6252810, 2.7552791", \
+					  "2.9291201, 2.9301310, 2.9301311, 2.9301314, 2.9301316, 2.9301318, 3.0440905", \
+					  "3.1631844, 3.1631846, 3.1634246, 3.1641803, 3.1641804, 3.1641807, 3.2893954", \
+					  "3.3743497, 3.3743498, 3.3777097, 3.3777098, 3.3777100, 3.3777103, 3.5093006", \
+					  "3.5486334, 3.5511409, 3.5513303, 3.5513305, 3.5513307, 3.5513310, 3.6828938", \
+					  "3.7061849, 3.7209362, 3.7209365, 3.7209367, 3.7209369, 3.7209372, 3.8586809");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9067018, 2.9358174, 3.1112988, 4.1804173, 9.9647197, 35.1039660, 98.2411240", \
+					  "3.4817109, 3.5107359, 3.6868979, 4.7464401, 10.5400750, 35.6771110, 98.8285220", \
+					  "4.0013437, 4.0264805, 4.2051265, 5.2721245, 11.0582960, 36.1918030, 99.3291640", \
+					  "4.4502979, 4.4784261, 4.6559552, 5.7226899, 11.5068510, 36.6398290, 99.7733660", \
+					  "4.8631410, 4.8922693, 5.0706772, 6.1362946, 11.9213230, 37.0606050, 100.2221000", \
+					  "5.2433355, 5.2719491, 5.4477542, 6.5201111, 12.3010610, 37.4404290, 100.5993800", \
+					  "5.6038139, 5.6317249, 5.8093024, 6.8767956, 12.6587420, 37.7983300, 100.9116600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7718239, 1.8264087, 2.1529249, 4.0722665, 11.4106110, 42.5757780, 59.3978130", \
+					  "1.7728907, 1.8265954, 2.1574591, 4.0712216, 11.4085990, 42.5165150, 59.4709850", \
+					  "1.7710218, 1.8256072, 2.1576316, 4.0746793, 11.4058870, 42.5121270, 59.4402930", \
+					  "1.7727146, 1.8274775, 2.1572439, 4.0692791, 11.4043870, 42.5435010, 59.5736790", \
+					  "1.7719035, 1.8264253, 2.1564983, 4.0719432, 11.4087630, 42.5443500, 59.3811220", \
+					  "1.7828155, 1.8375854, 2.1716002, 4.0722536, 11.4100070, 42.5451070, 59.3919010", \
+					  "1.7728342, 1.8235546, 2.1579190, 4.0751442, 11.4114390, 42.5727290, 59.4218900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1770039, 2.1770040, 2.1770043, 2.1770045, 2.1770047, 2.1770050, 2.3251325", \
+					  "2.6254223, 2.6254224, 2.6254226, 2.6254229, 2.6254231, 2.6254234, 2.7559628", \
+					  "2.9296716, 2.9305520, 2.9305522, 2.9305524, 2.9305527, 2.9305529, 3.0447884", \
+					  "3.1635878, 3.1635880, 3.1635882, 3.1646532, 3.1646535, 3.1646537, 3.2931211", \
+					  "3.3748377, 3.3748378, 3.3783241, 3.3783242, 3.3783244, 3.3783247, 3.5114960", \
+					  "3.5491847, 3.5515348, 3.5515351, 3.5515353, 3.5515355, 3.5515358, 3.6827530", \
+					  "3.7095498, 3.7214147, 3.7214150, 3.7214152, 3.7214155, 3.7214157, 3.8641392");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.6698554, 2.6830622, 2.7591012, 3.1286786, 4.5348258, 11.5012440, 50.0563860", \
+					  "3.2444061, 3.2575767, 3.3331291, 3.6989729, 5.1090709, 12.0760350, 50.6792010", \
+					  "3.7644177, 3.7747019, 3.8519317, 4.2215782, 5.6195835, 12.5849890, 51.1912250", \
+					  "4.2119761, 4.2272119, 4.3008423, 4.6733404, 6.0794760, 13.0428660, 51.6776950", \
+					  "4.6264321, 4.6395359, 4.7160160, 5.0850808, 6.4917525, 13.4458860, 52.0005370", \
+					  "5.0049986, 5.0192925, 5.0958979, 5.4658877, 6.8598300, 13.8341120, 52.4684580", \
+					  "5.3663056, 5.3714428, 5.4545950, 5.8231735, 7.2302804, 14.1862840, 52.8285930");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6940625, 0.7014345, 0.7408257, 0.9834129, 2.3365205, 11.2836900, 46.4378440", \
+					  "0.6940587, 0.7014242, 0.7403598, 0.9834375, 2.3370086, 11.2756920, 46.4079620", \
+					  "0.6940891, 0.7014684, 0.7406797, 0.9835933, 2.3383124, 11.2726130, 46.4085910", \
+					  "0.6902391, 0.6967417, 0.7459006, 0.9888824, 2.3354143, 11.2854380, 46.5206010", \
+					  "0.6894969, 0.6960867, 0.7388885, 0.9898222, 2.3386337, 11.2812910, 46.4913800", \
+					  "0.6900632, 0.6968939, 0.7450686, 0.9866455, 2.3348341, 11.2848820, 46.5150900", \
+					  "0.6941736, 0.6962025, 0.7399502, 0.9883110, 2.3347664, 11.2791760, 46.5206260");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7056122, 8.8972039, 10.1692480, 17.8864120, 65.6534520, 359.8140100, 2173.0739000", \
+					  "9.2737595, 9.4583150, 10.7293700, 18.4525510, 66.2656420, 360.4279500, 2173.2476000", \
+					  "9.7501021, 9.9533493, 11.2187970, 18.9631870, 66.7718110, 360.7387100, 2173.7869000", \
+					  "10.2400490, 10.4037190, 11.6528350, 19.3942870, 67.0119750, 361.7063800, 2175.2512000", \
+					  "10.6026000, 10.8494010, 12.0459750, 19.7975660, 67.4877900, 362.1944100, 2176.8210000", \
+					  "10.9944750, 11.1927240, 12.4637490, 20.2031010, 67.9057730, 362.5138200, 2177.5004000", \
+					  "11.3506350, 11.5876710, 12.7887170, 20.5546050, 68.1953550, 362.8532300, 2178.8660000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3544700, 13.7647990, 16.2540100, 31.6175710, 127.1200600, 714.7899600, 4342.3420000", \
+					  "13.3458310, 13.7629470, 16.2451580, 31.6111870, 127.1986200, 714.8693700, 4341.5048000", \
+					  "13.3702720, 13.7687130, 16.2420250, 31.6227580, 127.1483400, 715.0071000, 4341.5566000", \
+					  "13.3373740, 13.7640770, 16.2545690, 31.5904600, 127.0769900, 715.5001100, 4338.2556000", \
+					  "13.3326660, 13.7673260, 16.2512300, 31.6094740, 127.1848800, 715.3293500, 4341.6512000", \
+					  "13.3590770, 13.7628110, 16.2508900, 31.6327270, 126.6982100, 715.5590000, 4346.9390000", \
+					  "13.3604800, 13.7591190, 16.2517750, 31.6006100, 127.0803000, 715.1732600, 4341.0464000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9146208, 2.9273923, 3.0037310, 3.3684842, 4.6877937, 8.3849256, 20.0846100", \
+					  "3.4885077, 3.4973551, 3.5740748, 3.9356691, 5.2539968, 8.9519379, 20.6534970", \
+					  "3.9958383, 4.0174966, 4.0784749, 4.4398547, 5.7470997, 9.4621209, 21.1583180", \
+					  "4.4462900, 4.4462903, 4.5219708, 4.8936322, 6.2101044, 9.8951638, 21.6105520", \
+					  "4.8368514, 4.8751461, 4.9234092, 5.2853721, 6.6076806, 10.3267610, 22.0032050", \
+					  "5.1924069, 5.2018967, 5.3004068, 5.6567964, 6.9742021, 10.6744330, 22.3740000", \
+					  "5.5884097, 5.5884102, 5.6314620, 6.0566396, 7.3309558, 11.0104410, 22.7701220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6916347, 0.6975016, 0.7358598, 0.9774902, 2.0239606, 4.7642366, 17.2362270", \
+					  "0.6874600, 0.6978310, 0.7357988, 0.9774578, 2.0246542, 4.7594568, 17.2284960", \
+					  "0.6920523, 0.6978769, 0.7358840, 0.9774821, 2.0246367, 4.7605893, 17.2238150", \
+					  "0.6918234, 0.6983240, 0.7358621, 0.9775117, 2.0250863, 4.7613558, 17.2353670", \
+					  "0.6894644, 0.6945971, 0.7355136, 0.9852955, 2.0223376, 4.7452295, 17.2292540", \
+					  "0.6916433, 0.6951156, 0.7424648, 0.9785026, 2.0247493, 4.7585660, 17.2434530", \
+					  "0.6886370, 0.6949425, 0.7425171, 0.9798872, 2.0248303, 4.7438244, 17.2425110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6700904, 8.8746956, 10.1305300, 17.8498710, 65.5556820, 359.9098200, 2172.4113000", \
+					  "9.1186667, 9.3291073, 10.5847900, 18.3186480, 66.0094910, 360.5384200, 2173.3063000", \
+					  "9.4162074, 9.6247588, 10.8699650, 18.5974280, 66.2603480, 360.5074100, 2173.1696000", \
+					  "9.6670965, 9.8748076, 11.1360170, 18.8583100, 66.5411050, 360.9195600, 2173.0750000", \
+					  "9.8813597, 10.0874550, 11.3474690, 19.0778560, 66.8359800, 361.1475800, 2174.1960000", \
+					  "10.0677000, 10.2747310, 11.5340980, 19.2575130, 66.9552950, 361.3010300, 2173.5814000", \
+					  "10.2285740, 10.4316360, 11.6944650, 19.4161360, 67.1221300, 361.4908100, 2178.7687000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3744860, 13.7773850, 16.2462740, 31.6085150, 126.7276400, 714.9399200, 4341.1960000", \
+					  "13.3611360, 13.7753980, 16.2388400, 31.6101780, 126.6796800, 715.0854900, 4341.2651000", \
+					  "13.3614210, 13.7738110, 16.2603090, 31.6229710, 127.0535300, 715.1518800, 4341.2256000", \
+					  "13.3620500, 13.7593850, 16.2319170, 31.6030690, 127.1912000, 715.1545000, 4341.3097000", \
+					  "13.3704890, 13.7608800, 16.2495900, 31.6213400, 127.1376800, 715.1469400, 4345.7953000", \
+					  "13.3540440, 13.7721030, 16.2529440, 31.6011290, 126.6772100, 715.5235800, 4344.3274000", \
+					  "13.3624840, 13.7568950, 16.2579660, 31.6125190, 126.6756400, 715.5400100, 4344.1423000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7811420, 8.9827807, 10.2435440, 17.9894020, 65.7769800, 361.1929700, 2181.0886000", \
+					  "9.3528900, 9.5576622, 10.8156640, 18.5661300, 66.3453860, 361.7856800, 2181.8237000", \
+					  "9.8781268, 10.0750390, 11.3406050, 19.0851970, 66.8989130, 361.9770200, 2179.6204000", \
+					  "10.3278180, 10.5336470, 11.7904520, 19.5456100, 67.3248050, 362.6648500, 2178.9465000", \
+					  "10.7412000, 10.9362760, 12.2042510, 19.9450040, 67.7492910, 362.6324800, 2181.6643000", \
+					  "11.1220420, 11.3243540, 12.5855540, 20.3371770, 68.1423840, 363.1620900, 2184.0817000", \
+					  "11.4804130, 11.6801550, 12.9368800, 20.6983440, 68.4699370, 362.9808700, 2183.7859000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1672860, 13.5880120, 16.0684990, 31.4954180, 126.8681000, 716.8093200, 4352.9813000", \
+					  "13.1703200, 13.5886220, 16.0717860, 31.5030740, 126.8502700, 716.9367700, 4355.4915000", \
+					  "13.1752920, 13.5797480, 16.0812640, 31.4568280, 127.0646100, 717.1054800, 4347.8531000", \
+					  "13.1784150, 13.5818490, 16.0838240, 31.5035140, 127.2916000, 716.5226600, 4347.9677000", \
+					  "13.1705820, 13.5841030, 16.0784330, 31.4715330, 126.9412700, 716.7946600, 4347.7985000", \
+					  "13.1794650, 13.5685030, 16.0859130, 31.4882340, 127.1719300, 716.9506900, 4355.2336000", \
+					  "13.1643030, 13.5735000, 16.0705550, 31.5176860, 126.8599400, 716.3898200, 4353.4947000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2615025, 2.2623636, 2.2626447, 2.2626449, 2.2626452, 2.2626454, 2.3525746", \
+					  "2.7116991, 2.7116992, 2.7116994, 2.7116997, 2.7116999, 2.7117001, 2.8089757", \
+					  "3.0043354, 3.0049052, 3.0053360, 3.0098164, 3.0098166, 3.0098168, 3.1023286", \
+					  "3.2439544, 3.2439607, 3.2467480, 3.2467481, 3.2507943, 3.2540317, 3.3482763", \
+					  "3.4552765, 3.4552767, 3.4622782, 3.4622783, 3.4622785, 3.4648140, 3.5452659", \
+					  "3.6405943, 3.6405944, 3.6435550, 3.6435551, 3.6435553, 3.6508572, 3.7472723", \
+					  "3.8118610, 3.8121031, 3.8121032, 3.8121034, 3.8121037, 3.8184806, 3.8993440");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5488923, 3.5592489, 3.5592491, 3.5592493, 3.5592496, 3.5592498, 3.5592500", \
+					  "4.0109520, 4.0132195, 4.0132196, 4.0132201, 4.0132206, 4.0132211, 4.0132216", \
+					  "4.3294910, 4.3310178, 4.3310183, 4.3310187, 4.3310192, 4.3310197, 4.3310202", \
+					  "4.5966377, 4.5984829, 4.5984834, 4.5984839, 4.5984843, 4.5984848, 4.5984853", \
+					  "4.7688116, 4.7706377, 4.7706378, 4.7706383, 4.7706388, 4.7706392, 4.7706397", \
+					  "4.9736264, 4.9754791, 4.9754794, 4.9754799, 4.9754804, 4.9754808, 4.9754813", \
+					  "5.1341686, 5.1348494, 5.1348498, 5.1348783, 5.1348784, 5.1348789, 5.1348794");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7782701, 2.8055567, 2.9736227, 3.9785437, 10.1083790, 24.2403180, 52.3711560", \
+					  "3.3456675, 3.3730869, 3.5433074, 4.5448658, 10.6841260, 24.7906300, 52.9265310", \
+					  "3.8363881, 3.8966914, 4.0474966, 5.0410840, 11.1719690, 25.3087580, 53.4504100", \
+					  "4.3097108, 4.3200403, 4.4917710, 5.5048788, 11.6381170, 25.7556870, 53.8971710", \
+					  "4.7306568, 4.7522715, 4.8947899, 5.9306147, 12.0744660, 26.1943270, 54.3120820", \
+					  "5.0681512, 5.1226858, 5.2749662, 6.2701750, 12.4257380, 26.5133120, 54.6531600", \
+					  "5.4235412, 5.4434377, 5.6593743, 6.6240946, 12.7514510, 26.8871500, 55.0083000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7052377, 1.7545796, 2.0705111, 4.0288373, 12.0310150, 17.1007240, 40.2190100", \
+					  "1.7041263, 1.7561980, 2.0704702, 4.0294523, 12.0292860, 17.1004440, 40.2296610", \
+					  "1.7052468, 1.7562385, 2.0705324, 4.0266128, 12.0318000, 17.1207460, 40.2251740", \
+					  "1.7055463, 1.7545289, 2.0704976, 4.0222088, 12.0294490, 17.0944860, 40.2112480", \
+					  "1.7053176, 1.7530264, 2.0686617, 4.0258716, 12.0294120, 17.1000490, 40.2174330", \
+					  "1.7089024, 1.7691972, 2.0683464, 4.0237702, 12.0304870, 17.1133880, 40.2212270", \
+					  "1.7042501, 1.7536602, 2.0729376, 4.0211505, 12.0313570, 17.0868320, 40.2311680");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.5402405, 2.5402407, 2.5402409, 2.5402412, 2.5402414, 2.5420062, 2.6239897", \
+					  "2.9815646, 2.9861349, 2.9903984, 2.9903986, 2.9903988, 2.9953953, 3.0672052", \
+					  "3.2905934, 3.2905936, 3.2905938, 3.2922225, 3.2922227, 3.2925025, 3.3678851", \
+					  "3.5226320, 3.5241610, 3.5265455, 3.5265457, 3.5328303, 3.5328304, 3.6057393", \
+					  "3.7384211, 3.7384214, 3.7412575, 3.7412576, 3.7412578, 3.7516013, 3.8042804", \
+					  "3.9104049, 3.9198538, 3.9252175, 3.9252176, 3.9252178, 3.9285482, 4.0043027", \
+					  "4.0885717, 4.0885718, 4.0885723, 4.0885728, 4.0885733, 4.0989740, 4.1717625");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6700682, 8.8747028, 10.1305750, 17.8495390, 65.5556960, 359.8526900, 2172.5905000", \
+					  "9.1185060, 9.3291144, 10.5848330, 18.3186210, 66.0095480, 360.5383400, 2173.3924000", \
+					  "9.4164303, 9.6247641, 10.8701320, 18.5974990, 66.2612580, 360.5712200, 2173.2255000", \
+					  "9.6670609, 9.8748423, 11.1360370, 18.8584440, 66.5408210, 360.9307000, 2173.0882000", \
+					  "9.8821165, 10.0874940, 11.3475310, 19.0771260, 66.8311770, 361.1477000, 2173.5041000", \
+					  "10.0690170, 10.2747480, 11.5358460, 19.2577580, 66.9560270, 361.5627100, 2174.2107000", \
+					  "10.2341320, 10.4316480, 11.6945250, 19.4159940, 67.1132910, 361.2642800, 2178.6400000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3738500, 13.7773820, 16.2463910, 31.6088280, 126.7299000, 714.4626600, 4340.9468000", \
+					  "13.3606910, 13.7753990, 16.2388090, 31.6099890, 126.6778600, 715.0816800, 4340.5335000", \
+					  "13.3761930, 13.7738530, 16.2604560, 31.6230460, 127.0485900, 714.6428500, 4341.0555000", \
+					  "13.3627540, 13.7591920, 16.2321760, 31.6028230, 127.1902200, 715.1485300, 4336.8068000", \
+					  "13.3702710, 13.7612000, 16.2494800, 31.6211730, 127.1360800, 715.1476800, 4338.5862000", \
+					  "13.3689250, 13.7721770, 16.2389980, 31.5959350, 126.6753300, 715.4573600, 4344.6107000", \
+					  "13.3714280, 13.7568460, 16.2579410, 31.5985350, 126.6720500, 715.0233600, 4340.5898000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7815089, 8.9833749, 10.2436490, 17.9914630, 65.7762340, 361.2137500, 2180.9449000", \
+					  "9.3531929, 9.5575627, 10.8152850, 18.5663590, 66.3450520, 361.7867300, 2181.0024000", \
+					  "9.8781046, 10.0749930, 11.3406520, 19.0848670, 66.8989060, 361.9820500, 2179.6852000", \
+					  "10.3276130, 10.5336340, 11.7904140, 19.5456100, 67.3267020, 362.6902900, 2183.3532000", \
+					  "10.7415170, 10.9359520, 12.2040010, 19.9446700, 67.7490990, 362.2186600, 2182.2189000", \
+					  "11.1223750, 11.3243470, 12.5854100, 20.3370960, 68.1379650, 363.2430600, 2181.1234000", \
+					  "11.4806560, 11.6802580, 12.9369480, 20.6981830, 68.4698950, 362.9493500, 2184.2513000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1660260, 13.5882660, 16.0681480, 31.4993760, 126.8650600, 716.9460900, 4349.1573000", \
+					  "13.1701250, 13.5886270, 16.0724250, 31.5034970, 126.8498000, 716.9607000, 4348.8294000", \
+					  "13.1754700, 13.5798000, 16.0811970, 31.4585830, 127.0643000, 717.1068400, 4357.4114000", \
+					  "13.1782660, 13.5818250, 16.0838280, 31.5031060, 127.2875600, 716.6125300, 4349.3374000", \
+					  "13.1708320, 13.5841240, 16.0784680, 31.4729110, 126.9414200, 716.4093200, 4352.9594000", \
+					  "13.1794270, 13.5685790, 16.0860200, 31.4874030, 127.2088400, 717.0142500, 4350.2905000", \
+					  "13.1648350, 13.5737310, 16.0712550, 31.5177080, 126.8606000, 716.3582700, 4349.4292000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7441462, 2.7708786, 2.9391456, 3.9445616, 10.0784320, 24.2120160, 52.3391960", \
+					  "3.1981200, 3.2255807, 3.3910230, 4.3958619, 10.5349700, 24.6367420, 52.7936910", \
+					  "3.5027652, 3.5301633, 3.6940224, 4.7010849, 10.8378840, 24.9386790, 53.0845630", \
+					  "3.7424308, 3.7717673, 3.9355257, 4.9465321, 11.0783640, 25.1992240, 53.3356110", \
+					  "3.9592969, 3.9867878, 4.1490318, 5.1578324, 11.2951830, 25.4292050, 53.5631170", \
+					  "4.1396717, 4.1656207, 4.3397024, 5.3431672, 11.4784180, 25.6105930, 53.7357640", \
+					  "4.2984110, 4.3399200, 4.5074757, 5.5108704, 11.6442140, 25.7674500, 53.9090620");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7057714, 1.7566468, 2.0705488, 4.0334700, 12.0321570, 17.0818040, 40.2367600", \
+					  "1.7055208, 1.7567405, 2.0708262, 4.0332093, 12.0317930, 17.1237900, 40.2254160", \
+					  "1.7061313, 1.7546690, 2.0707234, 4.0330157, 12.0315700, 17.1234600, 40.2279770", \
+					  "1.7057387, 1.7556611, 2.0690827, 4.0343680, 12.0312090, 17.0698510, 40.2484050", \
+					  "1.7107542, 1.7601501, 2.0792650, 4.0344430, 12.0317450, 17.0845350, 40.1886420", \
+					  "1.7040211, 1.7563854, 2.0671800, 4.0354307, 12.0320380, 17.0722190, 40.2384690", \
+					  "1.7048019, 1.7566451, 2.0758202, 4.0333465, 12.0315000, 17.0972620, 40.2167860");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2712834, 2.2712836, 2.2712838, 2.2791125, 2.2791127, 2.2803825, 2.3626368", \
+					  "2.7258571, 2.7258572, 2.7258574, 2.7290115, 2.7290117, 2.7306826, 2.8035134", \
+					  "3.0221072, 3.0265217, 3.0265219, 3.0299068, 3.0299069, 3.0299072, 3.1075476", \
+					  "3.2697154, 3.2697155, 3.2697157, 3.2699530, 3.2709975, 3.2729495, 3.3495564", \
+					  "3.4753789, 3.4753792, 3.4753794, 3.4753796, 3.4753799, 3.4753801, 3.5545091", \
+					  "3.6487182, 3.6487183, 3.6580665, 3.6580667, 3.6662504, 3.6662506, 3.7408587", \
+					  "3.8231138, 3.8231391, 3.8231394, 3.8235708, 3.8235709, 3.8248613, 3.9091659");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7791061, 2.8012705, 2.9162424, 3.3240428, 4.6555040, 11.2944290, 36.2989140", \
+					  "3.2337539, 3.2559164, 3.3711731, 3.7784336, 5.1103206, 11.7448010, 36.7244440", \
+					  "3.5289467, 3.5508183, 3.6660484, 4.0705044, 5.4054259, 12.0403790, 37.0099610", \
+					  "3.7824743, 3.8042653, 3.9193870, 4.3269721, 5.6585390, 12.2996840, 37.2668580", \
+					  "3.9939949, 4.0158543, 4.1310733, 4.5396886, 5.8700418, 12.5092570, 37.5179140", \
+					  "4.1806976, 4.2027950, 4.3178368, 4.7247526, 6.0572449, 12.6958650, 37.7098890", \
+					  "4.3380051, 4.3600004, 4.4750172, 4.8914730, 6.2136123, 12.8490670, 37.8463060");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0569244, 1.0634400, 1.0939656, 1.1675461, 2.5822247, 10.5730060, 38.2447500", \
+					  "1.0573166, 1.0634431, 1.0946360, 1.1679078, 2.5814972, 10.5763520, 38.2473710", \
+					  "1.0555717, 1.0634605, 1.0948257, 1.1687568, 2.5821638, 10.5611420, 38.2369480", \
+					  "1.0553457, 1.0618072, 1.0939860, 1.1677644, 2.5800131, 10.5847690, 38.2128780", \
+					  "1.0555160, 1.0639600, 1.0949502, 1.1677871, 2.5814071, 10.5648100, 38.2201040", \
+					  "1.0549164, 1.0613220, 1.0930488, 1.1681044, 2.5819218, 10.5753890, 38.2205630", \
+					  "1.0553747, 1.0624264, 1.0937657, 1.1665092, 2.5815565, 10.5751090, 38.2556960");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7147922, 2.7278844, 2.8038509, 3.1709890, 4.4881756, 8.1716758, 19.8673750", \
+					  "3.2874998, 3.3008909, 3.3767329, 3.7443297, 5.0604054, 8.7423384, 20.4418140", \
+					  "3.8074797, 3.8206163, 3.8967087, 4.2636690, 5.5809775, 9.2660581, 20.9622380", \
+					  "4.2590731, 4.2723638, 4.3479553, 4.7165325, 6.0322747, 9.7168069, 21.4133870", \
+					  "4.6715267, 4.6853081, 4.7610365, 5.1283404, 6.4450747, 10.1244510, 21.8277750", \
+					  "5.0514553, 5.0646389, 5.1405680, 5.5080998, 6.8238850, 10.5052010, 22.2055280", \
+					  "5.4113065, 5.4245191, 5.4999987, 5.8671978, 7.1837598, 10.8678710, 22.5662050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6600010, 0.6660926, 0.7120139, 0.9567371, 2.0058533, 4.7459383, 17.2208580", \
+					  "0.6597615, 0.6657106, 0.7119922, 0.9553146, 2.0048427, 4.7537561, 17.2545320", \
+					  "0.6596701, 0.6655818, 0.7121287, 0.9580543, 2.0063031, 4.7539546, 17.2289490", \
+					  "0.6583475, 0.6694878, 0.7136038, 0.9577559, 2.0056936, 4.7505595, 17.2328910", \
+					  "0.6567565, 0.6637520, 0.7113273, 0.9507493, 2.0096820, 4.7546451, 17.2437410", \
+					  "0.6588715, 0.6668214, 0.7121540, 0.9507131, 2.0128428, 4.7321507, 17.2447120", \
+					  "0.6559192, 0.6636276, 0.7067778, 0.9574771, 2.0077184, 4.7598272, 17.2424150");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.3086579, 2.3089259, 2.3089260, 2.3089263, 2.3089265, 2.3089268, 2.4021649", \
+					  "2.7565119, 2.7598952, 2.7598953, 2.7598955, 2.7598957, 2.7598960, 2.8449691", \
+					  "3.0544365, 3.0544366, 3.0549068, 3.0567060, 3.0567061, 3.0585561, 3.1481644", \
+					  "3.2980401, 3.2980403, 3.2989080, 3.2989222, 3.2989225, 3.2989227, 3.3863843", \
+					  "3.5066001, 3.5066003, 3.5066005, 3.5072603, 3.5072605, 3.5105405, 3.5931569", \
+					  "3.6869303, 3.6869306, 3.6976195, 3.6977312, 3.6977314, 3.6977317, 3.7857317", \
+					  "3.8657140, 3.8657142, 3.8657145, 3.8657147, 3.8657150, 3.8657152, 3.9438541");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2538129, 2.2538130, 2.2538132, 2.2600160, 2.2600161, 2.2600164, 2.3618369", \
+					  "2.7111584, 2.7111587, 2.7111589, 2.7111592, 2.7111594, 2.7111596, 2.8068781", \
+					  "3.0108283, 3.0108284, 3.0109166, 3.0109169, 3.0109171, 3.0109174, 3.1094496", \
+					  "3.2443503, 3.2443504, 3.2468379, 3.2504792, 3.2511664, 3.2556204, 3.3430922", \
+					  "3.4490988, 3.4491752, 3.4551886, 3.4551887, 3.4551889, 3.4659540, 3.5465473", \
+					  "3.6401924, 3.6420267, 3.6474803, 3.6474804, 3.6474807, 3.6475512, 3.7381374", \
+					  "3.8086760, 3.8169192, 3.8169193, 3.8169195, 3.8169197, 3.8185215, 3.9030104");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5584311, 3.5584313, 3.5584316, 3.5584318, 3.5584320, 3.5584323, 3.5584325", \
+					  "4.0102996, 4.0388857, 4.0388859, 4.0388864, 4.0388868, 4.0388873, 4.0388878", \
+					  "4.3294928, 4.3327235, 4.3327239, 4.3327244, 4.3327249, 4.3327253, 4.3327258", \
+					  "4.5966275, 4.5966280, 4.5966285, 4.5966290, 4.5966294, 4.5966299, 4.5966304", \
+					  "4.7688200, 4.7688201, 4.7688206, 4.7688211, 4.7688215, 4.7688220, 4.7688225", \
+					  "4.9736335, 4.9736336, 4.9736341, 4.9736345, 4.9736350, 4.9736355, 4.9736360", \
+					  "5.1346327, 5.1346329, 5.1346334, 5.1351265, 5.1351269, 5.1351274, 5.1351278");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7885492, 2.8112110, 2.9299640, 3.3432062, 4.6654485, 11.2988010, 36.3090890", \
+					  "3.3582119, 3.3782601, 3.4968033, 3.9143107, 5.2393578, 11.8634680, 36.8636110", \
+					  "3.8517025, 3.8744723, 4.0015906, 4.4249924, 5.7365344, 12.3744070, 37.3664120", \
+					  "4.2982400, 4.3485051, 4.4693458, 4.8734732, 6.1616481, 12.8166220, 37.8362730", \
+					  "4.7129936, 4.7520312, 4.8362665, 5.2662328, 6.6160624, 13.2217920, 38.2270110", \
+					  "5.1188702, 5.1188705, 5.2239235, 5.6275682, 6.9783188, 13.6291650, 38.5780470", \
+					  "5.4716738, 5.4969256, 5.6188489, 6.0023946, 7.2903772, 13.9700400, 38.9752050");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0755626, 1.0835105, 1.1183422, 1.2013193, 2.5888933, 10.5716280, 38.2244140", \
+					  "1.0762441, 1.0831772, 1.1176760, 1.2030609, 2.5891127, 10.5864930, 38.2302860", \
+					  "1.0762452, 1.0830652, 1.1183167, 1.2035266, 2.5889100, 10.5836650, 38.2399210", \
+					  "1.0762218, 1.0831956, 1.1189597, 1.2033017, 2.5892530, 10.5768510, 38.2295160", \
+					  "1.0772112, 1.0836296, 1.1182237, 1.2027574, 2.5891469, 10.5822420, 38.2304360", \
+					  "1.0759214, 1.0831905, 1.1182287, 1.2021542, 2.5886646, 10.5846110, 38.2566300", \
+					  "1.0757951, 1.0841034, 1.1184333, 1.2035338, 2.5896263, 10.5836370, 38.2367670");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9876400, 9.1907957, 10.4502470, 18.2053640, 66.0014120, 361.0986400, 2180.2680000", \
+					  "9.5551735, 9.7553619, 11.0243400, 18.7716780, 66.5711970, 361.4194700, 2179.6111000", \
+					  "10.0665560, 10.2469080, 11.5307310, 19.2683740, 67.0660110, 362.5238500, 2179.0729000", \
+					  "10.4933300, 10.7067000, 11.9502940, 19.7239860, 67.4770320, 362.9468800, 2180.0108000", \
+					  "10.9298310, 11.1062170, 12.3689900, 20.1495370, 67.8376400, 362.7404200, 2183.4628000", \
+					  "11.2969520, 11.4784550, 12.7672210, 20.4938930, 68.3016950, 363.1606400, 2184.1920000", \
+					  "11.6240190, 11.8351920, 13.1208080, 20.8471620, 68.6831850, 364.1239900, 2185.4307000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1812040, 13.5883840, 16.0834970, 31.4902100, 127.3639900, 715.8182000, 4352.7798000", \
+					  "13.1470860, 13.5509400, 16.0878940, 31.4895240, 127.3575200, 716.8867500, 4349.9003000", \
+					  "13.1526880, 13.5506520, 16.0816180, 31.5228730, 127.4005100, 717.1593700, 4350.0304000", \
+					  "13.1813980, 13.5887840, 16.0877660, 31.5106200, 127.2382500, 716.6933100, 4357.4159000", \
+					  "13.1827530, 13.5811500, 16.0931450, 31.5241810, 127.0645100, 715.9446800, 4348.3792000", \
+					  "13.1778250, 13.5846170, 16.0857110, 31.5240560, 127.0514400, 716.8172800, 4349.4115000", \
+					  "13.1787880, 13.5759480, 16.0782180, 31.4929810, 127.2647000, 716.8725300, 4349.9726000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8826502, 3.8833510, 3.8833513, 3.8833515, 3.8833517, 3.8833520, 3.8833522", \
+					  "4.3379287, 4.3379291, 4.3379295, 4.3379300, 4.3379305, 4.3379310, 4.3379314", \
+					  "4.6449387, 4.6562212, 4.6562217, 4.6562222, 4.6562226, 4.6562231, 4.6562236", \
+					  "4.9004045, 4.9004047, 4.9043156, 4.9043158, 4.9043162, 4.9043167, 4.9043172", \
+					  "5.1050448, 5.1050451, 5.1050456, 5.1050461, 5.1050466, 5.1050470, 5.1050475", \
+					  "5.2887037, 5.2889537, 5.2889541, 5.2889545, 5.2889550, 5.2889555, 5.2889560", \
+					  "5.4310441, 5.4314477, 5.4363474, 5.4363478, 5.4363482, 5.4363487, 5.4363492");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1787310, 2.1787311, 2.1787578, 2.1787581, 2.1787583, 2.1787586, 2.3285363", \
+					  "2.6201765, 2.6209168, 2.6221274, 2.6240441, 2.6240443, 2.6240446, 2.7535498", \
+					  "2.9242324, 2.9242327, 2.9245104, 2.9245106, 2.9245109, 2.9245111, 3.0466623", \
+					  "3.1593144, 3.1611556, 3.1611559, 3.1611561, 3.1611563, 3.1611566, 3.2906180", \
+					  "3.3772700, 3.3772702, 3.3772704, 3.3793388, 3.3793389, 3.3793391, 3.5023495", \
+					  "3.5513859, 3.5513860, 3.5513863, 3.5513865, 3.5530430, 3.5530431, 3.6829388", \
+					  "3.7070904, 3.7196068, 3.7196070, 3.7196073, 3.7201451, 3.7201452, 3.8430694");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.1329739, 3.1609206, 3.3362924, 4.4075179, 10.1903740, 35.3309310, 98.5176030", \
+					  "3.7003265, 3.7332937, 3.9087698, 4.9708735, 10.7568840, 35.9033940, 99.0739010", \
+					  "4.1911989, 4.2442903, 4.4098591, 5.4873803, 11.2606550, 36.4122100, 99.5411750", \
+					  "4.6539541, 4.6726196, 4.8467310, 5.9210907, 11.7334710, 36.8437900, 99.9862590", \
+					  "5.0610183, 5.0865863, 5.2883267, 6.3529701, 12.0997590, 37.2835270, 100.4434400", \
+					  "5.4197979, 5.4865992, 5.6543237, 6.6889569, 12.4860260, 37.6389230, 100.7837900", \
+					  "5.7767560, 5.8325695, 5.9747340, 7.0453541, 12.8571780, 37.9575480, 101.1203600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7700938, 1.8248109, 2.1543463, 4.0734518, 11.4116820, 42.5421760, 59.4779300", \
+					  "1.7729147, 1.8273058, 2.1563444, 4.0713890, 11.4095300, 42.5725520, 59.3071330", \
+					  "1.7719766, 1.8258750, 2.1551259, 4.0699639, 11.4101010, 42.5544970, 59.4333680", \
+					  "1.7705943, 1.8264387, 2.1560924, 4.0697281, 11.4091220, 42.5798950, 59.4251900", \
+					  "1.7713782, 1.8270662, 2.1559041, 4.0712171, 11.4102430, 42.5486280, 59.3826290", \
+					  "1.7740238, 1.8274738, 2.1577140, 4.0708117, 11.4113320, 42.5701220, 59.3951590", \
+					  "1.7750584, 1.8278925, 2.1594819, 4.0742547, 11.4116900, 42.5736920, 59.4229160");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2520870, 2.2520873, 2.2520875, 2.2559266, 2.2559268, 2.2559270, 2.3593263", \
+					  "2.7109577, 2.7109579, 2.7109582, 2.7109584, 2.7109587, 2.7109589, 2.8052694", \
+					  "3.0018972, 3.0020915, 3.0027205, 3.0027208, 3.0033154, 3.0085801, 3.1081868", \
+					  "3.2429306, 3.2429308, 3.2479870, 3.2486207, 3.2486209, 3.2534042, 3.3409082", \
+					  "3.4465664, 3.4465665, 3.4535184, 3.4535186, 3.4535188, 3.4634386, 3.5461087", \
+					  "3.6394763, 3.6395444, 3.6412535, 3.6412536, 3.6412539, 3.6458891, 3.7429762", \
+					  "3.8144536, 3.8146220, 3.8146221, 3.8146223, 3.8146226, 3.8146228, 3.9074366");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1793596, 2.1793671, 2.1793924, 2.1793925, 2.1793927, 2.1793930, 2.3292235", \
+					  "2.6208042, 2.6215234, 2.6222169, 2.6236320, 2.6236321, 2.6236324, 2.7534750", \
+					  "2.9251435, 2.9251436, 2.9254716, 2.9254717, 2.9254720, 2.9254722, 3.0476546", \
+					  "3.1602516, 3.1623277, 3.1623279, 3.1623282, 3.1623284, 3.1623287, 3.2915675", \
+					  "3.3779146, 3.3779148, 3.3779786, 3.3798850, 3.3798851, 3.3798854, 3.5034489", \
+					  "3.5520058, 3.5520059, 3.5520062, 3.5520064, 3.5532917, 3.5532920, 3.6750485", \
+					  "3.7079122, 3.7203161, 3.7203163, 3.7203166, 3.7210466, 3.7210469, 3.8424242");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.8866865, 3.8885890, 3.8885891, 3.8885893, 3.8885896, 3.8885898, 3.8885900", \
+					  "4.3433439, 4.3433440, 4.3433445, 4.3433450, 4.3433455, 4.3433459, 4.3433464", \
+					  "4.6511852, 4.6511853, 4.6511858, 4.6511863, 4.6511868, 4.6511872, 4.6511877", \
+					  "4.9010627, 4.9010628, 4.9050191, 4.9050196, 4.9050200, 4.9050205, 4.9050210", \
+					  "5.1030069, 5.1030071, 5.1030076, 5.1030081, 5.1030086, 5.1030090, 5.1030095", \
+					  "5.2956870, 5.3030420, 5.3030422, 5.3030427, 5.3030432, 5.3030437, 5.3030441", \
+					  "5.4311937, 5.4384062, 5.4384063, 5.4384068, 5.4384072, 5.4384077, 5.4384082");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.5744403, 3.5751622, 3.5751623, 3.5751626, 3.5751628, 3.5751631, 3.5751633", \
+					  "4.0026609, 4.0036210, 4.0036214, 4.0036219, 4.0036223, 4.0036228, 4.0036233", \
+					  "4.3223825, 4.3239647, 4.3299533, 4.3299535, 4.3299540, 4.3299544, 4.3299549", \
+					  "4.5933826, 4.5986415, 4.5986417, 4.5986422, 4.5986426, 4.5986431, 4.5986436", \
+					  "4.7678363, 4.7699102, 4.7699106, 4.7699111, 4.7699116, 4.7699121, 4.7699125", \
+					  "4.9710458, 4.9728652, 4.9728654, 4.9728659, 4.9728663, 4.9728668, 4.9728673", \
+					  "5.1346842, 5.1373199, 5.1373203, 5.1373208, 5.1373213, 5.1373218, 5.1373222");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7536113, 2.7770530, 2.8956710, 3.3091374, 4.6318052, 11.2681920, 36.2572800", \
+					  "3.2084009, 3.2251553, 3.3420990, 3.7603716, 5.0857074, 11.7204940, 36.7132850", \
+					  "3.5104186, 3.5350769, 3.6534211, 4.0680801, 5.3901852, 12.0261660, 37.0200830", \
+					  "3.7543466, 3.7769277, 3.8985433, 4.3115467, 5.6343943, 12.2687140, 37.2541430", \
+					  "3.9683998, 3.9922479, 4.1093959, 4.5237063, 5.8455791, 12.4774730, 37.4719990", \
+					  "4.1494297, 4.1760577, 4.2967847, 4.7083919, 6.0326228, 12.6687110, 37.6858900", \
+					  "4.3126995, 4.3432937, 4.4662172, 4.8703703, 6.2003402, 12.8348920, 37.8356580");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0760500, 1.0831095, 1.1180379, 1.2035826, 2.5831517, 10.5923420, 38.2285600", \
+					  "1.0759075, 1.0830866, 1.1183359, 1.2031715, 2.5834470, 10.5909710, 38.2269110", \
+					  "1.0761015, 1.0831439, 1.1183761, 1.2035301, 2.5828890, 10.5905220, 38.2261400", \
+					  "1.0754968, 1.0838660, 1.1181431, 1.2031033, 2.5841267, 10.5723630, 38.2511270", \
+					  "1.0765624, 1.0836588, 1.1182754, 1.2029594, 2.5838818, 10.5953970, 38.2567010", \
+					  "1.0751229, 1.0819211, 1.1170972, 1.2031099, 2.5845892, 10.5895700, 38.2206940", \
+					  "1.0758563, 1.0824374, 1.1180775, 1.2035901, 2.5836737, 10.5889980, 38.2634790");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.5575493, 2.5691740, 2.5700075, 2.5700077, 2.5700080, 2.5700082, 2.6583531", \
+					  "3.0195240, 3.0195243, 3.0199869, 3.0199870, 3.0204315, 3.0210789, 3.1149592", \
+					  "3.3088499, 3.3088500, 3.3088502, 3.3131420, 3.3131422, 3.3131425, 3.4104342", \
+					  "3.5545251, 3.5546237, 3.5570156, 3.5573233, 3.5587959, 3.5587961, 3.6466823", \
+					  "3.7677510, 3.7701091, 3.7701093, 3.7701096, 3.7701098, 3.7701101, 3.8573291", \
+					  "3.9519121, 3.9519123, 3.9599466, 3.9628909, 3.9628910, 3.9628913, 4.0498151", \
+					  "4.1143355, 4.1241939, 4.1241940, 4.1241944, 4.1241949, 4.1241954, 4.2103929");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7884501, 2.8113698, 2.9298395, 3.3432224, 4.6654951, 11.2996480, 36.2870050", \
+					  "3.3607124, 3.3816179, 3.4998688, 3.9153520, 5.2340927, 11.8707650, 36.8596780", \
+					  "3.8682240, 3.8772670, 4.0086459, 4.4087987, 5.7235376, 12.3748580, 37.3399090", \
+					  "4.3279291, 4.3279293, 4.4396113, 4.8745612, 6.1875745, 12.8406940, 37.8024150", \
+					  "4.7110236, 4.7356257, 4.8793951, 5.2958811, 6.5836985, 13.2112980, 38.2383940", \
+					  "5.1115823, 5.1357052, 5.2019522, 5.6353779, 6.9545485, 13.5989430, 38.5823360", \
+					  "5.4756208, 5.4829696, 5.5717662, 5.9867316, 7.3095414, 13.9698840, 38.9325590");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0762728, 1.0835798, 1.1183424, 1.2035126, 2.5877778, 10.5797760, 38.2200760", \
+					  "1.0759775, 1.0835961, 1.1179087, 1.2031388, 2.5894231, 10.5835960, 38.2314770", \
+					  "1.0761999, 1.0831238, 1.1183712, 1.2031337, 2.5886668, 10.5834830, 38.2528320", \
+					  "1.0769788, 1.0838470, 1.1180357, 1.2034341, 2.5894784, 10.5831930, 38.2345140", \
+					  "1.0772514, 1.0837181, 1.1192016, 1.2018680, 2.5865108, 10.5821090, 38.2351860", \
+					  "1.0757267, 1.0834367, 1.1175310, 1.2023740, 2.5894744, 10.5902220, 38.2432330", \
+					  "1.0755354, 1.0826720, 1.1189814, 1.2035805, 2.5897362, 10.5867310, 38.2365640");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9143161, 2.9272391, 3.0034468, 3.3682928, 4.6877524, 8.3843474, 20.0858890", \
+					  "3.4860996, 3.4935084, 3.5738035, 3.9354004, 5.2598486, 8.9526697, 20.6532960", \
+					  "3.9794230, 3.9852903, 4.0813344, 4.4262944, 5.7602079, 9.4639265, 21.1660720", \
+					  "4.4217514, 4.4680721, 4.5141482, 4.8832347, 6.2264092, 9.8897184, 21.6231760", \
+					  "4.8383408, 4.8639617, 4.9440159, 5.3204623, 6.5917418, 10.3032380, 22.0069900", \
+					  "5.2442672, 5.2442673, 5.3192797, 5.6331095, 6.9786341, 10.7074320, 22.3621580", \
+					  "5.5991132, 5.5991133, 5.6398197, 6.0096275, 7.3530129, 11.0600840, 22.7599170");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6924403, 0.6983474, 0.7357735, 0.9773310, 2.0249962, 4.7647708, 17.2230160", \
+					  "0.6918357, 0.6976837, 0.7359048, 0.9774427, 2.0238886, 4.7568358, 17.2251070", \
+					  "0.6921947, 0.6976509, 0.7359013, 0.9862722, 2.0247293, 4.7619566, 17.2275760", \
+					  "0.6919009, 0.6991509, 0.7358434, 0.9774244, 2.0216873, 4.7592819, 17.2448280", \
+					  "0.6885580, 0.6947121, 0.7360804, 0.9823972, 2.0224347, 4.7428270, 17.2308340", \
+					  "0.6885808, 0.6976412, 0.7425265, 0.9782304, 2.0245969, 4.7605200, 17.2498140", \
+					  "0.6876079, 0.6939429, 0.7433565, 0.9806115, 2.0252686, 4.7457638, 17.2431880");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7779392, 2.8054963, 2.9734732, 3.9785486, 10.1183110, 24.2189200, 52.3862200", \
+					  "3.3493317, 3.3757601, 3.5433125, 4.5459885, 10.6862180, 24.7902210, 52.9326410", \
+					  "3.8478758, 3.8690193, 4.0393271, 5.0356794, 11.2043700, 25.2937140, 53.4354640", \
+					  "4.3167732, 4.3167737, 4.4894919, 5.5160130, 11.6311630, 25.7407870, 53.8958070", \
+					  "4.6983981, 4.7293485, 4.8807376, 5.9265997, 12.0633120, 26.1624250, 54.3313940", \
+					  "5.1052114, 5.1239311, 5.2909304, 6.2688312, 12.4288310, 26.5365900, 54.6587450", \
+					  "5.4223506, 5.4894751, 5.6128542, 6.6235382, 12.7525980, 26.8729500, 55.0070990");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7063359, 1.7548946, 2.0713662, 4.0287684, 12.0304930, 17.1019840, 40.2055350", \
+					  "1.7059273, 1.7564186, 2.0705893, 4.0295962, 12.0309730, 17.1025720, 40.2307840", \
+					  "1.7062718, 1.7548273, 2.0714150, 4.0295620, 12.0306660, 17.1012520, 40.1848230", \
+					  "1.7050272, 1.7544354, 2.0697788, 4.0244874, 12.0283010, 17.1061620, 40.2304470", \
+					  "1.7061934, 1.7567497, 2.0705060, 4.0268979, 12.0302550, 17.0952510, 40.2212810", \
+					  "1.7109411, 1.7618916, 2.0682112, 4.0285180, 12.0303700, 17.1761680, 40.2368770", \
+					  "1.7056037, 1.7541597, 2.0709450, 4.0212003, 12.0285640, 17.1234350, 40.2192590");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.1473875, 3.1772107, 3.3525989, 4.4209134, 10.4799330, 22.4031900, 43.8379250", \
+					  "3.7153725, 3.7482333, 3.9202344, 4.9836784, 11.0445910, 22.9679920, 44.4032050", \
+					  "4.2391672, 4.2478479, 4.4250794, 5.5008011, 11.5569810, 23.4674400, 44.9021160", \
+					  "4.6595261, 4.6838289, 4.8842259, 5.9262231, 11.9962060, 23.9224050, 45.3405880", \
+					  "5.0615143, 5.0999667, 5.2679003, 6.3306653, 12.4378150, 24.3442050, 45.7485290", \
+					  "5.4741927, 5.4741929, 5.6488560, 6.7482846, 12.8007120, 24.6771810, 46.1652090", \
+					  "5.7886136, 5.8513332, 5.9797536, 7.0532522, 13.1223810, 25.0424680, 46.5209630");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7635086, 1.8198074, 2.1452577, 4.1995936, 11.6069550, 13.9610860, 26.8664890", \
+					  "1.7663188, 1.8193107, 2.1488067, 4.2019361, 11.6082270, 13.9583860, 26.8934990", \
+					  "1.7662313, 1.8191462, 2.1497961, 4.1992623, 11.6079010, 13.9577090, 26.8932820", \
+					  "1.7662831, 1.8193791, 2.1518864, 4.2010191, 11.6067300, 13.9610910, 26.8957940", \
+					  "1.7657377, 1.8158249, 2.1510096, 4.2026524, 11.6091450, 13.9649830, 26.9221230", \
+					  "1.7857331, 1.8303492, 2.1495268, 4.2019774, 11.6040060, 13.9898780, 26.9035570", \
+					  "1.7657841, 1.8193528, 2.1528206, 4.2055244, 11.6076690, 13.9777940, 26.8781710");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.2533395, 2.2594950, 2.2594952, 2.2594954, 2.2594957, 2.2594959, 2.3445734", \
+					  "2.7094391, 2.7094392, 2.7094394, 2.7094397, 2.7094399, 2.7094402, 2.8028011", \
+					  "3.0079121, 3.0079123, 3.0079126, 3.0079128, 3.0079130, 3.0079133, 3.1066243", \
+					  "3.2416627, 3.2417748, 3.2523937, 3.2523939, 3.2523941, 3.2523944, 3.3376586", \
+					  "3.4475189, 3.4513612, 3.4553531, 3.4553532, 3.4553534, 3.4661691, 3.5471546", \
+					  "3.6356218, 3.6361125, 3.6416234, 3.6416237, 3.6416239, 3.6456327, 3.7457668", \
+					  "3.8097649, 3.8097650, 3.8097653, 3.8097655, 3.8097657, 3.8151584, 3.9006940");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.1774147, 2.1774148, 2.1774151, 2.1774153, 2.1774155, 2.1774158, 2.3269546", \
+					  "2.6256173, 2.6256174, 2.6256177, 2.6256179, 2.6256182, 2.6256184, 2.7556473", \
+					  "2.9278435, 2.9308893, 2.9308895, 2.9308898, 2.9308900, 2.9308903, 3.0445833", \
+					  "3.1639644, 3.1639647, 3.1639649, 3.1639652, 3.1639654, 3.1639656, 3.2931076", \
+					  "3.3756145, 3.3756146, 3.3782916, 3.3782918, 3.3782920, 3.3782923, 3.5120180", \
+					  "3.5488688, 3.5517247, 3.5517248, 3.5517251, 3.5517253, 3.5517256, 3.6834420", \
+					  "3.7098697, 3.7216221, 3.7216222, 3.7216224, 3.7216459, 3.7216460, 3.8594727");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.9914315, 1.9914316, 1.9914317, 1.9914318, 1.9914319, 1.9914321, 2.1552642", \
+					  "2.5524050, 2.5524053, 2.5524055, 2.5524058, 2.5524060, 2.5524062, 2.6636000", \
+					  "3.0681861, 3.0685077, 3.0685078, 3.0685081, 3.0685083, 3.0685086, 3.1923910", \
+					  "3.5105283, 3.5139669, 3.5141401, 3.5153664, 3.5153665, 3.5153667, 3.6329200", \
+					  "3.9222696, 3.9222698, 3.9222700, 3.9222702, 3.9222705, 3.9222707, 4.0443195", \
+					  "4.2982733, 4.2982734, 4.2982739, 4.2982743, 4.2982748, 4.2982753, 4.4210853", \
+					  "4.6533972, 4.6533974, 4.6533978, 4.6533983, 4.6533988, 4.6533993, 4.7833044");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.8956593, 2.9089555, 2.9849412, 3.3553755, 4.7604685, 11.7243790, 50.2742770", \
+					  "3.4639123, 3.4742280, 3.5530434, 3.9257446, 5.3282556, 12.2931670, 50.9337500", \
+					  "3.9688093, 3.9688095, 4.0530495, 4.4266346, 5.8300880, 12.8093000, 51.4204470", \
+					  "4.4349884, 4.4349888, 4.5241415, 4.8928124, 6.3001355, 13.2357010, 51.8904420", \
+					  "4.8027435, 4.8217519, 4.8988169, 5.2684590, 6.6676900, 13.6496720, 52.2825840", \
+					  "5.1907474, 5.1974998, 5.2803730, 5.6493858, 7.0540698, 14.0557420, 52.5504400", \
+					  "5.5415161, 5.5524061, 5.6588796, 6.0381518, 7.4507529, 14.4093080, 53.0329770");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("0.6898693, 0.6967726, 0.7409595, 0.9898347, 2.3383375, 11.2859610, 46.4619280", \
+					  "0.6942895, 0.7014290, 0.7408449, 0.9837963, 2.3366622, 11.2730580, 46.4939380", \
+					  "0.6900266, 0.7016491, 0.7410182, 0.9873437, 2.3370170, 11.2829750, 46.4304360", \
+					  "0.6940672, 0.6961352, 0.7400265, 0.9836683, 2.3368067, 11.2755750, 46.4814640", \
+					  "0.6911918, 0.6971538, 0.7399146, 0.9883564, 2.3346658, 11.2791540, 46.5232760", \
+					  "0.6943019, 0.7021410, 0.7407485, 0.9860418, 2.3343738, 11.2888610, 46.4937810", \
+					  "0.6891464, 0.6962801, 0.7444699, 0.9836105, 2.3379831, 11.2793090, 46.5159860");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.9918995, 1.9918996, 1.9918997, 1.9918998, 1.9919000, 1.9919001, 2.1560747", \
+					  "2.5522677, 2.5524931, 2.5524933, 2.5524935, 2.5524937, 2.5524940, 2.6713682", \
+					  "3.0690881, 3.0696450, 3.0696451, 3.0696453, 3.0696456, 3.0696458, 3.1805523", \
+					  "3.5109033, 3.5142156, 3.5142159, 3.5142161, 3.5142164, 3.5142166, 3.6301042", \
+					  "3.9250079, 3.9250080, 3.9250082, 3.9250085, 3.9250087, 3.9250089, 4.0451589", \
+					  "4.3006256, 4.3006261, 4.3006266, 4.3006270, 4.3006275, 4.3006280, 4.4191450", \
+					  "4.6616280, 4.6616281, 4.6616285, 4.6616290, 4.6616295, 4.6616300, 4.7821196");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6949612, 8.9085495, 10.1482020, 17.8855920, 65.5394100, 360.0820000, 2174.5145000", \
+					  "9.2636769, 9.4564223, 10.7320960, 18.4569210, 66.1517280, 360.3960600, 2173.1596000", \
+					  "9.7632604, 9.9670059, 11.2539000, 18.9778430, 66.7239630, 360.7423800, 2173.7835000", \
+					  "10.2154330, 10.4108280, 11.6640080, 19.3928640, 67.0926640, 361.1504800, 2176.0977000", \
+					  "10.6419400, 10.8497320, 12.1015940, 19.7822610, 67.4644060, 361.9820500, 2174.4361000", \
+					  "10.9794640, 11.1732280, 12.4595370, 20.2135090, 67.8692730, 362.0454000, 2176.8590000", \
+					  "11.3478500, 11.5420990, 12.7876600, 20.5633770, 68.1684540, 362.9036200, 2176.8094000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3682950, 13.7435940, 16.2442260, 31.5921870, 126.9500000, 715.8255400, 4346.0745000", \
+					  "13.3681110, 13.7643510, 16.2242660, 31.5867300, 126.8686700, 714.6326800, 4341.4552000", \
+					  "13.3611110, 13.7672980, 16.2496360, 31.6361910, 127.0410300, 715.0425700, 4341.5139000", \
+					  "13.3492460, 13.7628740, 16.2441560, 31.5902930, 126.7493100, 714.3868300, 4347.5225000", \
+					  "13.3616040, 13.7608720, 16.2426930, 31.6094880, 127.1932300, 715.9304700, 4342.5207000", \
+					  "13.3461230, 13.7543830, 16.2537610, 31.6261430, 126.6917700, 714.5145000, 4339.1269000", \
+					  "13.3631190, 13.7568290, 16.2526010, 31.6058220, 127.0824400, 715.3205400, 4340.8004000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9862144, 9.1928998, 10.4446340, 18.1930520, 66.0246900, 361.4898400, 2181.6286000", \
+					  "9.5543342, 9.7648165, 11.0178560, 18.7633870, 66.5764830, 361.9134600, 2180.5859000", \
+					  "10.0588210, 10.2588930, 11.5114820, 19.2712570, 67.0684200, 362.3798900, 2180.3064000", \
+					  "10.4943150, 10.7159320, 11.9715450, 19.7358800, 67.5616930, 362.1578600, 2182.9201000", \
+					  "10.8981110, 11.1116170, 12.3550220, 20.1326320, 67.8571370, 362.9033600, 2184.8284000", \
+					  "11.3111350, 11.4848450, 12.7332810, 20.4895140, 68.2804710, 362.8435100, 2181.0861000", \
+					  "11.6650200, 11.8779710, 13.0915930, 20.8785080, 68.7053690, 364.1113400, 2184.0112000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1638330, 13.5851170, 16.0749250, 31.4969420, 127.2732100, 717.2336000, 4354.0394000", \
+					  "13.1628510, 13.5830560, 16.0827810, 31.4708790, 126.9601500, 716.3807800, 4349.0022000", \
+					  "13.1665030, 13.5882620, 16.0861740, 31.4917660, 126.9128100, 716.2575500, 4355.8498000", \
+					  "13.1754620, 13.5849850, 16.0896810, 31.5116400, 127.1063200, 716.5605200, 4353.3169000", \
+					  "13.1828100, 13.5812070, 16.0915940, 31.5243010, 126.9586800, 716.8603100, 4352.0695000", \
+					  "13.1770810, 13.5897480, 16.0784840, 31.5239920, 126.8603700, 716.4392700, 4349.3033000", \
+					  "13.1781950, 13.5700850, 16.0772840, 31.4956520, 127.2062200, 716.8573600, 4352.8144000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7547509, 2.7772491, 2.8937019, 3.3048537, 4.6310906, 11.2790540, 36.2967080", \
+					  "3.2091978, 3.2314211, 3.3481915, 3.7593506, 5.0854472, 11.7337460, 36.7545740", \
+					  "3.5044516, 3.5236905, 3.6435734, 4.0546975, 5.3808211, 12.0278690, 37.0325350", \
+					  "3.7579722, 3.7798733, 3.8967980, 4.3080659, 5.6345462, 12.2850080, 37.2897590", \
+					  "3.9696491, 3.9927217, 4.1084740, 4.5196362, 5.8457044, 12.4988250, 37.5139210", \
+					  "4.1560697, 4.1778283, 4.2943937, 4.7061013, 6.0322058, 12.6831610, 37.7206820", \
+					  "4.3224393, 4.3445803, 4.4612603, 4.8637303, 6.1896133, 12.8503950, 37.8763900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0695993, 1.0768292, 1.1118202, 1.1971368, 2.5925984, 10.6075810, 38.2777930", \
+					  "1.0696558, 1.0759795, 1.1119206, 1.1975202, 2.5922406, 10.6087010, 38.2702300", \
+					  "1.0697122, 1.0768993, 1.1118326, 1.1975021, 2.5917548, 10.5988550, 38.2436800", \
+					  "1.0693366, 1.0770366, 1.1118272, 1.1968091, 2.5933689, 10.6060350, 38.2607510", \
+					  "1.0694131, 1.0777723, 1.1117943, 1.1968985, 2.5932343, 10.6090930, 38.2420640", \
+					  "1.0685989, 1.0753479, 1.1099163, 1.1967529, 2.5937674, 10.6077330, 38.2708730", \
+					  "1.0693110, 1.0760002, 1.1118663, 1.1971212, 2.5927052, 10.6115470, 38.2818790");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("9.1143448, 9.3243804, 10.6168260, 18.5692880, 66.7241690, 361.7392400, 2179.4601000", \
+					  "9.6772812, 9.8953157, 11.1917280, 19.1403180, 67.3422850, 362.2086200, 2180.3480000", \
+					  "10.2043240, 10.4175850, 11.7129990, 19.6547680, 67.8439630, 363.3025200, 2184.3432000", \
+					  "10.6559750, 10.8701720, 12.1664190, 20.1106330, 68.1855070, 363.4337400, 2181.4624000", \
+					  "11.0709320, 11.2805910, 12.5790000, 20.5286740, 68.6879820, 363.8327800, 2185.4796000", \
+					  "11.4461560, 11.6602530, 12.9532560, 20.9024550, 69.0647110, 364.4243000, 2183.2457000", \
+					  "11.8063100, 12.0128190, 13.3164560, 21.2703280, 69.3641130, 364.1869500, 2182.9953000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1787170, 13.5708750, 16.0823410, 31.5023490, 126.8509200, 716.3695300, 4350.5070000", \
+					  "13.1676310, 13.5856220, 16.0716010, 31.4901810, 127.1687800, 715.9398500, 4350.7207000", \
+					  "13.1803080, 13.5845840, 16.0862540, 31.4864790, 127.0116000, 716.2503800, 4354.8202000", \
+					  "13.1604200, 13.5836680, 16.0588720, 31.5011800, 127.0847200, 715.7901800, 4350.5834000", \
+					  "13.1798780, 13.5757130, 16.0869290, 31.5091670, 126.8739700, 716.8012000, 4349.7557000", \
+					  "13.1778480, 13.5862720, 16.0664030, 31.4820670, 127.2506300, 717.0170900, 4349.9895000", \
+					  "13.1520200, 13.5455950, 16.0597650, 31.5153590, 126.9999400, 716.4030600, 4352.3391000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7781473, 2.8055647, 2.9734979, 3.9785450, 10.1100150, 24.2412790, 52.3828730", \
+					  "3.3450223, 3.3733976, 3.5412071, 4.5462327, 10.6866660, 24.8075370, 52.9351280", \
+					  "3.8362002, 3.8843093, 4.0411172, 5.0633440, 11.2077230, 25.3173360, 53.4192350", \
+					  "4.2955137, 4.3162376, 4.5094821, 5.5132814, 11.6382930, 25.7599520, 53.9259230", \
+					  "4.7275477, 4.7567328, 4.9230491, 5.9171188, 12.0655290, 26.1879800, 54.2764870", \
+					  "5.0495355, 5.1118443, 5.2673559, 6.2558323, 12.4033550, 26.5635800, 54.6804560", \
+					  "5.4198006, 5.4331837, 5.6175491, 6.6208292, 12.8051130, 26.8815640, 55.0709560");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7059419, 1.7543210, 2.0712654, 4.0290229, 12.0304360, 17.0897470, 40.2252030", \
+					  "1.7055386, 1.7563663, 2.0708584, 4.0272425, 12.0308070, 17.0964900, 40.1845990", \
+					  "1.7055988, 1.7546659, 2.0712776, 4.0267270, 12.0306870, 17.1006640, 40.2208330", \
+					  "1.7051377, 1.7554185, 2.0682751, 4.0254440, 12.0306090, 17.1184610, 40.1983970", \
+					  "1.7038664, 1.7557062, 2.0705977, 4.0267754, 12.0277490, 17.1762980, 40.2168570", \
+					  "1.7107344, 1.7584783, 2.0675577, 4.0278478, 12.0303140, 17.1545780, 40.2124770", \
+					  "1.7038843, 1.7542282, 2.0705553, 4.0211567, 12.0278960, 17.0932390, 40.2066820");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9876782, 9.1919663, 10.4498890, 18.2050590, 66.0139610, 361.1625900, 2178.4465000", \
+					  "9.5515853, 9.7604449, 11.0226890, 18.7762840, 66.5812930, 361.3669200, 2180.6286000", \
+					  "10.0451680, 10.2660380, 11.5213890, 19.2869790, 67.0667360, 362.4162200, 2179.5133000", \
+					  "10.5038610, 10.7057070, 11.9526700, 19.7344540, 67.4825460, 362.4087700, 2182.8657000", \
+					  "10.9140570, 11.1411670, 12.3655020, 20.1337880, 67.8968560, 362.7291700, 2182.1411000", \
+					  "11.2686970, 11.5014630, 12.7393770, 20.5390780, 68.2332130, 363.0019000, 2181.3360000", \
+					  "11.6322410, 11.8324990, 13.1334610, 20.8775020, 68.6923300, 364.1095500, 2180.7864000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1812160, 13.5812870, 16.0876340, 31.4873710, 127.2366200, 715.9105200, 4351.3646000", \
+					  "13.1812770, 13.5867900, 16.0793550, 31.5126450, 127.2271100, 716.3543500, 4352.8698000", \
+					  "13.1756620, 13.5510800, 16.0809520, 31.5213380, 127.3921000, 717.2765800, 4358.3832000", \
+					  "13.1698140, 13.5802890, 16.0836300, 31.4995670, 127.2591800, 715.9965200, 4348.5643000", \
+					  "13.1834520, 13.5579460, 16.0931590, 31.5240630, 127.3327300, 716.0400600, 4352.1727000", \
+					  "13.1844610, 13.5818820, 16.0901070, 31.5240700, 126.9905900, 716.5992300, 4349.0923000", \
+					  "13.1775910, 13.5788860, 16.0693490, 31.4748160, 127.2167400, 716.8713400, 4348.8758000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.7057375, 8.8967926, 10.1651730, 17.8864350, 65.4885210, 359.5785900, 2173.0673000", \
+					  "9.2723997, 9.4580708, 10.7432320, 18.4504860, 66.1587410, 360.3856800, 2173.2380000", \
+					  "9.7723163, 9.9672786, 11.2373960, 18.9470040, 66.7735380, 360.7566700, 2173.7555000", \
+					  "10.2191760, 10.4360020, 11.6446100, 19.3931980, 67.0849760, 361.5624600, 2177.1555000", \
+					  "10.6462870, 10.8120450, 12.0813840, 19.8230620, 67.5763800, 361.9174700, 2178.9247000", \
+					  "10.9653070, 11.1969230, 12.4769420, 20.1566640, 67.8661110, 362.5110300, 2177.1145000", \
+					  "11.3480660, 11.5406640, 12.8438620, 20.5238240, 68.1669900, 362.8686300, 2177.0970000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3561250, 13.7738840, 16.2583800, 31.6173820, 127.1240700, 715.3731800, 4338.7431000", \
+					  "13.3492840, 13.7631440, 16.2556030, 31.6321830, 126.8525000, 715.0705400, 4341.5566000", \
+					  "13.3656090, 13.7739220, 16.2260710, 31.6272980, 127.1674800, 714.9092800, 4341.5430000", \
+					  "13.3487470, 13.7647850, 16.2475080, 31.6010040, 126.8762400, 715.5921900, 4342.1455000", \
+					  "13.3616730, 13.7617260, 16.2514180, 31.6092860, 127.2101100, 715.2523300, 4338.1165000", \
+					  "13.3518240, 13.7580360, 16.2537520, 31.6181890, 126.8959000, 715.5603600, 4339.2083000", \
+					  "13.3615020, 13.7593470, 16.2511020, 31.6006600, 127.0786100, 715.1472800, 4345.4656000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("3.1492060, 3.1773664, 3.3531100, 4.4163220, 10.4798370, 22.4002240, 43.8350720", \
+					  "3.7182970, 3.7492347, 3.9185322, 4.9861333, 11.0559460, 22.9720630, 44.3989310", \
+					  "4.2265795, 4.2494017, 4.4366932, 5.4743734, 11.5458680, 23.4734670, 44.9083580", \
+					  "4.6581934, 4.7170019, 4.8657323, 5.9435070, 12.0267050, 23.9327650, 45.3422080", \
+					  "5.0616702, 5.1200013, 5.2989981, 6.3432322, 12.4013420, 24.3167230, 45.7548420", \
+					  "5.4739249, 5.4739254, 5.6283218, 6.7052874, 12.7840880, 24.6919520, 46.1401510", \
+					  "5.7860312, 5.8645511, 5.9954934, 7.0595800, 13.1110010, 25.0172770, 46.5201000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7641730, 1.8195593, 2.1470790, 4.2023252, 11.6064420, 13.9559180, 26.8844160", \
+					  "1.7639897, 1.8196870, 2.1467470, 4.2002926, 11.6087310, 13.9577840, 26.8927880", \
+					  "1.7661671, 1.8172838, 2.1499669, 4.2009733, 11.6074880, 13.9610550, 26.8796470", \
+					  "1.7641291, 1.8207370, 2.1516129, 4.2010808, 11.6133870, 13.9591510, 26.9043760", \
+					  "1.7646186, 1.8207429, 2.1479238, 4.2023882, 11.6052630, 13.9519040, 26.8876330", \
+					  "1.7852638, 1.8209124, 2.1499338, 4.2023069, 11.6116330, 13.9654900, 26.9013820", \
+					  "1.7656133, 1.8191957, 2.1559143, 4.2063535, 11.6023340, 13.9611880, 26.8685960");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7885292, 2.8111937, 2.9299456, 3.3431797, 4.6659046, 11.2994270, 36.2858260", \
+					  "3.3580245, 3.3817189, 3.4987240, 3.9098711, 5.2299867, 11.8713720, 36.8613510", \
+					  "3.8672767, 3.8898680, 3.9880437, 4.4014254, 5.7235163, 12.3873540, 37.3782500", \
+					  "4.3019499, 4.3508166, 4.4520694, 4.8654911, 6.1887735, 12.8109450, 37.8089840", \
+					  "4.7400546, 4.7400549, 4.8482875, 5.2602327, 6.6183552, 13.2432920, 38.2482670", \
+					  "5.1022271, 5.1022275, 5.2186775, 5.6316929, 6.9577708, 13.5723560, 38.6081920", \
+					  "5.4120384, 5.4995510, 5.5739222, 5.9872947, 7.3109012, 13.9391150, 38.9300860");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.0754676, 1.0833525, 1.1180166, 1.2013609, 2.5865803, 10.5801470, 38.2368340", \
+					  "1.0762453, 1.0835474, 1.1179749, 1.2035832, 2.5895714, 10.5837590, 38.2312420", \
+					  "1.0759476, 1.0835325, 1.1181021, 1.2034863, 2.5886693, 10.5788520, 38.2418900", \
+					  "1.0765357, 1.0842568, 1.1184562, 1.2036487, 2.5894775, 10.5844310, 38.2402340", \
+					  "1.0769515, 1.0835284, 1.1193463, 1.2027821, 2.5893756, 10.5813330, 38.2397580", \
+					  "1.0759409, 1.0823791, 1.1177531, 1.2021660, 2.5897888, 10.5905690, 38.2287480", \
+					  "1.0771175, 1.0838996, 1.1191837, 1.2034628, 2.5895055, 10.5869760, 38.2397510");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.6898986, 8.9086019, 10.1664350, 17.8860380, 65.5680520, 359.8314900, 2172.8938000", \
+					  "9.2741450, 9.4641832, 10.7357760, 18.4518180, 66.1625070, 360.5244700, 2173.2355000", \
+					  "9.7583222, 9.9618909, 11.2358550, 18.9743670, 66.7577640, 360.7795000, 2173.7055000", \
+					  "10.2120500, 10.4041240, 11.6808720, 19.3988430, 67.0574490, 361.1609100, 2175.5657000", \
+					  "10.6218370, 10.8237690, 12.0670210, 19.8221870, 67.5216820, 361.9101700, 2174.8017000", \
+					  "11.0263660, 11.1793080, 12.4429760, 20.1933120, 67.8531650, 362.4062100, 2178.2994000", \
+					  "11.3807060, 11.5751980, 12.8453430, 20.5179140, 68.1649940, 362.8610400, 2178.8051000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.3627060, 13.7438590, 16.2212090, 31.5910250, 127.1775000, 715.5553600, 4341.2106000", \
+					  "13.3685680, 13.7668650, 16.2208950, 31.6110620, 126.8466000, 715.5566100, 4341.5498000", \
+					  "13.3683860, 13.7737530, 16.2448260, 31.6134000, 126.7432200, 715.1657500, 4341.4766000", \
+					  "13.3396460, 13.7665410, 16.2420990, 31.5912630, 127.0756400, 714.3918300, 4345.4115000", \
+					  "13.3600030, 13.7615390, 16.2420550, 31.6067850, 126.6767400, 715.0966900, 4345.3274000", \
+					  "13.3561810, 13.7559800, 16.2503360, 31.6266980, 126.6929200, 714.8930800, 4347.7953000", \
+					  "13.3636830, 13.7569530, 16.2555800, 31.6046180, 127.0820500, 715.2472100, 4339.8336000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.9861958, 9.1839292, 10.4487120, 18.1932900, 65.9920540, 361.4310100, 2180.0049000", \
+					  "9.5574615, 9.7487538, 11.0218080, 18.7768700, 66.5869920, 361.2700500, 2179.0111000", \
+					  "10.0651070, 10.2679000, 11.5152920, 19.2556320, 67.0670910, 362.3971200, 2182.7061000", \
+					  "10.4920260, 10.6980710, 11.9551670, 19.7022590, 67.4921240, 363.0231000, 2183.2916000", \
+					  "10.8931410, 11.0996570, 12.3690850, 20.1255690, 67.8436290, 362.9293700, 2181.0897000", \
+					  "11.3063030, 11.5039840, 12.7692060, 20.5395060, 68.2755610, 362.8312300, 2184.7227000", \
+					  "11.6247070, 11.8304520, 13.1242590, 20.8767970, 68.7028030, 363.8912200, 2183.8855000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.1637000, 13.5884450, 16.0690890, 31.4974000, 126.8765700, 716.7428200, 4350.9776000", \
+					  "13.1804660, 13.5885700, 16.0809960, 31.4973220, 127.0396800, 716.7273600, 4351.2005000", \
+					  "13.1697850, 13.5883760, 16.0774700, 31.4984200, 126.8895300, 716.3401400, 4353.4351000", \
+					  "13.1757910, 13.5879660, 16.0815820, 31.4791430, 127.3129700, 717.1968800, 4349.2510000", \
+					  "13.1832230, 13.5807830, 16.0930340, 31.5255660, 127.0420400, 715.7921100, 4354.0040000", \
+					  "13.1768370, 13.5899190, 16.0850340, 31.5254100, 126.8649900, 716.4222200, 4355.1599000", \
+					  "13.1754000, 13.5771850, 16.0809710, 31.5038060, 127.2255900, 717.1014400, 4349.1227000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.7735523, 2.8013989, 2.9715030, 3.9881600, 10.1691400, 24.2484610, 52.3326630", \
+					  "3.2279672, 3.2559044, 3.4261161, 4.4432937, 10.6255640, 24.6992310, 52.7834130", \
+					  "3.5232550, 3.5510539, 3.7213330, 4.7391842, 10.9174580, 24.9707170, 53.0965140", \
+					  "3.7764480, 3.8045060, 3.9747574, 4.9920859, 11.1708520, 25.2543480, 53.3755190", \
+					  "3.9883270, 4.0162100, 4.1864663, 5.2034603, 11.3899740, 25.4693640, 53.6009140", \
+					  "4.1748267, 4.2027533, 4.3730086, 5.3906977, 11.5688640, 25.6548610, 53.7566220", \
+					  "4.3321857, 4.3599955, 4.5302455, 5.5475264, 11.7326200, 25.8184710, 53.9290070");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7094067, 1.7608873, 2.0761592, 4.0332018, 11.9934110, 16.9708820, 40.1517540", \
+					  "1.7067445, 1.7563470, 2.0728608, 4.0338075, 11.9941150, 16.9586180, 40.1428050", \
+					  "1.7045707, 1.7537067, 2.0697788, 4.0323118, 11.9902340, 17.0580880, 40.2226730", \
+					  "1.7025189, 1.7556355, 2.0698412, 4.0341623, 11.9899220, 17.1174220, 40.2362730", \
+					  "1.7087447, 1.7621024, 2.0712985, 4.0331232, 11.9907440, 17.0206520, 40.1987280", \
+					  "1.7049034, 1.7555600, 2.0698636, 4.0341805, 11.9914030, 17.0627100, 40.2231070", \
+					  "1.7044429, 1.7554832, 2.0752586, 4.0341450, 11.9909910, 17.1186960, 40.2396980");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9767442, 3.0057665, 3.1872334, 4.2771178, 10.3736170, 22.2061850, 43.6196820", \
+					  "3.5494863, 3.5784748, 3.7599288, 4.8498374, 10.9462960, 22.7780940, 44.1919430", \
+					  "4.0692134, 4.0980197, 4.2794919, 5.3702811, 11.4686960, 23.2958350, 44.7077400", \
+					  "4.5202100, 4.5504032, 4.7315187, 5.8217928, 11.9262160, 23.7483830, 45.1584580", \
+					  "4.9328954, 4.9624238, 5.1453908, 6.2319936, 12.3330590, 24.1601640, 45.5718590", \
+					  "5.3127156, 5.3427200, 5.5231526, 6.6128165, 12.7199790, 24.5395210, 45.9572540", \
+					  "5.6705400, 5.7020362, 5.8815548, 6.9761654, 13.0795700, 24.9005010, 46.3137120");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7629221, 1.8190065, 2.1491344, 4.2020583, 11.5601420, 13.9127780, 26.9060950", \
+					  "1.7631751, 1.8190297, 2.1491378, 4.2020596, 11.5606650, 13.9104320, 26.9133020", \
+					  "1.7647013, 1.8195687, 2.1488160, 4.2016937, 11.5595960, 13.9071160, 26.8734600", \
+					  "1.7618056, 1.8186551, 2.1448365, 4.2047401, 11.5606930, 13.9286230, 26.8549130", \
+					  "1.7639860, 1.8184906, 2.1473019, 4.2033466, 11.5563060, 13.9078910, 26.8757160", \
+					  "1.7756507, 1.8291842, 2.1497033, 4.2030179, 11.5475090, 13.9262660, 26.9018600", \
+					  "1.7649412, 1.8165743, 2.1539106, 4.1999413, 11.5533570, 13.9243730, 26.8566110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("8.8795336, 9.0824726, 10.3607960, 18.1925660, 66.2927140, 360.6955700, 2173.7690000", \
+					  "9.3321226, 9.5406296, 10.8136180, 18.6401860, 66.7592700, 361.1519600, 2174.2217000", \
+					  "9.6267980, 9.8401944, 11.1129030, 18.9846720, 67.1767570, 361.4719300, 2174.5323000", \
+					  "9.8866426, 10.0876250, 11.3686650, 19.2019590, 67.2535310, 362.0467100, 2175.3160000", \
+					  "10.0963750, 10.3010060, 11.5745400, 19.4134650, 67.4784530, 362.2627400, 2179.5101000", \
+					  "10.2778430, 10.4906460, 11.7576890, 19.5819860, 67.6669740, 362.6125000, 2178.9087000", \
+					  "10.4401390, 10.6481810, 11.9300630, 19.7540460, 67.8352240, 362.8512400, 2178.0650000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("13.4392990, 13.8210690, 16.3457160, 31.8148570, 126.9089200, 714.9190000, 4341.1665000", \
+					  "13.3981960, 13.8171450, 16.2792930, 31.6670490, 127.0129800, 714.9558900, 4341.1701000", \
+					  "13.3697580, 13.7591560, 16.2528230, 31.5837990, 127.1805700, 714.5936300, 4341.1565000", \
+					  "13.3731040, 13.7652800, 16.2555920, 31.5844450, 127.1826200, 715.0073300, 4339.2802000", \
+					  "13.3425280, 13.7712680, 16.2461440, 31.6101810, 127.1761400, 715.0179000, 4343.1373000", \
+					  "13.3737080, 13.7697550, 16.2394700, 31.6205520, 126.7463400, 714.7796200, 4340.3825000", \
+					  "13.3586340, 13.7751340, 16.2569690, 31.6004360, 126.9291400, 715.2904700, 4340.1828000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("2.9275533, 2.9559788, 3.1317526, 4.1942023, 10.2556070, 22.1667430, 43.5991400", \
+					  "3.5002394, 3.5288763, 3.7045855, 4.7662647, 10.8282420, 22.7390530, 44.1719190", \
+					  "4.0193036, 4.0488048, 4.2244537, 5.2901741, 11.3510940, 23.2637480, 44.6942290", \
+					  "4.4706354, 4.4980912, 4.6735735, 5.7375886, 11.8090120, 23.7105570, 45.1441020", \
+					  "4.8825592, 4.9113607, 5.0887006, 6.1539902, 12.2125640, 24.1240660, 45.5566000", \
+					  "5.2641917, 5.2927365, 5.4684395, 6.5369905, 12.6010140, 24.5031270, 45.9396400", \
+					  "5.6229115, 5.6509855, 5.8277654, 6.8954780, 12.9581840, 24.8628050, 46.2961720");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.05076, 1.10243, 1.42106, 3.38597, 15.5032, 90.2279, 551.041");
+					values("1.7652191, 1.8211207, 2.1505882, 4.2027968, 11.6061360, 13.9779910, 26.8936040", \
+					  "1.7650321, 1.8203521, 2.1506574, 4.2025663, 11.6070260, 14.0069690, 26.8935540", \
+					  "1.7657575, 1.8201068, 2.1480018, 4.2007358, 11.6056740, 13.9598060, 26.9002880", \
+					  "1.7665759, 1.8170268, 2.1520237, 4.2038129, 11.6057730, 13.9627070, 26.8569490", \
+					  "1.7652743, 1.8155488, 2.1497435, 4.2014721, 11.6040380, 13.9775880, 26.8944370", \
+					  "1.7790233, 1.8315054, 2.1588922, 4.1992103, 11.6083120, 13.9649630, 26.9069050", \
+					  "1.7650864, 1.8196722, 2.1529727, 4.2048303, 11.6083680, 13.9638090, 26.9198990");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-9.1559642, -9.2535519, -9.3511397, -9.3420815, -9.3329143, -9.3238562, -9.3147981");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("9.4417651, 9.5159926, 9.5902201, 9.5877309, 9.5852117, 9.5827225, 9.5802333");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141910;
+			capacitance : 0.141938;
+			fall_capacitance : 0.141966;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3780695, -0.3702300, -0.3623904, -0.3614064, -0.3604104, -0.3594264, -0.3584423");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3749437, 0.3674867, 0.3600296, 0.3613777, 0.3627421, 0.3640903, 0.3654384");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.134443;
+			capacitance : 0.134806;
+			fall_capacitance : 0.135168;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3793602, -0.3705039, -0.3616476, -0.3607385, -0.3598185, -0.3589094, -0.3580003");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3748629, 0.3692645, 0.3636661, 0.3639647, 0.3642669, 0.3645655, 0.3648641");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p40v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_100C_1v60_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_100C_1v60_5v50.lib
new file mode 100644
index 0000000..bf26592
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_100C_1v60_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ff_100C_1v60_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ff_1p60v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.405750e+03;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1403.2100000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "578.4310000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1245.0200000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1397.2700000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1405.7500000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1236.5400000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1240.5100000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1242.4800000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1401.2500000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1224.9900000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1377.5300000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1235.7800000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1236.1100000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1231.8500000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1224.5500000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1396.5100000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1396.8400000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1392.5800000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006402;
+			capacitance : 0.006263;
+			fall_capacitance : 0.006124;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1078666, -0.2460414, -0.3504596, -0.4247662, -0.4895690, -0.5460780, -0.5964430", \
+					  "-0.0373539, -0.1747741, -0.2977103, -0.3856285, -0.4578154, -0.5204989, -0.5651848", \
+					  "-0.0560657, -0.1846206, -0.3105679, -0.4015856, -0.4572860, -0.5516440, -0.5989809", \
+					  "-0.0893283, -0.2221777, -0.3281663, -0.4104413, -0.4896174, -0.5481607, -0.6220434", \
+					  "-0.1317442, -0.2478089, -0.3696774, -0.4537158, -0.4861060, -0.5664285, -0.6684569", \
+					  "-0.1672407, -0.2894089, -0.3984494, -0.4685623, -0.5792263, -0.5920343, -0.6644971", \
+					  "-0.2340726, -0.3394561, -0.4454448, -0.5095446, -0.6257957, -0.6985206, -0.7015759");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3748692, -0.5180955, -0.6689502, -0.8151850, -0.9565732, -1.0759957, -1.2027116", \
+					  "-0.3166820, -0.4652024, -0.6166963, -0.7702483, -0.9140930, -1.0410494, -1.1650457", \
+					  "-0.3154140, -0.4624598, -0.6211345, -0.7769039, -0.9335676, -1.0609451, -1.1721096", \
+					  "-0.3486766, -0.4896092, -0.6377033, -0.7930491, -0.9543105, -1.0863530, -1.2212225", \
+					  "-0.3819372, -0.5243958, -0.6698555, -0.8185175, -0.9766759, -1.1076057, -1.2495080", \
+					  "-0.4220114, -0.5659958, -0.7114557, -0.8561297, -1.0093326, -1.1385443, -1.2811793", \
+					  "-0.4720587, -0.6114654, -0.7592240, -0.9047300, -1.0648274, -1.1694925, -1.3131201");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5299576, 0.6636022, 0.7762239, 0.8433589, 0.8995045, 0.9712925, 1.0012355", \
+					  "0.4765592, 0.6073043, 0.7105081, 0.7945098, 0.8607957, 0.9203735, 0.9742632", \
+					  "0.4720543, 0.6083363, 0.7311213, 0.8164619, 0.8825500, 0.9646904, 1.0346094", \
+					  "0.5037909, 0.6351395, 0.7457940, 0.8358316, 0.9094916, 0.9743317, 1.0817899", \
+					  "0.5385775, 0.6684002, 0.7794976, 0.8634122, 0.9104979, 1.0196922, 1.0918767", \
+					  "0.5801775, 0.7115261, 0.8205469, 0.9025296, 0.9734914, 1.0208922, 1.1347432", \
+					  "0.6256471, 0.7569957, 0.8629647, 0.9506513, 1.0049340, 1.1005434, 1.1859797");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3895512, 0.5328322, 0.6837199, 0.8300270, 0.9667695, 1.0938210, 1.2222223", \
+					  "0.3405831, 0.4824603, 0.6345175, 0.7862320, 0.9275247, 1.0624251, 1.1893283", \
+					  "0.3395623, 0.4756958, 0.6364593, 0.7934774, 0.9482234, 1.0718771, 1.1860942", \
+					  "0.3664092, 0.5058195, 0.6496222, 0.8037567, 0.9676713, 1.1010341, 1.2356828", \
+					  "0.4042474, 0.5406060, 0.6876178, 0.8374352, 0.9942696, 1.1268350, 1.2612175", \
+					  "0.4427957, 0.5867837, 0.7261661, 0.8722907, 1.0185424, 1.1408742, 1.2902592", \
+					  "0.4897912, 0.6246239, 0.7716357, 0.9148248, 1.0743626, 1.1784197, 1.3206174");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241733, 0.0272560, 0.0303387, 0.0301982, 0.0300633, 0.0299228, 0.0297823");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296436, 0.0330982, 0.0365528, 0.0364720, 0.0363943, 0.0363135, 0.0362326");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004599;
+			capacitance : 0.004491;
+			fall_capacitance : 0.004383;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6139262, 0.7487930, 0.8694004, 0.9384805, 0.9997212, 1.0658050, 1.1618482", \
+					  "0.5529669, 0.6849447, 0.8024317, 0.8942233, 0.9741426, 1.0168284, 1.0700479", \
+					  "0.5513297, 0.6871523, 0.8186496, 0.9163448, 0.9880562, 1.0534364, 1.1164613", \
+					  "0.5815405, 0.7111317, 0.8419730, 0.9379854, 1.0119429, 1.0842495, 1.1763945", \
+					  "0.6163270, 0.7443924, 0.8670375, 0.9528950, 1.0103877, 1.1051694, 1.1660484", \
+					  "0.6579270, 0.7859924, 0.9055858, 0.9839571, 1.0720181, 1.1348471, 1.1539207", \
+					  "0.7033966, 0.8314620, 0.9510554, 1.0529510, 1.1383767, 1.1555906, 1.2218679");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4506579, 0.5958075, 0.7456743, 0.8934625, 1.0389258, 1.1784806, 1.3080925", \
+					  "0.4031688, 0.5467436, 0.6918943, 0.8489772, 0.9989830, 1.1343719, 1.2627391", \
+					  "0.4049176, 0.5379099, 0.7006037, 0.8659908, 1.0207168, 1.1633126, 1.2919983", \
+					  "0.4274330, 0.5693295, 0.7188113, 0.8859354, 1.0378828, 1.1956386, 1.3213530", \
+					  "0.4637454, 0.5980125, 0.7565035, 0.9149858, 1.0563287, 1.2190165, 1.3530877", \
+					  "0.5053454, 0.6441902, 0.7862377, 0.9501390, 1.0831328, 1.2526151, 1.3879889", \
+					  "0.5523409, 0.6911856, 0.8347591, 0.9848027, 1.1333961, 1.2785301, 1.4051127");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1430068, -0.2777682, -0.3923434, -0.4906931, -0.5461527, -0.6017007, -0.6672269", \
+					  "-0.0769570, -0.2209111, -0.3467761, -0.4434987, -0.5212815, -0.5848485, -0.6329334", \
+					  "-0.0926171, -0.2267777, -0.3507708, -0.4534202, -0.5344398, -0.6044685, -0.6965806", \
+					  "-0.1243537, -0.2615661, -0.3812047, -0.4697378, -0.5538994, -0.6190916, -0.6951531", \
+					  "-0.1774509, -0.2887232, -0.4114136, -0.5041045, -0.5710690, -0.6205035, -0.7463878", \
+					  "-0.2083697, -0.3379527, -0.4560670, -0.5564543, -0.6136891, -0.6732794, -0.7384567", \
+					  "-0.2660463, -0.3788446, -0.4954314, -0.5796059, -0.6796647, -0.7549759, -0.7942141");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4405539, -0.5841557, -0.7339878, -0.8818173, -1.0227542, -1.1637791, -1.2949331", \
+					  "-0.3800574, -0.5244011, -0.6757754, -0.8325060, -0.9815681, -1.1182359, -1.2480753", \
+					  "-0.3864536, -0.5217401, -0.6859226, -0.8445059, -0.9990727, -1.1502261, -1.2803469", \
+					  "-0.4142770, -0.5470338, -0.7038849, -0.8648531, -1.0232017, -1.1774925, -1.3097235", \
+					  "-0.4490635, -0.5833452, -0.7284748, -0.8860836, -1.0425925, -1.2012940, -1.3368806", \
+					  "-0.4891376, -0.6279970, -0.7716020, -0.9404855, -1.0805050, -1.2279858, -1.3695198", \
+					  "-0.5330814, -0.6719417, -0.8155456, -0.9670833, -1.1171368, -1.2568701, -1.4033232");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235661, 0.0299570, 0.0363479, 0.0362419, 0.0361403, 0.0360344, 0.0359284");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0308724, 0.0368186, 0.0427649, 0.0426466, 0.0425331, 0.0424148, 0.0422965");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006016;
+			capacitance : 0.006155;
+			rise_capacitance : 0.006294;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0834525, -0.2109377, -0.3282460, -0.4003366, -0.4697078, -0.5231869, -0.5812954", \
+					  "-0.0098878, -0.1527933, -0.2702191, -0.3676048, -0.4283878, -0.4861500, -0.5363233", \
+					  "-0.0301256, -0.1583930, -0.2768334, -0.3732321, -0.4349103, -0.5003939, -0.5617402", \
+					  "-0.0603364, -0.1901296, -0.3068035, -0.3824455, -0.4534466, -0.5206426, -0.5868511", \
+					  "-0.1027523, -0.2279679, -0.3339605, -0.4277051, -0.4631576, -0.5639513, -0.6349763", \
+					  "-0.1428264, -0.2695679, -0.3694574, -0.4570981, -0.5512376, -0.5809387, -0.6611997", \
+					  "-0.1730372, -0.3135116, -0.4118749, -0.4838079, -0.5877665, -0.6674602, -0.7083130");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3748667, -0.5194470, -0.6686778, -0.8164192, -0.9517321, -1.0736677, -1.1989126", \
+					  "-0.3196733, -0.4667283, -0.6179505, -0.7701815, -0.9133412, -1.0407528, -1.1643361", \
+					  "-0.3184673, -0.4637897, -0.6196461, -0.7769170, -0.9366117, -1.0597327, -1.1739527", \
+					  "-0.3471521, -0.4910357, -0.6421166, -0.7945011, -0.9589555, -1.0909306, -1.2256421", \
+					  "-0.3819387, -0.5258227, -0.6697903, -0.8288952, -0.9764344, -1.1112304, -1.2463354", \
+					  "-0.4265905, -0.5674227, -0.7083386, -0.8570207, -1.0071585, -1.1363218, -1.2796201", \
+					  "-0.4720601, -0.6113659, -0.7568599, -0.8941252, -1.0606964, -1.1679847, -1.3127810");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5314835, 0.6651281, 0.7762244, 0.8387820, 0.8918760, 0.9651911, 0.9948407", \
+					  "0.4704239, 0.6064325, 0.7111693, 0.7880670, 0.8561398, 0.9172931, 0.9696853", \
+					  "0.4705284, 0.6040391, 0.7219660, 0.8164619, 0.8794314, 0.9603349, 1.0301779", \
+					  "0.4992133, 0.6320878, 0.7444335, 0.8328478, 0.9001704, 0.9666598, 1.0772123", \
+					  "0.5339998, 0.6638225, 0.7774600, 0.8634094, 0.9115756, 1.0091918, 1.0889797", \
+					  "0.5740740, 0.7054226, 0.8159695, 0.8811412, 0.9730399, 1.0194543, 1.1493752", \
+					  "0.6210695, 0.7524181, 0.8583873, 0.9491256, 0.9874739, 1.0990367, 1.1859792");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3971806, 0.5381426, 0.6867715, 0.8206683, 0.9698215, 1.0953877, 1.2191709", \
+					  "0.3405842, 0.4839017, 0.6375691, 0.7862003, 0.9247410, 1.0357952, 1.1817080", \
+					  "0.3408309, 0.4818478, 0.6395686, 0.7949713, 0.9496480, 1.0774841, 1.1875400", \
+					  "0.3694610, 0.5058194, 0.6518089, 0.8128134, 0.9768476, 1.1025113, 1.2372123", \
+					  "0.4057733, 0.5421318, 0.6891438, 0.8424716, 0.9920973, 1.1268346, 1.2673316", \
+					  "0.4458475, 0.5837318, 0.7261662, 0.8629950, 1.0157319, 1.1524139, 1.2951195", \
+					  "0.4928430, 0.6337791, 0.7746875, 0.9163513, 1.0702040, 1.1764782, 1.3267407");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241963, 0.0273378, 0.0304792, 0.0303069, 0.0301415, 0.0299692, 0.0297969");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0295996, 0.0331567, 0.0367138, 0.0365697, 0.0364315, 0.0362874, 0.0361434");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028521;
+			capacitance : 0.028471;
+			fall_capacitance : 0.028421;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0529755, 0.0426887, 0.1383530, 0.2696837, 0.3957612, 0.5270918, 0.6584225");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3252147, 0.4273092, 0.5294036, 0.6585461, 0.7825229, 0.9116654, 1.0408080");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032971;
+			capacitance : 0.032613;
+			fall_capacitance : 0.032256;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0661287, 0.0888350, 0.1115413, 0.1123728, 0.1131711, 0.1140027, 0.1148342");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0765623, 0.0895820, 0.1026016, 0.1046604, 0.1066369, 0.1086957, 0.1107546");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052559;
+			capacitance : 0.052150;
+			fall_capacitance : 0.051741;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0133233, -0.0135365, -0.0137498, -0.0137539, -0.0137578, -0.0137619, -0.0137660");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0139248, 0.0139049, 0.0138849, 0.0138972, 0.0139091, 0.0139214, 0.0139337");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016824;
+			capacitance : 0.016726;
+			fall_capacitance : 0.016627;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0184272, 0.0179310, 0.0174349, 0.0174763, 0.0175160, 0.0175574, 0.0175988");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0218255, 0.0214510, 0.0210765, 0.0212199, 0.0213576, 0.0215010, 0.0216443");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037111;
+			capacitance : 0.036522;
+			fall_capacitance : 0.035933;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2573188, -0.1209385, -0.0089571, 0.0728705, 0.1382145, 0.1815865, 0.2210652", \
+					  "-0.3166572, -0.1788893, -0.0758022, 0.0213847, 0.0936612, 0.1463626, 0.2071117", \
+					  "-0.3093584, -0.1705172, -0.0624997, 0.0210655, 0.0881490, 0.1626376, 0.2157219", \
+					  "-0.2797107, -0.1433582, -0.0517777, 0.0298781, 0.1178956, 0.1839809, 0.2172926", \
+					  "-0.2411427, -0.1055200, -0.0170304, 0.0776293, 0.1300865, 0.2147204, 0.2753253", \
+					  "-0.1919133, -0.0608681, 0.0291473, 0.1154542, 0.1658164, 0.2495441, 0.3156742", \
+					  "-0.1384557, -0.0123468, 0.0807204, 0.1402922, 0.2266046, 0.2680993, 0.3397182");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3138038, -0.1606113, -0.0028536, 0.1360907, 0.2445150, 0.3364644, 0.4343532", \
+					  "-0.3729245, -0.2094010, -0.0715546, 0.0779258, 0.2008377, 0.3096466, 0.4154306", \
+					  "-0.3560700, -0.1959951, -0.0549079, 0.0927087, 0.1944606, 0.3188764, 0.4219512", \
+					  "-0.3372769, -0.1740810, -0.0460244, 0.0955587, 0.2237150, 0.3428239, 0.4392947", \
+					  "-0.2991536, -0.1344271, -0.0109596, 0.1277738, 0.2624276, 0.3769022, 0.4662251", \
+					  "-0.2651829, -0.1092800, 0.0329671, 0.1843241, 0.2814461, 0.4007483, 0.5142556", \
+					  "-0.2014028, -0.0499422, 0.0854160, 0.2281588, 0.3264679, 0.4305078, 0.5643028");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5374982, 0.4092060, 0.2968004, 0.2092037, 0.1422905, 0.0837169, 0.0266671", \
+					  "0.6024253, 0.4673245, 0.3520762, 0.2732732, 0.2000534, 0.1481776, 0.0852479", \
+					  "0.5845237, 0.4488119, 0.3425198, 0.2671596, 0.1889254, 0.1298459, 0.0738354", \
+					  "0.5591614, 0.4279090, 0.3129873, 0.2370140, 0.1685098, 0.1053584, 0.0529491", \
+					  "0.5118522, 0.4025025, 0.2563843, 0.2031440, 0.1291456, 0.0675202, 0.0359030", \
+					  "0.4965078, 0.3609024, 0.2028822, 0.1742409, 0.0844938, 0.0296181, -0.0318193", \
+					  "0.4495123, 0.3093294, 0.1695613, 0.1200212, 0.0451278, -0.0168229, -0.0832046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3223778, 0.1676666, 0.0114317, -0.1288921, -0.2406555, -0.3312771, -0.4291207", \
+					  "0.3719680, 0.2177450, 0.0700685, -0.0690612, -0.1920537, -0.3071810, -0.4083057", \
+					  "0.3648775, 0.2028953, 0.0638631, -0.0794435, -0.1867626, -0.3080622, -0.4149621", \
+					  "0.3440413, 0.1823381, 0.0473163, -0.0886491, -0.2144970, -0.3325688, -0.4325943", \
+					  "0.3039201, 0.1427810, 0.0206336, -0.1208503, -0.2345513, -0.3692785, -0.4591994", \
+					  "0.2707065, 0.1191983, -0.0244572, -0.1773439, -0.2731183, -0.4013260, -0.5056780", \
+					  "0.2282887, 0.0750405, -0.0826794, -0.2181266, -0.3160838, -0.4235724, -0.5389405");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1229913, 0.1436042, 0.1642170, 0.1665257, 0.1687421, 0.1710509, 0.1733596");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1677352, 0.3271367, 0.4865382, 0.4867702, 0.4869929, 0.4872250, 0.4874570");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.012769;
+			capacitance : 0.012980;
+			rise_capacitance : 0.013191;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("5.2968832, 13.3594160, 21.4219490, 23.7455270, 25.9761610, 28.2997390, 30.6233160");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9993195, 13.4774960, 22.9556720, 23.5903430, 24.1996270, 24.8342980, 25.4689690");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006415;
+			capacitance : 0.006553;
+			rise_capacitance : 0.006692;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0187083, -0.1155355, -0.2216599, -0.2981389, -0.3660034, -0.4346776, -0.4990750", \
+					  "0.0747354, -0.0519323, -0.1685716, -0.2540172, -0.3334099, -0.3958600, -0.4540875", \
+					  "0.0568490, -0.0515938, -0.1693397, -0.2570000, -0.3327803, -0.3920324, -0.4597422", \
+					  "0.0269759, -0.0863822, -0.2026195, -0.2786566, -0.3525067, -0.4135455, -0.4871332", \
+					  "-0.0081483, -0.1196428, -0.2292409, -0.3209132, -0.3809329, -0.4299467, -0.5310786", \
+					  "-0.0451707, -0.1688723, -0.2715346, -0.3366368, -0.4173690, -0.4974563, -0.5687887", \
+					  "-0.0723298, -0.2204453, -0.3157780, -0.3770955, -0.4426693, -0.5475035, -0.6011562");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3779210, -0.5226778, -0.6735356, -0.8229025, -0.9626840, -1.0821210, -1.2027315", \
+					  "-0.3183098, -0.4667283, -0.6212818, -0.7740736, -0.9209895, -1.0550683, -1.1650794", \
+					  "-0.3169400, -0.4654976, -0.6223661, -0.7784575, -0.9350356, -1.0637319, -1.1778684", \
+					  "-0.3502026, -0.4926618, -0.6349892, -0.7939943, -0.9574098, -1.0924565, -1.2241488", \
+					  "-0.3849891, -0.5289742, -0.6729100, -0.8285550, -0.9783943, -1.1133466, -1.2541110", \
+					  "-0.4250633, -0.5705743, -0.7145102, -0.8592025, -1.0083663, -1.1363934, -1.2811843", \
+					  "-0.4751105, -0.6160438, -0.7599798, -0.9077793, -1.0619418, -1.1709416, -1.3137410");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589493, 0.6895432, 0.8006384, 0.8647211, 0.9193400, 0.9896028, 1.0664657", \
+					  "0.4915467, 0.6279069, 0.7257553, 0.8128576, 0.8807489, 0.9356414, 0.9910548", \
+					  "0.4949425, 0.6283517, 0.7535539, 0.8415768, 0.9084899, 0.9792716, 1.0450471", \
+					  "0.5251532, 0.6550447, 0.7726466, 0.8502285, 0.9387007, 0.9881191, 1.1030937", \
+					  "0.5599397, 0.6912893, 0.8037633, 0.8719786, 0.9385760, 1.0479115, 1.1020522", \
+					  "0.6015398, 0.7328893, 0.8403834, 0.9167207, 0.9731074, 1.0315543, 1.1500368", \
+					  "0.6470094, 0.7768330, 0.8812753, 0.9689625, 1.0258178, 1.1068477, 1.1895282");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4032841, 0.5435135, 0.6928757, 0.8422344, 0.9805023, 1.1045415, 1.2298516", \
+					  "0.3426430, 0.4897035, 0.6437143, 0.7886390, 0.9386696, 1.0644569, 1.1921908", \
+					  "0.3453537, 0.4864703, 0.6494585, 0.8049593, 0.9539454, 1.0831043, 1.2005591", \
+					  "0.3755645, 0.5134493, 0.6564309, 0.8205894, 0.9768476, 1.1097234, 1.2511624", \
+					  "0.4134028, 0.5512876, 0.6921960, 0.8434653, 0.9981760, 1.1325833, 1.2719354", \
+					  "0.4519511, 0.5928876, 0.7337962, 0.8649075, 1.0243918, 1.1670387, 1.3039933", \
+					  "0.5004724, 0.6414090, 0.7807916, 0.9239794, 1.0812425, 1.1871496, 1.3423405");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241879, 0.0273448, 0.0305018, 0.0303391, 0.0301830, 0.0300204, 0.0298577");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296109, 0.0330826, 0.0365542, 0.0364363, 0.0363231, 0.0362052, 0.0360873");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017742;
+			capacitance : 0.017637;
+			fall_capacitance : 0.017532;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0694705, 0.0902130, 0.1109554, 0.1131892, 0.1153337, 0.1175675, 0.1198013");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0921878, 0.2503471, 0.4085063, 0.4090979, 0.4096658, 0.4102573, 0.4108489");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005049;
+			capacitance : 0.005159;
+			rise_capacitance : 0.005269;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5558772, 0.6945214, 0.8194454, 0.8877828, 0.9361667, 1.0006921, 1.0816956", \
+					  "0.5007100, 0.6364628, 0.7495807, 0.8355288, 0.9242193, 0.9771604, 1.0391085", \
+					  "0.5025329, 0.6367256, 0.7698518, 0.8629391, 0.9420593, 1.0054753, 1.0549734", \
+					  "0.5342696, 0.6645428, 0.7863558, 0.8854811, 0.9676924, 1.0308437, 1.1253713", \
+					  "0.5690560, 0.6992998, 0.8188041, 0.9057721, 1.0003690, 1.0553169, 1.1216979", \
+					  "0.6106561, 0.7378481, 0.8573524, 0.9408692, 0.9995455, 1.0547287, 1.1476738", \
+					  "0.6545998, 0.7863695, 0.9058738, 0.9696865, 1.0468320, 1.1215413, 1.2064064");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5055567, 0.6450874, 0.7928171, 0.9356294, 1.0854118, 1.2084628, 1.3442990", \
+					  "0.4444688, 0.5838396, 0.7362504, 0.8878406, 1.0405158, 1.1738204, 1.2648635", \
+					  "0.4400108, 0.5775092, 0.7473014, 0.9071896, 1.0510754, 1.1892914, 1.3102669", \
+					  "0.4747992, 0.6135690, 0.7572622, 0.9303211, 1.0821333, 1.2403911, 1.3579740", \
+					  "0.5095857, 0.6468295, 0.7986027, 0.9552275, 1.0995580, 1.2609427, 1.3866569", \
+					  "0.5496599, 0.6853778, 0.8342173, 0.9827929, 1.1383513, 1.2944166, 1.4183933", \
+					  "0.5890260, 0.7354250, 0.8781610, 1.0236364, 1.1756713, 1.3309355, 1.4527846");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0574918, -0.2021975, -0.3115840, -0.3961936, -0.4678318, -0.5363142, -0.5936736", \
+					  "0.0084596, -0.1358146, -0.2544671, -0.3672364, -0.4255417, -0.4847000, -0.5389907", \
+					  "-0.0178816, -0.1359162, -0.2635243, -0.3600550, -0.4272344, -0.5047343, -0.5696467", \
+					  "-0.0496183, -0.1676529, -0.2842812, -0.3788982, -0.4533416, -0.5343637, -0.6006880", \
+					  "-0.0920342, -0.2039643, -0.3188716, -0.4218996, -0.4620055, -0.5534853, -0.6319246", \
+					  "-0.1244790, -0.2547206, -0.3589459, -0.4379494, -0.5406660, -0.5882754, -0.6623155", \
+					  "-0.1546898, -0.2971384, -0.3967859, -0.4815133, -0.5757931, -0.6567265, -0.6896540");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4786688, -0.6211937, -0.7719522, -0.9185517, -1.0628599, -1.1989650, -1.3218186", \
+					  "-0.4227686, -0.5629828, -0.7132173, -0.8655589, -1.0143821, -1.1316110, -1.2687321", \
+					  "-0.4270496, -0.5523971, -0.7240695, -0.8848790, -1.0345407, -1.1723082, -1.2901718", \
+					  "-0.4570647, -0.5912311, -0.7413490, -0.9089200, -1.0598227, -1.2198613, -1.3356634", \
+					  "-0.4887995, -0.6229659, -0.7753403, -0.9293897, -1.0767390, -1.2383258, -1.3643465", \
+					  "-0.5288736, -0.6676176, -0.8088606, -0.9575398, -1.1108087, -1.2646307, -1.3990548", \
+					  "-0.5743432, -0.7115614, -0.8543302, -1.0013607, -1.1520429, -1.3020188, -1.4350991");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0238054, 0.0299952, 0.0361849, 0.0360357, 0.0358925, 0.0357433, 0.0355942");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0305302, 0.0358895, 0.0412487, 0.0412192, 0.0411909, 0.0411614, 0.0411319");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005733;
+			capacitance : 0.005557;
+			fall_capacitance : 0.005380;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1039993, -0.1034503, -0.1029013, -0.1025779, -0.1022675, -0.1019442, -0.1016208");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1096624, 0.1095168, 0.1093713, 0.1090858, 0.1088118, 0.1085263, 0.1082409");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1078666, -0.0373539, -0.0560657, -0.0893283, -0.1317442, -0.1672407, -0.2340726", \
+					  "-0.2460414, -0.1747741, -0.1846206, -0.2221777, -0.2478089, -0.2894089, -0.3394561", \
+					  "-0.3504596, -0.2977103, -0.3105679, -0.3281663, -0.3696774, -0.3984494, -0.4454448", \
+					  "-0.4247662, -0.3856285, -0.4015856, -0.4104413, -0.4537158, -0.4685623, -0.5095446", \
+					  "-0.4895690, -0.4578154, -0.4572860, -0.4896174, -0.4861060, -0.5792263, -0.6257957", \
+					  "-0.5460780, -0.5204989, -0.5516440, -0.5481607, -0.5664285, -0.5920343, -0.6985206", \
+					  "-0.5964430, -0.5651848, -0.5989809, -0.6220434, -0.6684569, -0.6644971, -0.7015759");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5299576, 0.4765592, 0.4720543, 0.5037909, 0.5385775, 0.5801775, 0.6256471", \
+					  "0.6636022, 0.6073043, 0.6083363, 0.6351395, 0.6684002, 0.7115261, 0.7569957", \
+					  "0.7762239, 0.7105081, 0.7311213, 0.7457940, 0.7794976, 0.8205469, 0.8629647", \
+					  "0.8433589, 0.7945098, 0.8164619, 0.8358316, 0.8634122, 0.9025296, 0.9506513", \
+					  "0.8995045, 0.8607957, 0.8825500, 0.9094916, 0.9104979, 0.9734914, 1.0049340", \
+					  "0.9712925, 0.9203735, 0.9646904, 0.9743317, 1.0196922, 1.0208922, 1.1005434", \
+					  "1.0012355, 0.9742632, 1.0346094, 1.0817899, 1.0918767, 1.1347432, 1.1859797");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2573188, -0.3166572, -0.3093584, -0.2797107, -0.2411427, -0.1919133, -0.1384557", \
+					  "-0.1209385, -0.1788893, -0.1705172, -0.1433582, -0.1055200, -0.0608681, -0.0123468", \
+					  "-0.0089571, -0.0758022, -0.0624997, -0.0517777, -0.0170304, 0.0291473, 0.0807204", \
+					  "0.0728705, 0.0213847, 0.0210655, 0.0298781, 0.0776293, 0.1154542, 0.1402922", \
+					  "0.1382145, 0.0936612, 0.0881490, 0.1178956, 0.1300865, 0.1658164, 0.2266046", \
+					  "0.1815865, 0.1463626, 0.1626376, 0.1839809, 0.2147204, 0.2495441, 0.2680993", \
+					  "0.2210652, 0.2071117, 0.2157219, 0.2172926, 0.2753253, 0.3156742, 0.3397182");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5374982, 0.6024253, 0.5845237, 0.5591614, 0.5118522, 0.4965078, 0.4495123", \
+					  "0.4092060, 0.4673245, 0.4488119, 0.4279090, 0.4025025, 0.3609024, 0.3093294", \
+					  "0.2968004, 0.3520762, 0.3425198, 0.3129873, 0.2563843, 0.2028822, 0.1695613", \
+					  "0.2092037, 0.2732732, 0.2671596, 0.2370140, 0.2031440, 0.1742409, 0.1200212", \
+					  "0.1422905, 0.2000534, 0.1889254, 0.1685098, 0.1291456, 0.0844938, 0.0451278", \
+					  "0.0837169, 0.1481776, 0.1298459, 0.1053584, 0.0675202, 0.0296181, -0.0168229", \
+					  "0.0266671, 0.0852479, 0.0738354, 0.0529491, 0.0359030, -0.0318193, -0.0832046");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.0187083, 0.0747354, 0.0568490, 0.0269759, -0.0081483, -0.0451707, -0.0723298", \
+					  "-0.1155355, -0.0519323, -0.0515938, -0.0863822, -0.1196428, -0.1688723, -0.2204453", \
+					  "-0.2216599, -0.1685716, -0.1693397, -0.2026195, -0.2292409, -0.2715346, -0.3157780", \
+					  "-0.2981389, -0.2540172, -0.2570000, -0.2786566, -0.3209132, -0.3366368, -0.3770955", \
+					  "-0.3660034, -0.3334099, -0.3327803, -0.3525067, -0.3809329, -0.4173690, -0.4426693", \
+					  "-0.4346776, -0.3958600, -0.3920324, -0.4135455, -0.4299467, -0.4974563, -0.5475035", \
+					  "-0.4990750, -0.4540875, -0.4597422, -0.4871332, -0.5310786, -0.5687887, -0.6011562");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5589493, 0.4915467, 0.4949425, 0.5251532, 0.5599397, 0.6015398, 0.6470094", \
+					  "0.6895432, 0.6279069, 0.6283517, 0.6550447, 0.6912893, 0.7328893, 0.7768330", \
+					  "0.8006384, 0.7257553, 0.7535539, 0.7726466, 0.8037633, 0.8403834, 0.8812753", \
+					  "0.8647211, 0.8128576, 0.8415768, 0.8502285, 0.8719786, 0.9167207, 0.9689625", \
+					  "0.9193400, 0.8807489, 0.9084899, 0.9387007, 0.9385760, 0.9731074, 1.0258178", \
+					  "0.9896028, 0.9356414, 0.9792716, 0.9881191, 1.0479115, 1.0315543, 1.1068477", \
+					  "1.0664657, 0.9910548, 1.0450471, 1.1030937, 1.1020522, 1.1500368, 1.1895282");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5558772, 0.5007100, 0.5025329, 0.5342696, 0.5690560, 0.6106561, 0.6545998", \
+					  "0.6945214, 0.6364628, 0.6367256, 0.6645428, 0.6992998, 0.7378481, 0.7863695", \
+					  "0.8194454, 0.7495807, 0.7698518, 0.7863558, 0.8188041, 0.8573524, 0.9058738", \
+					  "0.8877828, 0.8355288, 0.8629391, 0.8854811, 0.9057721, 0.9408692, 0.9696865", \
+					  "0.9361667, 0.9242193, 0.9420593, 0.9676924, 1.0003690, 0.9995455, 1.0468320", \
+					  "1.0006921, 0.9771604, 1.0054753, 1.0308437, 1.0553169, 1.0547287, 1.1215413", \
+					  "1.0816956, 1.0391085, 1.0549734, 1.1253713, 1.1216979, 1.1476738, 1.2064064");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0574918, 0.0084596, -0.0178816, -0.0496183, -0.0920342, -0.1244790, -0.1546898", \
+					  "-0.2021975, -0.1358146, -0.1359162, -0.1676529, -0.2039643, -0.2547206, -0.2971384", \
+					  "-0.3115840, -0.2544671, -0.2635243, -0.2842812, -0.3188716, -0.3589459, -0.3967859", \
+					  "-0.3961936, -0.3672364, -0.3600550, -0.3788982, -0.4218996, -0.4379494, -0.4815133", \
+					  "-0.4678318, -0.4255417, -0.4272344, -0.4533416, -0.4620055, -0.5406660, -0.5757931", \
+					  "-0.5363142, -0.4847000, -0.5047343, -0.5343637, -0.5534853, -0.5882754, -0.6567265", \
+					  "-0.5936736, -0.5389907, -0.5696467, -0.6006880, -0.6319246, -0.6623155, -0.6896540");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6139262, 0.5529669, 0.5513297, 0.5815405, 0.6163270, 0.6579270, 0.7033966", \
+					  "0.7487930, 0.6849447, 0.6871523, 0.7111317, 0.7443924, 0.7859924, 0.8314620", \
+					  "0.8694004, 0.8024317, 0.8186496, 0.8419730, 0.8670375, 0.9055858, 0.9510554", \
+					  "0.9384805, 0.8942233, 0.9163448, 0.9379854, 0.9528950, 0.9839571, 1.0529510", \
+					  "0.9997212, 0.9741426, 0.9880562, 1.0119429, 1.0103877, 1.0720181, 1.1383767", \
+					  "1.0658050, 1.0168284, 1.0534364, 1.0842495, 1.1051694, 1.1348471, 1.1555906", \
+					  "1.1618482, 1.0700479, 1.1164613, 1.1763945, 1.1660484, 1.1539207, 1.2218679");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1430068, -0.0769570, -0.0926171, -0.1243537, -0.1774509, -0.2083697, -0.2660463", \
+					  "-0.2777682, -0.2209111, -0.2267777, -0.2615661, -0.2887232, -0.3379527, -0.3788446", \
+					  "-0.3923434, -0.3467761, -0.3507708, -0.3812047, -0.4114136, -0.4560670, -0.4954314", \
+					  "-0.4906931, -0.4434987, -0.4534202, -0.4697378, -0.5041045, -0.5564543, -0.5796059", \
+					  "-0.5461527, -0.5212815, -0.5344398, -0.5538994, -0.5710690, -0.6136891, -0.6796647", \
+					  "-0.6017007, -0.5848485, -0.6044685, -0.6190916, -0.6205035, -0.6732794, -0.7549759", \
+					  "-0.6672269, -0.6329334, -0.6965806, -0.6951531, -0.7463878, -0.7384567, -0.7942141");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2512784, -0.3151944, -0.3017921, -0.2721749, -0.2351023, -0.1858728, -0.1310372", \
+					  "-0.1148350, -0.1745072, -0.1676152, -0.1389303, -0.1010920, -0.0579661, -0.0094448", \
+					  "-0.0074312, -0.0735550, -0.0579036, -0.0521105, -0.0111716, 0.0334802, 0.0835274", \
+					  "0.0785055, 0.0214258, 0.0234629, 0.0341554, 0.0819849, 0.1214055, 0.1482477", \
+					  "0.1455155, 0.0932692, 0.0932609, 0.1226397, 0.1333881, 0.1699858, 0.2324785", \
+					  "0.1881819, 0.1533539, 0.1654665, 0.1824550, 0.2116321, 0.2542593, 0.2740683", \
+					  "0.2368300, 0.2174958, 0.2264227, 0.2461139, 0.2780146, 0.3159604, 0.3541867");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5558749, 0.6223358, 0.5998843, 0.5775383, 0.5236308, 0.5179365, 0.4724669", \
+					  "0.4257647, 0.4844147, 0.4710979, 0.4481565, 0.4209702, 0.3778443, 0.3247454", \
+					  "0.3211851, 0.3749646, 0.3597169, 0.3265903, 0.2732004, 0.2620370, 0.1911626", \
+					  "0.2275143, 0.2868650, 0.2839442, 0.2544531, 0.2158952, 0.1852833, 0.1331745", \
+					  "0.1621270, 0.2174003, 0.2158017, 0.1852944, 0.1510131, 0.1043302, 0.0573348", \
+					  "0.0989757, 0.1538631, 0.1481883, 0.1495174, 0.0797272, 0.0611480, 0.0202230", \
+					  "0.0449777, 0.1041189, 0.0878964, 0.0697601, 0.0455657, -0.0210396, -0.0581851");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5427339, -0.4782759, -0.4787265, -0.5104632, -0.5452497, -0.5868481, -0.6307934", \
+					  "-0.6762012, -0.6129598, -0.6158051, -0.6434084, -0.6764411, -0.7180413, -0.7619850", \
+					  "-0.7858284, -0.7234806, -0.7393283, -0.7507365, -0.7904947, -0.8226824, -0.8666262", \
+					  "-0.8469598, -0.7981552, -0.8199158, -0.8389938, -0.8587617, -0.9055363, -0.9556907", \
+					  "-0.9059476, -0.8684817, -0.9090675, -0.9099627, -0.9063078, -0.9693196, -0.9944558", \
+					  "-0.9779528, -0.9215588, -0.9680469, -0.9764897, -1.0276114, -1.0197793, -1.0981002", \
+					  "-1.0955236, -0.9781203, -1.0324422, -1.0884710, -1.0922883, -1.1462764, -1.1819777");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5726742, 0.5051612, 0.5040880, 0.5358247, 0.5706112, 0.6137371, 0.6592067", \
+					  "0.7016049, 0.6322124, 0.6348775, 0.6657742, 0.7064037, 0.7480038, 0.7919474", \
+					  "0.8127775, 0.7398560, 0.7621560, 0.7780085, 0.8110207, 0.8495690, 0.8950386", \
+					  "0.8723803, 0.8207843, 0.8456140, 0.8502374, 0.8812690, 0.9276845, 0.9795563", \
+					  "0.9284334, 0.8868026, 0.9145935, 0.9387007, 0.9379493, 0.9760476, 1.0264732", \
+					  "0.9973639, 0.9424583, 0.9852283, 0.9972744, 1.0479063, 1.0371546, 1.1064686", \
+					  "1.1191828, 0.9960397, 1.0528127, 1.1178952, 1.1020522, 1.1506343, 1.1933690");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0834525, -0.0098878, -0.0301256, -0.0603364, -0.1027523, -0.1428264, -0.1730372", \
+					  "-0.2109377, -0.1527933, -0.1583930, -0.1901296, -0.2279679, -0.2695679, -0.3135116", \
+					  "-0.3282460, -0.2702191, -0.2768334, -0.3068035, -0.3339605, -0.3694574, -0.4118749", \
+					  "-0.4003366, -0.3676048, -0.3732321, -0.3824455, -0.4277051, -0.4570981, -0.4838079", \
+					  "-0.4697078, -0.4283878, -0.4349103, -0.4534466, -0.4631576, -0.5512376, -0.5877665", \
+					  "-0.5231869, -0.4861500, -0.5003939, -0.5206426, -0.5639513, -0.5809387, -0.6674602", \
+					  "-0.5812954, -0.5363233, -0.5617402, -0.5868511, -0.6349763, -0.6611997, -0.7083130");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5314835, 0.4704239, 0.4705284, 0.4992133, 0.5339998, 0.5740740, 0.6210695", \
+					  "0.6651281, 0.6064325, 0.6040391, 0.6320878, 0.6638225, 0.7054226, 0.7524181", \
+					  "0.7762244, 0.7111693, 0.7219660, 0.7444335, 0.7774600, 0.8159695, 0.8583873", \
+					  "0.8387820, 0.7880670, 0.8164619, 0.8328478, 0.8634094, 0.8811412, 0.9491256", \
+					  "0.8918760, 0.8561398, 0.8794314, 0.9001704, 0.9115756, 0.9730399, 0.9874739", \
+					  "0.9651911, 0.9172931, 0.9603349, 0.9666598, 1.0091918, 1.0194543, 1.0990367", \
+					  "0.9948407, 0.9696853, 1.0301779, 1.0772123, 1.0889797, 1.1493752, 1.1859792");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2192476, -0.1611376, -0.1704988, -0.1991837, -0.2461772, -0.2831996, -0.3408762", \
+					  "-0.3573075, -0.3104646, -0.3127944, -0.3395394, -0.3804295, -0.4220296, -0.4674992", \
+					  "-0.4684670, -0.4299268, -0.4233205, -0.4441339, -0.4789575, -0.5293834, -0.5675528", \
+					  "-0.5299654, -0.5031739, -0.5048737, -0.5150470, -0.5461438, -0.6203141, -0.6658106", \
+					  "-0.6014360, -0.5698915, -0.5901589, -0.6145218, -0.6253216, -0.6593338, -0.7508650", \
+					  "-0.6572560, -0.6336353, -0.6828771, -0.6894848, -0.7079500, -0.7591410, -0.8176436", \
+					  "-0.7637382, -0.6582819, -0.7327415, -0.7460339, -0.7898609, -0.7869939, -0.9065015");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9068417, 0.8441667, 0.8596180, 0.8867754, 0.9261395, 0.9677396, 1.0147367", \
+					  "1.0403506, 0.9810922, 0.9899597, 1.0275279, 1.0588829, 1.1020089, 1.1474784", \
+					  "1.1530491, 1.0990224, 1.0951028, 1.1428018, 1.1722244, 1.2081510, 1.2536205", \
+					  "1.2172287, 1.1603969, 1.1775830, 1.2230367, 1.2571495, 1.2867642, 1.3442419", \
+					  "1.2687050, 1.2118732, 1.2382145, 1.3018599, 1.3458016, 1.3729712, 1.4065280", \
+					  "1.3391232, 1.2749284, 1.2808650, 1.3741665, 1.4150564, 1.4593628, 1.4389554", \
+					  "1.4513323, 1.3639258, 1.3283882, 1.4525545, 1.4522698, 1.4959429, 1.5774226");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5330035, 0.4719096, 0.4690064, 0.5007430, 0.5355296, 0.5771296, 0.6225992", \
+					  "0.6665353, 0.6067203, 0.6040345, 0.6350436, 0.6683042, 0.7083784, 0.7538480", \
+					  "0.7792292, 0.7105251, 0.7280695, 0.7484779, 0.7800297, 0.8159828, 0.8599265", \
+					  "0.8387963, 0.7896049, 0.8164627, 0.8334006, 0.8674025, 0.9024228, 0.9505904", \
+					  "0.8948796, 0.8577067, 0.8840759, 0.9017355, 0.9105080, 0.9738892, 1.0048133", \
+					  "0.9683141, 0.9184334, 0.9632634, 0.9681855, 1.0195228, 1.0179977, 1.0990190", \
+					  "1.0011836, 0.9689486, 1.0347945, 1.0802640, 1.0904201, 1.1347353, 1.1859904");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.1148329, 0.1772814, 0.1513167, 0.1342335, 0.0799478, 0.0364348, 0.0159590", \
+					  "-0.0230714, 0.0408798, 0.0354701, 0.0037425, -0.0432511, -0.0970582, -0.1318466", \
+					  "-0.1271241, -0.0751697, -0.0760517, -0.1070603, -0.1405075, -0.1832103, -0.2170526", \
+					  "-0.2112955, -0.1591100, -0.1611126, -0.1948750, -0.2139116, -0.2410885, -0.2882242", \
+					  "-0.2856623, -0.2406117, -0.2431064, -0.2748958, -0.2965746, -0.3148801, -0.3812068", \
+					  "-0.3466612, -0.3145998, -0.3156943, -0.3340947, -0.3804252, -0.4194077, -0.4191872", \
+					  "-0.4044496, -0.3654538, -0.3737278, -0.3939635, -0.4411897, -0.4858415, -0.5175755");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023853;
+			capacitance : 0.024639;
+			rise_capacitance : 0.024912;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2512784, -0.1148350, -0.0074312, 0.0785055, 0.1455155, 0.1881819, 0.2368300", \
+					  "-0.3151944, -0.1745072, -0.0735550, 0.0214258, 0.0932692, 0.1533539, 0.2174958", \
+					  "-0.3017921, -0.1676152, -0.0579036, 0.0234629, 0.0932609, 0.1654665, 0.2264227", \
+					  "-0.2721749, -0.1389303, -0.0521105, 0.0341554, 0.1226397, 0.1824550, 0.2461139", \
+					  "-0.2351023, -0.1010920, -0.0111716, 0.0819849, 0.1333881, 0.2116321, 0.2780146", \
+					  "-0.1858728, -0.0579661, 0.0334802, 0.1214055, 0.1699858, 0.2542593, 0.3159604", \
+					  "-0.1310372, -0.0094448, 0.0835274, 0.1482477, 0.2324785, 0.2740683, 0.3541867");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3153002, -0.1606113, -0.0043795, 0.1320852, 0.2505894, 0.3472516, 0.4294944", \
+					  "-0.3728951, -0.2122767, -0.0629432, 0.0795230, 0.1993244, 0.3157489, 0.4109393", \
+					  "-0.3606405, -0.2003458, -0.0584019, 0.0767287, 0.1942607, 0.3194203, 0.4220034", \
+					  "-0.3384882, -0.1755082, -0.0461027, 0.0971841, 0.2244842, 0.3394781, 0.4411307", \
+					  "-0.3037017, -0.1343926, 0.0026615, 0.1282990, 0.2440026, 0.3738701, 0.4741814", \
+					  "-0.2605788, -0.1092594, 0.0318193, 0.1832212, 0.2733317, 0.4099036, 0.5127297", \
+					  "-0.2212097, -0.0679842, 0.0781414, 0.2280754, 0.3197904, 0.4291789, 0.5655422");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5558749, 0.4257647, 0.3211851, 0.2275143, 0.1621270, 0.0989757, 0.0449777", \
+					  "0.6223358, 0.4844147, 0.3749646, 0.2868650, 0.2174003, 0.1538631, 0.1041189", \
+					  "0.5998843, 0.4710979, 0.3597169, 0.2839442, 0.2158017, 0.1481883, 0.0878964", \
+					  "0.5775383, 0.4481565, 0.3265903, 0.2544531, 0.1852944, 0.1495174, 0.0697601", \
+					  "0.5236308, 0.4209702, 0.2732004, 0.2158952, 0.1510131, 0.0797272, 0.0455657", \
+					  "0.5179365, 0.3778443, 0.2620370, 0.1852833, 0.1043302, 0.0611480, -0.0210396", \
+					  "0.4724669, 0.3247454, 0.1911626, 0.1331745, 0.0573348, 0.0202230, -0.0581851");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3269276, 0.1676644, 0.0144834, -0.1249382, -0.2417265, -0.3434238, -0.4230107", \
+					  "0.3864327, 0.2191871, 0.0756773, -0.0690643, -0.1921073, -0.3069940, -0.4038227", \
+					  "0.3694114, 0.2058104, 0.0669289, -0.0786295, -0.1867702, -0.3107134, -0.4151977", \
+					  "0.3501169, 0.1809152, 0.0489279, -0.0887022, -0.2205894, -0.3355649, -0.4361452", \
+					  "0.3114699, 0.1413041, 0.0229882, -0.1183655, -0.2359244, -0.3675263, -0.4635854", \
+					  "0.2706786, 0.1191755, -0.0218069, -0.1762441, -0.2651025, -0.4059036, -0.5072038", \
+					  "0.2358902, 0.0749979, -0.0796276, -0.2195430, -0.3113724, -0.4206546, -0.5343401");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006328;
+			capacitance : 0.006463;
+			rise_capacitance : 0.006599;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5427339, -0.6762012, -0.7858284, -0.8469598, -0.9059476, -0.9779528, -1.0955236", \
+					  "-0.4782759, -0.6129598, -0.7234806, -0.7981552, -0.8684817, -0.9215588, -0.9781203", \
+					  "-0.4787265, -0.6158051, -0.7393283, -0.8199158, -0.9090675, -0.9680469, -1.0324422", \
+					  "-0.5104632, -0.6434084, -0.7507365, -0.8389938, -0.9099627, -0.9764897, -1.0884710", \
+					  "-0.5452497, -0.6764411, -0.7904947, -0.8587617, -0.9063078, -1.0276114, -1.0922883", \
+					  "-0.5868481, -0.7180413, -0.8226824, -0.9055363, -0.9693196, -1.0197793, -1.1462764", \
+					  "-0.6307934, -0.7619850, -0.8666262, -0.9556907, -0.9944558, -1.0981002, -1.1819777");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1194696, -0.0419551, -0.1940401, -0.3277796, -0.4713536, -0.5769933, -0.7152288", \
+					  "0.1834859, 0.0408937, -0.1156021, -0.2819628, -0.4314522, -0.5551301, -0.6831855", \
+					  "0.1559995, 0.0320576, -0.1260857, -0.2894266, -0.4423544, -0.5748598, -0.7162394", \
+					  "0.1426593, -0.0149373, -0.1618124, -0.3060532, -0.4550584, -0.5977256, -0.7251333", \
+					  "0.0771045, -0.0344656, -0.1952232, -0.3447463, -0.4821880, -0.6215403, -0.7626546", \
+					  "0.0601693, -0.0867462, -0.2432949, -0.3797959, -0.5375465, -0.6614768, -0.7914510", \
+					  "0.0131738, -0.1444234, -0.2870281, -0.4166924, -0.5817420, -0.7182088, -0.8297038");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5726742, 0.7016049, 0.8127775, 0.8723803, 0.9284334, 0.9973639, 1.1191828", \
+					  "0.5051612, 0.6322124, 0.7398560, 0.8207843, 0.8868026, 0.9424583, 0.9960397", \
+					  "0.5040880, 0.6348775, 0.7621560, 0.8456140, 0.9145935, 0.9852283, 1.0528127", \
+					  "0.5358247, 0.6657742, 0.7780085, 0.8502374, 0.9387007, 0.9972744, 1.1178952", \
+					  "0.5706112, 0.7064037, 0.8110207, 0.8812690, 0.9379493, 1.0479063, 1.1020522", \
+					  "0.6137371, 0.7480038, 0.8495690, 0.9276845, 0.9760476, 1.0371546, 1.1506343", \
+					  "0.6592067, 0.7919474, 0.8950386, 0.9795563, 1.0264732, 1.1064686, 1.1933690");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4017610, 0.5441687, 0.6943174, 0.8391409, 0.9805514, 1.1076539, 1.2275797", \
+					  "0.3450066, 0.4911532, 0.6436378, 0.7930135, 0.9385777, 1.0368212, 1.1908756", \
+					  "0.3438253, 0.4879511, 0.6494672, 0.8095157, 0.9582679, 1.0861520, 1.2100230", \
+					  "0.3770879, 0.5179485, 0.6675175, 0.8240957, 0.9798994, 1.1097238, 1.2479750", \
+					  "0.4072967, 0.5512091, 0.6952005, 0.8434541, 1.0003865, 1.1346220, 1.2748120", \
+					  "0.4488968, 0.5912833, 0.7383194, 0.8662371, 1.0205014, 1.1684537, 1.3048327", \
+					  "0.4974181, 0.6352270, 0.7822631, 0.9256619, 1.0828802, 1.1917774, 1.3423390");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0232373, 0.0263712, 0.0295050, 0.0293598, 0.0292204, 0.0290751, 0.0289298");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0305858, 0.0341209, 0.0376559, 0.0375653, 0.0374783, 0.0373876, 0.0372970");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.018371;
+			capacitance : 0.019231;
+			rise_capacitance : 0.020091;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5533476, 0.8271680, 1.1009884, 1.3366887, 1.5629609, 1.7986611, 2.0343614");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.6291447, 27.1460350, 46.6629240, 47.0349710, 47.3921360, 47.7641840, 48.1362310");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033213;
+			capacitance : 0.033193;
+			fall_capacitance : 0.033174;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0553312, 0.0877722, 0.2308755, 0.4598452, 0.6796561, 0.9086258, 1.1375954");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1536466, 1.3106253, 1.4676039, 1.6967634, 1.9167565, 2.1459159, 2.3750754");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006835;
+			capacitance : 0.006700;
+			fall_capacitance : 0.006565;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2192476, -0.3573075, -0.4684670, -0.5299654, -0.6014360, -0.6572560, -0.7637382", \
+					  "-0.1611376, -0.3104646, -0.4299268, -0.5031739, -0.5698915, -0.6336353, -0.6582819", \
+					  "-0.1704988, -0.3127944, -0.4233205, -0.5048737, -0.5901589, -0.6828771, -0.7327415", \
+					  "-0.1991837, -0.3395394, -0.4441339, -0.5150470, -0.6145218, -0.6894848, -0.7460339", \
+					  "-0.2461772, -0.3804295, -0.4789575, -0.5461438, -0.6253216, -0.7079500, -0.7898609", \
+					  "-0.2831996, -0.4220296, -0.5293834, -0.6203141, -0.6593338, -0.7591410, -0.7869939", \
+					  "-0.3408762, -0.4674992, -0.5675528, -0.6658106, -0.7508650, -0.8176436, -0.9065015");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7487119, -0.8949468, -1.0457624, -1.1951565, -1.3304744, -1.4619991, -1.5812410", \
+					  "-0.6888288, -0.8358456, -0.9863936, -1.1398511, -1.2694125, -1.3975385, -1.5232676", \
+					  "-0.7029844, -0.8481255, -1.0037909, -1.1524594, -1.2923550, -1.4238797, -1.5400698", \
+					  "-0.7301435, -0.8772141, -1.0335161, -1.1908596, -1.3421104, -1.4799950, -1.5960415", \
+					  "-0.7679817, -0.9133849, -1.0576064, -1.2230291, -1.3723192, -1.5163421, -1.6432222", \
+					  "-0.8172111, -0.9565108, -1.0989818, -1.2489395, -1.3946764, -1.5454156, -1.6772048", \
+					  "-0.8581031, -1.0035058, -1.1444510, -1.2894156, -1.4419935, -1.5872188, -1.7139946");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9068417, 1.0403506, 1.1530491, 1.2172287, 1.2687050, 1.3391232, 1.4513323", \
+					  "0.8441667, 0.9810922, 1.0990224, 1.1603969, 1.2118732, 1.2749284, 1.3639258", \
+					  "0.8596180, 0.9899597, 1.0951028, 1.1775830, 1.2382145, 1.2808650, 1.3283882", \
+					  "0.8867754, 1.0275279, 1.1428018, 1.2230367, 1.3018599, 1.3741665, 1.4525545", \
+					  "0.9261395, 1.0588829, 1.1722244, 1.2571495, 1.3458016, 1.4150564, 1.4522698", \
+					  "0.9677396, 1.1020089, 1.2081510, 1.2867642, 1.3729712, 1.4593628, 1.4959429", \
+					  "1.0147367, 1.1474784, 1.2536205, 1.3442419, 1.4065280, 1.4389554, 1.5774226");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7771271, 0.9127358, 1.0590032, 1.2068783, 1.3452363, 1.4544827, 1.5930882", \
+					  "0.7096965, 0.8485484, 0.9992753, 1.1455070, 1.2833390, 1.4109305, 1.5385044", \
+					  "0.7268209, 0.8638881, 1.0190030, 1.1651262, 1.3116941, 1.4311682, 1.5519165", \
+					  "0.7539800, 0.8918021, 1.0512490, 1.2082461, 1.3598433, 1.4967521, 1.6085236", \
+					  "0.7902923, 0.9281021, 1.0720378, 1.2319423, 1.3885262, 1.5356009, 1.6579213", \
+					  "0.8349442, 0.9712286, 1.1136864, 1.2723537, 1.4077457, 1.5618520, 1.6922341", \
+					  "0.8819396, 1.0182241, 1.1591558, 1.3040792, 1.4576875, 1.6039596, 1.7269708");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0242321, 0.0272774, 0.0303228, 0.0301598, 0.0300033, 0.0298403, 0.0296773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296698, 0.0330096, 0.0363495, 0.0362510, 0.0361564, 0.0360579, 0.0359594");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014018;
+			capacitance : 0.013941;
+			fall_capacitance : 0.013864;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5330035, 0.6665353, 0.7792292, 0.8387963, 0.8948796, 0.9683141, 1.0011836", \
+					  "0.4719096, 0.6067203, 0.7105251, 0.7896049, 0.8577067, 0.9184334, 0.9689486", \
+					  "0.4690064, 0.6040345, 0.7280695, 0.8164627, 0.8840759, 0.9632634, 1.0347945", \
+					  "0.5007430, 0.6350436, 0.7484779, 0.8334006, 0.9017355, 0.9681855, 1.0802640", \
+					  "0.5355296, 0.6683042, 0.7800297, 0.8674025, 0.9105080, 1.0195228, 1.0904201", \
+					  "0.5771296, 0.7083784, 0.8159828, 0.9024228, 0.9738892, 1.0179977, 1.1347353", \
+					  "0.6225992, 0.7538480, 0.8599265, 0.9505904, 1.0048133, 1.0990190, 1.1859904");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3910785, 0.5328063, 0.6836640, 0.8238516, 0.9674647, 1.0939396, 1.2237897", \
+					  "0.3391351, 0.4824577, 0.6359875, 0.7862116, 0.9274539, 1.0620287, 1.1885299", \
+					  "0.3423027, 0.4802667, 0.6364755, 0.7949740, 0.9496687, 1.0762167, 1.1921965", \
+					  "0.3709847, 0.5073012, 0.6500590, 0.8054241, 0.9702716, 1.1010341, 1.2417640", \
+					  "0.4057711, 0.5420877, 0.6875785, 0.8381510, 0.9942948, 1.1267514, 1.2641583", \
+					  "0.4473712, 0.5867395, 0.7276527, 0.8755525, 1.0158559, 1.1432539, 1.2951450", \
+					  "0.4943667, 0.6276314, 0.7746482, 0.9164471, 1.0772763, 1.1788354, 1.3267403");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1148329, -0.0230714, -0.1271241, -0.2112955, -0.2856623, -0.3466612, -0.4044496", \
+					  "0.1772814, 0.0408798, -0.0751697, -0.1591100, -0.2406117, -0.3145998, -0.3654538", \
+					  "0.1513167, 0.0354701, -0.0760517, -0.1611126, -0.2431064, -0.3156943, -0.3737278", \
+					  "0.1342335, 0.0037425, -0.1070603, -0.1948750, -0.2748958, -0.3340947, -0.3939635", \
+					  "0.0799478, -0.0432511, -0.1405075, -0.2139116, -0.2965746, -0.3804252, -0.4411897", \
+					  "0.0364348, -0.0970582, -0.1832103, -0.2410885, -0.3148801, -0.4194077, -0.4858415", \
+					  "0.0159590, -0.1318466, -0.2170526, -0.2882242, -0.3812068, -0.4191872, -0.5175755");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3779218, -0.5241577, -0.6749768, -0.8228441, -0.9626910, -1.0835241, -1.2027151", \
+					  "-0.3300285, -0.4682542, -0.6227230, -0.7740508, -0.9216434, -1.0563242, -1.1689472", \
+					  "-0.3169375, -0.4652915, -0.6225016, -0.7815860, -0.9381224, -1.0638791, -1.1796683", \
+					  "-0.3517259, -0.4941082, -0.6370212, -0.7952460, -0.9574362, -1.0909306, -1.2256401", \
+					  "-0.3849865, -0.5304205, -0.6743914, -0.8282017, -0.9784018, -1.1130174, -1.2524427", \
+					  "-0.4281125, -0.5720205, -0.7159918, -0.8622884, -1.0083198, -1.1373363, -1.2811668", \
+					  "-0.4705303, -0.6159643, -0.7599352, -0.9078891, -1.0635687, -1.1712669, -1.3166859");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0241450, 0.0272935, 0.0304421, 0.0302870, 0.0301381, 0.0299830, 0.0298279");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297338, 0.0332476, 0.0367614, 0.0366049, 0.0364548, 0.0362984, 0.0361419");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.883494;
+			max_transition : 1.504357;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0211223, 0.04461517, 0.09423752, 0.1990513, 0.4204423, 0.888071");
+					values("7.1235652, 7.1328954, 7.1443289, 7.1566620, 7.1611558, 7.1600213, 7.1692058", \
+					  "9.3312250, 9.3306326, 9.3294296, 9.3385169, 9.3757615, 9.4686863, 9.4868809", \
+					  "9.3455833, 9.3487032, 9.3462954, 9.3545258, 9.3523843, 9.3551035, 9.3555745", \
+					  "9.3478480, 9.3485436, 9.3658180, 9.3642784, 9.3550914, 9.3620216, 9.3713188", \
+					  "9.3607674, 9.3738498, 9.3739521, 9.3637277, 9.3879314, 9.3679179, 9.3748204", \
+					  "9.3798328, 9.3887502, 9.3584689, 9.3815121, 9.3860952, 9.3895655, 9.3942337", \
+					  "9.3635759, 9.3890945, 9.3943299, 9.4003087, 9.3971862, 9.4020165, 9.3984178");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0211223, 0.04461517, 0.09423752, 0.1990513, 0.4204423, 0.888071");
+					values("4.6042733, 5.8000926, 8.2056848, 9.2057364, 9.3413410, 9.3209975, 9.2822303", \
+					  "2.4195103, 3.6762446, 6.0952740, 7.0571951, 7.1572006, 7.1661613, 7.1594822", \
+					  "7.3563950, 7.3566535, 7.3571670, 7.3581592, 7.3593857, 7.3867648, 7.2219162", \
+					  "7.4523582, 7.4528500, 7.4535204, 7.4547313, 7.4559569, 7.4620275, 7.4376633", \
+					  "7.5239754, 7.5244177, 7.5251385, 7.5264343, 7.5278637, 7.5313549, 7.5310314", \
+					  "7.6381800, 7.6383865, 7.6391064, 7.6400926, 7.6415246, 7.5991661, 7.6213532", \
+					  "7.7027013, 7.7030405, 7.7031107, 7.7033718, 7.7037803, 7.6203852, 7.6978909");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("12.2830305, 12.2868358, 12.2908628, 12.2952564, 12.2794671, 12.2745329, 12.2776140", \
+					  "41.0699010, 41.0691480, 41.0742310, 41.0715040, 41.0760700, 41.0655290, 40.3473160", \
+					  "73.5990230, 73.6801870, 73.5995990, 73.5955670, 73.5905230, 73.5736680, 73.5922780", \
+					  "105.3155800, 105.1335700, 105.3316000, 105.3455900, 105.3403600, 105.3088200, 105.3046800", \
+					  "136.2040900, 136.1193700, 136.2096700, 136.2408500, 136.2277900, 136.2008100, 136.1966800", \
+					  "166.9276100, 166.8397500, 166.9167300, 166.9261000, 167.0093600, 166.9071800, 166.8750300", \
+					  "197.5826200, 197.3399500, 197.5951300, 197.5356700, 197.5787200, 197.5889900, 197.5681100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("11.1136076, 11.1172576, 11.1291126, 11.1376976, 11.1538396, 11.1612156, 11.1699076", \
+					  "35.0113550, 35.0110950, 35.0116810, 35.0156150, 35.0178220, 35.2664530, 35.5783180", \
+					  "51.9025860, 51.9137860, 51.9116660, 51.8936660, 51.9004390, 51.8769920, 51.8608730", \
+					  "69.0667730, 69.0635880, 69.0427020, 69.1899320, 69.0579950, 69.0723800, 68.9659580", \
+					  "86.3373330, 86.3057200, 86.3526130, 86.4508810, 86.3386450, 86.3052340, 86.3314980", \
+					  "103.6829000, 103.6856600, 103.6853500, 103.7971100, 103.6703800, 103.5949700, 103.5949700", \
+					  "121.0086600, 121.0187900, 121.0461300, 121.1772500, 121.0645900, 121.1322400, 120.9888300");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("10.7071414, 10.7096845, 10.7108199, 10.7070193, 10.6967409, 10.6832777, 10.6822724", \
+					  "12.3810010, 12.3756470, 12.3909290, 12.3786310, 12.3833650, 12.3768530, 11.6902920", \
+					  "22.7886630, 22.7835580, 22.8043450, 22.7794760, 22.7976370, 22.7961190, 22.7770530", \
+					  "32.6450120, 32.7081900, 32.6651000, 32.6874640, 32.6826140, 32.6773430, 32.6582480", \
+					  "42.2616700, 42.2561710, 42.2827570, 42.2313260, 42.2557200, 42.2329390, 42.2615800", \
+					  "51.7111560, 51.7737940, 51.7972960, 51.7936560, 51.6888860, 51.7417150, 51.7382210", \
+					  "61.0787530, 61.0765120, 61.1250430, 61.1678490, 61.0596160, 61.1359780, 61.0794610");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("11.3584326, 11.3664576, 11.3779326, 11.3957636, 11.4186706, 11.4347746, 11.4542486", \
+					  "29.0460660, 29.0429890, 29.0459980, 29.0446470, 29.3727300, 29.6436350, 29.6740200", \
+					  "38.9884440, 38.9911120, 38.9914560, 38.9950400, 38.9929230, 38.9948420, 39.1156690", \
+					  "49.0083560, 49.0059100, 49.0088350, 49.0115900, 49.0069030, 48.9968450, 48.9991480", \
+					  "59.1050540, 59.1239700, 59.1176070, 59.1185230, 59.1222420, 59.1033820, 59.1605650", \
+					  "69.2882210, 69.2481420, 69.3149770, 69.2420770, 69.2924260, 69.2771810, 69.3128400", \
+					  "79.4060930, 79.3542250, 79.4178330, 79.3396880, 79.4983660, 79.3620240, 79.4809570");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("27.4615892, 27.4705702, 27.4967782, 27.5214862, 27.5657512, 27.6334642, 27.6316812", \
+					  "27.4553311, 27.4718731, 27.4800311, 27.5262061, 27.5529441, 27.6312061, 27.6265601", \
+					  "27.4469611, 27.4633771, 27.4807271, 27.5151341, 27.5500261, 27.6233981, 27.6223401", \
+					  "27.4488527, 27.4622457, 27.4804387, 27.5163347, 27.5551267, 27.6339317, 27.6234207", \
+					  "27.5456697, 27.4483617, 27.4921997, 27.5422637, 27.5663317, 27.6199887, 27.6388947", \
+					  "27.4728094, 27.5838874, 27.4936404, 27.5482384, 27.5827044, 27.6569294, 27.6818174", \
+					  "27.5063160, 27.5170750, 27.5344640, 27.5689330, 27.6017920, 27.6778510, 27.6745900");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02110412, 0.04453839, 0.09399436, 0.1983668, 0.4186358, 0.883494");
+					values("21.0861947, 21.0991597, 21.1895447, 21.2562997, 21.3603817, 21.4320657, 21.4491507", \
+					  "21.0609428, 21.1325748, 21.1612778, 21.2604878, 21.3476578, 21.4312178, 21.4477728", \
+					  "21.0850720, 21.0951560, 21.1649420, 21.2682660, 21.3578610, 21.4294420, 21.4477630", \
+					  "21.0554602, 21.0929402, 21.1564052, 21.2702412, 21.3507302, 21.4418482, 21.4631792", \
+					  "21.0872306, 21.1210296, 21.1532256, 21.2712426, 21.3562096, 21.4243006, 21.4327146", \
+					  "21.0902929, 21.0990879, 21.1849879, 21.2730569, 21.3623539, 21.4380919, 21.4426139", \
+					  "21.0618952, 21.0827242, 21.1637172, 21.2617772, 21.3895832, 21.4002482, 21.4961522");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("2.1998356, 2.2135258, 2.2456723, 2.3071243, 2.4286035, 2.6824338, 3.2161012", \
+					  "4.0070005, 4.0221364, 4.0526547, 4.1136676, 4.2367825, 4.4903175, 5.0215130", \
+					  "5.3800489, 5.3952025, 5.4256781, 5.4866818, 5.6098193, 5.8633405, 6.3946097", \
+					  "5.6981295, 5.7132992, 5.7437362, 5.8047251, 5.9279373, 6.1814340, 6.7127965", \
+					  "7.5446209, 7.5597697, 7.5902413, 7.6512334, 7.7743838, 8.0278930, 8.5592360", \
+					  "8.9906447, 9.0057695, 9.0362127, 9.0972163, 9.2203543, 9.4738812, 10.0050660", \
+					  "10.0354520, 10.0505840, 10.0809250, 10.1419570, 10.2649850, 10.5186300, 11.0498520", \
+					  "11.4482500, 11.4633780, 11.4937590, 11.5547890, 11.6779060, 11.9314470, 12.4625080", \
+					  "29.5399440, 29.6285880, 29.6550890, 29.6550894, 29.7757660, 30.0307940, 30.5630610", \
+					  "40.4793890, 40.4956570, 40.5267540, 40.5267544, 40.6295690, 40.8846430, 41.4172980", \
+					  "86.7409110, 86.7409173, 86.7409249, 86.7409325, 86.7409401, 87.0728700, 87.6523740", \
+					  "253.1418200, 253.1979800, 253.3739700, 253.3739701, 253.5569200, 253.5655300, 254.0189400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("0.1242410, 0.1402408, 0.1757548, 0.2513631, 0.4121206, 0.7585922, 1.5025108", \
+					  "0.1251849, 0.1415313, 0.1767231, 0.2521396, 0.4120602, 0.7574561, 1.4938132", \
+					  "0.1254459, 0.1415360, 0.1766193, 0.2521530, 0.4120306, 0.7574734, 1.5004658", \
+					  "0.1256056, 0.1417560, 0.1759633, 0.2515687, 0.4121550, 0.7588968, 1.4977171", \
+					  "0.1253858, 0.1414388, 0.1766164, 0.2521483, 0.4120352, 0.7576437, 1.4953232", \
+					  "0.1251206, 0.1422237, 0.1768122, 0.2516030, 0.4119002, 0.7588312, 1.5034086", \
+					  "0.1252358, 0.1419302, 0.1770124, 0.2517703, 0.4119882, 0.7574182, 1.5001098", \
+					  "0.1253246, 0.1419499, 0.1769470, 0.2516763, 0.4119239, 0.7584035, 1.5003270", \
+					  "0.1253552, 0.1414479, 0.1762754, 0.2521486, 0.4120319, 0.7576281, 1.5003672", \
+					  "0.1251684, 0.1415166, 0.1767324, 0.2521415, 0.4120633, 0.7581093, 1.4992442", \
+					  "0.1255633, 0.1418460, 0.1763722, 0.2512673, 0.4121354, 0.7588635, 1.5155832", \
+					  "0.1253879, 0.1419388, 0.1769999, 0.2517165, 0.4119796, 0.7574289, 1.5177080");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("1.8488053, 1.8700614, 1.9120050, 1.9840183, 2.1083926, 2.3199926, 2.7006688", \
+					  "3.2621483, 3.2838012, 3.3249881, 3.3982632, 3.5226932, 3.7336378, 4.1160089", \
+					  "4.0802175, 4.1019215, 4.1428803, 4.2165631, 4.3404538, 4.5521373, 4.9325353", \
+					  "4.2412784, 4.2624722, 4.3040993, 4.3774047, 4.5021813, 4.7128674, 5.0950284", \
+					  "5.1854729, 5.2065845, 5.2483178, 5.3215361, 5.4461742, 5.6570362, 6.0393748", \
+					  "5.8506271, 5.8725793, 5.9137677, 5.9869953, 6.1115704, 6.3229830, 6.7041257", \
+					  "6.3045795, 6.3257275, 6.3673746, 6.4405925, 6.5652355, 6.7606107, 7.1582011", \
+					  "6.9033437, 6.9248594, 6.9661725, 7.0394837, 7.1638389, 7.3698430, 7.7575821", \
+					  "13.0510620, 13.0752220, 13.0934620, 13.2340850, 13.3130240, 13.5223890, 13.9072850", \
+					  "16.3850890, 16.4057140, 16.4311550, 16.5201720, 16.6457090, 16.8519470, 17.2390530", \
+					  "28.7993310, 28.8590950, 28.8806950, 28.9494470, 29.0774090, 29.3010950, 29.6822250", \
+					  "68.5666710, 68.5666771, 68.6466780, 68.6631050, 68.8579930, 68.9864590, 69.3432160");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211259, 0.0446305, 0.0942861, 0.199188, 0.420804, 0.888987");
+					values("0.1658423, 0.1824567, 0.2142576, 0.2707145, 0.3744496, 0.5831972, 1.0295090", \
+					  "0.1694138, 0.1852448, 0.2171702, 0.2754326, 0.3828179, 0.5907365, 1.0355237", \
+					  "0.1694587, 0.1858082, 0.2168408, 0.2766617, 0.3793285, 0.5859071, 1.0320528", \
+					  "0.1674313, 0.1864146, 0.2174774, 0.2753469, 0.3830167, 0.5907614, 1.0320036", \
+					  "0.1672513, 0.1850651, 0.2173058, 0.2754372, 0.3825512, 0.5906983, 1.0333645", \
+					  "0.1680813, 0.1850216, 0.2176238, 0.2752155, 0.3817900, 0.5894860, 1.0363941", \
+					  "0.1673667, 0.1850695, 0.2174384, 0.2752813, 0.3830608, 0.5876807, 1.0342509", \
+					  "0.1692903, 0.1848419, 0.2174044, 0.2752394, 0.3832861, 0.5906223, 1.0327346", \
+					  "0.1679279, 0.1863743, 0.2173692, 0.2760266, 0.3822921, 0.5905833, 1.0327097", \
+					  "0.1680293, 0.1857723, 0.2180671, 0.2753668, 0.3815610, 0.5906315, 1.0328366", \
+					  "0.1674441, 0.1850008, 0.2172565, 0.2744237, 0.3831114, 0.5891579, 1.0386852", \
+					  "0.1688247, 0.1867351, 0.2173734, 0.2754099, 0.3810906, 0.5893844, 1.0330036");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.0964324, 1.1114094, 1.1414899, 1.2010147, 1.3224158, 1.5740592, 2.1011677", \
+					  "1.6507760, 1.6661331, 1.6956894, 1.7553256, 1.8764164, 2.1273121, 2.6547722", \
+					  "1.9122433, 1.9275421, 1.9569892, 2.0167437, 2.1378121, 2.3886464, 2.9170562", \
+					  "1.9611155, 1.9757738, 2.0060202, 2.0656703, 2.1866708, 2.4373599, 2.9654557", \
+					  "2.2630497, 2.2801590, 2.3079660, 2.3675830, 2.4886808, 2.7395612, 3.2682390", \
+					  "2.4820626, 2.4983018, 2.5269549, 2.5865843, 2.7102514, 2.9611935, 3.4898806", \
+					  "2.6423626, 2.6472238, 2.6872957, 2.7469031, 2.8585971, 3.1188827, 3.6475472", \
+					  "2.8444722, 2.8739955, 2.9001370, 2.9490586, 3.0738585, 3.3209897, 3.8495971", \
+					  "5.4205600, 5.4340989, 5.4489777, 5.5184599, 5.6383542, 5.8972498, 6.4231380", \
+					  "6.9608515, 6.9649961, 6.9968493, 7.0653869, 7.1767521, 7.3961153, 7.9563245", \
+					  "13.3190360, 13.3249300, 13.3601160, 13.4097960, 13.5570970, 13.7865420, 14.3239790", \
+					  "36.4878830, 36.4901390, 36.5513340, 36.6051510, 36.7250590, 37.0035310, 37.4844400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1217647, 0.1381018, 0.1733638, 0.2473352, 0.4083688, 0.7552131, 1.4997796", \
+					  "0.1240425, 0.1407835, 0.1742136, 0.2488111, 0.4091728, 0.7580797, 1.4912513", \
+					  "0.1239358, 0.1407919, 0.1751173, 0.2488471, 0.4091848, 0.7565196, 1.4978536", \
+					  "0.1229681, 0.1401174, 0.1750452, 0.2491619, 0.4086487, 0.7559519, 1.4925683", \
+					  "0.1240419, 0.1407897, 0.1742088, 0.2488014, 0.4091639, 0.7546503, 1.4993826", \
+					  "0.1239986, 0.1400100, 0.1744302, 0.2486338, 0.4091895, 0.7543746, 1.4991793", \
+					  "0.1240492, 0.1403183, 0.1741487, 0.2487324, 0.4134429, 0.7545744, 1.4993442", \
+					  "0.1240240, 0.1404400, 0.1742013, 0.2487114, 0.4134422, 0.7548321, 1.4994572", \
+					  "0.1238428, 0.1407437, 0.1741407, 0.2483372, 0.4092247, 0.7553892, 1.4984159", \
+					  "0.1240472, 0.1403466, 0.1748532, 0.2488302, 0.4133895, 0.7547830, 1.4982795", \
+					  "0.1238271, 0.1407984, 0.1752202, 0.2486669, 0.4134370, 0.7543061, 1.4994550", \
+					  "0.1240097, 0.1407417, 0.1744464, 0.2489375, 0.4092217, 0.7570518, 1.4963704");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.4043429, 1.4241318, 1.4627834, 1.5315521, 1.6490407, 1.8523162, 2.2236006", \
+					  "2.7576599, 2.7775748, 2.8158071, 2.8844953, 3.0024991, 3.2052483, 3.5790209", \
+					  "3.9602290, 3.9800012, 4.0180037, 4.0870907, 4.2046331, 4.4084905, 4.7803974", \
+					  "4.2411656, 4.2607549, 4.3127133, 4.3676369, 4.4856718, 4.7042703, 5.0623692", \
+					  "5.9550089, 5.9747913, 6.0084839, 6.0818754, 6.1994379, 6.4262093, 6.7766202", \
+					  "7.4183943, 7.4380053, 7.4756672, 7.5379178, 7.6623943, 7.8665270, 8.2394216", \
+					  "8.5426679, 8.5622629, 8.6012024, 8.6699012, 8.7872296, 8.9914449, 9.3639221", \
+					  "10.1579330, 10.1777650, 10.2121030, 10.2914630, 10.4024920, 10.6024950, 10.9796100", \
+					  "33.5865980, 33.6053110, 33.6053143, 33.6930380, 33.8427240, 33.9579920, 34.4076270", \
+					  "49.2182970, 49.2374780, 49.2557820, 49.3488310, 49.4555540, 49.6722950, 50.0398340", \
+					  "119.0488600, 119.0811000, 119.1222000, 119.1933000, 119.3073200, 119.5085000, 119.8843300", \
+					  "389.1597400, 389.1683800, 389.2660900, 389.3196900, 389.4045100, 389.5735600, 389.9921800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1549554, 0.1701549, 0.2003652, 0.2562449, 0.3585855, 0.5648055, 1.0093970", \
+					  "0.1570680, 0.1729351, 0.2039194, 0.2591562, 0.3660649, 0.5744244, 1.0157846", \
+					  "0.1580776, 0.1759167, 0.2048399, 0.2587825, 0.3648500, 0.5721488, 1.0156221", \
+					  "0.1579865, 0.1742506, 0.2045395, 0.2594133, 0.3660458, 0.5749095, 1.0221760", \
+					  "0.1581027, 0.1758629, 0.2055753, 0.2588277, 0.3648732, 0.5707638, 1.0188902", \
+					  "0.1574971, 0.1725414, 0.2035760, 0.2598359, 0.3664500, 0.5747994, 1.0200232", \
+					  "0.1573338, 0.1743119, 0.2041142, 0.2593486, 0.3660942, 0.5729579, 1.0180464", \
+					  "0.1582250, 0.1755874, 0.2055750, 0.2599402, 0.3649769, 0.5711530, 1.0189180", \
+					  "0.1580751, 0.1724861, 0.2055131, 0.2592507, 0.3661159, 0.5731330, 1.0181636", \
+					  "0.1570245, 0.1743085, 0.2054928, 0.2604424, 0.3650043, 0.5726168, 1.0192569", \
+					  "0.1580117, 0.1743842, 0.2046591, 0.2591172, 0.3648404, 0.5709660, 1.0195547", \
+					  "0.1581814, 0.1744808, 0.2033851, 0.2598195, 0.3650216, 0.5710483, 1.0189345");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.0834292, 1.0983839, 1.1285167, 1.1884457, 1.3091757, 1.5605220, 2.0876760", \
+					  "0.5877873, 0.6030738, 0.6326753, 0.6922375, 0.8133452, 1.0642748, 1.5927517", \
+					  "-0.2282901, -0.2129340, -0.1833800, -0.1237495, -0.0026608, 0.2482249, 0.7768810", \
+					  "-0.4380508, -0.4226970, -0.3931605, -0.3335307, -0.2124516, 0.0384324, 0.5671205", \
+					  "-1.8040411, -1.7887392, -1.7591288, -1.6995251, -1.5784377, -1.3275191, -0.7989806", \
+					  "-3.0429541, -3.0277809, -2.9980498, -2.9384177, -2.8173366, -2.5664542, -2.0378077", \
+					  "-4.0292418, -4.0138111, -3.9843315, -3.9247072, -3.8036099, -3.5527226, -3.0240848", \
+					  "-5.4797349, -5.4600764, -5.4289999, -5.3756062, -5.2533329, -4.9974158, -4.4688795", \
+					  "-27.9653050, -27.9493090, -27.9207240, -27.8606840, -27.7397620, -27.4891660, -26.9609490", \
+					  "-43.4866330, -43.4716160, -43.4426610, -43.3809110, -43.2613340, -43.0100080, -42.4772400", \
+					  "-114.1521400, -114.1506700, -114.1258700, -114.0623400, -113.9388500, -113.6759900, -113.1614100", \
+					  "-392.0906700, -391.9984700, -391.9830100, -391.8911800, -391.8229700, -391.6121000, -391.0383800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1219672, 0.1392691, 0.1731645, 0.2473649, 0.4085002, 0.7545702, 1.4887901", \
+					  "0.1238173, 0.1403551, 0.1748725, 0.2484140, 0.4134470, 0.7562516, 1.4894489", \
+					  "0.1240323, 0.1407712, 0.1742615, 0.2487675, 0.4091418, 0.7547531, 1.4982161", \
+					  "0.1240097, 0.1407449, 0.1743928, 0.2486525, 0.4134180, 0.7549697, 1.4994911", \
+					  "0.1238612, 0.1404607, 0.1746865, 0.2483861, 0.4134274, 0.7558765, 1.4990545", \
+					  "0.1240227, 0.1405646, 0.1743051, 0.2487205, 0.4091184, 0.7548727, 1.4994627", \
+					  "0.1240282, 0.1407661, 0.1742754, 0.2487501, 0.4091278, 0.7548391, 1.4994492", \
+					  "0.1229638, 0.1408552, 0.1743490, 0.2489277, 0.4079805, 0.7537306, 1.4964663", \
+					  "0.1240350, 0.1406406, 0.1748775, 0.2488108, 0.4091531, 0.7563336, 1.4976698", \
+					  "0.1240751, 0.1408066, 0.1748354, 0.2488299, 0.4091984, 0.7550114, 1.4982109", \
+					  "0.1231698, 0.1393526, 0.1743073, 0.2491259, 0.4092536, 0.7561198, 1.4993012", \
+					  "0.1240738, 0.1408386, 0.1742669, 0.2490770, 0.4092676, 0.7570292, 1.4985979");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("1.5477781, 1.5677817, 1.6061747, 1.6753497, 1.7930455, 1.9959168, 2.3685243", \
+					  "3.4611110, 3.4808958, 3.5189052, 3.5879792, 3.7055417, 3.9093782, 4.2827324", \
+					  "5.2713984, 5.2911275, 5.3297140, 5.3982325, 5.5155063, 5.7193641, 6.0926673", \
+					  "5.6910534, 5.7112248, 5.7499134, 5.8186500, 5.9357994, 6.1392873, 6.5128409", \
+					  "8.2796170, 8.2992022, 8.3371354, 8.4063325, 8.5237994, 8.7275396, 9.0989865", \
+					  "10.4865830, 10.5075300, 10.5443410, 10.6135520, 10.7311690, 10.9348260, 11.3081640", \
+					  "12.1937290, 12.2140940, 12.2519770, 12.3205690, 12.4380040, 12.6428060, 13.0150170", \
+					  "14.6426040, 14.6616260, 14.6966480, 14.7663710, 14.8866920, 15.0862060, 15.4595890", \
+					  "50.3246970, 50.3403110, 50.3786130, 50.4476390, 50.5672980, 50.7689360, 51.1417400", \
+					  "74.1409560, 74.1615620, 74.1995900, 74.2690180, 74.3849070, 74.5905880, 74.9641340", \
+					  "180.7131000, 180.7311500, 180.7705400, 180.8411000, 180.9576000, 181.1638800, 181.5336200", \
+					  "592.9138600, 592.9242100, 592.9745700, 593.0263600, 593.1642600, 593.3614500, 593.7339400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211005, 0.044523, 0.0939456, 0.19823, 0.418274, 0.882578");
+					values("0.1543961, 0.1701849, 0.2002382, 0.2555766, 0.3580427, 0.5645080, 1.0117936", \
+					  "0.1581120, 0.1758591, 0.2048139, 0.2588479, 0.3648767, 0.5720269, 1.0130234", \
+					  "0.1564210, 0.1726716, 0.2028114, 0.2600999, 0.3666403, 0.5720143, 1.0201325", \
+					  "0.1566455, 0.1720468, 0.2043358, 0.2601201, 0.3660250, 0.5747046, 1.0207728", \
+					  "0.1570251, 0.1743090, 0.2054919, 0.2600784, 0.3649207, 0.5706679, 1.0156924", \
+					  "0.1576692, 0.1754403, 0.2055161, 0.2602061, 0.3660598, 0.5716669, 1.0202804", \
+					  "0.1574773, 0.1738385, 0.2035796, 0.2599542, 0.3665016, 0.5747891, 1.0175281", \
+					  "0.1590478, 0.1743393, 0.2035525, 0.2601841, 0.3634032, 0.5740818, 1.0188445", \
+					  "0.1568950, 0.1735410, 0.2044231, 0.2588146, 0.3650630, 0.5722753, 1.0207888", \
+					  "0.1571187, 0.1739459, 0.2025155, 0.2601399, 0.3658558, 0.5732681, 1.0154723", \
+					  "0.1573453, 0.1739026, 0.2043604, 0.2612061, 0.3660956, 0.5753571, 1.0146770", \
+					  "0.1570478, 0.1746078, 0.2041704, 0.2606215, 0.3617040, 0.5667401, 1.0142303");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("4.6414455, 4.6562483, 4.6864757, 4.7459596, 4.8677875, 5.1194653, 5.6503207", \
+					  "4.7876498, 4.8022626, 4.8326587, 4.8921689, 5.0136464, 5.2656288, 5.7965397", \
+					  "4.9437214, 4.9585163, 4.9887499, 5.0482609, 5.1697397, 5.4217422, 5.9526273", \
+					  "5.0996616, 5.1145626, 5.1448001, 5.2043139, 5.3257758, 5.5777938, 6.1085710", \
+					  "5.2353153, 5.2537543, 5.2839439, 5.3434470, 5.4649312, 5.7160374, 6.2478276", \
+					  "5.3864437, 5.4016209, 5.4317568, 5.4910103, 5.6125073, 5.8645111, 6.3916441", \
+					  "5.5136380, 5.5284413, 5.5586695, 5.6181797, 5.7396532, 5.9916596, 6.5225425");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("0.1233365, 0.1394097, 0.1745598, 0.2501031, 0.4112499, 0.7618721, 1.4961793", \
+					  "0.1233378, 0.1394098, 0.1745564, 0.2501131, 0.4118248, 0.7618977, 1.4959127", \
+					  "0.1233369, 0.1394098, 0.1745587, 0.2501072, 0.4118569, 0.7618788, 1.4960165", \
+					  "0.1233366, 0.1394098, 0.1745587, 0.2501078, 0.4118556, 0.7618798, 1.4960460", \
+					  "0.1241257, 0.1401188, 0.1745559, 0.2501136, 0.4118187, 0.7628990, 1.4958942", \
+					  "0.1233367, 0.1401132, 0.1748147, 0.2501066, 0.4118581, 0.7618782, 1.5043573", \
+					  "0.1233366, 0.1394098, 0.1745594, 0.2501054, 0.4118688, 0.7618754, 1.4960339");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("3.5179535, 3.5367218, 3.5744445, 3.6427115, 3.7616088, 3.9752113, 4.3932769", \
+					  "3.6580674, 3.6773113, 3.7147179, 3.7811403, 3.9017665, 4.1148778, 4.5333755", \
+					  "3.7718246, 3.7906851, 3.8282710, 3.8982675, 4.0152850, 4.2291037, 4.6472963", \
+					  "3.8638421, 3.8825489, 3.9203791, 3.9854000, 4.1072408, 4.3212052, 4.7391637", \
+					  "3.9287249, 3.9478087, 3.9851655, 4.0524375, 4.1723069, 4.3862753, 4.8043867", \
+					  "4.0041321, 4.0232160, 4.0606332, 4.1256945, 4.2474513, 4.4610671, 4.8793138", \
+					  "4.0627759, 4.0818779, 4.1191286, 4.1849697, 4.3060733, 4.5199036, 4.9379052");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211041, 0.0445384, 0.0939944, 0.198367, 0.418636, 0.883494");
+					values("0.1526629, 0.1683080, 0.2013754, 0.2562426, 0.3720101, 0.6116092, 1.1402147", \
+					  "0.1525798, 0.1677332, 0.1996259, 0.2578309, 0.3702797, 0.6087925, 1.1401837", \
+					  "0.1526617, 0.1682803, 0.1996461, 0.2580776, 0.3703168, 0.6116864, 1.1403017", \
+					  "0.1526915, 0.1683042, 0.1997043, 0.2581072, 0.3703117, 0.6116543, 1.1401746", \
+					  "0.1528671, 0.1677350, 0.1993263, 0.2564595, 0.3698794, 0.6123609, 1.1402408", \
+					  "0.1530408, 0.1669760, 0.1993080, 0.2583251, 0.3726550, 0.6114542, 1.1402272", \
+					  "0.1531727, 0.1667669, 0.1989810, 0.2578122, 0.3726324, 0.6110163, 1.1401630");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 8.210570;
+			max_transition : 3.766258;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0305756, 0.09348671, 0.2858412, 0.8739766, 2.672236, 8.17052");
+					values("6.7057683, 6.6275340, 6.4612399, 6.2525675, 5.9886709, 5.8035204, 5.7066083", \
+					  "9.2744883, 9.1634747, 8.9047337, 8.5049147, 8.1934060, 8.1176897, 8.0306508", \
+					  "9.2924340, 9.1811741, 8.9247091, 8.5179711, 8.1901751, 7.9902031, 8.0374683", \
+					  "9.3109019, 9.1642640, 8.9264056, 8.5454517, 8.1849250, 7.9906498, 8.0310706", \
+					  "9.3205946, 9.2614787, 8.9382893, 8.5740625, 8.1877187, 7.9888049, 7.9186119", \
+					  "9.2750885, 9.2187473, 8.9213342, 8.4871641, 8.2249468, 7.9842799, 7.9196493", \
+					  "9.3377372, 9.1329574, 8.9414622, 8.5427828, 8.2958865, 8.0245862, 7.9207838");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0305756, 0.09348671, 0.2858412, 0.8739766, 2.672236, 8.17052");
+					values("9.0654866, 8.9518744, 8.6456874, 8.1028860, 7.4355248, 6.6258830, 5.3712579", \
+					  "6.9505209, 6.7837571, 6.5142345, 5.9459911, 5.2810547, 4.5360079, 2.9764797", \
+					  "7.2871474, 7.1742612, 6.8441871, 6.2600316, 5.6183374, 4.5318762, 3.8793660", \
+					  "7.3848844, 7.2591677, 6.9182542, 6.3474651, 5.7171407, 5.0284512, 3.7784233", \
+					  "7.4543306, 7.3596979, 6.9795046, 6.4018370, 5.7997808, 5.3322988, 3.1769407", \
+					  "7.5621827, 7.4414711, 7.0431499, 6.4708616, 5.8688276, 5.3335886, 3.4366505", \
+					  "7.6229561, 7.4012437, 7.1469727, 6.5235851, 5.9191580, 5.3729490, 4.5383215");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("10.5018830, 10.3840240, 10.0839477, 9.4862973, 8.7138296, 7.7908947, 6.2181419", \
+					  "12.3139910, 12.1777400, 11.8410830, 11.2033190, 10.5317860, 9.0838422, 7.7823165", \
+					  "22.7125890, 22.5740450, 22.2533190, 21.6175030, 20.9550470, 20.3195220, 18.1898780", \
+					  "32.6407480, 32.5189980, 32.1419770, 31.5227570, 30.8334850, 30.1876960, 29.0163000", \
+					  "42.1900330, 42.0644800, 41.7243950, 41.0835010, 40.3970750, 39.7505570, 39.1589710", \
+					  "51.6309980, 51.5474160, 51.2196570, 50.6239740, 49.8534300, 49.2715280, 48.6025840", \
+					  "61.0254970, 60.9184370, 60.5815260, 59.9981380, 59.2666120, 58.6590150, 58.0149500");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("10.4898186, 10.4073776, 10.2913276, 10.2405926, 10.1565936, 9.9932796, 9.9169566", \
+					  "28.9861500, 28.8755220, 28.6140260, 28.1803090, 27.8161430, 28.2114770, 28.1394390", \
+					  "38.9337760, 38.8228580, 38.5613380, 38.1313280, 37.7683680, 37.5364600, 38.0170720", \
+					  "48.9506250, 48.8386550, 48.5767640, 48.1455050, 47.7812060, 47.5347780, 47.9699650", \
+					  "59.0625470, 58.9483880, 58.6907330, 58.2460680, 57.9048680, 57.6140490, 57.8508800", \
+					  "69.1813340, 69.1048950, 68.7968710, 68.3880590, 68.0333010, 67.7342140, 67.5753690", \
+					  "79.3190030, 79.2090560, 78.9209180, 78.5622820, 78.2512460, 77.8848100, 77.7328970");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("12.0739941, 11.9540992, 11.6624607, 11.0675921, 10.3114600, 9.3941085, 7.9631114", \
+					  "41.0068330, 40.8779570, 40.5276580, 39.9077400, 39.2360870, 37.5071470, 36.4421550", \
+					  "73.5372110, 73.4065660, 73.0610090, 72.4260930, 71.7353820, 71.1080710, 69.2207190", \
+					  "105.2636200, 105.1412000, 104.7895200, 104.1686300, 103.4584300, 102.8376300, 100.6390700", \
+					  "136.1255700, 136.0613300, 135.6604800, 135.0251900, 134.3487800, 133.7165500, 132.7960400", \
+					  "166.8502000, 166.7069400, 166.3853800, 165.7236400, 165.0656700, 164.4172900, 163.8525100", \
+					  "197.5383500, 197.3967500, 197.0628200, 196.4238100, 195.7517200, 195.0710700, 194.4990100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("10.4999596, 10.4125656, 10.2515816, 10.0773526, 9.9029976, 9.7135206, 9.6219306", \
+					  "34.9561350, 34.8426290, 34.5784340, 34.1541210, 33.7872160, 34.1139200, 34.0329880", \
+					  "51.8481790, 51.7568940, 51.4692490, 51.0503450, 50.6933180, 50.4285610, 50.8656870", \
+					  "68.9714540, 68.8726850, 68.6295330, 68.1677560, 67.9477380, 67.5886080, 67.8501980", \
+					  "86.2746060, 86.1779120, 85.9015910, 85.4562390, 85.2125730, 84.9584220, 84.5635920", \
+					  "103.6052800, 103.5283200, 103.2620400, 102.7976000, 102.6103400, 102.2453900, 101.8710800", \
+					  "121.0532100, 120.9416300, 120.6737500, 120.2170600, 119.9635400, 119.6058300, 119.1629200");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("20.5009977, 20.3347697, 19.9147467, 19.1376907, 18.1932817, 17.2745457, 16.7134437", \
+					  "20.4991148, 20.3314588, 19.8971938, 19.1310998, 18.1845528, 17.2794978, 16.6975718", \
+					  "20.5045540, 20.3223370, 19.9082670, 19.1350750, 18.2052350, 17.2971400, 16.6946170", \
+					  "20.4970802, 20.3274782, 19.9010502, 19.1366852, 18.2069312, 17.3011072, 16.7120142", \
+					  "20.5094646, 20.3335606, 19.9097796, 19.1371546, 18.1835666, 17.2749446, 16.6701576", \
+					  "20.4933989, 20.3241679, 19.8907689, 19.1398169, 18.1804559, 17.2711399, 16.6578819", \
+					  "20.4818802, 20.3428802, 19.8814712, 19.1107652, 18.1852622, 17.2657342, 16.7402602");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03060053, 0.09363921, 0.2865409, 0.8768303, 2.683147, 8.21057");
+					values("26.7358172, 26.6266272, 26.3642592, 25.9639092, 25.6548712, 24.8101252, 23.4543432", \
+					  "26.7358021, 26.6232241, 26.3223741, 26.0060021, 25.6225031, 24.8115081, 23.3507561", \
+					  "26.7196211, 26.6198081, 26.3543771, 25.9461631, 25.6473361, 24.8125581, 23.4584241", \
+					  "26.7308257, 26.6290547, 26.3367717, 25.9672627, 25.6507077, 24.8036037, 23.4437627", \
+					  "26.7043717, 26.6414097, 26.3289477, 25.9708027, 25.6370277, 24.8268697, 23.3699127", \
+					  "26.7614844, 26.6462464, 26.3939014, 25.9751484, 25.6800904, 24.8534184, 23.4830894", \
+					  "26.7628220, 26.6767060, 26.4064560, 25.9882670, 25.7042990, 24.8732610, 23.5200900");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("2.5567286, 2.5678626, 2.5967359, 2.6667064, 2.8457661, 3.3616199, 4.9510507", \
+					  "4.3620747, 4.3724633, 4.4015827, 4.4722763, 4.6504063, 5.1693618, 6.7509411", \
+					  "5.7349525, 5.7453571, 5.7745035, 5.8451071, 6.0235035, 6.5418493, 8.1289357", \
+					  "6.0533503, 6.0640710, 6.0933520, 6.1641949, 6.3426882, 6.8593069, 8.4480529", \
+					  "7.8996507, 7.9100406, 7.9391755, 8.0097813, 8.1881772, 8.7066594, 10.2918720", \
+					  "9.3458778, 9.3564303, 9.3850163, 9.4559578, 9.6352748, 10.1508330, 11.7365810", \
+					  "10.3906070, 10.4013140, 10.4298310, 10.5006520, 10.6800280, 11.1959250, 12.7811380", \
+					  "11.8035190, 11.8141480, 11.8426950, 11.9135860, 12.0930000, 12.6086600, 14.1944920", \
+					  "29.8380130, 29.9131530, 29.9429850, 30.0178550, 30.1899840, 30.7292640, 32.3200520", \
+					  "40.8489350, 40.8489361, 40.8489399, 40.9386200, 41.0484980, 41.6432680, 43.3732090", \
+					  "86.9748930, 86.9748955, 86.9749032, 86.9749108, 87.3849120, 87.8686490, 88.2820790", \
+					  "253.4308700, 253.5480500, 253.5480576, 253.8522800, 253.8522873, 254.0532200, 255.9285100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("0.1114845, 0.1211534, 0.1469666, 0.2195280, 0.4606696, 1.2573370, 3.7434194", \
+					  "0.1119285, 0.1214309, 0.1474380, 0.2196564, 0.4595778, 1.2557488, 3.7374308", \
+					  "0.1119389, 0.1211785, 0.1475057, 0.2197696, 0.4604346, 1.2562964, 3.7616781", \
+					  "0.1112720, 0.1212273, 0.1479202, 0.2196154, 0.4606884, 1.2581044, 3.7603454", \
+					  "0.1119824, 0.1212242, 0.1474678, 0.2197547, 0.4604224, 1.2575553, 3.7562189", \
+					  "0.1107778, 0.1206146, 0.1468005, 0.2196807, 0.4609834, 1.2562871, 3.7469174", \
+					  "0.1108445, 0.1209687, 0.1461408, 0.2199062, 0.4605820, 1.2547893, 3.7670413", \
+					  "0.1107725, 0.1208469, 0.1466076, 0.2198785, 0.4608288, 1.2558369, 3.7480392", \
+					  "0.1115952, 0.1212189, 0.1474929, 0.2197611, 0.4604191, 1.2551410, 3.7574970", \
+					  "0.1119202, 0.1214514, 0.1474293, 0.2197606, 0.4603007, 1.2574276, 3.7564551", \
+					  "0.1113374, 0.1213036, 0.1481364, 0.2199044, 0.4593999, 1.2574491, 3.7547609", \
+					  "0.1108050, 0.1209462, 0.1462481, 0.2199065, 0.4601199, 1.2551483, 3.7453503");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("1.5559093, 1.5654104, 1.5951109, 1.6669160, 1.8500252, 2.3755726, 3.9782568", \
+					  "2.9704637, 2.9809490, 3.0091285, 3.0806162, 3.2646672, 3.7894550, 5.3908811", \
+					  "3.7891863, 3.7994590, 3.8277226, 3.8995362, 4.0834154, 4.6087583, 6.2092398", \
+					  "3.9504995, 3.9605714, 3.9888359, 4.0605808, 4.2446278, 4.7697237, 6.3776482", \
+					  "4.8947468, 4.9050088, 4.9332574, 5.0047428, 5.1889288, 5.7139022, 7.3165976", \
+					  "5.5591336, 5.5695191, 5.5977378, 5.6695666, 5.8533902, 6.3787513, 7.9814919", \
+					  "6.0140639, 6.0243668, 6.0525407, 6.1243366, 6.3082650, 6.8335986, 8.4348120", \
+					  "6.6130048, 6.6235004, 6.6517885, 6.7230563, 6.9072903, 7.4326256, 9.0354133", \
+					  "12.7618030, 12.8130790, 12.8130794, 12.8742070, 13.0341580, 13.5824530, 15.1775800", \
+					  "16.0947200, 16.1051630, 16.1336900, 16.2055680, 16.3889610, 16.9145760, 18.5169940", \
+					  "28.5276440, 28.5441570, 28.5888060, 28.6448040, 28.8216300, 29.3617790, 30.9489470", \
+					  "68.1795170, 68.2128910, 68.2128945, 68.2328010, 68.4954030, 69.1311120, 70.6200040");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0305984, 0.0936262, 0.286481, 0.876586, 2.68221, 8.20714");
+					values("0.0929678, 0.1003386, 0.1232036, 0.1883614, 0.3987513, 1.0863539, 3.2479428", \
+					  "0.0929863, 0.1007174, 0.1240713, 0.1895290, 0.4002725, 1.0869410, 3.2379113", \
+					  "0.0923760, 0.1009101, 0.1235543, 0.1900499, 0.3993427, 1.0841440, 3.2450456", \
+					  "0.0927965, 0.1004405, 0.1233505, 0.1900011, 0.3995020, 1.0864550, 3.2509151", \
+					  "0.0927390, 0.1007849, 0.1243117, 0.1890497, 0.3994430, 1.0872795, 3.2486205", \
+					  "0.0922521, 0.1009294, 0.1235566, 0.1900105, 0.3992812, 1.0868085, 3.2520415", \
+					  "0.0925838, 0.1005428, 0.1234674, 0.1900271, 0.3994575, 1.0875730, 3.2435299", \
+					  "0.0929854, 0.1006394, 0.1243415, 0.1897416, 0.3992333, 1.0869687, 3.2528058", \
+					  "0.0929862, 0.1007851, 0.1235331, 0.1899925, 0.3991740, 1.0867235, 3.2419067", \
+					  "0.0927149, 0.1007857, 0.1240356, 0.1895004, 0.4003798, 1.0867713, 3.2421053", \
+					  "0.0922359, 0.1007111, 0.1235371, 0.1891385, 0.3993501, 1.0869599, 3.2505445", \
+					  "0.0922677, 0.1005681, 0.1235915, 0.1891498, 0.3993813, 1.0854063, 3.2478253");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.8599609, 0.8708667, 0.9003144, 0.9722846, 1.1518608, 1.6701052, 3.2611926", \
+					  "0.3631792, 0.3742432, 0.4040588, 0.4761479, 0.6557760, 1.1751343, 2.7604548", \
+					  "-0.4529463, -0.4418763, -0.4120421, -0.3399814, -0.1603368, 0.3588700, 1.9462143", \
+					  "-0.6627288, -0.6516499, -0.6218144, -0.5497671, -0.3705477, 0.1491272, 1.7395457", \
+					  "-2.0285096, -2.0174422, -1.9876178, -1.9155426, -1.7359560, -1.2166150, 0.3737503", \
+					  "-3.2675260, -3.2594603, -3.2266154, -3.1545631, -2.9781353, -2.4557198, -0.8652547", \
+					  "-4.2537982, -4.2426005, -4.2129020, -4.1408219, -3.9639296, -3.4420646, -1.8515249", \
+					  "-5.6983858, -5.6937677, -5.6574767, -5.5866258, -5.4128764, -4.8870659, -3.2962284", \
+					  "-28.1900190, -28.1787290, -28.1485950, -28.0770750, -27.8987570, -27.3788730, -25.7875200", \
+					  "-43.7115980, -43.7004070, -43.6700170, -43.5982520, -43.4182890, -42.9068020, -41.3086810", \
+					  "-114.3920100, -114.3907100, -114.3251200, -114.2779400, -114.1015200, -113.5860000, -111.9927500", \
+					  "-392.2132000, -392.2131805, -392.2131500, -392.1564700, -392.0206400, -391.4374800, -389.8219600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.1154603, 0.1244986, 0.1513979, 0.2234405, 0.4634074, 1.2578506, 3.7609924", \
+					  "0.1168588, 0.1253467, 0.1528958, 0.2244605, 0.4631297, 1.2613127, 3.7563419", \
+					  "0.1168764, 0.1254474, 0.1528835, 0.2244340, 0.4631447, 1.2613152, 3.7545984", \
+					  "0.1168762, 0.1254225, 0.1528873, 0.2244402, 0.4630980, 1.2613126, 3.7496601", \
+					  "0.1168649, 0.1253746, 0.1528901, 0.2244504, 0.4631482, 1.2613128, 3.7506962", \
+					  "0.1168709, 0.1253431, 0.1528823, 0.2244362, 0.4631313, 1.2612944, 3.7510084", \
+					  "0.1168623, 0.1253749, 0.1528791, 0.2244356, 0.4631851, 1.2612290, 3.7551673", \
+					  "0.1168902, 0.1268541, 0.1530144, 0.2244362, 0.4628888, 1.2612267, 3.7583954", \
+					  "0.1168637, 0.1255024, 0.1531167, 0.2243711, 0.4631976, 1.2610927, 3.7439678", \
+					  "0.1164743, 0.1255934, 0.1529326, 0.2244098, 0.4632372, 1.2574377, 3.7534362", \
+					  "0.1168844, 0.1254671, 0.1524564, 0.2243843, 0.4631827, 1.2608770, 3.7452672", \
+					  "0.1172646, 0.1256083, 0.1529806, 0.2243770, 0.4630579, 1.2612065, 3.7670382");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.8570884, 0.8676904, 0.8969105, 0.9702679, 1.1571444, 1.6843121, 3.2885215", \
+					  "2.7686238, 2.7792748, 2.8084020, 2.8818559, 3.0687737, 3.5958685, 5.1984403", \
+					  "4.5795261, 4.5902153, 4.6194457, 4.6926898, 4.8795532, 5.4068008, 7.0112294", \
+					  "4.9993401, 5.0093782, 5.0393174, 5.1120068, 5.2987974, 5.8266663, 7.4303892", \
+					  "7.5867623, 7.5974995, 7.6266042, 7.6999038, 7.8868752, 8.4140304, 10.0171390", \
+					  "9.7936494, 9.8043254, 9.8334612, 9.9068025, 10.0937230, 10.6208700, 12.2248170", \
+					  "11.5017920, 11.5124320, 11.5415480, 11.6149680, 11.8018830, 12.3289610, 13.9323730", \
+					  "13.9464930, 13.9569760, 13.9860670, 14.0594960, 14.2463850, 14.7734930, 16.3768860", \
+					  "49.6261410, 49.6363950, 49.6653630, 49.7386490, 49.9280870, 50.4531310, 52.0563540", \
+					  "73.4468770, 73.4586370, 73.4861630, 73.5593990, 73.7479910, 74.2734940, 75.8760310", \
+					  "180.0155500, 180.0267900, 180.0529900, 180.1284700, 180.3150600, 180.8407600, 182.4450600", \
+					  "592.2109900, 592.2232200, 592.2580000, 592.3280400, 592.5123800, 593.0471000, 594.6488400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.0967193, 0.1054236, 0.1280663, 0.1933625, 0.4033868, 1.0854323, 3.2545315", \
+					  "0.0975242, 0.1053847, 0.1285013, 0.1939762, 0.4047981, 1.0876263, 3.2370377", \
+					  "0.0966520, 0.1047652, 0.1285071, 0.1936224, 0.4046757, 1.0869085, 3.2438967", \
+					  "0.0971414, 0.1059299, 0.1285135, 0.1933394, 0.4050955, 1.0876131, 3.2479175", \
+					  "0.0966122, 0.1055400, 0.1290332, 0.1937733, 0.4046338, 1.0870030, 3.2533827", \
+					  "0.0966913, 0.1059712, 0.1284602, 0.1936307, 0.4049597, 1.0875849, 3.2524698", \
+					  "0.0976061, 0.1053758, 0.1285105, 0.1939528, 0.4048151, 1.0875298, 3.2400125", \
+					  "0.0971623, 0.1055988, 0.1285465, 0.1939647, 0.4046269, 1.0876301, 3.2507248", \
+					  "0.0976946, 0.1054100, 0.1285919, 0.1934597, 0.4048586, 1.0873804, 3.2422167", \
+					  "0.0967421, 0.1054698, 0.1289437, 0.1936890, 0.4044241, 1.0872546, 3.2451737", \
+					  "0.0969426, 0.1055864, 0.1288371, 0.1943014, 0.4047109, 1.0864550, 3.2425707", \
+					  "0.0969916, 0.1056129, 0.1291012, 0.1935876, 0.4043753, 1.0859960, 3.2348406");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.8726288, 0.8838052, 0.9132877, 0.9852605, 1.1647425, 1.6825030, 3.2699561", \
+					  "1.4260418, 1.4371212, 1.4669560, 1.5390170, 1.7186216, 2.2378395, 3.8270356", \
+					  "1.6874985, 1.6985215, 1.7283699, 1.8004742, 1.9801221, 2.4992322, 4.0906984", \
+					  "1.7362266, 1.7477363, 1.7770772, 1.8493144, 2.0288928, 2.5481852, 4.1382675", \
+					  "2.0383411, 2.0493918, 2.0792223, 2.1513058, 2.3309028, 2.8501359, 4.4401918", \
+					  "2.2573536, 2.2684357, 2.2982656, 2.3703188, 2.5523375, 3.0692144, 4.6590709", \
+					  "2.4176514, 2.4286235, 2.4585813, 2.5306217, 2.7122273, 3.2294170, 4.8216529", \
+					  "2.6197684, 2.6390867, 2.6607474, 2.7327556, 2.9254474, 3.4441836, 5.0364757", \
+					  "5.1892055, 5.1893547, 5.2370703, 5.3015423, 5.4792850, 5.9879999, 7.5955349", \
+					  "6.7361530, 6.7403074, 6.7622259, 6.8491124, 7.0203261, 7.5478308, 9.1334185", \
+					  "13.0814780, 13.0952160, 13.1475030, 13.2007760, 13.3994980, 13.9212980, 15.4866430", \
+					  "36.2183950, 36.3026800, 36.3026829, 36.3468900, 36.5323270, 37.1247890, 38.6309050");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.1159028, 0.1252201, 0.1515840, 0.2235346, 0.4633384, 1.2600119, 3.7463113", \
+					  "0.1168778, 0.1254588, 0.1528830, 0.2244318, 0.4631706, 1.2556018, 3.7625316", \
+					  "0.1168647, 0.1254727, 0.1528820, 0.2244680, 0.4631787, 1.2612951, 3.7710404", \
+					  "0.1162502, 0.1268911, 0.1530461, 0.2240991, 0.4631525, 1.2588480, 3.7787573", \
+					  "0.1168807, 0.1254630, 0.1528839, 0.2244314, 0.4631717, 1.2613015, 3.7508285", \
+					  "0.1168747, 0.1254151, 0.1528883, 0.2244424, 0.4631720, 1.2613111, 3.7497170", \
+					  "0.1168805, 0.1254622, 0.1528851, 0.2244293, 0.4631452, 1.2612975, 3.7513130", \
+					  "0.1168785, 0.1253315, 0.1528859, 0.2244363, 0.4631263, 1.2613119, 3.7512837", \
+					  "0.1168636, 0.1254396, 0.1528879, 0.2244582, 0.4631049, 1.2613133, 3.7495229", \
+					  "0.1168802, 0.1254776, 0.1528956, 0.2244301, 0.4631303, 1.2613159, 3.7475529", \
+					  "0.1168476, 0.1259058, 0.1528943, 0.2244347, 0.4631202, 1.2613095, 3.7494363", \
+					  "0.1168257, 0.1253164, 0.1528931, 0.2243079, 0.4631618, 1.2613103, 3.7497454");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.7130532, 0.7236875, 0.7530111, 0.8263386, 1.0129828, 1.5403445, 3.1446625", \
+					  "2.0660702, 2.0766906, 2.1058781, 2.1792541, 2.3662134, 2.8933300, 4.4959401", \
+					  "3.2679008, 3.2785554, 3.3076895, 3.3811540, 3.5597896, 4.0951846, 5.7031162", \
+					  "3.5491170, 3.5597403, 3.5889307, 3.6622902, 3.8489989, 4.3763904, 5.9808047", \
+					  "5.2626019, 5.2732614, 5.3023967, 5.3758589, 5.5668732, 6.0898895, 7.6933251", \
+					  "6.7261197, 6.7368533, 6.7660606, 6.8393669, 7.0171571, 7.5534903, 9.1579144", \
+					  "7.8502946, 7.8572331, 7.8901374, 7.9634725, 8.1523179, 8.6732479, 10.2805230", \
+					  "9.4658246, 9.4658247, 9.5050368, 9.5786223, 9.7659587, 10.2809900, 11.8965830", \
+					  "32.8941320, 32.9153130, 32.9338650, 33.0074780, 33.2058650, 33.7198610, 35.3358120", \
+					  "48.5253830, 48.5375620, 48.5448910, 48.6116920, 48.8235120, 49.3585760, 50.9549520", \
+					  "118.3526500, 118.3798700, 118.3921400, 118.4853200, 118.6755000, 119.1773100, 120.8006600", \
+					  "388.4956600, 388.4956818, 388.4957123, 388.5369300, 388.7994900, 389.3287600, 390.8996600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0306069, 0.0936784, 0.286721, 0.877563, 2.68595, 8.22087");
+					values("0.0967810, 0.1060597, 0.1282442, 0.1933771, 0.4034633, 1.0872115, 3.2479510", \
+					  "0.0967970, 0.1057268, 0.1284070, 0.1932681, 0.4042229, 1.0868708, 3.2458578", \
+					  "0.0975753, 0.1054385, 0.1285498, 0.1940275, 0.4045064, 1.0876302, 3.2483678", \
+					  "0.0967885, 0.1057395, 0.1284298, 0.1933006, 0.4048211, 1.0860038, 3.2540073", \
+					  "0.0976076, 0.1054026, 0.1285446, 0.1940332, 0.4045588, 1.0875917, 3.2570109", \
+					  "0.0971869, 0.1048035, 0.1285797, 0.1938785, 0.4048565, 1.0876183, 3.2499656", \
+					  "0.0967432, 0.1057470, 0.1284959, 0.1933641, 0.4049216, 1.0860552, 3.2523635", \
+					  "0.0976191, 0.1061911, 0.1285448, 0.1940492, 0.4048519, 1.0871993, 3.2549645", \
+					  "0.0968258, 0.1057524, 0.1284680, 0.1933653, 0.4046397, 1.0872527, 3.2524785", \
+					  "0.0966649, 0.1060779, 0.1286136, 0.1938383, 0.4048283, 1.0877371, 3.2527985", \
+					  "0.0967700, 0.1060940, 0.1285859, 0.1940021, 0.4048154, 1.0871556, 3.2558893", \
+					  "0.0976014, 0.1048142, 0.1285969, 0.1940446, 0.4048579, 1.0875829, 3.2545660");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("3.9563623, 3.9674544, 3.9979362, 4.0700381, 4.2493502, 4.7651274, 6.3553660", \
+					  "4.1022505, 4.1133554, 4.1437285, 4.2158930, 4.3951145, 4.9110387, 6.4966814", \
+					  "4.2586517, 4.2697459, 4.3002091, 4.3723012, 4.5516586, 5.0674136, 6.6579058", \
+					  "4.4146673, 4.4257611, 4.4562016, 4.5283177, 4.7076556, 5.2234057, 6.8138761", \
+					  "4.5537596, 4.5646442, 4.5949879, 4.6670006, 4.8463926, 5.3623208, 6.9480666", \
+					  "4.7013531, 4.7124464, 4.7425286, 4.8150377, 4.9943796, 5.5101141, 7.1004833", \
+					  "4.8286153, 4.8397082, 4.8701740, 4.9422906, 5.1216165, 5.6373790, 7.2277762");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("0.1168517, 0.1270619, 0.1543881, 0.2260986, 0.4631969, 1.2562933, 3.7549213", \
+					  "0.1169415, 0.1271120, 0.1545633, 0.2260555, 0.4631868, 1.2568302, 3.7546424", \
+					  "0.1168684, 0.1270713, 0.1544181, 0.2260952, 0.4631783, 1.2557920, 3.7543932", \
+					  "0.1168651, 0.1270693, 0.1544135, 0.2260951, 0.4631786, 1.2560067, 3.7544972", \
+					  "0.1171829, 0.1271251, 0.1546051, 0.2262608, 0.4631942, 1.2566949, 3.7551313", \
+					  "0.1168644, 0.1270691, 0.1553909, 0.2260961, 0.4631617, 1.2557311, 3.7546810", \
+					  "0.1168659, 0.1270697, 0.1544140, 0.2260950, 0.4631723, 1.2559399, 3.7546104");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("3.0812541, 3.0943619, 3.1301983, 3.2177774, 3.4247805, 3.9653480, 5.5598388", \
+					  "3.2213792, 3.2346790, 3.2703962, 3.3579006, 3.5636858, 4.1042454, 5.7000102", \
+					  "3.3349457, 3.3482142, 3.3838361, 3.4716625, 3.6808447, 4.2213850, 5.8136367", \
+					  "3.4270247, 3.4404422, 3.4758130, 3.5637757, 3.7679548, 4.3085215, 5.9055005", \
+					  "3.4920402, 3.5050687, 3.5407268, 3.6286325, 3.8346410, 4.3751762, 5.9707328", \
+					  "3.5672651, 3.5805183, 3.6161694, 3.7040719, 3.9083186, 4.4488120, 6.0457674", \
+					  "3.6260024, 3.6392467, 3.6749059, 3.7627030, 3.9675263, 4.5080677, 6.1045013");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0306005, 0.0936392, 0.286541, 0.87683, 2.68315, 8.21057");
+					values("0.1898557, 0.2002167, 0.2264381, 0.2916638, 0.4781821, 1.1238802, 3.2455059", \
+					  "0.1896245, 0.2001934, 0.2260928, 0.2911829, 0.4779072, 1.1240568, 3.2463983", \
+					  "0.1909133, 0.2001705, 0.2261488, 0.2916652, 0.4778656, 1.1241744, 3.2498544", \
+					  "0.1898015, 0.2004332, 0.2261546, 0.2916389, 0.4778564, 1.1241740, 3.2471477", \
+					  "0.1908761, 0.1998664, 0.2273534, 0.2916689, 0.4778925, 1.1241670, 3.2498655", \
+					  "0.1908958, 0.1999364, 0.2273371, 0.2916428, 0.4780507, 1.1240571, 3.2467122", \
+					  "0.1908837, 0.1998940, 0.2272812, 0.2915742, 0.4778946, 1.1240006, 3.2468541");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.040767;
+			max_capacitance : 551.041000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.8254577, 71.7285957, 70.7799107, 72.7252427, 72.8931777, 55.1046197, -131.6767913", \
+					  "70.7786148, 70.6017848, 70.7647098, 70.7112458, 66.2134268, 62.2074368, -124.6798742", \
+					  "70.8982440, 70.7899560, 70.8198350, 70.6442530, 70.8070950, 59.7042470, -131.6798470", \
+					  "70.8493063, 70.6528383, 70.6716013, 70.8821623, 71.1822343, 47.0232263, -135.8115057", \
+					  "70.6974529, 70.8132839, 70.6338929, 70.6467989, 69.2062079, 67.4363599, -130.6073921", \
+					  "71.0042581, 70.8710451, 70.7218031, 71.6795441, 70.4988291, 32.9485531, -130.0692709", \
+					  "71.0696104, 70.6912604, 69.8291514, 71.6360044, 69.0944404, 54.9653574, -132.8215196");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("55.9114378, 56.1979688, 58.0935078, 67.8864448, 96.2790388, 163.8046548, 212.3091248", \
+					  "55.7140693, 56.0315243, 57.9325933, 67.8521863, 96.1314333, 163.5715233, 212.1344233", \
+					  "55.4766158, 55.8883178, 57.7639148, 67.5186118, 95.3601048, 163.4849218, 211.7748918", \
+					  "55.5965448, 55.8930268, 57.7881768, 67.6367718, 95.9780378, 163.4484098, 211.9537798", \
+					  "55.6100781, 55.9057311, 57.8027271, 67.7266291, 96.1429681, 163.4741671, 211.8452171", \
+					  "55.5971830, 55.9297640, 57.8290160, 67.7312270, 96.0151230, 163.4777850, 211.8425650", \
+					  "55.6521980, 55.9560390, 57.8544780, 67.7744110, 96.0520490, 163.4909430, 211.9871830");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4656790, 7.4654925, 7.4623188, 7.4523233, 7.4391377, 7.4344080, 7.4329595", \
+					  "19.7123426, 19.7442506, 19.9233296, 20.7762466, 22.3184086, 23.0118506, 23.1515936", \
+					  "22.2530991, 22.2925431, 22.5296351, 23.6550881, 25.8193571, 26.8404101, 27.0523641", \
+					  "22.3378288, 22.3790568, 22.6146998, 23.7375618, 25.9014388, 26.9277838, 27.1391478", \
+					  "22.3371934, 22.3771144, 22.6156204, 23.7391454, 25.9067674, 26.9341084, 27.1435524", \
+					  "22.3349390, 22.3753530, 22.6152960, 23.7403510, 25.9052780, 26.9280100, 27.1404890", \
+					  "22.3438756, 22.3886906, 22.6318566, 23.7398926, 25.9126926, 26.9262946, 27.1374856");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.9238339, 63.9554409, 64.2988259, 65.0074539, 65.4767929, 63.2061239, -14.3135741", \
+					  "63.9055168, 63.9314128, 64.2281688, 65.1072918, 66.1242358, 63.1676218, -21.7194712", \
+					  "63.9298187, 63.9778227, 64.2586327, 65.0501317, 65.2396947, 58.3895427, -23.0065543", \
+					  "63.9414099, 63.9984479, 64.2235249, 65.0625479, 66.2356929, 58.0746899, -21.7720591", \
+					  "63.9360591, 63.9382381, 64.2461311, 65.0710681, 65.6262491, 62.7673481, -17.0077309", \
+					  "63.9741292, 63.9971522, 64.2826112, 65.2877522, 65.6056292, 61.4283112, -22.3657248", \
+					  "63.9859624, 64.0392324, 64.2852094, 65.1809724, 66.2293904, 63.3526224, -18.7552296");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.3150237, 71.2753887, 71.5387267, 72.2601567, 73.9314037, 65.4301067, -13.2189113", \
+					  "71.2695838, 71.3077888, 71.5880308, 72.2547748, 73.3289708, 66.1233528, -12.2793532", \
+					  "71.1998090, 71.2514270, 71.5951940, 72.3361710, 72.8333700, 65.7584390, -16.9920650", \
+					  "71.2118983, 71.3017773, 71.4964483, 72.3298583, 72.8600873, 68.4937463, -7.0917821", \
+					  "71.2540839, 71.3424989, 71.4646719, 72.2699869, 73.4535819, 68.8641899, -12.8126121", \
+					  "71.2602471, 71.2497351, 71.5328351, 72.3265261, 73.1976351, 69.4629701, -7.3028122", \
+					  "71.2048914, 71.2227104, 71.5499274, 72.3238364, 73.5816214, 69.1628094, -11.6313266");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.4672868, 41.6196298, 41.8735038, 42.4396168, 42.1220438, 33.0405378, 44.3647468", \
+					  "41.3632923, 41.4625423, 41.5440853, 42.7913583, 44.1729263, 46.7445983, 46.4308563", \
+					  "41.1321248, 41.1979408, 41.4525668, 42.4916318, 44.0029678, 44.1587558, 44.0259178", \
+					  "41.0367198, 41.1544518, 41.7880908, 42.5342328, 46.8478938, 56.7840498, 46.3845418", \
+					  "41.2042821, 41.3053201, 41.4128901, 42.5698321, 44.4259141, 47.0891311, 46.1153121", \
+					  "41.1126270, 41.2148860, 41.5750210, 42.5668800, 44.6478170, 47.2411900, 45.0803250", \
+					  "41.3055250, 41.5456080, 41.6331420, 42.9695480, 43.4111150, 61.8163580, 46.0491580");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4883501, 5.4891242, 5.4878739, 5.4886645, 5.4890665, 5.4885990, 5.4885183", \
+					  "14.9686876, 14.9685606, 14.9687656, 14.9682436, 14.9683576, 14.9684826, 14.9684796", \
+					  "15.9020521, 15.9017211, 15.8968271, 15.8859021, 15.8959861, 15.8952731, 15.8950491", \
+					  "15.9326708, 15.9321608, 15.9297048, 15.9223688, 15.9184608, 15.9171508, 15.9168588", \
+					  "15.9401994, 15.9390714, 15.9328164, 15.9207554, 15.9222834, 15.9211784, 15.9200004", \
+					  "15.9435570, 15.9440760, 15.9405520, 15.9234340, 15.9247320, 15.9224230, 15.9222180", \
+					  "15.9425066, 15.9431816, 15.9409346, 15.9286546, 15.9245976, 15.9224446, 15.9210146");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.7007279, 27.8483389, 27.9312329, 28.1670429, 27.6225009, 24.3911359, 27.0040559", \
+					  "27.7313478, 27.5526798, 27.5054298, 27.5125938, 27.7401898, 26.3284528, 27.7994178", \
+					  "27.6115027, 27.4854437, 27.8102097, 28.0944267, 26.2845327, 58.4817047, 28.1832107", \
+					  "27.3769349, 27.5735479, 27.7482159, 26.7778049, 27.4664009, 44.3606669, 32.2275599", \
+					  "27.7205751, 27.3825521, 27.9329921, 27.6679401, 29.3150031, 33.2642471, 28.2746501", \
+					  "27.8901302, 27.8474002, 27.6409082, 26.6057672, 30.7059942, 39.1018372, 28.4946402", \
+					  "27.4091954, 27.6818224, 27.9588924, 28.0864104, 27.1683444, 35.9804274, 27.2080904");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4878695, 5.4875482, 5.4881085, 5.4888471, 5.4876054, 5.4886376, 5.4878227", \
+					  "14.9495566, 14.9495586, 14.9494076, 14.9489656, 14.9461276, 14.9490646, 14.9492236", \
+					  "15.8871111, 15.8965911, 15.8935591, 15.8949641, 15.8804931, 15.8905151, 15.8902531", \
+					  "15.9311118, 15.9316948, 15.9292938, 15.9229928, 15.9169958, 15.9167068, 15.9164408", \
+					  "15.9377244, 15.9241934, 15.9347424, 15.9269774, 15.9179564, 15.9187084, 15.9216374", \
+					  "15.9364450, 15.9423500, 15.9404030, 15.9306310, 15.9185470, 15.9204500, 15.9221830", \
+					  "15.9441636, 15.9416976, 15.9369746, 15.9321606, 15.9245916, 15.9206806, 15.9207286");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.5042129, 27.3596829, 27.6095889, 27.3434339, 26.7552619, 28.3520719, 26.2543639", \
+					  "27.3879188, 27.6482478, 27.6742148, 27.3502778, 28.8384048, 30.8454728, 27.1789688", \
+					  "27.9649767, 27.5811377, 27.9139357, 28.4258027, 31.0843077, 30.2964347, 26.7880847", \
+					  "27.4250679, 27.6384479, 27.9117899, 26.6403089, 25.8230459, 40.5030409, 28.1490269", \
+					  "27.8231311, 27.6404571, 28.1278831, 27.1711241, 29.2998311, 26.7772121, 28.1266951", \
+					  "27.7501482, 27.4505392, 27.4966552, 28.2827552, 25.0641162, 42.3291342, 28.0546132", \
+					  "27.5192924, 27.6344614, 27.6937784, 28.3548064, 28.4882814, 26.5650314, 26.9964104");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("90.4841398, 91.6847978, 98.3465518, 132.7616248, 173.6614148, 195.3255248, 200.9036148", \
+					  "90.6302163, 91.4716473, 98.5915073, 132.4127333, 173.5597233, 194.9796433, 200.2901133", \
+					  "90.1574818, 91.3122808, 98.0676338, 132.3899618, 173.5170518, 194.8197918, 200.0339418", \
+					  "90.1557028, 91.3701128, 97.8640368, 131.6842798, 173.6056598, 194.9617298, 200.2411898", \
+					  "90.1954131, 91.3340231, 98.1763071, 132.4165471, 173.6370171, 194.8360171, 200.0536871", \
+					  "90.1096520, 91.2892430, 98.4974980, 132.4418750, 173.6562150, 195.0296450, 200.0395950", \
+					  "90.2381640, 91.4219540, 98.5351460, 131.5486330, 173.7171130, 194.9639630, 200.1178130");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1770557, 10.1783797, 10.1788037, 10.1736247, 10.1763977, 10.1779057, 10.1659187", \
+					  "18.3559948, 18.3658608, 18.3545448, 18.3629428, 18.3530258, 18.3442408, 18.3411948", \
+					  "21.8359070, 21.8169620, 21.8046980, 21.8009830, 21.7676400, 21.7321150, 21.7185770", \
+					  "22.0416433, 22.0342663, 22.0262933, 21.9859193, 21.9246553, 21.8866513, 21.8732243", \
+					  "22.0920219, 22.0793539, 22.0791419, 22.0229339, 21.9677539, 21.9239329, 21.9150039", \
+					  "22.1334131, 22.1327901, 22.0953241, 22.0679651, 22.0270691, 21.9763821, 21.9584521", \
+					  "22.1119664, 22.1172454, 22.0984634, 22.0651584, 22.0068994, 21.9566554, 21.9510674");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("51.9804358, 52.2805638, 53.8807418, 62.9044098, 108.0569648, 183.4852348, 207.1813048", \
+					  "51.7621093, 52.0832933, 53.7469523, 62.7480753, 107.7502233, 183.2985633, 207.0482133", \
+					  "51.5477518, 51.9544368, 53.5910898, 62.5927118, 107.6092018, 183.1554918, 206.9436918", \
+					  "51.6076458, 51.8685508, 53.6263398, 62.5983778, 107.6776898, 183.1489298, 206.9165698", \
+					  "51.5904501, 51.9870981, 53.6348811, 62.6271391, 107.6423071, 183.2139671, 207.2742471", \
+					  "51.7150520, 51.9996230, 53.5628100, 62.6537190, 107.4894350, 183.3833850, 206.9702550", \
+					  "51.5751190, 51.9715870, 53.6760740, 62.6601690, 107.7010830, 183.2582830, 207.0964330");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.4669987, 10.4597097, 10.4657587, 10.4644247, 10.4619757, 10.4611547, 10.4609117", \
+					  "19.0183788, 19.0182348, 19.0187918, 19.0162168, 18.9991158, 18.9979318, 18.9931388", \
+					  "22.4877470, 22.4415020, 22.4798690, 22.4621820, 22.4238600, 22.3959830, 22.3728840", \
+					  "22.6923053, 22.6897643, 22.6798103, 22.6389313, 22.5849803, 22.5466843, 22.5340843", \
+					  "22.7407709, 22.7385539, 22.7250249, 22.6844319, 22.6274219, 22.5889099, 22.5754639", \
+					  "22.7656851, 22.7629131, 22.7532541, 22.7042571, 22.6499301, 22.6094781, 22.6003171", \
+					  "22.7617504, 22.7629164, 22.7470164, 22.7077054, 22.6505584, 22.6077264, 22.5971184");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.8309889, 61.1405329, 60.7895709, 59.1199339, 57.0238019, 44.7487729, -147.5736281", \
+					  "60.9032448, 60.8194718, 60.6668998, 59.9823708, 59.2319158, 32.0030648, -146.6379712", \
+					  "60.7473297, 60.8709187, 60.8516357, 60.2752147, 58.2394407, 31.9767297, -138.0130043", \
+					  "60.8605899, 60.5864269, 61.5584549, 59.5540309, 60.6811849, 45.8903559, -148.5777341", \
+					  "61.0050841, 61.0908841, 61.4575141, 60.5725211, 61.7336751, 30.8517461, -167.8142779", \
+					  "60.5244092, 60.3321812, 60.8770512, 59.1763492, 56.9020712, 49.2774442, -178.9444778", \
+					  "60.4572024, 60.7840584, 60.4215154, 60.3311084, 62.5131134, 56.4948334, -143.2622376");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4668265, 7.4666634, 7.4663607, 7.4649018, 7.4647101, 7.4645479, 7.4647144", \
+					  "15.1154536, 15.1156726, 15.1167976, 15.1227426, 15.1212076, 15.1242206, 15.1248416", \
+					  "15.3843471, 15.3853031, 15.3898461, 15.4008631, 15.4074901, 15.4113671, 15.4118231", \
+					  "15.3911508, 15.3920468, 15.3956028, 15.4131478, 15.4225448, 15.4263018, 15.4273948", \
+					  "15.3869494, 15.3877574, 15.3932374, 15.4077264, 15.4216024, 15.4242654, 15.4255524", \
+					  "15.3943520, 15.3956500, 15.4021970, 15.4161630, 15.4269550, 15.4328840, 15.4326100", \
+					  "15.3966966, 15.3977916, 15.4032396, 15.4187696, 15.4285026, 15.4352546, 15.4366036");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.0359737, 67.8916517, 68.0789177, 67.7953327, 65.6625867, 46.7967437, -155.9559813", \
+					  "68.0087128, 67.9814848, 68.0530178, 67.5132138, 65.7395138, 52.0514708, -142.5598342", \
+					  "67.9014750, 68.2717480, 67.6503560, 67.5398410, 70.0569940, 50.4913670, -172.0044570", \
+					  "67.8738953, 67.8857813, 67.9061953, 67.1616453, 65.8562793, 51.8454523, -142.8664857", \
+					  "68.0933279, 68.1507469, 67.8835509, 67.5965649, 67.6797629, 56.4259829, -145.3654021", \
+					  "67.9492921, 67.9624271, 67.8853361, 67.5136191, 65.3687591, 51.6473011, -140.0521709", \
+					  "67.8752624, 67.6965724, 67.8348784, 68.1204554, 63.9364344, 33.2882874, -139.7264096");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.0310128, 34.2141928, 34.2577828, 34.2243408, 33.5112618, 30.7454088, 33.5221668", \
+					  "34.0376033, 33.9552913, 33.9735883, 34.5466653, 34.2446323, 23.5608053, 34.2791433", \
+					  "33.8214868, 33.8188948, 33.9815668, 34.5310718, 34.6383128, 24.1579058, 34.4165148", \
+					  "33.7622118, 33.7973748, 33.8359828, 34.1015068, 33.8508358, 30.1068058, 33.8295058", \
+					  "33.7154951, 33.7105161, 33.8613751, 33.8893001, 34.6319181, 47.3574131, 33.3924441", \
+					  "34.0940950, 33.6933640, 33.4276670, 33.2898390, 34.3352570, 48.0081390, 34.8039200", \
+					  "33.8744350, 33.7639190, 33.9111760, 33.5921330, 33.6997240, 30.1488870, 33.0622860");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4668308, 7.4665168, 7.4634134, 7.4525931, 7.4399851, 7.4350715, 7.4344097", \
+					  "19.7458726, 19.7689306, 19.9567946, 20.8066306, 22.3480186, 23.0406576, 23.1686956", \
+					  "22.3034561, 22.3465661, 22.5814301, 23.7036031, 25.8696801, 26.8932721, 27.1015381", \
+					  "22.3861778, 22.4136258, 22.6588168, 23.7828988, 25.9543008, 26.9730448, 27.1834738", \
+					  "22.3845044, 22.4238584, 22.6617204, 23.7815674, 25.9545954, 26.9756204, 27.1841824", \
+					  "22.3835880, 22.4313520, 22.6594970, 23.7852200, 25.9424840, 26.9743040, 27.1940520", \
+					  "22.3915716, 22.4302926, 22.6714836, 23.7922916, 25.9625536, 26.9770446, 27.1978586");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.3361269, 87.3845329, 87.4440479, 87.5925469, 90.2625709, 89.5572669, 10.0712459", \
+					  "87.2504608, 87.3010458, 87.4373118, 87.5749658, 90.3154748, 90.8001008, 6.9029033", \
+					  "87.3541167, 87.4008707, 87.5132527, 87.5577937, 90.3956767, 89.7391317, 10.4228307", \
+					  "87.3656869, 87.4168959, 87.4950689, 87.5343359, 90.3096229, 90.1198789, 8.8973271", \
+					  "87.3720551, 87.4030931, 87.4986071, 87.6440181, 90.4600161, 89.3845361, 8.9879723", \
+					  "87.3909532, 87.5070612, 87.4950362, 87.5933332, 90.3314962, 89.5549302, 5.0977265", \
+					  "87.3539304, 87.3736084, 87.6347744, 87.6669924, 90.4857444, 89.9758254, 5.9575851");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("34.0129578, 34.2153028, 34.2669278, 34.2238068, 33.4925688, 32.4484798, 34.3166838", \
+					  "33.9733073, 34.0402613, 34.0503083, 34.3522443, 34.8921973, 32.5760123, 33.1280363", \
+					  "33.8237528, 33.8188538, 33.9392158, 34.5292438, 34.5982058, 24.3824608, 34.5458448", \
+					  "33.7620728, 33.7906578, 33.8413088, 34.1029948, 33.7968958, 29.4180828, 33.8469288", \
+					  "33.7170461, 33.7074811, 34.0207821, 33.8794891, 34.6435441, 38.6334991, 34.2702251", \
+					  "34.0413220, 33.6948560, 33.5019550, 33.2758710, 34.5586470, 65.2162040, 34.8214720", \
+					  "33.8793270, 33.7647270, 33.9140660, 33.6080610, 33.7323780, 27.4436710, 34.1213760");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.0326427, 67.8856977, 68.0631387, 67.7974277, 65.6360317, 46.1548377, -140.1234913", \
+					  "68.0081788, 67.9814088, 68.0526708, 67.5132968, 65.7427618, 52.0593048, -142.5447042", \
+					  "67.9019540, 67.9079770, 67.6500300, 67.5807380, 63.6350060, 57.9413070, -169.8422370", \
+					  "68.0213323, 68.0919423, 67.7053213, 67.6469163, 66.0047373, 52.8026103, -161.2930157", \
+					  "68.0455669, 67.9997769, 67.9236369, 67.3541479, 66.9647859, 55.7771729, -141.4763721", \
+					  "67.8934751, 68.0102921, 67.8849201, 67.5277681, 65.7304141, 56.5028441, -139.3380609", \
+					  "67.4394724, 68.1181584, 67.8239284, 68.0030774, 62.7101234, 66.0716494, -141.8099796");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.8414997, 70.9024577, 71.1366667, 71.8444967, 72.3414527, 70.6853057, -6.4042667", \
+					  "70.7675408, 70.8784108, 71.1308888, 71.9376118, 72.0546778, 69.6442098, -6.5426457", \
+					  "70.7829270, 70.8035360, 71.0970370, 71.7429370, 72.1320910, 64.9127480, -6.6132419", \
+					  "70.7535353, 70.8127453, 71.0259433, 71.8800583, 72.5339453, 68.2818393, -18.0772297", \
+					  "70.7273589, 70.8012449, 71.0924699, 71.8452359, 72.8985459, 67.9878359, -7.7230170", \
+					  "70.6731751, 70.7246201, 71.0300151, 71.7245501, 72.8147611, 70.5537501, -16.2690489", \
+					  "70.7123404, 70.7609824, 71.1024034, 71.9262164, 72.7638734, 68.4355714, -11.8504156");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.9638548, 12.9735198, 13.0302508, 13.2342188, 13.4501108, 13.5137268, 13.5240168", \
+					  "23.4562643, 23.4943493, 23.7220373, 24.8142643, 26.9478733, 27.9564173, 28.1656703", \
+					  "27.1658198, 27.2065528, 27.4453578, 28.5714508, 30.7327218, 31.7474688, 31.9572628", \
+					  "27.3280448, 27.3733558, 27.6080338, 28.7290508, 30.8984578, 31.9006698, 32.1124658", \
+					  "27.3601191, 27.4008641, 27.6392571, 28.7646841, 30.9271041, 31.9437661, 32.1538281", \
+					  "27.3879150, 27.4480850, 27.6855390, 28.8072930, 30.9774600, 31.9906600, 32.2038160", \
+					  "27.4073870, 27.4472900, 27.6845360, 28.8103330, 30.9750180, 31.9884050, 32.2000760");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.5437038, 63.9068508, 66.0688158, 77.0409558, 107.2310648, 175.3429148, 224.0017148", \
+					  "63.3410933, 63.6442953, 65.8388523, 76.8165713, 107.1652233, 175.2051733, 223.8694933", \
+					  "63.2317288, 63.5907188, 65.6837528, 76.7656528, 106.9126318, 175.0167718, 223.6404718", \
+					  "63.2368948, 63.6078068, 65.7657608, 76.7365338, 106.9579698, 175.0276498, 223.6742798", \
+					  "63.2659681, 63.5744781, 65.7041151, 76.6828541, 107.0658271, 175.0832971, 223.7522271", \
+					  "63.2820630, 63.6380080, 65.7449410, 76.8090900, 106.9797850, 175.1129450, 223.6882050", \
+					  "63.3045840, 63.6543110, 65.7726790, 76.8285720, 106.9971630, 175.1295630, 223.9724930");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("97.4665567, 97.5265287, 97.6700737, 98.3153077, 102.9745287, 105.4267487, 25.8119437", \
+					  "97.4304768, 97.4318918, 97.7151588, 98.3334208, 102.9154758, 104.9930558, 27.5829048", \
+					  "97.3197760, 97.4252750, 97.6591850, 98.2634520, 103.4650930, 103.9198330, 34.6229600", \
+					  "97.3800073, 97.4759513, 97.6259083, 98.2840623, 103.0007243, 104.8455343, 26.8922553", \
+					  "97.3670849, 97.4774619, 97.6394499, 98.3023019, 103.0693879, 104.8275279, 25.0982459", \
+					  "97.3443751, 97.4166371, 97.6661941, 98.2442461, 102.9512191, 105.6193291, 22.6965341", \
+					  "97.4390304, 97.4061824, 97.6723234, 98.2705044, 103.2353304, 105.5324004, 26.3325194");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4890041, 5.4889433, 5.4890080, 5.4889316, 5.4891224, 5.4891155, 5.4893323", \
+					  "14.9907446, 14.9905696, 14.9901646, 14.9918526, 14.9894916, 14.9791096, 14.9773216", \
+					  "17.1925321, 17.1940831, 17.1898451, 17.1869741, 17.1604951, 17.1562851, 17.1374581", \
+					  "17.6990278, 17.6967398, 17.6845878, 17.6460268, 17.5925498, 17.5583288, 17.5446858", \
+					  "17.7761044, 17.7738884, 17.7614314, 17.7219924, 17.6727254, 17.6259064, 17.6128784", \
+					  "17.7751020, 17.7725310, 17.7603610, 17.7312680, 17.6653550, 17.6293770, 17.6181790", \
+					  "17.7826216, 17.7802936, 17.7676456, 17.7276276, 17.6747116, 17.6359576, 17.6218266");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("47.0270759, 47.3156439, 48.8837989, 57.9517609, 102.8350719, 178.6608919, 202.2841719", \
+					  "46.9657828, 47.2501318, 48.9795888, 57.9296478, 102.9743388, 178.5364588, 201.9853788", \
+					  "46.9472857, 47.3083857, 48.9797607, 57.9045937, 103.1527357, 178.5731557, 202.3556457", \
+					  "46.9617949, 47.2500989, 48.9872259, 57.9272699, 103.0925959, 178.6555959, 202.3193059", \
+					  "47.0587261, 47.3355331, 49.0201851, 57.9496011, 102.8674721, 178.6254321, 202.1914621", \
+					  "47.0171032, 47.3591622, 48.9878632, 57.9888092, 102.9247722, 178.4984422, 202.3311422", \
+					  "47.0365104, 47.3814634, 48.9831724, 57.9890034, 103.0510924, 178.7077924, 202.3465124");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4668178, 7.4667527, 7.4660909, 7.4656165, 7.4648541, 7.4650623, 7.4649269", \
+					  "15.1154086, 15.1156876, 15.1169816, 15.1227096, 15.1238466, 15.1240576, 15.1248366", \
+					  "15.3845221, 15.3851431, 15.3903041, 15.4010361, 15.4091581, 15.4107391, 15.4121771", \
+					  "15.3910748, 15.3921538, 15.3956178, 15.4131408, 15.4235038, 15.4263438, 15.4273498", \
+					  "15.3870114, 15.3877194, 15.3930224, 15.4075474, 15.4216054, 15.4242114, 15.4255854", \
+					  "15.3943070, 15.3960870, 15.4009490, 15.4159580, 15.4278430, 15.4322680, 15.4333520", \
+					  "15.3962286, 15.3970596, 15.4033266, 15.4187726, 15.4283866, 15.4351436, 15.4366336");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.8479679, 60.4958889, 61.5421769, 60.7765739, 61.0498899, 41.9955799, -165.4013181", \
+					  "60.9257618, 60.8162658, 61.3239298, 60.7051678, 55.2097578, 31.1383328, -150.9077312", \
+					  "60.5217947, 60.4875167, 60.7852857, 60.1833507, 56.4868977, 41.2091187, -131.1059843", \
+					  "60.5932479, 60.8502789, 60.6335769, 61.0271999, 57.3004239, 36.1553589, -107.4471141", \
+					  "60.5172871, 60.4858331, 61.2437471, 60.1358771, 59.3305071, 38.9343191, -134.1597279", \
+					  "60.5301892, 60.8344462, 61.2274512, 59.7959292, 59.3859862, 35.7852302, -152.6192278", \
+					  "60.6043204, 61.0923954, 61.2564374, 61.4058604, 56.1130864, 58.1612884, -148.6995276");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.3795599, 86.5874689, 93.8615249, 127.8416919, 168.9527119, 190.2196719, 195.0913119", \
+					  "85.5201648, 86.7204758, 93.5087048, 127.7106688, 168.8584988, 190.2089188, 195.5632488", \
+					  "85.2867147, 86.7085497, 93.8339607, 127.8299657, 168.9539757, 190.2448057, 195.4896557", \
+					  "85.2105699, 86.6782019, 93.5177239, 127.5513459, 168.9398659, 190.1908559, 195.8266259", \
+					  "85.5645151, 86.7544941, 93.8724461, 127.8825421, 169.0048421, 190.2029521, 195.6424621", \
+					  "85.4290922, 86.6317132, 94.1473952, 126.8847422, 168.9837622, 190.3943322, 195.3012122", \
+					  "85.4811014, 86.5928374, 93.5474894, 127.9000324, 168.9800624, 190.2502124, 195.5131224");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4891411, 5.4889824, 5.4888874, 5.4891146, 5.4890526, 5.4892985, 5.4893007", \
+					  "14.4862586, 14.4798526, 14.4858536, 14.4768996, 14.4806406, 14.4801706, 14.4643386", \
+					  "16.5333371, 16.5464221, 16.5432461, 16.5421551, 16.5268581, 16.5071241, 16.5006531", \
+					  "17.0539858, 17.0520468, 17.0397038, 17.0022148, 16.9505078, 16.9129028, 16.9010698", \
+					  "17.1397764, 17.1246214, 17.1253104, 17.0825894, 17.0272034, 16.9875894, 16.9929254", \
+					  "17.1531290, 17.1411770, 17.1400930, 17.0911180, 17.0337770, 16.9970540, 16.9854110", \
+					  "17.1556056, 17.1530796, 17.1407736, 17.0998916, 17.0449216, 17.0050276, 16.9929736");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4871956, 5.4876839, 5.4884598, 5.4878348, 5.4884698, 5.4883570, 5.4879897", \
+					  "14.9480286, 14.9495656, 14.9493136, 14.9492896, 14.9491366, 14.9449616, 14.9491996", \
+					  "15.8871671, 15.8966821, 15.8936081, 15.8950611, 15.8916421, 15.8800181, 15.8903671", \
+					  "15.9311258, 15.9317138, 15.9283088, 15.9230298, 15.9180808, 15.9156488, 15.9164808", \
+					  "15.9376634, 15.9230834, 15.9373324, 15.9292494, 15.9207064, 15.9166734, 15.9206124", \
+					  "15.9331620, 15.9420370, 15.9296600, 15.9311350, 15.9253270, 15.9160340, 15.9216390", \
+					  "15.9438366, 15.9415126, 15.9396446, 15.9301746, 15.9232616, 15.9228086, 15.9199446");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.5321809, 27.4791989, 27.3658479, 27.8396759, 28.6992039, 36.3030919, 26.7525129", \
+					  "27.4098058, 27.6525848, 27.7864948, 27.3392238, 29.1077868, 29.2713088, 27.7141448", \
+					  "27.5886407, 27.4726117, 27.6180217, 27.0408327, 26.2392787, 25.6150477, 26.9507137", \
+					  "27.3208789, 27.6355039, 27.7878419, 27.9765819, 25.9578579, 25.6284899, 28.0023859", \
+					  "27.7245411, 27.3456631, 28.1918031, 27.1370391, 25.5264261, 37.2446571, 28.2312691", \
+					  "27.5303802, 27.5328052, 27.4812592, 27.1647592, 26.6787522, 47.2897322, 26.6328652", \
+					  "27.5875314, 27.6945034, 27.6440334, 27.0060614, 27.0598464, 35.5674934, 27.9638174");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.1774647, 94.2187237, 94.3286987, 94.4171897, 96.9808477, 97.5194657, 17.0749427", \
+					  "94.1747408, 94.1106458, 94.2782068, 94.4010598, 97.0182158, 96.7319798, 15.4690648", \
+					  "94.0915180, 94.1744590, 94.2804020, 94.3946780, 97.0248730, 97.4426150, 17.4601120", \
+					  "94.0358633, 94.2047493, 94.2613423, 94.3537963, 96.9273903, 96.6192063, 16.9346173", \
+					  "94.1786629, 94.1815839, 94.2462199, 94.2801579, 97.2182939, 96.0670549, 17.8716119", \
+					  "94.0982151, 94.1395991, 94.2285691, 94.3599641, 97.0475641, 96.5411641, 18.4324151", \
+					  "94.1501274, 94.1124284, 94.3165144, 94.2920624, 96.9434554, 96.3075744, 19.4035634");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.9592968, 12.9694708, 13.0262418, 13.2307418, 13.4529288, 13.5141088, 13.5241708", \
+					  "23.5043363, 23.5455653, 23.7681463, 24.8588293, 26.9945083, 28.0052953, 28.2185573", \
+					  "27.2247428, 27.2675838, 27.5087298, 28.6292548, 30.7782268, 31.7875928, 31.9958708", \
+					  "27.3761428, 27.4167098, 27.6549198, 28.7825628, 30.9602168, 31.9819558, 32.1921348", \
+					  "27.4085571, 27.4484601, 27.6872201, 28.8106751, 30.9755311, 31.9936881, 32.2030171", \
+					  "27.4466390, 27.4960120, 27.7024510, 28.8689380, 30.9886420, 32.0342810, 32.2251250", \
+					  "27.4430980, 27.5186650, 27.7481860, 28.8594880, 30.9860720, 32.0012010, 32.2474690");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4908259, 5.4894069, 5.4900536, 5.4907817, 5.4891589, 5.4919986, 5.4892968", \
+					  "16.0705036, 16.0820916, 16.0712276, 16.0755186, 16.0460636, 16.0450656, 16.0333286", \
+					  "17.5521731, 17.5453611, 17.5370661, 17.5155171, 17.4740871, 17.4332011, 17.4195451", \
+					  "17.6512808, 17.6483228, 17.6356228, 17.5979628, 17.5446878, 17.4984658, 17.4846568", \
+					  "17.6537694, 17.6510744, 17.6390574, 17.5963594, 17.5414344, 17.4960084, 17.4800804", \
+					  "17.6469810, 17.6371540, 17.6232510, 17.5912670, 17.5335840, 17.4884460, 17.4701030", \
+					  "17.6471066, 17.6314856, 17.6233566, 17.5948186, 17.5392626, 17.4977676, 17.4797816");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("30.0903599, 30.0926779, 30.4706949, 32.2287879, 36.4051589, 49.8764009, 82.6514929", \
+					  "30.0553728, 30.0300178, 30.4674798, 32.2248588, 36.3251588, 49.9558568, 82.3072068", \
+					  "30.0714377, 30.0246657, 30.5177677, 32.2097207, 36.3033277, 49.8159217, 82.9971917", \
+					  "30.1020619, 30.1651019, 30.4809599, 32.2228619, 36.3267429, 49.6157339, 82.9950579", \
+					  "30.1658331, 30.1312671, 30.5683721, 32.2333081, 36.3099701, 49.7275221, 82.7370201", \
+					  "30.1193042, 30.1612352, 30.5653812, 32.2502592, 36.4014142, 49.8758022, 82.8776122", \
+					  "30.1530924, 30.2025004, 30.5685104, 32.2620374, 36.4053824, 49.7539864, 82.9800474");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4882234, 5.4883327, 5.4881835, 5.4876852, 5.4884702, 5.4886393, 5.4883249", \
+					  "14.9686496, 14.9686636, 14.9686756, 14.9685366, 14.9638176, 14.9684476, 14.9594986", \
+					  "15.9019031, 15.9017121, 15.8968601, 15.8982381, 15.8837671, 15.8950791, 15.8830801", \
+					  "15.9326248, 15.9321558, 15.9296828, 15.9233838, 15.9173948, 15.9171058, 15.9157528", \
+					  "15.9335864, 15.9360364, 15.9304834, 15.9227704, 15.9161894, 15.9220694, 15.9151444", \
+					  "15.9432460, 15.9326180, 15.9392080, 15.9244210, 15.9170900, 15.9226740, 15.9144790", \
+					  "15.9449906, 15.9438906, 15.9409086, 15.9323336, 15.9240646, 15.9223156, 15.9225606");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.5538269, 27.5297429, 27.9295469, 26.9327299, 29.0136219, 28.7927629, 28.0300189", \
+					  "27.6709688, 27.5584828, 27.5905438, 27.4960708, 27.7272728, 42.2954428, 26.9265918", \
+					  "27.4220017, 27.5955967, 28.0885307, 27.1861637, 29.6908117, 41.7566567, 26.4477447", \
+					  "27.3944499, 27.3213509, 27.6981549, 27.6996139, 27.2024809, 20.3455709, 28.5371259", \
+					  "27.8004631, 27.6240801, 27.7353221, 27.8059391, 29.0177691, 20.2061711, 28.3344971", \
+					  "27.8742042, 27.6877312, 27.4327442, 27.4357972, 29.7361792, 48.8548342, 28.2757782", \
+					  "27.6816284, 27.7292954, 27.7015654, 27.8207374, 27.6457284, 27.0777624, 27.2988524");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("87.3475069, 87.3989519, 87.4673139, 87.5605589, 90.4823259, 90.7094499, 8.2634212", \
+					  "87.3453508, 87.3876018, 87.4527088, 87.5588608, 90.3716378, 90.8197038, 7.5456795", \
+					  "87.3328837, 87.4006557, 87.4564097, 87.5243477, 90.3913397, 89.7282727, 5.0677758", \
+					  "87.3779819, 87.4094429, 87.4431939, 87.5343639, 90.2071219, 90.7334229, 10.0479789", \
+					  "87.3904921, 87.4409861, 87.4917101, 87.5777241, 90.2946551, 89.2598301, 5.0859140", \
+					  "87.3939572, 87.4192012, 87.5099762, 87.5969272, 90.2987932, 89.8787342, 5.0293350", \
+					  "87.3254664, 87.3698614, 87.5507444, 87.6155824, 90.5677064, 89.9693244, 6.2226266");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.4669418, 7.4668967, 7.4632217, 7.4527648, 7.4392340, 7.4349908, 7.4342362", \
+					  "19.7380686, 19.7757016, 19.9563936, 20.8068266, 22.3443566, 23.0404616, 23.1822736", \
+					  "22.3057881, 22.3438091, 22.5818051, 23.7043411, 25.8704601, 26.8882261, 27.1047041", \
+					  "22.3729068, 22.4284368, 22.6577358, 23.7817608, 25.9542708, 26.9702948, 27.1860598", \
+					  "22.3838764, 22.4233954, 22.6613734, 23.7810884, 25.9492904, 26.9720384, 27.1870554", \
+					  "22.3934900, 22.4263240, 22.6600960, 23.7847760, 25.9352880, 26.9786450, 27.1879490", \
+					  "22.3891466, 22.4320496, 22.6714106, 23.7943546, 25.9625486, 26.9764506, 27.2035476");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("94.5530467, 94.6411777, 94.6577267, 94.7679097, 97.3740327, 97.7497877, 18.5355607", \
+					  "94.4976138, 94.5826508, 94.6227498, 94.7608138, 97.4433068, 97.8653368, 13.6339718", \
+					  "94.4878610, 94.6161540, 94.6060440, 94.7178300, 97.6366620, 97.8640980, 18.5105030", \
+					  "94.4909713, 94.5570223, 94.6019933, 94.6913043, 97.3766843, 97.2656683, 22.1657683", \
+					  "94.5408799, 94.5184669, 94.6157369, 94.7276679, 97.3545949, 97.8332879, 15.7062879", \
+					  "94.5083311, 94.4627361, 94.6130121, 94.7044941, 97.4030711, 96.1577761, 17.8689331", \
+					  "94.5197894, 94.4252544, 94.6003084, 94.6388544, 97.3773304, 96.5065474, 18.9716664");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.0069468, 41.3337718, 42.4343668, 43.2438998, 43.7675888, 32.5712638, 46.8459248", \
+					  "41.4869923, 41.4660783, 41.6677363, 42.8597653, 43.6390373, 48.2586653, 45.7862333", \
+					  "41.1607828, 41.1682318, 41.5341818, 42.2145128, 43.7442268, 44.7648028, 44.5924858", \
+					  "41.1916678, 41.4681798, 41.9492498, 42.8466048, 44.4515328, 56.9334098, 46.7010358", \
+					  "41.2313441, 41.2427901, 41.3202281, 42.5584941, 43.4737801, 49.8491421, 45.7570681", \
+					  "41.5119120, 41.0747300, 41.6877990, 42.3821840, 44.1357120, 46.5122490, 45.5235220", \
+					  "41.1181090, 41.2542880, 41.3437070, 42.9451890, 43.3394160, 18.6781320, 46.2104210");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.0535508, 44.1587618, 44.6984558, 47.4506598, 53.4692558, 67.9389028, 101.1202148", \
+					  "43.8676643, 43.9720143, 44.5197323, 47.2389433, 53.3245543, 67.7102103, 100.8925333", \
+					  "43.6692068, 43.7909328, 44.4019158, 47.0805158, 53.1620958, 67.3703898, 100.8479018", \
+					  "43.7476378, 43.7802578, 44.3884788, 47.0712358, 53.1408948, 67.6180568, 100.6760898", \
+					  "43.7253321, 43.8595231, 44.3815261, 47.1151201, 53.1917001, 67.6726651, 100.8040771", \
+					  "43.7256690, 43.8224870, 44.4277010, 47.1490140, 53.1123270, 67.6746470, 100.8077850", \
+					  "43.7115760, 43.8723610, 44.4675830, 47.2337550, 53.2771640, 67.5776590, 101.0895730");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.9778037, 74.0651437, 74.3866847, 75.7317617, 78.2226647, 72.7800047, -9.6078252", \
+					  "73.9280408, 74.0126118, 74.3938348, 75.7940058, 78.2642388, 75.7224658, -4.1642179", \
+					  "73.9016610, 73.9545640, 74.3761430, 75.9180070, 78.0053720, 74.7520510, -0.6233778", \
+					  "73.9027873, 73.9272683, 74.3983283, 75.8194073, 78.4140743, 75.6230153, -10.0781771", \
+					  "73.9166209, 73.9737969, 74.3807079, 75.7765219, 78.3046109, 79.2317719, 1.5917168", \
+					  "73.9460501, 73.9861221, 74.3317351, 75.7510021, 78.1324031, 78.8945711, -4.9571339", \
+					  "73.9037874, 74.0403104, 74.2308664, 75.7530374, 78.2419004, 76.6372334, -0.4658907");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.4561417, 71.1795147, 70.5437307, 70.9502397, 70.7126997, 58.7210837, -129.9090613", \
+					  "70.6766478, 70.7257338, 70.7027178, 70.9265108, 71.0957508, 60.1058818, -133.2886042", \
+					  "70.7001740, 70.7145720, 70.7423900, 70.9032470, 71.6115970, 59.7673220, -132.5158570", \
+					  "70.6049183, 70.9546523, 70.3263983, 70.9593713, 72.1070573, 55.7676553, -129.9618657", \
+					  "70.8417189, 70.6311729, 71.1072499, 70.9220019, 70.2107589, 63.8412009, -133.8980321", \
+					  "70.4663691, 70.6399381, 70.3192021, 71.4512131, 70.6760061, 43.4527721, -130.5904109", \
+					  "70.2984144, 70.6417094, 70.5856434, 71.4078604, 67.4395144, 49.8740094, -132.4178496");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("36.5281228, 36.5837308, 36.9856668, 38.6838118, 42.8010908, 56.4119678, 89.3444398", \
+					  "36.3959043, 36.4424163, 36.7640893, 38.5026013, 42.5962333, 56.0570493, 89.4374483", \
+					  "36.2349138, 36.3236348, 36.6363568, 38.3681038, 42.5413658, 55.9278418, 88.7892988", \
+					  "36.1981248, 36.2882088, 36.6745078, 38.3436998, 42.4601608, 56.1285918, 88.9958938", \
+					  "36.3282801, 36.3630681, 36.6230061, 38.3521331, 42.4483501, 56.1051691, 89.3556751", \
+					  "36.2934020, 36.3453810, 36.6447290, 38.3951240, 42.5742880, 56.1339450, 89.3010140", \
+					  "36.2907620, 36.3374610, 36.7220460, 38.4234140, 42.5087780, 55.9561010, 89.0955920");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("47.6350645, 47.8429794, 49.2397984, 55.7939591, 71.0271200, 97.5410054, 115.6725483", \
+					  "58.0339671, 58.2867535, 59.7293999, 66.7958420, 83.2062371, 111.0517499, 128.2615325", \
+					  "59.6927446, 59.9218352, 61.5334351, 68.7622797, 85.4498810, 116.2118847, 130.8027047", \
+					  "59.6497357, 59.9853893, 61.5280641, 68.6344734, 84.8187053, 113.7089042, 131.6791136", \
+					  "59.8535744, 59.9778056, 61.6681007, 68.7411159, 85.4819942, 112.3841778, 131.2441655", \
+					  "59.8382291, 60.0394916, 61.5063189, 68.6282703, 85.3875372, 118.4391780, 131.1584611", \
+					  "59.7733091, 60.0752433, 61.5559344, 68.9274379, 85.2481822, 113.2057253, 130.9152402");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("75.1870779, 75.1654343, 75.4280729, 75.2244889, 75.7473977, 67.4895680, -58.3897250", \
+					  "85.0877938, 85.0733977, 85.2625649, 85.2929659, 85.2660488, 77.3906199, -47.7526550", \
+					  "86.3884623, 86.4075075, 86.6839760, 86.6435572, 86.3375825, 79.0016506, -38.6770601", \
+					  "86.5947790, 86.6436490, 86.7994792, 86.8708031, 87.0266546, 80.3371394, -44.3970891", \
+					  "86.6003414, 86.7346194, 86.9224403, 86.7287609, 87.7147189, 80.2032784, -46.5361476", \
+					  "86.5616409, 86.6345800, 86.8566071, 86.6331870, 86.8654735, 79.3693840, -48.4388245", \
+					  "86.5930222, 86.6665160, 86.8611768, 86.9476025, 87.1549949, 85.4555071, -44.7753562");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("133.3876109, 133.6588282, 133.6381396, 134.5405191, 136.2279666, 122.8346274, -84.1411751", \
+					  "136.1524208, 136.1664179, 136.4458871, 136.9208523, 137.8614134, 129.1411113, -78.6731598", \
+					  "137.2515088, 137.3613553, 137.4601972, 138.0507198, 140.2332755, 129.4470208, -85.9310078", \
+					  "137.3097439, 137.4314598, 137.4542639, 138.1055721, 140.1288083, 127.1668816, -82.4633520", \
+					  "137.4159251, 137.4513236, 137.6319590, 138.0714586, 140.1592845, 133.8008713, -77.8540829", \
+					  "137.3404810, 137.3931425, 137.5103667, 138.3425249, 139.7496102, 124.3944819, -77.5037095", \
+					  "137.2477405, 137.3326218, 137.3985708, 138.5241288, 138.3302470, 126.8909703, -76.9112427");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.4904578, 87.0230936, 89.4938023, 101.2207553, 126.8825554, 166.3571209, 203.4930206", \
+					  "89.9221421, 90.2682131, 92.6866048, 104.9657063, 131.9030943, 174.6539928, 208.2801203", \
+					  "90.7744014, 91.2230633, 93.6677138, 105.9471206, 132.9328523, 173.4623659, 208.9273491", \
+					  "90.8332351, 91.3014489, 93.7974811, 105.8613086, 133.4377076, 179.5763903, 209.5874026", \
+					  "90.8920609, 91.3065589, 93.7107192, 105.8988162, 133.2009935, 181.2086537, 209.4961709", \
+					  "91.0529218, 91.2744065, 93.7145212, 105.7285090, 133.2451180, 185.3025125, 209.5512665", \
+					  "90.9667140, 91.4180350, 93.8771365, 105.8756177, 132.7328455, 173.7421943, 209.5416455");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9512760, 1.9516841, 1.9520037, 1.9521427, 1.9521428, 1.9521429, 2.1326581", \
+					  "2.0812105, 2.0824924, 2.0827182, 2.0827183, 2.0827185, 2.0827188, 2.2259039", \
+					  "2.1887423, 2.1887426, 2.1887428, 2.1887430, 2.1887433, 2.1887435, 2.3120508", \
+					  "2.2719227, 2.2726390, 2.2726392, 2.2726394, 2.2726397, 2.2726399, 2.4014195", \
+					  "2.3344433, 2.3391296, 2.3391299, 2.3391301, 2.3391303, 2.3391306, 2.4668876", \
+					  "2.3975875, 2.3975877, 2.3975879, 2.3984461, 2.3984463, 2.3984465, 2.5240634", \
+					  "2.4674109, 2.4674110, 2.4674112, 2.4674114, 2.4674117, 2.4674119, 2.5796463");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.6549639, 8.8471168, 10.1412770, 17.9559580, 66.0612120, 360.5563000, 2173.9004000", \
+					  "8.7736467, 8.9897485, 10.2530810, 18.0866130, 66.1113760, 360.8375000, 2173.7769000", \
+					  "8.8875085, 9.0948564, 10.3676510, 18.2017760, 66.2826660, 360.6523400, 2173.7703000", \
+					  "8.9860448, 9.1973833, 10.4674400, 18.3142250, 66.4438030, 361.2615300, 2174.0798000", \
+					  "9.0532906, 9.2510906, 10.5390310, 18.3582150, 66.4379410, 361.3791100, 2174.6705000", \
+					  "9.1163184, 9.3276658, 10.6015400, 18.4197000, 66.4326050, 361.4179900, 2174.0429000", \
+					  "9.1547010, 9.3784678, 10.6521450, 18.5056250, 66.5236040, 361.3002900, 2176.1241000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3400220, 13.8335820, 16.2322070, 31.6318170, 126.8420400, 715.2648900, 4339.6767000", \
+					  "13.3467820, 13.7468550, 16.3249500, 31.6205270, 127.0874200, 714.5396200, 4341.0437000", \
+					  "13.4158040, 13.8139400, 16.3073450, 31.7434750, 127.0474600, 714.9673700, 4341.1728000", \
+					  "13.3244830, 13.7635850, 16.2334380, 31.6311850, 127.2052700, 714.5294500, 4341.6189000", \
+					  "13.3305020, 13.7649100, 16.2306120, 31.6161820, 126.6971200, 715.3201400, 4341.8818000", \
+					  "13.3258560, 13.7574170, 16.2327520, 31.6166790, 127.1101700, 715.2306700, 4344.1992000", \
+					  "13.3593770, 13.7316270, 16.2324620, 31.5840120, 127.0467300, 714.3095200, 4338.1719000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.4513294, 2.4642173, 2.5402604, 2.9044851, 4.2231378, 7.9174480, 19.6163190", \
+					  "2.6053681, 2.6182758, 2.6942897, 3.0585245, 4.3765254, 8.0733340, 19.7693040", \
+					  "2.7640024, 2.7768943, 2.8514549, 3.2178304, 4.5337520, 8.2265226, 19.9334740", \
+					  "2.9029799, 2.9158769, 2.9918710, 3.3561238, 4.6747317, 8.3699980, 20.0672050", \
+					  "3.0209260, 3.0338141, 3.1098162, 3.4739887, 4.7930908, 8.4867766, 20.1841490", \
+					  "3.1359650, 3.1488776, 3.2248815, 3.5891485, 4.9058135, 8.6039220, 20.2999540", \
+					  "3.2418970, 3.2548058, 3.3308194, 3.6950571, 5.0138422, 8.7094429, 20.4053040");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6902169, 0.6960796, 0.7338261, 0.9762531, 2.0247293, 4.7690247, 17.2514800", \
+					  "0.6896170, 0.6954387, 0.7337717, 0.9758923, 2.0239610, 4.7616200, 17.2438850", \
+					  "0.6849131, 0.6914857, 0.7376745, 0.9765853, 2.0278073, 4.7590354, 17.2503150", \
+					  "0.6900505, 0.6958842, 0.7338258, 0.9762163, 2.0244411, 4.7666240, 17.2458420", \
+					  "0.6897529, 0.6955858, 0.7336379, 0.9759422, 2.0222619, 4.7548556, 17.2223750", \
+					  "0.6896021, 0.6954185, 0.7337947, 0.9758887, 2.0240489, 4.7617124, 17.2442840", \
+					  "0.6895908, 0.6954139, 0.7337488, 0.9758276, 2.0239630, 4.7603366, 17.2314570");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6722099, 3.6722101, 3.6722104, 3.6722106, 3.6722109, 3.6722111, 3.6722113", \
+					  "3.7883869, 3.7883870, 3.7883872, 3.7999786, 3.7999787, 3.7999789, 3.7999791", \
+					  "3.9069121, 3.9069123, 3.9069125, 3.9069127, 3.9069130, 3.9069132, 3.9069134", \
+					  "3.9977635, 3.9977636, 3.9977638, 3.9977640, 3.9977643, 3.9977645, 3.9977648", \
+					  "4.0649151, 4.0649155, 4.0649159, 4.0649164, 4.0649169, 4.0649174, 4.0649179", \
+					  "4.1250843, 4.1250967, 4.1250971, 4.1250976, 4.1250980, 4.1250985, 4.1250990", \
+					  "4.1929220, 4.1929224, 4.1929229, 4.1929234, 4.1929238, 4.1929243, 4.1929248");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3168968, 3.3407681, 3.3410987, 3.3410990, 3.3410992, 3.3410994, 3.3410997", \
+					  "3.4618144, 3.4619776, 3.4619777, 3.4619779, 3.4619781, 3.4619784, 3.4619786", \
+					  "3.5836059, 3.5836062, 3.5836064, 3.5836067, 3.5836069, 3.5836071, 3.5836074", \
+					  "3.6594794, 3.6732266, 3.6732268, 3.6732270, 3.6732272, 3.6732275, 3.6913446", \
+					  "3.7390966, 3.7400261, 3.7400262, 3.7400264, 3.7400266, 3.7400269, 3.7400271", \
+					  "3.7964196, 3.7964198, 3.7964200, 3.7964203, 3.7964205, 3.7964207, 3.7964210", \
+					  "3.8692480, 3.8694909, 3.8694910, 3.8694913, 3.8694915, 3.8694917, 3.8694920");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5090352, 2.5366187, 2.7045635, 3.7096113, 9.8436113, 23.8804140, 52.0089000", \
+					  "2.6461233, 2.6728220, 2.8408333, 3.8459271, 9.9818829, 24.0213660, 52.1480350", \
+					  "2.7572480, 2.7847864, 2.9526515, 3.9577817, 10.0846580, 24.1290220, 52.2618360", \
+					  "2.8421288, 2.8696467, 3.0376677, 4.0417967, 10.1757830, 24.2314930, 52.3709150", \
+					  "2.9104199, 2.9379290, 3.1058920, 4.1094439, 10.2459770, 24.3054000, 52.4082890", \
+					  "2.9722997, 2.9998277, 3.1677507, 4.1717723, 10.3029410, 24.3690150, 52.5003010", \
+					  "3.0271592, 3.0546219, 3.2225707, 4.2219312, 10.3583600, 24.4235670, 52.5481700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7094542, 1.7629944, 2.0710242, 4.0385362, 11.9720360, 17.0922670, 40.2165940", \
+					  "1.7094284, 1.7587302, 2.0709545, 4.0395061, 11.9712580, 17.0661050, 40.2259170", \
+					  "1.7079959, 1.7629820, 2.0717874, 4.0438937, 11.9704850, 17.0827530, 40.2052440", \
+					  "1.7098926, 1.7598407, 2.0710313, 4.0430699, 11.9711650, 17.0385840, 40.2372610", \
+					  "1.7124109, 1.7587204, 2.0710405, 4.0449173, 11.9715850, 17.0521640, 40.2233770", \
+					  "1.7118482, 1.7628393, 2.0716528, 4.0328172, 11.9700740, 17.1267110, 40.2323790", \
+					  "1.7124334, 1.7613659, 2.0710618, 4.0366488, 11.9714310, 17.0614260, 40.2378400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.8654231, 9.0840544, 10.3784070, 18.3283470, 66.5051230, 362.1243800, 2180.5095000", \
+					  "9.0251611, 9.2392662, 10.5277760, 18.4693420, 66.6602770, 362.0538700, 2179.2312000", \
+					  "9.1855581, 9.3967412, 10.6929230, 18.6467960, 66.7810200, 362.1561900, 2179.6436000", \
+					  "9.3158317, 9.5346024, 10.8306580, 18.7810890, 66.9331330, 362.4027400, 2179.3182000", \
+					  "9.4446575, 9.6546495, 10.9419020, 18.8908140, 67.0871000, 362.4752800, 2179.8180000", \
+					  "9.5543609, 9.7691233, 11.0652430, 19.0115070, 67.1939940, 362.6787200, 2183.2095000", \
+					  "9.6663131, 9.8697228, 11.1709360, 19.1147420, 67.2881480, 362.8598500, 2179.9556000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1613080, 13.5767750, 16.0629470, 31.4853670, 127.2450400, 717.0805800, 4356.6974000", \
+					  "13.1701890, 13.5752140, 16.0668580, 31.4438720, 126.8782600, 716.9136300, 4351.9635000", \
+					  "13.1716640, 13.5719450, 16.0772180, 31.5087690, 127.2305100, 717.0299900, 4351.9044000", \
+					  "13.1563420, 13.5761110, 16.0646180, 31.4933180, 127.2824600, 717.1740900, 4348.8349000", \
+					  "13.1700060, 13.5754660, 16.0647710, 31.4345030, 126.9645100, 716.2521500, 4351.5943000", \
+					  "13.1703990, 13.5716600, 16.0766170, 31.4869480, 126.9811200, 716.3208100, 4349.9535000", \
+					  "13.1696790, 13.5618290, 16.0507360, 31.5165850, 127.3025600, 717.1103100, 4349.3869000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6668300, 3.6668302, 3.6668305, 3.6668307, 3.6668309, 3.6668312, 3.6668314", \
+					  "3.7812908, 3.7812909, 3.7812912, 3.7896966, 3.7896969, 3.7896971, 3.7896973", \
+					  "3.9017722, 3.9017724, 3.9017727, 3.9017729, 3.9017731, 3.9017734, 3.9017736", \
+					  "3.9857997, 3.9858000, 3.9858002, 3.9858004, 3.9858007, 3.9858009, 3.9858012", \
+					  "4.0553498, 4.0553501, 4.0553506, 4.0553511, 4.0553515, 4.0553520, 4.0553525", \
+					  "4.1265205, 4.1265209, 4.1265214, 4.1265219, 4.1265224, 4.1265228, 4.1265233", \
+					  "4.1937205, 4.1937206, 4.1937211, 4.1937216, 4.1937221, 4.1937225, 4.1937230");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9507285, 1.9509909, 1.9509910, 1.9509911, 1.9509913, 1.9509914, 2.1212379", \
+					  "2.0834505, 2.0834507, 2.0834510, 2.0834512, 2.0834514, 2.0834517, 2.2302826", \
+					  "2.1897866, 2.1897868, 2.1897871, 2.1897873, 2.1897876, 2.1897878, 2.3259930", \
+					  "2.2697217, 2.2697219, 2.2697222, 2.2697224, 2.2697226, 2.2697229, 2.3990809", \
+					  "2.3365589, 2.3374680, 2.3374681, 2.3374683, 2.3374686, 2.3374688, 2.4699807", \
+					  "2.4011146, 2.4011149, 2.4011151, 2.4011153, 2.4011156, 2.4011158, 2.5327511", \
+					  "2.4677504, 2.4677505, 2.4677507, 2.4677510, 2.4677512, 2.4677514, 2.5803706");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6678302, 2.6970615, 2.8728497, 3.9378110, 9.7250004, 34.8647050, 97.9862310", \
+					  "2.8226970, 2.8509690, 3.0270595, 4.0962544, 9.8808934, 35.0186480, 98.1910940", \
+					  "2.9796232, 3.0080345, 3.1815257, 4.2515804, 10.0375410, 35.1757730, 98.3426180", \
+					  "3.1155425, 3.1444369, 3.3213783, 4.3947224, 10.1779150, 35.3161870, 98.4796100", \
+					  "3.2387177, 3.2667906, 3.4422125, 4.5091708, 10.2959050, 35.4366280, 98.6077850", \
+					  "3.3526246, 3.3822130, 3.5579808, 4.6247122, 10.4112050, 35.5439480, 98.7074030", \
+					  "3.4531606, 3.4852485, 3.6627341, 4.7321542, 10.5110220, 35.6567220, 98.8031700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7686627, 1.8242844, 2.1495656, 4.0689110, 11.4102830, 42.5744200, 59.4241810", \
+					  "1.7714494, 1.8239142, 2.1511899, 4.0720458, 11.4078790, 42.5732130, 59.5193300", \
+					  "1.7678919, 1.8240446, 2.1518991, 4.0722341, 11.4111960, 42.5392880, 59.5555890", \
+					  "1.7695617, 1.8226423, 2.1561990, 4.0722843, 11.4048990, 42.5736740, 59.5293520", \
+					  "1.7681465, 1.8238193, 2.1524520, 4.0722345, 11.4096610, 42.5379980, 59.5490130", \
+					  "1.7717797, 1.8237138, 2.1565132, 4.0726609, 11.4051750, 42.5747860, 59.4933490", \
+					  "1.7681440, 1.8222972, 2.1505142, 4.0722581, 11.4092070, 42.5711770, 59.3828100");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9511661, 1.9512005, 1.9512006, 1.9512007, 1.9512008, 1.9512010, 2.1416438", \
+					  "2.0805839, 2.0814892, 2.0814893, 2.0814895, 2.0814897, 2.0814900, 2.2309483", \
+					  "2.1902342, 2.1902344, 2.1902346, 2.1902348, 2.1902351, 2.1902353, 2.3263491", \
+					  "2.2694260, 2.2696884, 2.2696885, 2.2696888, 2.2696890, 2.2696893, 2.4006286", \
+					  "2.3369002, 2.3379052, 2.3379053, 2.3379056, 2.3379058, 2.3379061, 2.4708771", \
+					  "2.4014566, 2.4014568, 2.4014570, 2.4014572, 2.4014575, 2.4014577, 2.5287417", \
+					  "2.4682534, 2.4682535, 2.4682538, 2.4682540, 2.4682543, 2.4682545, 2.5698659");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.4306301, 2.4433953, 2.5204376, 2.8891043, 4.2955790, 11.2621940, 49.8677220", \
+					  "2.5863811, 2.5982771, 2.6742739, 3.0450202, 4.4502886, 11.4169600, 50.0423060", \
+					  "2.7430371, 2.7558342, 2.8290434, 3.2017351, 4.6074446, 11.5703400, 50.1975460", \
+					  "2.8787370, 2.8910023, 2.9710685, 3.3386567, 4.7433981, 11.7138420, 50.3431820", \
+					  "3.0018645, 3.0148632, 3.0904814, 3.4609027, 4.8660924, 11.8327550, 50.4710090", \
+					  "3.1151348, 3.1272123, 3.2035197, 3.5731642, 4.9804165, 11.9441380, 50.5834060", \
+					  "3.2217919, 3.2342877, 3.3091949, 3.6812358, 5.0861662, 12.0504790, 50.6607340");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6939864, 0.7010954, 0.7396250, 0.9835205, 2.3372093, 11.2774570, 46.4446720", \
+					  "0.6887948, 0.7013987, 0.7415317, 0.9857219, 2.3375994, 11.2836750, 46.4360320", \
+					  "0.6887940, 0.7015724, 0.7416286, 0.9855059, 2.3377800, 11.2835200, 46.4960980", \
+					  "0.6893764, 0.6959837, 0.7429680, 0.9844424, 2.3378892, 11.2721920, 46.5160210", \
+					  "0.6887955, 0.7015810, 0.7417302, 0.9853484, 2.3378601, 11.2836120, 46.5128450", \
+					  "0.6939411, 0.7013323, 0.7401904, 0.9833235, 2.3386606, 11.2738710, 46.4871520", \
+					  "0.6887698, 0.6959573, 0.7414749, 0.9845902, 2.3379181, 11.2837530, 46.4140440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4507832, 8.6611225, 9.9220312, 17.6411830, 65.4935730, 359.2935200, 2174.3353000", \
+					  "8.6019876, 8.8046148, 10.0567250, 17.8172520, 65.4570090, 359.7381000, 2174.4681000", \
+					  "8.7604866, 8.9641388, 10.2145880, 17.9524500, 65.8260500, 360.0290500, 2172.8504000", \
+					  "8.8924956, 9.1035011, 10.3681970, 18.0843940, 65.8901200, 359.9282900, 2175.5870000", \
+					  "9.0277945, 9.2243315, 10.4914440, 18.2469840, 66.0514700, 359.8523500, 2172.9500000", \
+					  "9.1268655, 9.3295967, 10.5996040, 18.3232610, 66.0628460, 360.2446000, 2173.0218000", \
+					  "9.2368344, 9.4509858, 10.6874110, 18.4280890, 66.1033610, 360.5025500, 2173.3304000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3625850, 13.7314400, 16.2252700, 31.6092790, 127.1209600, 715.0998700, 4337.9709000", \
+					  "13.3613100, 13.7607690, 16.2450680, 31.5670870, 127.1437200, 715.2588100, 4340.1260000", \
+					  "13.3607010, 13.7653340, 16.2479610, 31.5916110, 127.0107600, 715.5233600, 4341.7481000", \
+					  "13.3609120, 13.7669480, 16.2563620, 31.6165230, 127.1758100, 715.3113300, 4338.6640000", \
+					  "13.3266940, 13.7665260, 16.2564010, 31.5820190, 127.2154200, 715.0677600, 4341.5807000", \
+					  "13.3578220, 13.7624920, 16.2194970, 31.6096910, 127.1151700, 714.7591000, 4341.5253000", \
+					  "13.3605530, 13.7332630, 16.2502900, 31.6111050, 126.7981300, 715.5092000, 4341.7167000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6741300, 2.6875133, 2.7634024, 3.1283465, 4.4465902, 8.1434175, 19.8470090", \
+					  "2.8275975, 2.8405649, 2.9184539, 3.2814895, 4.6010782, 8.2980520, 19.9983350", \
+					  "2.9839555, 2.9974625, 3.0724361, 3.4360907, 4.7566511, 8.4564435, 20.1525290", \
+					  "3.1219047, 3.1346723, 3.2070013, 3.5776266, 4.8918127, 8.5869063, 20.2861300", \
+					  "3.2430281, 3.2557197, 3.3227401, 3.6975267, 5.0161444, 8.7114707, 20.4087310", \
+					  "3.3518355, 3.3652179, 3.4434426, 3.8082395, 5.1293183, 8.8265333, 20.5252740", \
+					  "3.4620908, 3.4768590, 3.5520469, 3.9169499, 5.2314730, 8.9336467, 20.6337830");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6917619, 0.6989193, 0.7353990, 0.9769603, 2.0285567, 4.7578981, 17.2438220", \
+					  "0.6919210, 0.6978332, 0.7353831, 0.9773099, 2.0245337, 4.7641739, 17.2323330", \
+					  "0.6919308, 0.6974089, 0.7355645, 0.9772050, 2.0243056, 4.7630322, 17.2409180", \
+					  "0.6914965, 0.6974579, 0.7354975, 0.9768858, 2.0238313, 4.7574549, 17.2280950", \
+					  "0.6918167, 0.6976870, 0.7355080, 0.9768902, 2.0242876, 4.7573616, 17.2584630", \
+					  "0.6919962, 0.6976947, 0.7356252, 0.9771597, 2.0242843, 4.7612589, 17.2358000", \
+					  "0.6916968, 0.6946698, 0.7356099, 0.9842366, 2.0237809, 4.7574549, 17.2271260");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4287075, 8.6304750, 9.9033350, 17.6723170, 65.2656520, 359.5792700, 2172.2965000", \
+					  "8.5721972, 8.7771896, 10.0438640, 17.7609040, 65.4458390, 359.6045100, 2172.4754000", \
+					  "8.6754497, 8.8972651, 10.1486770, 17.9103240, 65.5510850, 359.8618200, 2172.4595000", \
+					  "8.7683851, 8.9667420, 10.2353110, 17.9515370, 65.7689300, 359.9762100, 2172.6607000", \
+					  "8.8314369, 9.0338101, 10.2977860, 18.0214970, 65.8854940, 359.8319700, 2172.5828000", \
+					  "8.9022869, 9.1113819, 10.3651200, 18.0880860, 65.7747560, 360.0168000, 2172.6626000", \
+					  "8.9518215, 9.1642898, 10.4138660, 18.1372070, 65.8624510, 360.4010600, 2173.9852000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3596800, 13.7564870, 16.2527180, 31.6269110, 126.8904600, 714.7266400, 4341.1583000", \
+					  "13.3447840, 13.7616450, 16.2423890, 31.5866760, 126.7493600, 715.3238900, 4341.1433000", \
+					  "13.3604000, 13.7620900, 16.2365160, 31.5919770, 127.0811700, 715.2970600, 4341.0705000", \
+					  "13.3486700, 13.7629190, 16.2105440, 31.6211020, 127.1622700, 714.9232000, 4341.1305000", \
+					  "13.3621770, 13.7643350, 16.2355980, 31.6126640, 127.1836500, 715.2436900, 4341.0841000", \
+					  "13.3254970, 13.7523660, 16.2310560, 31.6041770, 126.6999900, 715.1437000, 4341.9013000", \
+					  "13.3554580, 13.7549070, 16.2389600, 31.6118400, 127.2174200, 715.6898500, 4341.3156000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.5453511, 8.7410559, 10.0076770, 17.7480090, 65.5541040, 360.9963000, 2179.8899000", \
+					  "8.6994385, 8.8950980, 10.1613400, 17.9044960, 65.7182470, 361.0653900, 2180.8087000", \
+					  "8.8560990, 9.0542214, 10.3179050, 18.0650290, 65.8600070, 360.9104900, 2178.1477000", \
+					  "8.9968717, 9.1921635, 10.4591130, 18.1987300, 66.0034160, 361.4726400, 2180.1043000", \
+					  "9.1085965, 9.3189279, 10.5764810, 18.3212150, 66.0680120, 361.4121600, 2179.7080000", \
+					  "9.2289696, 9.4238590, 10.6851230, 18.4310950, 66.2335040, 361.6996200, 2179.4344000", \
+					  "9.3359755, 9.5328661, 10.7987150, 18.5428560, 66.3568120, 361.4554800, 2180.1495000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1662410, 13.5709660, 16.0714380, 31.4622210, 126.9358200, 717.1079200, 4349.3810000", \
+					  "13.1678530, 13.5708340, 16.0731110, 31.4545900, 127.0211200, 717.1511200, 4351.7953000", \
+					  "13.1583140, 13.5512140, 16.0593850, 31.4695290, 127.3483700, 715.8246800, 4349.3051000", \
+					  "13.1652100, 13.5719930, 16.0702650, 31.4655860, 126.9230600, 717.0885400, 4350.1941000", \
+					  "13.1572690, 13.5766280, 16.0790740, 31.5173420, 127.3610500, 717.1812500, 4351.3523000", \
+					  "13.1618220, 13.5748430, 16.0602660, 31.4676920, 126.9102100, 716.9486500, 4348.8590000", \
+					  "13.1681880, 13.5683080, 16.0737770, 31.4457540, 127.0488600, 717.1025200, 4356.5115000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0323028, 2.0323030, 2.0334086, 2.0334088, 2.0334090, 2.0334093, 2.1405528", \
+					  "2.1613373, 2.1655393, 2.1655394, 2.1655397, 2.1655399, 2.1655401, 2.2661990", \
+					  "2.2736408, 2.2736410, 2.2736413, 2.2736415, 2.2741140, 2.2741141, 2.3720246", \
+					  "2.3513573, 2.3513574, 2.3589251, 2.3589253, 2.3589255, 2.3589258, 2.4435678", \
+					  "2.4188698, 2.4233942, 2.4269029, 2.4269032, 2.4269034, 2.4269037, 2.5249165", \
+					  "2.4817755, 2.4842646, 2.4854343, 2.4854345, 2.4854347, 2.4875593, 2.5807676", \
+					  "2.5336764, 2.5336766, 2.5336768, 2.5380589, 2.5380592, 2.5380594, 2.6366997");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3112439, 3.3112442, 3.3412142, 3.3412143, 3.3412146, 3.3412148, 3.3412151", \
+					  "3.4674612, 3.4674613, 3.4674615, 3.4674618, 3.4674620, 3.4674622, 3.4674625", \
+					  "3.5588015, 3.5600802, 3.5600805, 3.5600807, 3.5600809, 3.5600812, 3.5600814", \
+					  "3.6441650, 3.6477208, 3.6477210, 3.6477212, 3.6477215, 3.6477217, 3.6916232", \
+					  "3.7384984, 3.7475716, 3.7475719, 3.7475721, 3.7475724, 3.7475726, 3.7475728", \
+					  "3.7953032, 3.7965240, 3.7965242, 3.7965245, 3.7965247, 3.7965249, 3.7965252", \
+					  "3.8875601, 3.8904511, 3.8904514, 3.8904516, 3.8904518, 3.8904521, 3.8904523");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5377462, 2.5650724, 2.7331655, 3.7371914, 9.8744293, 24.0002670, 52.1254790", \
+					  "2.6927733, 2.7185922, 2.8864915, 3.8910573, 10.0235170, 24.1538650, 52.2685890", \
+					  "2.8481684, 2.8772797, 3.0424940, 4.0490002, 10.1816210, 24.2872410, 52.4255950", \
+					  "2.9876197, 3.0092175, 3.1830325, 4.1810533, 10.3269770, 24.4167640, 52.5650670", \
+					  "3.1071117, 3.1332690, 3.3019256, 4.3007922, 10.4411410, 24.5463490, 52.7065610", \
+					  "3.2179894, 3.2480085, 3.4080410, 4.4157304, 10.5514700, 24.6775840, 52.7927320", \
+					  "3.3254675, 3.3414147, 3.5183882, 4.5207389, 10.6656640, 24.7859970, 52.9081880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7058864, 1.7550190, 2.0708149, 4.0287578, 12.0316370, 17.1028330, 40.1921700", \
+					  "1.7046732, 1.7539423, 2.0705946, 4.0197503, 12.0302430, 17.1024350, 40.2228720", \
+					  "1.7050799, 1.7543130, 2.0699111, 4.0284949, 12.0280280, 17.1206980, 40.2153370", \
+					  "1.7045191, 1.7556103, 2.0695614, 4.0284842, 12.0306200, 17.1323490, 40.2237070", \
+					  "1.7044473, 1.7548623, 2.0695394, 4.0283532, 12.0316980, 17.0912330, 40.2154750", \
+					  "1.7036951, 1.7529788, 2.0695905, 4.0197179, 12.0318260, 17.0796910, 40.2025830", \
+					  "1.7044404, 1.7552838, 2.0675939, 4.0192041, 12.0295420, 17.1030710, 40.2319320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.3081503, 2.3081505, 2.3081507, 2.3081509, 2.3081512, 2.3115734, 2.3879370", \
+					  "2.4405504, 2.4414093, 2.4436049, 2.4447500, 2.4447502, 2.4470947, 2.5292313", \
+					  "2.5565301, 2.5565302, 2.5573645, 2.5573646, 2.5573649, 2.5580718, 2.6373477", \
+					  "2.6357256, 2.6357257, 2.6384381, 2.6384382, 2.6384384, 2.6384386, 2.7213596", \
+					  "2.7036287, 2.7036289, 2.7036292, 2.7036294, 2.7036297, 2.7048614, 2.7892155", \
+					  "2.7596596, 2.7597138, 2.7615712, 2.7658285, 2.7658288, 2.7676539, 2.8436662", \
+					  "2.8175116, 2.8175117, 2.8238640, 2.8238642, 2.8238644, 2.8238646, 2.9027192");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4287155, 8.6307095, 9.9033164, 17.6723080, 65.2666830, 359.5784500, 2172.2958000", \
+					  "8.5722442, 8.7771568, 10.0438520, 17.7617710, 65.4451920, 359.6021000, 2172.4748000", \
+					  "8.6752925, 8.8857162, 10.1486920, 17.9204650, 65.7177350, 359.7744500, 2172.5225000", \
+					  "8.7645304, 8.9757632, 10.2363440, 18.0026500, 65.6392490, 359.6601900, 2172.5693000", \
+					  "8.8371355, 9.0333963, 10.2980660, 18.0155250, 65.6913530, 359.9632000, 2172.5696000", \
+					  "8.9019077, 9.1073957, 10.3650550, 18.0884320, 65.7611140, 359.9109300, 2172.7471000", \
+					  "8.9500043, 9.1616039, 10.4137920, 18.1247570, 65.7745010, 359.8395600, 2175.4502000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3597150, 13.7559010, 16.2525670, 31.6269140, 126.8860800, 714.7505700, 4341.1510000", \
+					  "13.3446540, 13.7617020, 16.2421670, 31.5868180, 126.7474200, 715.3257100, 4341.1433000", \
+					  "13.3602210, 13.7661010, 16.2364470, 31.6215360, 126.9742100, 715.1564300, 4341.1769000", \
+					  "13.3629780, 13.7614600, 16.2469360, 31.5701240, 126.7597400, 715.2760900, 4341.1519000", \
+					  "13.3610120, 13.7644440, 16.2345460, 31.6265520, 126.9003200, 714.6604700, 4341.1819000", \
+					  "13.3255900, 13.7556570, 16.2315280, 31.6042130, 127.1660000, 715.1887800, 4342.4693000", \
+					  "13.3383270, 13.7491410, 16.2393350, 31.6039600, 127.0365700, 715.4072800, 4338.5248000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.5453307, 8.7411385, 10.0077210, 17.7485120, 65.5515110, 361.0214800, 2180.0572000", \
+					  "8.6993497, 8.8957650, 10.1616240, 17.9061030, 65.7026220, 361.0792300, 2177.7098000", \
+					  "8.8560785, 9.0542649, 10.3181190, 18.0651760, 65.8601710, 360.8668900, 2178.3710000", \
+					  "8.9968637, 9.1922807, 10.4592090, 18.1992930, 66.0008510, 361.4938500, 2180.0058000", \
+					  "9.1089527, 9.3189643, 10.5694380, 18.3203160, 66.0595420, 361.1224900, 2178.1686000", \
+					  "9.2289056, 9.4238324, 10.6913490, 18.4319120, 66.2311380, 361.5942000, 2180.7778000", \
+					  "9.3360031, 9.5330162, 10.7986090, 18.5432200, 66.3559450, 361.5487900, 2179.5531000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1661060, 13.5708390, 16.0716860, 31.4602460, 126.9204500, 716.8724200, 4351.4610000", \
+					  "13.1671240, 13.5696030, 16.0714590, 31.4475240, 126.9074800, 717.1535700, 4352.0372000", \
+					  "13.1580730, 13.5510590, 16.0601430, 31.4701120, 127.3504600, 715.6936000, 4353.4951000", \
+					  "13.1651660, 13.5718230, 16.0705800, 31.4635140, 126.9085700, 717.0519900, 4350.2055000", \
+					  "13.1582530, 13.5766410, 16.0567560, 31.5171570, 127.3549900, 715.8934100, 4352.9312000", \
+					  "13.1615100, 13.5748290, 16.0675050, 31.4649850, 126.8974900, 716.6671000, 4349.2641000", \
+					  "13.1681980, 13.5679120, 16.0742180, 31.4425000, 127.0421400, 717.1444700, 4351.2991000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5056859, 2.5332767, 2.7010452, 3.7052896, 9.8374606, 23.9679970, 52.1049510", \
+					  "2.6433176, 2.6709952, 2.8387421, 3.8439101, 9.9783604, 24.1053430, 52.2482890", \
+					  "2.7538780, 2.7815590, 2.9494018, 3.9545167, 10.0934000, 24.1877380, 52.3476910", \
+					  "2.8379650, 2.8656926, 3.0311793, 4.0364712, 10.1799910, 24.2902750, 52.4278360", \
+					  "2.9054901, 2.9351754, 3.1025273, 4.1018318, 10.2379750, 24.3534470, 52.5042660", \
+					  "2.9691372, 2.9962539, 3.1642415, 4.1701886, 10.3042700, 24.4309500, 52.5534000", \
+					  "3.0246521, 3.0457321, 3.2183409, 4.2242116, 10.3579230, 24.4893080, 52.6342880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7102105, 1.7617692, 2.0700865, 4.0431019, 12.0315010, 17.1111370, 40.2338640", \
+					  "1.7077121, 1.7616402, 2.0702065, 4.0466226, 12.0294190, 17.1075350, 40.2494610", \
+					  "1.7092947, 1.7583552, 2.0706119, 4.0338004, 12.0289540, 17.1562570, 40.2397900", \
+					  "1.7104544, 1.7589644, 2.0698658, 4.0437627, 12.0305550, 17.1455990, 40.2299240", \
+					  "1.7093374, 1.7584549, 2.0711286, 4.0325379, 12.0303210, 17.0907200, 40.2161010", \
+					  "1.7084337, 1.7620421, 2.0713036, 4.0321630, 12.0278420, 17.1129480, 40.1996200", \
+					  "1.7112114, 1.7620236, 2.0699997, 4.0463446, 12.0306310, 17.0976810, 40.2444510");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0351376, 2.0351378, 2.0351381, 2.0351383, 2.0351385, 2.0387716, 2.1294768", \
+					  "2.1709761, 2.1709763, 2.1709766, 2.1709768, 2.1709770, 2.1735254, 2.2536117", \
+					  "2.2735318, 2.2735321, 2.2753905, 2.2753906, 2.2753908, 2.2753910, 2.3630153", \
+					  "2.3574507, 2.3649147, 2.3649148, 2.3649151, 2.3649153, 2.3667741, 2.4441866", \
+					  "2.4253550, 2.4267550, 2.4267551, 2.4267554, 2.4316549, 2.4316551, 2.5131119", \
+					  "2.4844480, 2.4910312, 2.4910313, 2.4910316, 2.4910318, 2.4910321, 2.5727407", \
+					  "2.5483005, 2.5504736, 2.5504739, 2.5504741, 2.5504743, 2.5504746, 2.6251121");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5419038, 2.5639779, 2.6791223, 3.0868659, 4.4185104, 11.0570100, 36.0729690", \
+					  "2.6782685, 2.7002174, 2.8155951, 3.2231897, 4.5539634, 11.1944210, 36.1981660", \
+					  "2.7902363, 2.8120675, 2.9272975, 3.3351435, 4.6662376, 11.2975650, 36.2477590", \
+					  "2.8745926, 2.8965748, 3.0117906, 3.4196443, 4.7511337, 11.3887980, 36.3905990", \
+					  "2.9426961, 2.9647571, 3.0799989, 3.4876035, 4.8192477, 11.4586480, 36.4267510", \
+					  "3.0047376, 3.0267000, 3.1418808, 3.5496388, 4.8808513, 11.5200990, 36.5127980", \
+					  "3.0546436, 3.0766749, 3.1919360, 3.5996939, 4.9311191, 11.5695140, 36.5830600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0562413, 1.0617192, 1.0947502, 1.1676390, 2.5849707, 10.5716500, 38.2052220", \
+					  "1.0564288, 1.0630460, 1.0945721, 1.1676640, 2.5884126, 10.5788260, 38.2336760", \
+					  "1.0554382, 1.0617032, 1.0933644, 1.1675074, 2.5824445, 10.5718690, 38.2278600", \
+					  "1.0568397, 1.0616735, 1.0947480, 1.1676348, 2.5848621, 10.5711180, 38.2474390", \
+					  "1.0564188, 1.0623258, 1.0946121, 1.1676431, 2.5870726, 10.5797700, 38.2394420", \
+					  "1.0559430, 1.0631166, 1.0939096, 1.1677426, 2.5828000, 10.5737170, 38.2533720", \
+					  "1.0567736, 1.0634762, 1.0938976, 1.1678792, 2.5838387, 10.5807590, 38.2307020");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.4752946, 2.4884899, 2.5644922, 2.9314997, 4.2488053, 7.9322557, 19.6272260", \
+					  "2.6295712, 2.6434324, 2.7183795, 3.0859881, 4.4025095, 8.0869791, 19.7845330", \
+					  "2.7870370, 2.8003881, 2.8764144, 3.2434067, 4.5607851, 8.2449176, 19.9424030", \
+					  "2.9269323, 2.9401646, 3.0161624, 3.3831680, 4.7004880, 8.3841094, 20.0789980", \
+					  "3.0460683, 3.0588465, 3.1338947, 3.5018268, 4.8180180, 8.5026901, 20.1999590", \
+					  "3.1580782, 3.1731513, 3.2491618, 3.6161942, 4.9333599, 8.6182315, 20.3148200", \
+					  "3.2661072, 3.2791099, 3.3551455, 3.7221608, 5.0395315, 8.7246992, 20.4207320");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6593739, 0.6650700, 0.7119728, 0.9573399, 2.0052433, 4.7468141, 17.2218950", \
+					  "0.6572370, 0.6640893, 0.7118995, 0.9544184, 2.0051985, 4.7496580, 17.2269420", \
+					  "0.6594941, 0.6653993, 0.7119539, 0.9581486, 2.0064370, 4.7562665, 17.2227070", \
+					  "0.6593734, 0.6650630, 0.7119814, 0.9573382, 2.0053708, 4.7472239, 17.2229450", \
+					  "0.6595900, 0.6639360, 0.7105245, 0.9538536, 2.0051745, 4.7508180, 17.2268490", \
+					  "0.6594276, 0.6652632, 0.7120177, 0.9581368, 2.0054820, 4.7549031, 17.2358220", \
+					  "0.6594634, 0.6653317, 0.7120027, 0.9579770, 2.0061930, 4.7520894, 17.2307180");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0669586, 2.0669588, 2.0669591, 2.0669593, 2.0669596, 2.0669598, 2.1690134", \
+					  "2.1982791, 2.2024900, 2.2024903, 2.2024905, 2.2024907, 2.2024910, 2.2949318", \
+					  "2.3122004, 2.3122005, 2.3122007, 2.3122010, 2.3122012, 2.3122014, 2.4031941", \
+					  "2.3916227, 2.3947269, 2.3947272, 2.3960716, 2.3960718, 2.3960721, 2.4857842", \
+					  "2.4623548, 2.4624207, 2.4624209, 2.4643529, 2.4643530, 2.4643533, 2.5534270", \
+					  "2.5182099, 2.5231057, 2.5231058, 2.5232003, 2.5232004, 2.5232007, 2.6137972", \
+					  "2.5733820, 2.5733821, 2.5733823, 2.5733825, 2.5787965, 2.5787966, 2.6645108");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0295119, 2.0312012, 2.0312013, 2.0312015, 2.0312018, 2.0312020, 2.1392526", \
+					  "2.1661282, 2.1661283, 2.1661286, 2.1661288, 2.1661290, 2.1661293, 2.2554234", \
+					  "2.2732174, 2.2732176, 2.2732178, 2.2732181, 2.2732183, 2.2737721, 2.3761493", \
+					  "2.3484195, 2.3484196, 2.3504634, 2.3504636, 2.3504638, 2.3504640, 2.4503193", \
+					  "2.4183138, 2.4183139, 2.4200919, 2.4244935, 2.4244937, 2.4250089, 2.5227997", \
+					  "2.4846643, 2.4862903, 2.4862904, 2.4862906, 2.4862909, 2.4862911, 2.5675042", \
+					  "2.5303442, 2.5303444, 2.5344513, 2.5344514, 2.5344517, 2.5356728, 2.6353302");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3136707, 3.3136708, 3.3412970, 3.3412971, 3.3412973, 3.3412976, 3.3412978", \
+					  "3.4678604, 3.4678606, 3.4678609, 3.4678611, 3.4678613, 3.4678616, 3.4678618", \
+					  "3.5595160, 3.5606553, 3.5606555, 3.5606558, 3.5606560, 3.5606562, 3.5606565", \
+					  "3.6436072, 3.6466057, 3.6466059, 3.6466061, 3.6466064, 3.6466066, 3.6911141", \
+					  "3.7481183, 3.7481186, 3.7481188, 3.7481190, 3.7481193, 3.7481195, 3.7481198", \
+					  "3.7961660, 3.7972181, 3.7972183, 3.7972185, 3.7972187, 3.7972190, 3.7972192", \
+					  "3.8879606, 3.8904591, 3.8904592, 3.8904595, 3.8904597, 3.8904599, 3.8904602");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5481752, 2.5708597, 2.6896592, 3.1027396, 4.4256936, 11.0627600, 36.0457120", \
+					  "2.7011804, 2.7262030, 2.8430069, 3.2560599, 4.5790207, 11.2123300, 36.1936930", \
+					  "2.8570168, 2.8802463, 2.9992056, 3.4149554, 4.7345776, 11.3655980, 36.3572530", \
+					  "2.9938665, 3.0211302, 3.1378016, 3.5467440, 4.8695288, 11.5017860, 36.4860110", \
+					  "3.1162259, 3.1402034, 3.2585934, 3.6713665, 4.9934763, 11.6161650, 36.6106650", \
+					  "3.2306184, 3.2424401, 3.3692100, 3.7805519, 5.1006777, 11.7267340, 36.7253960", \
+					  "3.3245615, 3.3559013, 3.4713346, 3.8893977, 5.2138898, 11.8427210, 36.8296470");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0754518, 1.0825394, 1.1181499, 1.2023755, 2.5890707, 10.5840320, 38.2482810", \
+					  "1.0756658, 1.0830309, 1.1178417, 1.2029187, 2.5913058, 10.5792740, 38.2532440", \
+					  "1.0758483, 1.0833123, 1.1182459, 1.2029395, 2.5877991, 10.5862680, 38.2551270", \
+					  "1.0759656, 1.0826188, 1.1179213, 1.2029960, 2.5880447, 10.5869700, 38.2540190", \
+					  "1.0756153, 1.0830917, 1.1182347, 1.2023963, 2.5891447, 10.5855230, 38.2566330", \
+					  "1.0758469, 1.0827019, 1.1178516, 1.2023869, 2.5893976, 10.5841030, 38.2432930", \
+					  "1.0760555, 1.0832363, 1.1184387, 1.2011917, 2.5882678, 10.5827850, 38.2489670");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7428207, 8.9485637, 10.2067660, 17.9604780, 65.7393850, 360.6851600, 2179.7468000", \
+					  "8.8995860, 9.1057908, 10.3631180, 18.1182130, 65.9358010, 361.0346400, 2178.3794000", \
+					  "9.0505514, 9.2581436, 10.5210650, 18.2681830, 66.0688710, 361.3866100, 2181.0999000", \
+					  "9.1909449, 9.3995594, 10.6530400, 18.4135410, 66.2037390, 360.8880700, 2179.1077000", \
+					  "9.3071515, 9.5153352, 10.7776820, 18.5305760, 66.3354460, 361.4558500, 2179.2287000", \
+					  "9.4210320, 9.6338173, 10.8877650, 18.6246410, 66.4193960, 361.7332400, 2178.2969000", \
+					  "9.5349009, 9.7331299, 10.9992500, 18.7504680, 66.5430950, 361.7476800, 2179.9221000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1610580, 13.5428850, 16.0421600, 31.4809160, 126.8836500, 716.0804200, 4352.5042000", \
+					  "13.1684100, 13.5735390, 16.0756650, 31.4828800, 127.1942000, 715.7869400, 4357.0731000", \
+					  "13.1602020, 13.5463420, 16.0714460, 31.5201870, 127.3146600, 716.2690300, 4350.2027000", \
+					  "13.1682510, 13.5513190, 16.0755390, 31.4929700, 127.3419600, 716.4795200, 4353.6456000", \
+					  "13.1375850, 13.5676710, 16.0718670, 31.4822120, 127.3653500, 716.0433600, 4354.5679000", \
+					  "13.1464880, 13.5776360, 16.0372620, 31.5020260, 127.3057500, 716.1800700, 4351.3433000", \
+					  "13.1682000, 13.5642300, 16.0757430, 31.4871610, 127.3317300, 717.0967300, 4355.0044000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6669650, 3.6669652, 3.6669654, 3.6669657, 3.6669659, 3.6669661, 3.6669664", \
+					  "3.7812748, 3.7812750, 3.7812752, 3.7966545, 3.7966546, 3.7966549, 3.7966551", \
+					  "3.9017678, 3.9017679, 3.9017681, 3.9017684, 3.9017686, 3.9017689, 3.9017691", \
+					  "3.9858308, 3.9858310, 3.9858312, 3.9858314, 3.9858317, 3.9858319, 3.9858321", \
+					  "4.0553498, 4.0553501, 4.0553506, 4.0553511, 4.0553515, 4.0553520, 4.0553525", \
+					  "4.1263548, 4.1263550, 4.1263555, 4.1263559, 4.1263564, 4.1263569, 4.1263574", \
+					  "4.1936961, 4.1936963, 4.1936968, 4.1936973, 4.1936977, 4.1936982, 4.1936987");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9509356, 1.9511572, 1.9516624, 1.9517834, 1.9517835, 1.9517836, 2.1325015", \
+					  "2.0822877, 2.0822880, 2.0823634, 2.0823635, 2.0823638, 2.0823640, 2.2248459", \
+					  "2.1882798, 2.1882800, 2.1882803, 2.1882805, 2.1882808, 2.1882810, 2.3111408", \
+					  "2.2715347, 2.2722471, 2.2722472, 2.2722475, 2.2722477, 2.2722480, 2.4008786", \
+					  "2.3341482, 2.3387263, 2.3387265, 2.3387267, 2.3387269, 2.3387272, 2.4765607", \
+					  "2.3970661, 2.3970663, 2.3970665, 2.3980025, 2.3980026, 2.3980028, 2.5254374", \
+					  "2.4671034, 2.4671037, 2.4671039, 2.4671041, 2.4671044, 2.4671046, 2.5788920");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.8927412, 2.9222293, 3.0979193, 4.1642791, 9.9516226, 35.0921820, 98.2648270", \
+					  "3.0457370, 3.0773308, 3.2496488, 4.3206314, 10.1044710, 35.2436230, 98.4259070", \
+					  "3.2005469, 3.2284524, 3.4071459, 4.4759778, 10.2610840, 35.4019040, 98.5511620", \
+					  "3.3423306, 3.3706207, 3.5417407, 4.6119806, 10.3953870, 35.5439230, 98.7238880", \
+					  "3.4616743, 3.4888412, 3.6560786, 4.7330553, 10.5198470, 35.6647440, 98.8113480", \
+					  "3.5730245, 3.6007177, 3.7779930, 4.8491846, 10.6324700, 35.7667850, 98.8950220", \
+					  "3.6795884, 3.7103025, 3.8802872, 4.9401137, 10.7417070, 35.8803690, 98.9971480");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7717647, 1.8243554, 2.1555082, 4.0703778, 11.4048900, 42.5672230, 59.5027530", \
+					  "1.7687691, 1.8250338, 2.1547608, 4.0724748, 11.4111370, 42.5424530, 59.4901410", \
+					  "1.7706954, 1.8216708, 2.1548296, 4.0702135, 11.4093050, 42.5774580, 59.3845720", \
+					  "1.7713269, 1.8241210, 2.1569206, 4.0720014, 11.4077320, 42.5737740, 59.3981330", \
+					  "1.7693822, 1.8218128, 2.1521280, 4.0704085, 11.4047410, 42.5732160, 59.4338760", \
+					  "1.7703730, 1.8252897, 2.1554223, 4.0724357, 11.4091310, 42.5778350, 59.4351730", \
+					  "1.7716550, 1.8234482, 2.1529438, 4.0713899, 11.4075670, 42.5656560, 59.3989000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0251905, 2.0291739, 2.0291740, 2.0291743, 2.0291745, 2.0291747, 2.1387561", \
+					  "2.1629645, 2.1629648, 2.1629650, 2.1629652, 2.1629655, 2.1629657, 2.2594495", \
+					  "2.2710325, 2.2710327, 2.2710793, 2.2710795, 2.2710797, 2.2715489, 2.3746913", \
+					  "2.3470657, 2.3470659, 2.3491757, 2.3491759, 2.3498883, 2.3498885, 2.4421596", \
+					  "2.4223161, 2.4223162, 2.4223165, 2.4223167, 2.4223170, 2.4231310, 2.5175682", \
+					  "2.4840563, 2.4840564, 2.4840566, 2.4840569, 2.4840571, 2.4840574, 2.5677103", \
+					  "2.5252926, 2.5252928, 2.5333078, 2.5333080, 2.5333082, 2.5333084, 2.6330380");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9514115, 1.9517428, 1.9520829, 1.9520830, 1.9520831, 1.9520832, 2.1324951", \
+					  "2.0798181, 2.0825732, 2.0829005, 2.0829007, 2.0829009, 2.0829012, 2.2262101", \
+					  "2.1878468, 2.1878471, 2.1878473, 2.1878475, 2.1878478, 2.1878480, 2.3126570", \
+					  "2.2721196, 2.2728555, 2.2728557, 2.2728559, 2.2728562, 2.2728564, 2.4015241", \
+					  "2.3343911, 2.3394124, 2.3394126, 2.3394129, 2.3394131, 2.3394133, 2.4774103", \
+					  "2.3979645, 2.3979646, 2.3979649, 2.3986884, 2.3986885, 2.3986887, 2.5248559", \
+					  "2.4677291, 2.4677293, 2.4677295, 2.4677297, 2.4677300, 2.4677302, 2.5795825");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.6719956, 3.6719958, 3.6719960, 3.6719963, 3.6719965, 3.6719968, 3.6719970", \
+					  "3.7883385, 3.7883386, 3.7883388, 3.7999808, 3.7999810, 3.7999813, 3.7999815", \
+					  "3.9069108, 3.9069111, 3.9069113, 3.9069115, 3.9069118, 3.9069120, 3.9069123", \
+					  "3.9977466, 3.9977469, 3.9977471, 3.9977474, 3.9977476, 3.9977478, 3.9977481", \
+					  "4.0648933, 4.0648935, 4.0648940, 4.0648945, 4.0648950, 4.0648954, 4.0648959", \
+					  "4.1252020, 4.1252025, 4.1252029, 4.1252034, 4.1252039, 4.1252044, 4.1252049", \
+					  "4.1929034, 4.1929038, 4.1929043, 4.1929048, 4.1929052, 4.1929057, 4.1929062");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("3.3170271, 3.3172773, 3.3410603, 3.3410606, 3.3410608, 3.3410610, 3.3410613", \
+					  "3.4611976, 3.4615075, 3.4615077, 3.4615080, 3.4615082, 3.4615084, 3.4615087", \
+					  "3.5836174, 3.5866503, 3.5866505, 3.5866508, 3.5866510, 3.5866513, 3.5866515", \
+					  "3.6599856, 3.6637355, 3.6637356, 3.6637358, 3.6637360, 3.6637363, 3.6637365", \
+					  "3.7388799, 3.7391485, 3.7391488, 3.7391490, 3.7391493, 3.7391495, 3.7391497", \
+					  "3.7964027, 3.7974091, 3.7974092, 3.7974095, 3.7974097, 3.7974099, 3.7974102", \
+					  "3.8692778, 3.8693488, 3.8693489, 3.8693492, 3.8693494, 3.8693496, 3.8693499");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5162565, 2.5389428, 2.6580627, 3.0708762, 4.3935420, 11.0284550, 36.0462520", \
+					  "2.6537501, 2.6752245, 2.7953375, 3.2086966, 4.5306017, 11.1662180, 36.1918100", \
+					  "2.7642262, 2.7872140, 2.9057017, 3.3183087, 4.6410342, 11.2724170, 36.2808910", \
+					  "2.8439002, 2.8706015, 2.9907936, 3.4042003, 4.7244497, 11.3613450, 36.3849790", \
+					  "2.9169567, 2.9391052, 3.0566447, 3.4715089, 4.7927484, 11.4258750, 36.4203880", \
+					  "2.9769205, 3.0036671, 3.1161485, 3.5311296, 4.8578026, 11.4905620, 36.4988820", \
+					  "3.0359408, 3.0587719, 3.1778276, 3.5912151, 4.9124975, 11.5439420, 36.5447120");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0755152, 1.0834250, 1.1181704, 1.2031722, 2.5873161, 10.5832500, 38.2244960", \
+					  "1.0757945, 1.0821586, 1.1181861, 1.2027642, 2.5874740, 10.5836770, 38.2274120", \
+					  "1.0769521, 1.0832124, 1.1181258, 1.2027561, 2.5856328, 10.5728340, 38.2623600", \
+					  "1.0758936, 1.0827873, 1.1182325, 1.2027525, 2.5877447, 10.5806990, 38.2229960", \
+					  "1.0758614, 1.0826503, 1.1181177, 1.2033291, 2.5864562, 10.5912970, 38.2469700", \
+					  "1.0756231, 1.0830941, 1.1182300, 1.2024582, 2.5875369, 10.5880370, 38.2647370", \
+					  "1.0768134, 1.0840806, 1.1182562, 1.2028907, 2.5842462, 10.5767450, 38.2193090");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.3258713, 2.3258714, 2.3332642, 2.3388385, 2.3388387, 2.3388390, 2.4325031", \
+					  "2.4753517, 2.4758691, 2.4758693, 2.4758696, 2.4758698, 2.4758701, 2.5647058", \
+					  "2.5838161, 2.5838162, 2.5838165, 2.5838167, 2.5838169, 2.5838172, 2.6815437", \
+					  "2.6533633, 2.6647073, 2.6647074, 2.6647076, 2.6647078, 2.6647081, 2.7607916", \
+					  "2.7195723, 2.7268996, 2.7373230, 2.7373232, 2.7373235, 2.7373237, 2.8272351", \
+					  "2.7810072, 2.7810556, 2.7831737, 2.7831740, 2.7831742, 2.7965332, 2.8829357", \
+					  "2.8514868, 2.8516276, 2.8516278, 2.8517362, 2.8517365, 2.8517367, 2.9458775");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5481595, 2.5707954, 2.6896239, 3.1027283, 4.4257003, 11.0567960, 36.0718670", \
+					  "2.7012861, 2.7243345, 2.8431455, 3.2563521, 4.5791948, 11.2122940, 36.2060250", \
+					  "2.8555669, 2.8831129, 2.9990339, 3.4149150, 4.7374922, 11.3663910, 36.3577830", \
+					  "2.9969114, 3.0145302, 3.1365535, 3.5463772, 4.8687121, 11.5044190, 36.5278970", \
+					  "3.1163532, 3.1391365, 3.2569145, 3.6660923, 4.9945768, 11.6162280, 36.6155140", \
+					  "3.2282834, 3.2546505, 3.3735350, 3.7823731, 5.1068740, 11.7395160, 36.7302100", \
+					  "3.3371652, 3.3577874, 3.4709295, 3.8884109, 5.2144515, 11.8456250, 36.8209320");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0756369, 1.0831619, 1.1182190, 1.2024227, 2.5872791, 10.5835770, 38.2653870", \
+					  "1.0768274, 1.0832211, 1.1182736, 1.2011679, 2.5890898, 10.5827090, 38.2594400", \
+					  "1.0759369, 1.0828919, 1.1179113, 1.2029413, 2.5875484, 10.5868430, 38.2431940", \
+					  "1.0759049, 1.0831820, 1.1183279, 1.2029483, 2.5875564, 10.5832450, 38.2196780", \
+					  "1.0759071, 1.0831688, 1.1179035, 1.2026833, 2.5890421, 10.5861260, 38.2434030", \
+					  "1.0758238, 1.0826415, 1.1178856, 1.2024213, 2.5887532, 10.5875820, 38.2429340", \
+					  "1.0761255, 1.0830997, 1.1181309, 1.2012948, 2.5867053, 10.5856510, 38.2410010");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6740574, 2.6868348, 2.7631224, 3.1288501, 4.4471964, 8.1431244, 19.8442900", \
+					  "2.8274121, 2.8406522, 2.9167384, 3.2800989, 4.6007913, 8.2984215, 19.9980070", \
+					  "2.9817293, 2.9952114, 3.0744340, 3.4365750, 4.7549751, 8.4513259, 20.1514430", \
+					  "3.1179792, 3.1362883, 3.2072649, 3.5719465, 4.8959676, 8.5872021, 20.2924590", \
+					  "3.2425465, 3.2553020, 3.3312606, 3.6960170, 5.0160374, 8.7123375, 20.4111930", \
+					  "3.3549790, 3.3636072, 3.4439600, 3.8084500, 5.1220486, 8.8258769, 20.5235920", \
+					  "3.4630272, 3.4730265, 3.5516983, 3.9167496, 5.2343547, 8.9332302, 20.6332890");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6920740, 0.6974122, 0.7354554, 0.9788378, 2.0236453, 4.7573523, 17.2473450", \
+					  "0.6922975, 0.6981367, 0.7356659, 0.9773152, 2.0248885, 4.7647726, 17.2220480", \
+					  "0.6916974, 0.6973980, 0.7355698, 0.9771155, 2.0236228, 4.7610849, 17.2408240", \
+					  "0.6917006, 0.6973622, 0.7355346, 0.9769390, 2.0235942, 4.7568349, 17.2203710", \
+					  "0.6918022, 0.6976604, 0.7355487, 0.9769990, 2.0243551, 4.7581801, 17.2207440", \
+					  "0.6920465, 0.6979469, 0.7356292, 0.9769782, 2.0246655, 4.7599618, 17.2411170", \
+					  "0.6894758, 0.6974309, 0.7422870, 0.9770299, 2.0240865, 4.7577919, 17.2261420");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5375728, 2.5655595, 2.7331406, 3.7374592, 9.8699253, 23.9721010, 52.1255110", \
+					  "2.6929485, 2.7190257, 2.8873492, 3.8904480, 10.0308080, 24.1539550, 52.2697760", \
+					  "2.8458202, 2.8740468, 3.0415572, 4.0459902, 10.1783370, 24.2913760, 52.4282060", \
+					  "2.9818781, 3.0151495, 3.1776544, 4.1818615, 10.3220680, 24.4464860, 52.5599900", \
+					  "3.1050360, 3.1336667, 3.2941228, 4.3008792, 10.4428030, 24.5465230, 52.7122740", \
+					  "3.2172125, 3.2389960, 3.4137464, 4.4177133, 10.5508270, 24.6664470, 52.7997170", \
+					  "3.3150931, 3.3506753, 3.5216048, 4.5268838, 10.6609250, 24.7885800, 52.9299950");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7052932, 1.7562442, 2.0710018, 4.0198933, 12.0302590, 17.1454280, 40.1924960", \
+					  "1.7048010, 1.7559446, 2.0703401, 4.0246890, 12.0292110, 17.1021490, 40.2296190", \
+					  "1.7045734, 1.7540469, 2.0699662, 4.0249115, 12.0286000, 17.1128300, 40.2296580", \
+					  "1.7049674, 1.7553731, 2.0703013, 4.0284212, 12.0308590, 17.0904570, 40.2296470", \
+					  "1.7050463, 1.7563451, 2.0701023, 4.0287991, 12.0282060, 17.0911390, 40.2178560", \
+					  "1.7050868, 1.7547536, 2.0701802, 4.0295554, 12.0309510, 17.0727200, 40.1927130", \
+					  "1.7054729, 1.7550020, 2.0700817, 4.0248778, 12.0302700, 17.1236340, 40.2370970");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.9078124, 2.9367802, 3.1125185, 4.1750043, 10.2438750, 22.1584490, 43.5956870", \
+					  "3.0623595, 3.0898031, 3.2672185, 4.3296073, 10.3974660, 22.3106920, 43.7505320", \
+					  "3.2176128, 3.2446699, 3.4213758, 4.4830593, 10.5471970, 22.4698870, 43.8977710", \
+					  "3.3508647, 3.3821268, 3.5625443, 4.6237556, 10.6899800, 22.6102780, 44.0387850", \
+					  "3.4667362, 3.5059833, 3.6818346, 4.7394879, 10.8100860, 22.7298340, 44.1646830", \
+					  "3.5869794, 3.6183865, 3.7955297, 4.8585456, 10.9227850, 22.8252260, 44.2774310", \
+					  "3.6948400, 3.7258054, 3.9000700, 4.9513789, 11.0342650, 22.9497170, 44.3639790");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7655323, 1.8185193, 2.1424369, 4.2028057, 11.6089520, 13.9525840, 26.8830180", \
+					  "1.7635617, 1.8159295, 2.1470365, 4.2006780, 11.6090460, 13.9532630, 26.9029350", \
+					  "1.7628142, 1.8182078, 2.1477440, 4.2002015, 11.6078500, 13.9654500, 26.9149150", \
+					  "1.7589528, 1.8166727, 2.1425843, 4.1981782, 11.6094530, 13.9543720, 26.8975850", \
+					  "1.7602504, 1.8183873, 2.1427464, 4.1947281, 11.6086470, 13.9629890, 26.8954170", \
+					  "1.7627257, 1.8185876, 2.1493134, 4.1974708, 11.6078300, 13.9568050, 26.9010380", \
+					  "1.7589060, 1.8183912, 2.1442852, 4.2008734, 11.6093950, 13.9658140, 26.9095910");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.0298929, 2.0298931, 2.0298933, 2.0298936, 2.0298938, 2.0298940, 2.1337825", \
+					  "2.1607724, 2.1625928, 2.1625931, 2.1625933, 2.1625935, 2.1625938, 2.2585598", \
+					  "2.2712756, 2.2760456, 2.2760457, 2.2760460, 2.2760462, 2.2760464, 2.3500593", \
+					  "2.3465798, 2.3539930, 2.3539931, 2.3539933, 2.3539936, 2.3553481, 2.4444458", \
+					  "2.4160753, 2.4166318, 2.4210083, 2.4210085, 2.4210088, 2.4227327, 2.5232645", \
+					  "2.4815048, 2.4815051, 2.4828954, 2.4828955, 2.4828958, 2.4828960, 2.5779390", \
+					  "2.5334974, 2.5334975, 2.5334977, 2.5345583, 2.5345585, 2.5346212, 2.6331259");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.9514019, 1.9514021, 1.9514022, 1.9514023, 1.9514024, 1.9514025, 2.1219866", \
+					  "2.0818711, 2.0818712, 2.0818714, 2.0818717, 2.0818719, 2.0818722, 2.2310804", \
+					  "2.1908266, 2.1908268, 2.1908271, 2.1908273, 2.1908275, 2.1908278, 2.3268190", \
+					  "2.2702076, 2.2702078, 2.2702081, 2.2702083, 2.2702085, 2.2702088, 2.4000337", \
+					  "2.3369942, 2.3382942, 2.3382944, 2.3382947, 2.3382949, 2.3382952, 2.4709537", \
+					  "2.4010878, 2.4010879, 2.4010882, 2.4010884, 2.4010886, 2.4010889, 2.5331368", \
+					  "2.4687807, 2.4687809, 2.4687812, 2.4687814, 2.4687816, 2.4687819, 2.5728346");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7769953, 1.7771475, 1.7771476, 1.7771478, 1.7771479, 1.7771480, 1.9707822", \
+					  "1.9217861, 1.9217862, 1.9217863, 1.9217864, 1.9217865, 1.9217867, 2.0743256", \
+					  "2.0735105, 2.0735106, 2.0735108, 2.0735111, 2.0735113, 2.0735115, 2.2043616", \
+					  "2.2090254, 2.2090483, 2.2090484, 2.2090487, 2.2090489, 2.2090492, 2.3211073", \
+					  "2.3271967, 2.3271968, 2.3271970, 2.3271972, 2.3271975, 2.3271977, 2.4388069", \
+					  "2.4408757, 2.4408759, 2.4408762, 2.4408764, 2.4408767, 2.4408769, 2.5566069", \
+					  "2.5463787, 2.5463788, 2.5463790, 2.5463793, 2.5463795, 2.5463797, 2.6648845");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6558340, 2.6682123, 2.7447447, 3.1140850, 4.5201727, 11.4842150, 50.0341850", \
+					  "2.8078222, 2.8223028, 2.8993643, 3.2684866, 4.6736393, 11.6401190, 50.2689160", \
+					  "2.9647866, 2.9803155, 3.0523077, 3.4255565, 4.8283741, 11.7918240, 50.4281740", \
+					  "3.0995044, 3.1123235, 3.1936889, 3.5608265, 4.9653464, 11.9336110, 50.5632690", \
+					  "3.2232468, 3.2317704, 3.3038365, 3.6836640, 5.0871809, 12.0551620, 50.6894720", \
+					  "3.3367762, 3.3481764, 3.4247591, 3.7980530, 5.2009748, 12.1637620, 50.7945650", \
+					  "3.4445813, 3.4552865, 3.5320700, 3.9021879, 5.2873252, 12.2730910, 50.8500580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("0.6942403, 0.7012199, 0.7402284, 0.9834835, 2.3368731, 11.2790570, 46.4957850", \
+					  "0.6887844, 0.6959762, 0.7454144, 0.9842016, 2.3379172, 11.2838230, 46.4874570", \
+					  "0.6897545, 0.7014431, 0.7441915, 0.9873273, 2.3368874, 11.2832850, 46.4290900", \
+					  "0.6894301, 0.6959996, 0.7440357, 0.9857253, 2.3378663, 11.2867240, 46.5153320", \
+					  "0.6942563, 0.7013502, 0.7459353, 0.9836154, 2.3369051, 11.2822620, 46.4976750", \
+					  "0.6888049, 0.7014508, 0.7408101, 0.9837997, 2.3367561, 11.2856030, 46.4301700", \
+					  "0.6887552, 0.7014981, 0.7405750, 0.9836174, 2.3379088, 11.2727140, 46.4866970");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7771612, 1.7772241, 1.7772242, 1.7772243, 1.7772244, 1.7772245, 1.9781228", \
+					  "1.9218873, 1.9218874, 1.9218875, 1.9218876, 1.9218877, 1.9218879, 2.0763624", \
+					  "2.0741651, 2.0741959, 2.0742017, 2.0742018, 2.0742020, 2.0742022, 2.2015996", \
+					  "2.2098656, 2.2098657, 2.2098660, 2.2098662, 2.2098665, 2.2098667, 2.3324640", \
+					  "2.3281732, 2.3281733, 2.3281736, 2.3281738, 2.3281740, 2.3281743, 2.4460303", \
+					  "2.4418096, 2.4418098, 2.4418101, 2.4418103, 2.4418105, 2.4418108, 2.5497280", \
+					  "2.5467017, 2.5467018, 2.5467021, 2.5467023, 2.5467026, 2.5467028, 2.6651972");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4509750, 8.6558805, 9.9209130, 17.6840890, 65.2797210, 359.5162100, 2172.3095000", \
+					  "8.6062402, 8.8146699, 10.0781430, 17.8352820, 65.4932250, 360.1113600, 2174.2374000", \
+					  "8.7557819, 8.9611509, 10.2340110, 17.9511450, 65.8066030, 359.9864400, 2172.7328000", \
+					  "8.8975929, 9.0960040, 10.3469380, 18.1305440, 65.9361490, 360.4162900, 2172.8745000", \
+					  "9.0162029, 9.2238102, 10.4867340, 18.1997260, 65.8822830, 359.9812400, 2172.9015000", \
+					  "9.1266532, 9.3293036, 10.6042860, 18.3074890, 66.1616110, 360.2086200, 2173.0518000", \
+					  "9.2424415, 9.4491597, 10.7177040, 18.4082940, 66.0700150, 360.3814200, 2173.2942000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3627720, 13.7703720, 16.2550290, 31.6057690, 126.7697100, 715.2369800, 4341.5562000", \
+					  "13.3528100, 13.7362960, 16.2558250, 31.5951780, 126.6755400, 715.4790800, 4341.1119000", \
+					  "13.3599910, 13.7661540, 16.2508320, 31.6078260, 127.1557300, 715.3935300, 4341.4925000", \
+					  "13.3601690, 13.7659710, 16.2458690, 31.5859690, 127.0835000, 715.4610000, 4341.6071000", \
+					  "13.3619820, 13.7659530, 16.2516280, 31.6109090, 126.8057700, 715.0478000, 4341.5730000", \
+					  "13.3621660, 13.7524780, 16.2366720, 31.6126330, 127.1773900, 714.7407900, 4341.5207000", \
+					  "13.3578880, 13.7361860, 16.2513770, 31.6019210, 127.1249900, 715.3817600, 4342.0696000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7475636, 8.9413819, 10.2080520, 17.9513330, 65.7112120, 361.1085000, 2178.1073000", \
+					  "8.8992200, 9.1074268, 10.3616010, 18.1061350, 65.9070380, 361.1086500, 2182.1331000", \
+					  "9.0559134, 9.2520693, 10.5202580, 18.2766210, 66.0914450, 361.3478700, 2179.4108000", \
+					  "9.1882262, 9.3953156, 10.6513650, 18.4095640, 66.2235490, 361.4507000, 2179.6868000", \
+					  "9.3163148, 9.5113775, 10.7684790, 18.5295640, 66.3516740, 361.6078700, 2178.5609000", \
+					  "9.4292254, 9.6226405, 10.8845570, 18.6409070, 66.4450540, 361.7435000, 2178.9081000", \
+					  "9.5281729, 9.7343902, 10.9909840, 18.7511590, 66.5352640, 361.2542500, 2181.5190000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1721210, 13.5762750, 16.0515230, 31.4839250, 127.1897400, 717.2605500, 4351.4178000", \
+					  "13.1565920, 13.5773030, 16.0623660, 31.4860350, 126.8768600, 717.1721000, 4350.4519000", \
+					  "13.1598080, 13.5786160, 16.0768590, 31.4896910, 127.1262600, 716.1720000, 4349.2328000", \
+					  "13.1673930, 13.5753080, 16.0740700, 31.4996460, 127.2655900, 717.2583300, 4354.5474000", \
+					  "13.1722240, 13.5770590, 16.0771220, 31.4637600, 127.1013200, 717.2932900, 4356.0572000", \
+					  "13.1661050, 13.5740730, 16.0702540, 31.4951480, 126.9235200, 716.2395300, 4356.2386000", \
+					  "13.1671850, 13.5652380, 16.0731930, 31.4895840, 126.8313800, 716.7267300, 4357.1458000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5174500, 2.5398141, 2.6565929, 3.0676808, 4.3938697, 11.0435400, 36.0672100", \
+					  "2.6546165, 2.6760671, 2.7928477, 3.2039409, 4.5308726, 11.1769150, 36.2059500", \
+					  "2.7654758, 2.7882108, 2.9049205, 3.3159948, 4.6416861, 11.2892290, 36.3343990", \
+					  "2.8505569, 2.8723495, 2.9892335, 3.4003416, 4.7267785, 11.3735000, 36.3881260", \
+					  "2.9186533, 2.9406544, 3.0574578, 3.4685204, 4.7951336, 11.4420090, 36.4722050", \
+					  "2.9805254, 3.0027496, 3.1194212, 3.5308680, 4.8568838, 11.5056020, 36.5439130", \
+					  "3.0354363, 3.0527822, 3.1696066, 3.5858334, 4.9116990, 11.5593170, 36.5974770");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0694000, 1.0763892, 1.1118162, 1.1962396, 2.5934004, 10.6037820, 38.2793320", \
+					  "1.0692512, 1.0763478, 1.1118323, 1.1962076, 2.5958711, 10.5912890, 38.2736010", \
+					  "1.0697115, 1.0766523, 1.1119502, 1.1970871, 2.5932656, 10.5930320, 38.2755480", \
+					  "1.0693907, 1.0771655, 1.1120886, 1.1965148, 2.5957423, 10.6014590, 38.2585450", \
+					  "1.0696688, 1.0764342, 1.1114708, 1.1962281, 2.5951417, 10.5987460, 38.2682120", \
+					  "1.0697166, 1.0766062, 1.1117995, 1.1963316, 2.5975293, 10.6058700, 38.2602750", \
+					  "1.0705238, 1.0761846, 1.1118934, 1.1975168, 2.5957989, 10.6157070, 38.2820420");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.8754968, 9.0797103, 10.3788760, 18.3162780, 66.4701720, 361.7364800, 2180.3892000", \
+					  "9.0187973, 9.2381045, 10.5323180, 18.4816380, 66.6651370, 362.3034400, 2180.1804000", \
+					  "9.1851211, 9.3961043, 10.6921650, 18.6383640, 66.8113740, 361.8473300, 2179.7312000", \
+					  "9.3271622, 9.5321031, 10.8295940, 18.7665600, 66.9297580, 362.1361500, 2179.5190000", \
+					  "9.4341068, 9.6532036, 10.9476530, 18.8972890, 67.0809900, 362.7222600, 2180.0420000", \
+					  "9.5481711, 9.7670609, 11.0579470, 19.0105900, 67.1570890, 362.7190800, 2180.1150000", \
+					  "9.6636219, 9.8747543, 11.1656710, 19.1209790, 67.2542410, 362.9235400, 2183.6534000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1694650, 13.5638230, 16.0441260, 31.5087370, 127.2567300, 715.7739200, 4353.6784000", \
+					  "13.1606850, 13.5768330, 16.0633210, 31.4835520, 127.2303600, 716.9949200, 4350.8012000", \
+					  "13.1714080, 13.5712360, 16.0765710, 31.4870330, 126.8933700, 716.7832900, 4352.1641000", \
+					  "13.1690110, 13.5657300, 16.0399270, 31.5071880, 127.2761500, 715.9316600, 4349.8844000", \
+					  "13.1605980, 13.5767840, 16.0632340, 31.4852610, 127.2292700, 716.9765600, 4356.3132000", \
+					  "13.1566810, 13.5760080, 16.0521360, 31.4857580, 126.9079200, 716.4577500, 4351.8353000", \
+					  "13.1714260, 13.5712560, 16.0702620, 31.5094900, 127.3412100, 716.9193700, 4352.2086000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5375886, 2.5652467, 2.7330227, 3.7376311, 9.8702131, 23.9992200, 52.1271840", \
+					  "2.6910660, 2.7185103, 2.8865925, 3.8910786, 10.0239960, 24.1535680, 52.2695170", \
+					  "2.8493006, 2.8722309, 3.0451122, 4.0469650, 10.1896150, 24.2824040, 52.4336810", \
+					  "2.9819207, 3.0142637, 3.1766436, 4.1818238, 10.3212470, 24.4223650, 52.5934920", \
+					  "3.1063687, 3.1339291, 3.2966621, 4.3060937, 10.4337270, 24.5659460, 52.7152300", \
+					  "3.2117737, 3.2443885, 3.4131848, 4.4184998, 10.5539330, 24.6810840, 52.7980180", \
+					  "3.3240508, 3.3533050, 3.5213630, 4.5136090, 10.6566440, 24.7758520, 52.9244240");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7053199, 1.7561226, 2.0706477, 4.0288581, 12.0306150, 17.1216320, 40.2346390", \
+					  "1.7047252, 1.7551623, 2.0706346, 4.0201451, 12.0301940, 17.1014190, 40.2294940", \
+					  "1.7043303, 1.7537775, 2.0702435, 4.0255084, 12.0305270, 17.1343170, 40.1918570", \
+					  "1.7033442, 1.7553445, 2.0696769, 4.0284038, 12.0305990, 17.1330130, 40.2155780", \
+					  "1.7051928, 1.7537255, 2.0707518, 4.0192663, 12.0315660, 17.0783210, 40.2247230", \
+					  "1.7057811, 1.7563353, 2.0701847, 4.0290735, 12.0304850, 17.0897570, 40.1906560", \
+					  "1.7045111, 1.7533991, 2.0702731, 4.0284784, 12.0282220, 17.1032360, 40.2373140");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7442489, 8.9502254, 10.2097390, 17.9596750, 65.7418160, 360.8680300, 2179.8710000", \
+					  "8.9005221, 9.1055066, 10.3636050, 18.1185160, 65.9335980, 361.0721300, 2181.6172000", \
+					  "9.0542631, 9.2568593, 10.5159390, 18.2723010, 66.0271840, 361.0101100, 2180.8830000", \
+					  "9.1924228, 9.3998098, 10.6550950, 18.4074680, 66.2073130, 361.4674400, 2179.0540000", \
+					  "9.3116288, 9.5169943, 10.7775360, 18.5069010, 66.3225490, 361.8366400, 2181.3785000", \
+					  "9.4254151, 9.6278647, 10.8871710, 18.6230460, 66.4394260, 361.5556900, 2180.8441000", \
+					  "9.5258414, 9.7399653, 10.9917770, 18.7479100, 66.5480330, 361.5302000, 2179.9094000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1562330, 13.5795260, 16.0684190, 31.4534820, 127.2711100, 716.2036600, 4351.4724000", \
+					  "13.1687330, 13.5742830, 16.0751930, 31.4843050, 127.2014300, 715.8865300, 4352.2887000", \
+					  "13.1446250, 13.5731490, 16.0428120, 31.4824720, 127.3361800, 716.0701300, 4352.4092000", \
+					  "13.1715680, 13.5521150, 16.0752940, 31.4924190, 127.3317600, 716.5719500, 4351.2459000", \
+					  "13.1405620, 13.5523390, 16.0714910, 31.5052270, 127.3402700, 717.0774000, 4349.0090000", \
+					  "13.1658010, 13.5710140, 16.0415630, 31.5038980, 127.3372600, 715.7786400, 4351.1163000", \
+					  "13.1701780, 13.5672580, 16.0795640, 31.4932540, 127.3374600, 716.1523900, 4351.8621000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4507850, 8.6560199, 9.9248521, 17.6450270, 65.4684340, 359.9354300, 2172.4015000", \
+					  "8.6040215, 8.8037027, 10.0782390, 17.7980760, 65.4951860, 360.0951000, 2172.8008000", \
+					  "8.7593515, 8.9637178, 10.2319130, 17.9465580, 65.6791460, 359.6746600, 2172.6746000", \
+					  "8.8920862, 9.0945953, 10.3544900, 18.1245060, 65.8948660, 359.9295400, 2172.7938000", \
+					  "9.0102397, 9.2218961, 10.4923980, 18.1919420, 65.8605900, 359.8730400, 2173.0118000", \
+					  "9.1301846, 9.3274082, 10.6071340, 18.3400050, 66.0470790, 360.1953700, 2173.0816000", \
+					  "9.2265688, 9.4486508, 10.7087290, 18.4243930, 66.0436830, 360.4865500, 2173.0652000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3624840, 13.7704910, 16.2563440, 31.6061060, 127.2077000, 715.3774400, 4341.5430000", \
+					  "13.3612950, 13.7607450, 16.2553330, 31.6112650, 126.7993900, 715.3846600, 4341.0078000", \
+					  "13.3665220, 13.7699400, 16.2137730, 31.6256390, 127.0720700, 714.9899400, 4341.4302000", \
+					  "13.3602170, 13.7599400, 16.2392140, 31.5944070, 126.7192000, 714.9558300, 4341.4880000", \
+					  "13.3660900, 13.7353330, 16.2498160, 31.6061060, 127.1478300, 715.1630300, 4341.5630000", \
+					  "13.3653200, 13.7537950, 16.2234030, 31.6053600, 127.0078200, 715.2171400, 4341.5616000", \
+					  "13.3271080, 13.7317300, 16.2495740, 31.6347020, 127.0828700, 715.4507700, 4341.7581000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.9084368, 2.9372962, 3.1121441, 4.1839150, 10.2472910, 22.1606800, 43.5934840", \
+					  "3.0622762, 3.0907872, 3.2663887, 4.3297805, 10.3924340, 22.3090280, 43.7444820", \
+					  "3.2156311, 3.2466636, 3.4244989, 4.4852984, 10.5555960, 22.4673420, 43.8962080", \
+					  "3.3571403, 3.3856495, 3.5565668, 4.6241801, 10.6881670, 22.6043630, 44.0404760", \
+					  "3.4766632, 3.5057692, 3.6807881, 4.7424598, 10.8133770, 22.7299640, 44.1629990", \
+					  "3.5873438, 3.6161136, 3.7939261, 4.8581716, 10.9215580, 22.8371030, 44.2648410", \
+					  "3.6922221, 3.7252170, 3.9022514, 4.9663615, 11.0348340, 22.9351310, 44.3815150");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7654165, 1.8181019, 2.1471105, 4.1974602, 11.6111830, 13.9637870, 26.8882390", \
+					  "1.7640548, 1.8166648, 2.1423030, 4.1970356, 11.6071700, 13.9518340, 26.8860450", \
+					  "1.7642732, 1.8183782, 2.1430402, 4.1982746, 11.6081140, 14.0041530, 26.8887010", \
+					  "1.7587639, 1.8165692, 2.1430100, 4.1979726, 11.6082460, 13.9581430, 26.9011460", \
+					  "1.7591909, 1.8180673, 2.1443765, 4.1950345, 11.6088320, 13.9629420, 26.8977750", \
+					  "1.7626801, 1.8175577, 2.1457431, 4.1954764, 11.6071010, 14.0069970, 26.9125240", \
+					  "1.7622043, 1.8183085, 2.1479387, 4.2005994, 11.6083930, 14.0008520, 26.9072270");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5480227, 2.5709148, 2.6895954, 3.1029177, 4.4252513, 11.0583670, 36.0837870", \
+					  "2.7013947, 2.7242228, 2.8431275, 3.2572196, 4.5786606, 11.2115650, 36.1931140", \
+					  "2.8599376, 2.8831126, 3.0017602, 3.4144163, 4.7347792, 11.3664250, 36.3751660", \
+					  "2.9915292, 3.0145779, 3.1336944, 3.5464405, 4.8711684, 11.4995290, 36.4907460", \
+					  "3.1063121, 3.1317848, 3.2582284, 3.6624384, 4.9945155, 11.6176370, 36.6379570", \
+					  "3.2293963, 3.2530778, 3.3548309, 3.7753014, 5.1032569, 11.7391540, 36.7265010", \
+					  "3.3370759, 3.3544219, 3.4775125, 3.8872106, 5.2082232, 11.8421330, 36.8326840");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.0756587, 1.0825333, 1.1181571, 1.2015930, 2.5890867, 10.5884000, 38.2197420", \
+					  "1.0760824, 1.0832487, 1.1182596, 1.2011565, 2.5896765, 10.5777000, 38.2529600", \
+					  "1.0758462, 1.0828350, 1.1179605, 1.2024141, 2.5873783, 10.5868190, 38.2632520", \
+					  "1.0756704, 1.0828161, 1.1180996, 1.2030400, 2.5888851, 10.5823360, 38.2564420", \
+					  "1.0758189, 1.0825624, 1.1182564, 1.2013803, 2.5874345, 10.5848540, 38.2615500", \
+					  "1.0757802, 1.0827421, 1.1181558, 1.2023933, 2.5877791, 10.5884620, 38.2426290", \
+					  "1.0769156, 1.0833556, 1.1179824, 1.2017561, 2.5884987, 10.5833260, 38.2377050");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.4519733, 8.6465981, 9.9191118, 17.6418040, 65.5070880, 359.5077900, 2174.3424000", \
+					  "8.6042382, 8.8034753, 10.0808450, 17.7937930, 65.4924580, 359.6499000, 2174.5875000", \
+					  "8.7578567, 8.9635863, 10.2348500, 17.9921020, 65.8136590, 359.8343900, 2172.6278000", \
+					  "8.8940135, 9.1013934, 10.3739020, 18.1292710, 65.8091820, 360.0304100, 2172.8156000", \
+					  "9.0120436, 9.2278869, 10.4920860, 18.2023590, 66.0249740, 360.0542300, 2173.0752000", \
+					  "9.1300674, 9.3346477, 10.5889200, 18.3224650, 66.0770500, 360.1767600, 2173.0393000", \
+					  "9.2373877, 9.4563610, 10.7086540, 18.4138980, 66.1145380, 360.5373400, 2175.0693000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3640090, 13.7593680, 16.2487840, 31.6045860, 127.1301100, 715.3310500, 4340.2601000", \
+					  "13.3613460, 13.7607740, 16.2522830, 31.6193760, 126.6673600, 715.3152500, 4340.6526000", \
+					  "13.3640160, 13.7704930, 16.2519210, 31.5922540, 127.1300200, 714.7100400, 4341.5275000", \
+					  "13.3615950, 13.7661590, 16.2543970, 31.6094780, 127.1339200, 715.1659800, 4341.5234000", \
+					  "13.3485520, 13.7485320, 16.2244580, 31.6209420, 126.7193000, 715.3322500, 4341.5466000", \
+					  "13.3632940, 13.7563570, 16.2454650, 31.6297570, 127.1433400, 714.9884000, 4341.4757000", \
+					  "13.3649560, 13.7659190, 16.2512440, 31.6269040, 126.8267900, 714.7606300, 4339.1401000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.7426395, 8.9512229, 10.2084350, 17.9678230, 65.7476350, 361.0988100, 2181.1377000", \
+					  "8.8991259, 9.0977172, 10.3613870, 18.1140330, 65.9009630, 361.3492100, 2178.7391000", \
+					  "9.0553947, 9.2549106, 10.5198370, 18.2737030, 66.0906710, 361.4922300, 2179.8198000", \
+					  "9.1896002, 9.3956878, 10.6589770, 18.4147560, 66.2367300, 361.4331100, 2179.9015000", \
+					  "9.3114929, 9.5109742, 10.7793820, 18.5344130, 66.3503240, 361.5532500, 2179.3048000", \
+					  "9.4274091, 9.6228003, 10.8895430, 18.6288960, 66.4358240, 361.7957700, 2181.0533000", \
+					  "9.5357757, 9.7343147, 10.9984160, 18.7420320, 66.5365790, 361.9029800, 2180.9203000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.1625100, 13.5774660, 16.0543560, 31.5060580, 127.3955900, 716.6134400, 4353.8826000", \
+					  "13.1560150, 13.5787060, 16.0617400, 31.4627750, 126.8475500, 716.7622600, 4347.9608000", \
+					  "13.1711510, 13.5731680, 16.0655190, 31.4882380, 127.0863000, 716.7036500, 4351.2687000", \
+					  "13.1690370, 13.5769050, 16.0768110, 31.4895200, 127.1956800, 717.2595200, 4356.2891000", \
+					  "13.1720490, 13.5584680, 16.0768020, 31.4892570, 127.0773400, 717.2594100, 4356.4742000", \
+					  "13.1654810, 13.5583790, 16.0698570, 31.4758530, 126.8846400, 716.4218200, 4351.6470000", \
+					  "13.1712390, 13.5714830, 16.0755040, 31.4593120, 126.8435700, 716.7566900, 4350.6866000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.5361704, 2.5641620, 2.7343736, 3.7509560, 9.9347002, 23.9866950, 52.0994590", \
+					  "2.6726337, 2.7013307, 2.8716038, 3.8879300, 10.0695230, 24.1487350, 52.2348490", \
+					  "2.7843448, 2.8122482, 2.9825089, 3.9992178, 10.1816000, 24.2545560, 52.3392990", \
+					  "2.8687257, 2.8971390, 3.0674243, 4.0838906, 10.2636050, 24.3335470, 52.4419230", \
+					  "2.9367986, 2.9651244, 3.1354055, 4.1520747, 10.3361540, 24.4128680, 52.5382690", \
+					  "2.9990308, 3.0272458, 3.1975249, 4.2144799, 10.4042840, 24.4751060, 52.5830610", \
+					  "3.0490195, 3.0820506, 3.2523693, 4.2645336, 10.4533640, 24.5311180, 52.6569830");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7084734, 1.7578149, 2.0697382, 4.0442361, 11.9919450, 17.0746990, 40.1494480", \
+					  "1.7107358, 1.7550362, 2.0696875, 4.0368899, 11.9931350, 16.9725300, 40.1561520", \
+					  "1.7047137, 1.7619551, 2.0704098, 4.0386627, 11.9937780, 16.9538680, 40.1297980", \
+					  "1.7078140, 1.7583789, 2.0700341, 4.0364969, 11.9971870, 17.0169830, 40.2062850", \
+					  "1.7087984, 1.7595729, 2.0693331, 4.0456976, 11.9898120, 17.0667710, 40.2106830", \
+					  "1.7098858, 1.7597358, 2.0689588, 4.0460146, 11.9890490, 17.0669910, 40.2324820", \
+					  "1.7098922, 1.7600180, 2.0696296, 4.0372745, 11.9878800, 17.0665900, 40.1904610");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.7355713, 2.7658693, 2.9464942, 4.0445087, 10.1416400, 21.9657730, 43.3792980", \
+					  "2.8899834, 2.9196647, 3.1000349, 4.1891006, 10.2950240, 22.1194090, 43.5303280", \
+					  "3.0490497, 3.0777689, 3.2593293, 4.3500266, 10.4479940, 22.2752910, 43.6908360", \
+					  "3.1876881, 3.2176222, 3.3973184, 4.4868762, 10.5925900, 22.4170030, 43.8309160", \
+					  "3.3061884, 3.3346108, 3.5155907, 4.6064814, 10.7065090, 22.5330990, 43.9440310", \
+					  "3.4217531, 3.4505281, 3.6320040, 4.7227555, 10.8205840, 22.6486310, 44.0634620", \
+					  "3.5279364, 3.5568817, 3.7383212, 4.8286015, 10.9242610, 22.7582520, 44.1721360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7644700, 1.8158276, 2.1487168, 4.2019814, 11.5608620, 13.9213700, 26.9071080", \
+					  "1.7645537, 1.8166160, 2.1479836, 4.2024513, 11.5604860, 13.9188320, 26.8620490", \
+					  "1.7614915, 1.8171605, 2.1480107, 4.2009760, 11.5617630, 13.9433790, 26.9168050", \
+					  "1.7645083, 1.8166781, 2.1474558, 4.2024584, 11.5594380, 13.9188210, 26.9070720", \
+					  "1.7633542, 1.8180606, 2.1448516, 4.1981036, 11.5606540, 13.9608270, 26.8743890", \
+					  "1.7613982, 1.8171921, 2.1479922, 4.2009471, 11.5580460, 13.9071950, 26.8965990", \
+					  "1.7629077, 1.8159615, 2.1481359, 4.2010875, 11.5561710, 13.9143360, 26.8837630");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("8.6422931, 8.8532150, 10.1276820, 17.9505350, 66.1811870, 360.5701700, 2173.5859000", \
+					  "8.7805683, 8.9874836, 10.2567870, 18.0938020, 66.2200250, 360.6182600, 2173.6710000", \
+					  "8.8901961, 9.0964560, 10.3670340, 18.2017970, 66.2618690, 360.7069300, 2173.7701000", \
+					  "8.9867784, 9.1790415, 10.4656430, 18.3030940, 66.4411740, 360.9770000, 2174.2389000", \
+					  "9.0545118, 9.2649088, 10.5225100, 18.3573800, 66.4374230, 361.0593100, 2174.3863000", \
+					  "9.1036192, 9.3271124, 10.5781260, 18.4215740, 66.4981100, 361.3860100, 2176.2955000", \
+					  "9.1535908, 9.3644852, 10.6569540, 18.5014550, 66.5261550, 360.8623700, 2176.2828000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("13.3495550, 13.7600140, 16.2594280, 31.6487670, 127.1941800, 715.1012900, 4341.0519000", \
+					  "13.3736570, 13.7885690, 16.2738370, 31.5978500, 127.1091900, 714.9388400, 4341.1642000", \
+					  "13.4369040, 13.8426720, 16.3452430, 31.6842020, 126.9490900, 714.9619700, 4341.1719000", \
+					  "13.3492710, 13.8185840, 16.2357450, 31.5905100, 127.0857700, 715.1859900, 4343.1114000", \
+					  "13.3276540, 13.7607480, 16.2288230, 31.6166720, 126.8713600, 714.1916300, 4339.2038000", \
+					  "13.3601310, 13.7634580, 16.2479810, 31.6143520, 126.6660500, 715.3374200, 4340.4316000", \
+					  "13.3601330, 13.7537940, 16.2329120, 31.5742810, 127.0343100, 715.2788200, 4343.8213000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("2.6881202, 2.7163687, 2.8922142, 3.9551993, 10.0161460, 21.9270380, 43.3597760", \
+					  "2.8414173, 2.8707632, 3.0464096, 4.1116568, 10.1719320, 22.0844660, 43.5156550", \
+					  "2.9999769, 3.0280711, 3.2036693, 4.2680930, 10.3380810, 22.2413960, 43.6744830", \
+					  "3.1398051, 3.1680902, 3.3439063, 4.4068895, 10.4676100, 22.3789570, 43.8116640", \
+					  "3.2562870, 3.2847791, 3.4601910, 4.5317545, 10.5923590, 22.5013700, 43.9327860", \
+					  "3.3721006, 3.4013823, 3.5770242, 4.6419713, 10.7014370, 22.6131750, 44.0460930", \
+					  "3.4777290, 3.5071979, 3.6828773, 4.7485540, 10.8091740, 22.7228120, 44.1538610");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.05077, 1.10244, 1.42106, 3.38598, 15.5032, 90.2279, 551.041");
+					values("1.7631054, 1.8186628, 2.1496196, 4.2017878, 11.6047620, 14.0042230, 26.8944300", \
+					  "1.7623329, 1.8188403, 2.1462485, 4.1971377, 11.6052710, 13.9583870, 26.9245250", \
+					  "1.7635005, 1.8194048, 2.1475657, 4.2005639, 11.5968210, 13.9523840, 26.8976130", \
+					  "1.7634524, 1.8184292, 2.1496716, 4.2017798, 11.6048000, 14.0028310, 26.8939130", \
+					  "1.7648139, 1.8141406, 2.1497100, 4.1928363, 11.6085340, 13.9664880, 26.8661750", \
+					  "1.7629382, 1.8189179, 2.1466333, 4.1970005, 11.6054300, 14.0081370, 26.9379420", \
+					  "1.7608189, 1.8183255, 2.1452227, 4.1965618, 11.6040080, 13.9606480, 26.9121120");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.1609142, -9.2689437, -9.3769732, -9.3709281, -9.3651249, -9.3590799, -9.3530348");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.4521604, 9.5448103, 9.6374601, 9.6345772, 9.6318097, 9.6289268, 9.6260439");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141071;
+			capacitance : 0.140665;
+			fall_capacitance : 0.140260;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3736729, -0.3674170, -0.3611610, -0.3616211, -0.3620628, -0.3625230, -0.3629831");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3721500, 0.3664072, 0.3606644, 0.3586437, 0.3567038, 0.3546831, 0.3526624");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.134025;
+			capacitance : 0.133783;
+			fall_capacitance : 0.133541;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3748791, -0.3675817, -0.3602843, -0.3606042, -0.3609113, -0.3612311, -0.3615510");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3723233, 0.3665500, 0.3607767, 0.3606545, 0.3605373, 0.3604152, 0.3602930");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p60v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_n40C_1v35_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_n40C_1v35_5v50.lib
new file mode 100644
index 0000000..56273de
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_n40C_1v35_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ff_n40C_1v35_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.350000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.350000);
+	voltage_map("VCCHIB",1.350000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.135; 
+			 voh : 1.215; 
+			 vomax : 1.418; 
+			 vomin : -0.068; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.405; 
+			 vih : 0.945; 
+			 vimax : 1.418; 
+			 vimin : -0.068; 
+		}
+	 operating_conditions ("ss_ff_1p35v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.350000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+		is_macro_cell : true;
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.090120e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.2588000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.4200000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.6390000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.6587000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.8861000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.4120000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.0610000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.0120000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.3078000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "107.9570000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.1198000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.4110000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7630000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.5200000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "107.9160000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.7670000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.6582000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.0099000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005866;
+			capacitance : 0.005749;
+			fall_capacitance : 0.005632;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.2309014, -1.7532069, -2.0941262, -2.5803590, -2.9358624, -3.1660034, -3.4941716", \
+					  "-1.1695230, -1.6949746, -2.0288135, -2.5175968, -2.8722533, -3.0591187, -3.3207610", \
+					  "-1.1768639, -1.7006496, -2.0674444, -2.3984883, -2.6576432, -2.9227587, -3.1479241", \
+					  "-1.2286427, -1.6976784, -2.0518380, -2.5208888, -2.7495492, -3.0283291, -3.3196454", \
+					  "-1.1983160, -1.7590249, -2.1655417, -2.5317992, -2.8110220, -3.0748981, -3.3488096", \
+					  "-1.2200795, -1.7807886, -2.2056645, -2.5673165, -2.9103630, -3.1973450, -3.4593412", \
+					  "-1.2487645, -1.7912708, -2.2464921, -2.6470203, -2.8880826, -3.2666152, -3.5601939");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.7038985, -2.3666175, -3.0618907, -3.7739014, -4.4805614, -5.2062783, -5.9049043", \
+					  "-1.6466520, -2.3106085, -2.9969684, -3.7164291, -4.4214278, -5.1371158, -5.8458067", \
+					  "-1.6472052, -2.3104418, -2.9917886, -3.7068059, -4.4211769, -5.1274755, -5.8460660", \
+					  "-1.6441260, -2.3022674, -3.0005922, -3.7263359, -4.4360477, -5.1449797, -5.8588647", \
+					  "-1.6804887, -2.3149073, -3.0535267, -3.7458634, -4.4616788, -5.1675590, -5.8799181", \
+					  "-1.6992006, -2.3732524, -3.0408837, -3.7558838, -4.4750254, -5.2011193, -5.9088891", \
+					  "-1.7553514, -2.3807524, -3.0917171, -3.8144734, -4.5080350, -5.2210913, -5.9117659");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8223631, 2.3432017, 2.7035967, 3.1840286, 3.5196955, 3.7579627, 4.0910238", \
+					  "1.7672725, 2.2909857, 2.6509351, 3.1259791, 3.4827175, 3.8082908, 4.0291577", \
+					  "1.7552689, 2.2822109, 2.6334506, 3.1077792, 3.4648083, 3.7863657, 4.0345889", \
+					  "1.7519103, 2.2910594, 2.6377216, 3.0262699, 3.3570565, 3.5348080, 4.0759541", \
+					  "1.8019872, 2.3159437, 2.7172496, 3.0204899, 3.4711514, 3.7822570, 4.0474653", \
+					  "1.8206990, 2.3524154, 2.7773384, 3.1095222, 3.3929049, 3.6771695, 3.9246586", \
+					  "1.8402287, 2.3754641, 2.8256791, 3.1729013, 3.5113306, 3.7870105, 4.0631412");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7140005, 2.3722864, 3.0687899, 3.7822139, 4.4861180, 5.2085170, 5.9099142", \
+					  "1.6579305, 2.3161407, 3.0038947, 3.7216699, 4.4269098, 5.1406215, 5.8540970", \
+					  "1.6501196, 2.3189158, 3.0041698, 3.7120680, 4.4277451, 5.1326155, 5.8557748", \
+					  "1.6481253, 2.3079372, 2.9876559, 3.7315975, 4.4446569, 5.1487453, 5.8669272", \
+					  "1.6679485, 2.3174616, 3.0605894, 3.7450219, 4.4672362, 5.1759022, 5.8879806", \
+					  "1.6803096, 2.3728409, 3.0518355, 3.7657857, 4.4809119, 5.2240903, 5.9155936", \
+					  "1.7608744, 2.3826501, 3.0983030, 3.8188348, 4.5108862, 5.2499061, 5.9211101");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065343, 0.0133655, 0.0201966, 0.0201112, 0.0200247, 0.0199393, 0.0198538");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079687, 0.0160390, 0.0241094, 0.0240901, 0.0240705, 0.0240512, 0.0240320");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.003924;
+			capacitance : 0.004002;
+			rise_capacitance : 0.004080;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.0664961, 2.6053906, 2.9877770, 3.4867536, 3.8398793, 4.1423703, 4.4274560", \
+					  "2.0325697, 2.5653609, 2.9324883, 3.4451702, 3.7876425, 4.1084441, 4.3564479", \
+					  "2.0207640, 2.5627967, 2.9315826, 3.4257628, 3.7727850, 4.0935866, 4.4484437", \
+					  "2.0219833, 2.5624037, 2.9448806, 3.4422408, 3.5885400, 3.9703443, 4.2850748", \
+					  "2.0384592, 2.5575174, 2.9994707, 3.4265480, 3.7201949, 3.9087540, 4.3887376", \
+					  "2.0617326, 2.5883183, 2.9974819, 3.3538619, 3.7137216, 3.9846622, 4.4730373", \
+					  "2.1041502, 2.6476379, 3.1005789, 3.4859938, 3.8118431, 4.1046831, 4.3995135");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9764737, 2.6006584, 3.3139494, 4.0289548, 4.7342506, 5.4494634, 6.1380739", \
+					  "1.9020526, 2.5450140, 3.2508128, 3.9713795, 4.6774374, 5.3941787, 6.0938870", \
+					  "1.8982332, 2.5449867, 3.2646906, 3.9710158, 4.6789788, 5.3954035, 6.1045580", \
+					  "1.9228053, 2.5517551, 3.2785917, 3.9768125, 4.6958422, 5.4064764, 6.1277941", \
+					  "1.9259490, 2.5924581, 3.2737056, 4.0177024, 4.7229996, 5.4010981, 6.1320631", \
+					  "1.9732445, 2.5856793, 3.3158727, 4.0419133, 4.7676510, 5.4335428, 6.1470596", \
+					  "1.9595788, 2.6655896, 3.3581152, 4.0620150, 4.7842608, 5.4389678, 6.2065200");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.4399359, -1.9804524, -2.3566557, -2.8493583, -3.2056721, -3.5077936, -3.8711049", \
+					  "-1.3774943, -1.9192156, -2.2909507, -2.7910174, -3.1399403, -3.4559710, -3.8345271", \
+					  "-1.3962784, -1.9188579, -2.2987507, -2.7700564, -3.0008757, -3.3392575, -3.4357325", \
+					  "-1.4054117, -1.9109058, -2.3789088, -2.7925826, -3.0084526, -3.2865705, -3.5595617", \
+					  "-1.4408767, -1.9903072, -2.3959596, -2.7302609, -3.0541733, -3.3759337, -3.6166767", \
+					  "-1.4534851, -1.9934003, -2.4604862, -2.8193643, -3.1798597, -3.4685605, -3.7625203", \
+					  "-1.4592818, -2.0108241, -2.4811579, -2.8850575, -3.1810416, -3.5246563, -3.8448886");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.9541575, -2.6103870, -3.3104163, -4.0198566, -4.7259713, -5.4372872, -6.1271541", \
+					  "-1.8924681, -2.5589634, -3.2561406, -3.9615637, -4.6691852, -5.3765718, -6.0636067", \
+					  "-1.8855341, -2.5512498, -3.2576850, -3.9603886, -4.6695862, -5.3672859, -6.0783793", \
+					  "-1.9004862, -2.5676480, -3.2659004, -3.9707630, -4.6738570, -5.3927709, -6.1070519", \
+					  "-1.9200139, -2.5808211, -3.2655918, -3.9704539, -4.6979626, -5.3955140, -6.0731741", \
+					  "-1.9539891, -2.6221229, -3.2961018, -4.0196834, -4.7426145, -5.4203293, -6.1437657", \
+					  "-1.9491046, -2.6585886, -3.3461526, -4.0590497, -4.7758768, -5.4825839, -6.1693989");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0062557, 0.0153220, 0.0243884, 0.0243388, 0.0242887, 0.0242391, 0.0241896");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082267, 0.0184165, 0.0286062, 0.0285913, 0.0285762, 0.0285613, 0.0285464");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005524;
+			capacitance : 0.005650;
+			rise_capacitance : 0.005776;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.2003840, -1.7226850, -2.0666985, -2.5467898, -2.9038189, -3.1339073, -3.4621281", \
+					  "-1.1343801, -1.6596298, -1.9976762, -2.4930400, -2.8358633, -3.0518392, -3.3208904", \
+					  "-1.1430052, -1.6655399, -2.0580412, -2.3959585, -2.6531121, -2.9093025, -3.1356028", \
+					  "-1.1591460, -1.6569761, -2.0311272, -2.3586574, -2.7258269, -2.9993760, -3.2906024", \
+					  "-1.1906865, -1.7050222, -2.1360768, -2.4756332, -2.7931534, -3.0586495, -3.3150757", \
+					  "-1.1941396, -1.7273827, -2.1720951, -2.5389896, -2.8550517, -3.1672673, -3.4352631", \
+					  "-1.2212996, -1.7237967, -2.2088495, -2.5890369, -2.8621427, -3.2636571, -3.5257099");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.6993020, -2.3666491, -3.0538347, -3.7491345, -4.4422086, -5.1362827, -5.8227749", \
+					  "-1.6420045, -2.3108699, -3.0020608, -3.6964582, -4.3893171, -5.0851214, -5.7643279", \
+					  "-1.6431642, -2.2995507, -2.9868325, -3.6835621, -4.3873622, -5.0804176, -5.7851445", \
+					  "-1.6380004, -2.2809334, -2.9788001, -3.6954623, -4.4022694, -5.0871882, -5.7965687", \
+					  "-1.6456639, -2.3215560, -3.0385112, -3.7134642, -4.4095898, -5.1006121, -5.8008373", \
+					  "-1.6915867, -2.3701913, -3.0429796, -3.7565282, -4.4883281, -5.1673823, -5.8361899", \
+					  "-1.7462116, -2.3874842, -3.0850475, -3.7868008, -4.5362582, -5.2246729, -5.9800766");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8147336, 2.3355729, 2.6929186, 3.1794510, 3.5063484, 3.7503310, 4.0818671", \
+					  "1.7594451, 2.2848617, 2.6315266, 3.1164418, 3.4750880, 3.6965684, 4.0217392", \
+					  "1.7506912, 2.2776337, 2.6273502, 3.0986238, 3.4587047, 3.6272776, 4.0140813", \
+					  "1.7534361, 2.2834304, 2.6316210, 2.9950900, 3.3658752, 3.5279928, 3.7712125", \
+					  "1.7974096, 2.3004774, 2.7117511, 3.0204948, 3.4711527, 3.7822570, 3.8692128", \
+					  "1.8130696, 2.3295273, 2.7758862, 3.1065582, 3.3899213, 3.6711863, 3.9201791", \
+					  "1.8264958, 2.3659171, 2.8212180, 3.1655001, 3.5038124, 3.7809660, 4.0601591");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7094229, 2.3692351, 3.0657402, 3.7791652, 4.4830684, 5.2100460, 5.9019401", \
+					  "1.6593637, 2.3190930, 3.0054271, 3.7249086, 4.4268680, 5.1251557, 5.8398378", \
+					  "1.6561075, 2.3158567, 3.0041654, 3.7135973, 4.4238673, 5.1325222, 5.8572763", \
+					  "1.6527029, 2.3079374, 2.9998648, 3.7316011, 4.4400810, 5.1472225, 5.8638794", \
+					  "1.6665536, 2.3164242, 3.0541699, 3.7496027, 4.4672384, 5.1450398, 5.8693257", \
+					  "1.6757319, 2.3757647, 3.0533632, 3.7820385, 4.4837590, 5.1927429, 5.9139771", \
+					  "1.7608743, 2.3918927, 3.0997716, 3.8009971, 4.5090725, 5.2500034, 5.9304555");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065504, 0.0133788, 0.0202072, 0.0201219, 0.0200357, 0.0199504, 0.0198651");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079395, 0.0156231, 0.0233067, 0.0234526, 0.0236002, 0.0237461, 0.0238920");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028555;
+			capacitance : 0.028482;
+			fall_capacitance : 0.028410;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0547258, 0.4084980, 0.8717219, 1.3795761, 1.8935490, 2.4014033, 2.9092575");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3196915, 0.7990832, 1.2784749, 1.7873263, 2.3023084, 2.8111598, 3.3200111");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.031328;
+			capacitance : 0.031679;
+			rise_capacitance : 0.032030;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0228136, 0.0570075, 0.0912014, 0.0937642, 0.0963580, 0.0989209, 0.1014838");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0179422, 0.0457382, 0.0735341, 0.0741028, 0.0746783, 0.0752469, 0.0758155");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.050337;
+			capacitance : 0.050715;
+			rise_capacitance : 0.051092;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0076622, -0.0077931, -0.0079239, -0.0079362, -0.0079487, -0.0079610, -0.0079734");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079760, 0.0079842, 0.0079923, 0.0079520, 0.0079111, 0.0078708, 0.0078304");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016422;
+			capacitance : 0.016254;
+			fall_capacitance : 0.016086;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0120845, 0.0118774, 0.0116703, 0.0116565, 0.0116425, 0.0116287, 0.0116149");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0138499, 0.0138096, 0.0137692, 0.0137487, 0.0137279, 0.0137073, 0.0136868");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.035442;
+			capacitance : 0.034846;
+			fall_capacitance : 0.034249;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.3697492, 0.8854287, 1.2674245, 1.6295123, 1.9414315, 2.2975577, 2.5505685", \
+					  "0.3129359, 0.8310047, 1.2094710, 1.5962609, 1.8233370, 2.1192444, 2.5334582", \
+					  "0.3011302, 0.8420096, 1.2260085, 1.5577103, 1.8967672, 2.1959428, 2.4168559", \
+					  "0.3176080, 0.8453876, 1.2772599, 1.6090290, 1.9059461, 2.1503295, 2.4858560", \
+					  "0.3401875, 0.8576211, 1.2738995, 1.6468974, 1.9724964, 2.2756377, 2.4976783", \
+					  "0.3711064, 0.8903941, 1.2570095, 1.6560170, 2.0195868, 2.3040819, 2.5712808", \
+					  "0.4043689, 0.9080776, 1.3178431, 1.6664083, 2.0482716, 2.3556550, 2.6187877");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.4887688, 1.1625340, 1.8768940, 2.5882923, 3.3172487, 4.0348887, 4.7283562", \
+					  "0.4284393, 1.1073138, 1.8140370, 2.5305272, 3.2621013, 3.9750224, 4.6667668", \
+					  "0.4293864, 1.1024506, 1.8270045, 2.5358742, 3.2498307, 3.9788304, 4.6673654", \
+					  "0.4396954, 1.1217625, 1.8418867, 2.5416314, 3.2930104, 3.9676629, 4.6417521", \
+					  "0.4592230, 1.1383496, 1.8884452, 2.5753169, 3.2724002, 3.9952884, 4.6733868", \
+					  "0.4901419, 1.1772818, 1.9029629, 2.6291032, 3.3325014, 4.0305286, 4.7184987", \
+					  "0.5218786, 1.1970194, 1.9329296, 2.6662399, 3.3605938, 4.0960500, 4.7582332");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0834640, -0.5901775, -1.0026918, -1.2901232, -1.6698994, -1.9805166, -2.2353212", \
+					  "-0.0174922, -0.5454418, -0.9520974, -1.2349067, -1.4744671, -1.8203179, -2.0879373", \
+					  "-0.0163676, -0.5437051, -0.9699218, -1.2893505, -1.6124904, -1.9294150, -2.1898152", \
+					  "-0.0343714, -0.5627273, -0.9771630, -1.3532892, -1.6656187, -1.9298007, -2.1524020", \
+					  "-0.0676320, -0.5542603, -1.0094936, -1.3499287, -1.7012635, -1.9890380, -2.2624018", \
+					  "-0.1122838, -0.5886018, -1.0155237, -1.3987738, -1.7088851, -2.0071131, -2.2974346", \
+					  "-0.1119770, -0.6224508, -1.0385823, -1.3984630, -1.7183307, -2.0434274, -2.3111379");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.4847813, -1.1561412, -1.8711621, -2.5838986, -3.3119362, -4.0274017, -4.7431736", \
+					  "-0.4224351, -1.1008495, -1.8082410, -2.5248636, -3.2565366, -3.9702519, -4.6830945", \
+					  "-0.4207320, -1.1004702, -1.8256847, -2.5307196, -3.2491820, -3.9603043, -4.6953918", \
+					  "-0.4341581, -1.1176095, -1.8411520, -2.5369255, -3.2330538, -3.9780807, -4.6849246", \
+					  "-0.4536859, -1.1324102, -1.8844867, -2.5760846, -3.2801952, -3.9970220, -4.6828392", \
+					  "-0.4846047, -1.1668832, -1.8989629, -2.6237434, -3.3334928, -4.0356675, -4.7453554", \
+					  "-0.5132896, -1.1906241, -1.9272352, -2.6607531, -3.3553926, -4.0812586, -4.7970176");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0275132, -0.0013858, -0.0302849, -0.0568678, -0.0837709, -0.1103537, -0.1369366");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0434808, 0.2060541, 0.3686273, 0.3686334, 0.3686395, 0.3686455, 0.3686515");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.013004;
+			capacitance : 0.013363;
+			rise_capacitance : 0.013722;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("9.0437345, 19.8060540, 30.5683740, 31.9649810, 33.3784150, 34.7750210, 36.1716280");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("7.3678581, 16.1909490, 25.0140410, 26.3447570, 27.6915050, 29.0222200, 30.3529350");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005929;
+			capacitance : 0.006049;
+			rise_capacitance : 0.006169;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1088313, -1.6311330, -1.9735892, -2.4552371, -2.8122662, -3.1386724, -3.3870242", \
+					  "-1.0425801, -1.5710403, -1.9122132, -2.3935835, -2.5613786, -3.0739659, -3.2720748", \
+					  "-1.0445150, -1.5568002, -2.0036432, -2.3195350, -2.6204112, -3.0075988, -3.2779354", \
+					  "-1.0567178, -1.5873775, -1.9600208, -2.2930408, -2.5594428, -2.9201279, -3.2157525", \
+					  "-1.0686160, -1.6209819, -2.0571557, -2.3930322, -2.7383446, -3.0299791, -3.3323255", \
+					  "-1.1056384, -1.6395701, -2.0821495, -2.4459110, -2.7731297, -3.0977784, -3.4208811", \
+					  "-1.1327975, -1.6583880, -2.0985462, -2.4924271, -2.7858488, -3.1678351, -3.4995015");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.7023736, -2.3756416, -3.0773674, -3.7834369, -4.4882291, -5.2203545, -5.9116727", \
+					  "-1.6543541, -2.3225104, -3.0222679, -3.7289942, -4.4290027, -5.1524937, -5.8543039", \
+					  "-1.6618262, -2.3146484, -2.9966653, -3.7163407, -4.4330106, -5.1277682, -5.8544251", \
+					  "-1.6487038, -2.3128166, -3.0099647, -3.7328187, -4.4498180, -5.1514261, -5.8793652", \
+					  "-1.6804920, -2.3292716, -3.0600100, -3.7553979, -4.4678196, -5.1572206, -5.8927891", \
+					  "-1.7022558, -2.3835784, -3.0490028, -3.7807670, -4.4865375, -5.2087487, -5.9267601", \
+					  "-1.7645099, -2.4010862, -3.1009315, -3.8261986, -4.5127184, -5.2215056, -5.9146028");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8559325, 2.3798217, 2.7371572, 3.2206498, 3.5532648, 3.7945907, 4.1245969", \
+					  "1.8052216, 2.3275848, 2.6788168, 3.1736643, 3.5296158, 3.8393678, 4.0814865", \
+					  "1.7955327, 2.3228233, 2.6609075, 3.1461021, 3.5075330, 3.8469595, 4.0718631", \
+					  "1.8022642, 2.3200500, 2.6789114, 3.0533982, 3.3618389, 3.7780701, 4.1169679", \
+					  "1.8096164, 2.3293752, 2.7790013, 3.1315282, 3.4448456, 3.7822574, 4.0840036", \
+					  "1.8496906, 2.3729308, 2.8035659, 3.1392005, 3.4063381, 3.6927457, 3.9470727", \
+					  "1.8717821, 2.4085143, 2.8585392, 3.2099883, 3.5436257, 3.8168973, 4.1083259");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7246817, 2.3860194, 3.0794658, 3.7928836, 4.4967903, 5.2207104, 5.9221022", \
+					  "1.6688225, 2.3312892, 3.0161349, 3.7355441, 4.4405056, 5.1601011, 5.8617327", \
+					  "1.6660954, 2.3203586, 3.0169492, 3.7242634, 4.4417299, 5.1342844, 5.8678838", \
+					  "1.6511771, 2.3231961, 3.0151164, 3.7407413, 4.4553293, 5.1563614, 5.8882706", \
+					  "1.7119518, 2.3357305, 3.0697394, 3.7617947, 4.4763831, 5.1758889, 5.8971168", \
+					  "1.6925167, 2.3889777, 3.0670888, 3.7792825, 4.4944149, 5.2350265, 5.9234584", \
+					  "1.7608745, 2.4089557, 3.1085746, 3.8277686, 4.5199873, 5.2499425, 5.9243741");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065506, 0.0134005, 0.0202504, 0.0201714, 0.0200915, 0.0200125, 0.0199336");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079669, 0.0159253, 0.0238837, 0.0239003, 0.0239171, 0.0239337, 0.0239503");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017322;
+			capacitance : 0.017187;
+			fall_capacitance : 0.017052;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0103926, -0.0277785, -0.0659496, -0.0923307, -0.1190296, -0.1454107, -0.1717918");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0218080, 0.1704611, 0.3191141, 0.3191874, 0.3192615, 0.3193348, 0.3194080");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004604;
+			capacitance : 0.004680;
+			rise_capacitance : 0.004756;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9245777, 2.4607234, 2.8418321, 3.1438173, 3.6799855, 4.0468054, 4.2346089", \
+					  "1.8677631, 2.4100125, 2.8001946, 3.0901739, 3.6124173, 3.9196449, 4.2210118", \
+					  "1.8703308, 2.4012586, 2.7961001, 3.0828267, 3.6100492, 3.9139428, 4.0999611", \
+					  "1.8648059, 2.4055294, 2.8125780, 3.1161063, 3.5182853, 3.8477144, 4.1528113", \
+					  "1.8987761, 2.4227634, 2.8615335, 3.2342345, 3.5543267, 3.6538344, 4.0622637", \
+					  "1.9473616, 2.4496368, 2.8726730, 3.2107017, 3.5244115, 3.7853132, 4.0656983", \
+					  "1.9409514, 2.4757359, 2.9435979, 3.3071211, 3.6405464, 3.9207258, 4.2101205");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9551368, 2.6375598, 3.3292423, 4.0414972, 4.7431493, 5.4616767, 6.1629420", \
+					  "1.9273139, 2.5883749, 3.2663557, 3.9756849, 4.6878606, 5.3969032, 6.1076533", \
+					  "1.9063529, 2.5796210, 3.2786858, 3.9729724, 4.6932874, 5.3899274, 6.0943226", \
+					  "1.9106239, 2.5823657, 3.2740482, 3.9740960, 4.7032141, 5.4202152, 6.1331294", \
+					  "1.9484621, 2.5866347, 3.2691619, 3.9905721, 4.7410523, 5.4412690, 6.1633386", \
+					  "1.9980431, 2.6022414, 3.3274510, 4.0413315, 4.7612899, 5.4538763, 6.1652655", \
+					  "1.9790758, 2.6688989, 3.3509940, 4.0697999, 4.7861304, 5.4556216, 6.2047947");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.3117531, -1.8508415, -2.2367883, -2.6987456, -3.0503033, -3.4095664, -3.6197378", \
+					  "-1.2440846, -1.7786921, -2.1705270, -2.6258582, -2.9856066, -3.0776066, -3.5489129", \
+					  "-1.2626229, -1.7873986, -2.1819004, -2.5650910, -2.8924414, -3.2509186, -3.5665008", \
+					  "-1.2749371, -1.8086900, -2.2245267, -2.5156874, -2.8710534, -3.1922844, -3.5087686", \
+					  "-1.2914130, -1.8414224, -2.2614390, -2.5979289, -2.9181219, -3.1926241, -3.4468954", \
+					  "-1.3070732, -1.8848376, -2.3307866, -2.6917623, -2.9974784, -3.3517628, -3.6164638", \
+					  "-1.3494910, -1.8845505, -2.3547848, -2.7477282, -3.0696623, -3.3966782, -3.6722684");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.9633508, -2.6212712, -3.3211979, -4.0252869, -4.7246635, -5.4526483, -6.1587772", \
+					  "-1.9141635, -2.5777202, -3.2717923, -3.9669034, -4.6678467, -5.3895712, -6.1095899", \
+					  "-1.9054096, -2.5697732, -3.2685574, -3.9704912, -4.6742829, -5.3894855, -6.0945575", \
+					  "-1.9218875, -2.5859114, -3.2675278, -3.9624612, -4.6923556, -5.3882967, -6.0974774", \
+					  "-1.9110186, -2.5825508, -3.2641671, -3.9819891, -4.7301937, -5.3986692, -6.0941169", \
+					  "-1.9891106, -2.6222615, -3.3088003, -4.0348158, -4.7519572, -5.4402693, -6.1692861", \
+					  "-2.0292092, -2.6584350, -3.3429106, -4.0497616, -4.7753154, -5.4826869, -6.1888159");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0061647, 0.0151540, 0.0241433, 0.0240456, 0.0239467, 0.0238489, 0.0237512");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082146, 0.0178451, 0.0274756, 0.0274615, 0.0274471, 0.0274329, 0.0274187");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005780;
+			capacitance : 0.005548;
+			fall_capacitance : 0.005315;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1018227, -0.1011809, -0.1005392, -0.1002850, -0.1000278, -0.0997736, -0.0995194");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1072333, 0.1070905, 0.1069476, 0.1070891, 0.1072322, 0.1073736, 0.1075151");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.2309014, -1.1695230, -1.1768639, -1.2286427, -1.1983160, -1.2200795, -1.2487645", \
+					  "-1.7532069, -1.6949746, -1.7006496, -1.6976784, -1.7590249, -1.7807886, -1.7912708", \
+					  "-2.0941262, -2.0288135, -2.0674444, -2.0518380, -2.1655417, -2.2056645, -2.2464921", \
+					  "-2.5803590, -2.5175968, -2.3984883, -2.5208888, -2.5317992, -2.5673165, -2.6470203", \
+					  "-2.9358624, -2.8722533, -2.6576432, -2.7495492, -2.8110220, -2.9103630, -2.8880826", \
+					  "-3.1660034, -3.0591187, -2.9227587, -3.0283291, -3.0748981, -3.1973450, -3.2666152", \
+					  "-3.4941716, -3.3207610, -3.1479241, -3.3196454, -3.3488096, -3.4593412, -3.5601939");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8223631, 1.7672725, 1.7552689, 1.7519103, 1.8019872, 1.8206990, 1.8402287", \
+					  "2.3432017, 2.2909857, 2.2822109, 2.2910594, 2.3159437, 2.3524154, 2.3754641", \
+					  "2.7035967, 2.6509351, 2.6334506, 2.6377216, 2.7172496, 2.7773384, 2.8256791", \
+					  "3.1840286, 3.1259791, 3.1077792, 3.0262699, 3.0204899, 3.1095222, 3.1729013", \
+					  "3.5196955, 3.4827175, 3.4648083, 3.3570565, 3.4711514, 3.3929049, 3.5113306", \
+					  "3.7579627, 3.8082908, 3.7863657, 3.5348080, 3.7822570, 3.6771695, 3.7870105", \
+					  "4.0910238, 4.0291577, 4.0345889, 4.0759541, 4.0474653, 3.9246586, 4.0631412");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3697492, 0.3129359, 0.3011302, 0.3176080, 0.3401875, 0.3711064, 0.4043689", \
+					  "0.8854287, 0.8310047, 0.8420096, 0.8453876, 0.8576211, 0.8903941, 0.9080776", \
+					  "1.2674245, 1.2094710, 1.2260085, 1.2772599, 1.2738995, 1.2570095, 1.3178431", \
+					  "1.6295123, 1.5962609, 1.5577103, 1.6090290, 1.6468974, 1.6560170, 1.6664083", \
+					  "1.9414315, 1.8233370, 1.8967672, 1.9059461, 1.9724964, 2.0195868, 2.0482716", \
+					  "2.2975577, 2.1192444, 2.1959428, 2.1503295, 2.2756377, 2.3040819, 2.3556550", \
+					  "2.5505685, 2.5334582, 2.4168559, 2.4858560, 2.4976783, 2.5712808, 2.6187877");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0834640, -0.0174922, -0.0163676, -0.0343714, -0.0676320, -0.1122838, -0.1119770", \
+					  "-0.5901775, -0.5454418, -0.5437051, -0.5627273, -0.5542603, -0.5886018, -0.6224508", \
+					  "-1.0026918, -0.9520974, -0.9699218, -0.9771630, -1.0094936, -1.0155237, -1.0385823", \
+					  "-1.2901232, -1.2349067, -1.2893505, -1.3532892, -1.3499287, -1.3987738, -1.3984630", \
+					  "-1.6698994, -1.4744671, -1.6124904, -1.6656187, -1.7012635, -1.7088851, -1.7183307", \
+					  "-1.9805166, -1.8203179, -1.9294150, -1.9298007, -1.9890380, -2.0071131, -2.0434274", \
+					  "-2.2353212, -2.0879373, -2.1898152, -2.1524020, -2.2624018, -2.2974346, -2.3111379");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.1088313, -1.0425801, -1.0445150, -1.0567178, -1.0686160, -1.1056384, -1.1327975", \
+					  "-1.6311330, -1.5710403, -1.5568002, -1.5873775, -1.6209819, -1.6395701, -1.6583880", \
+					  "-1.9735892, -1.9122132, -2.0036432, -1.9600208, -2.0571557, -2.0821495, -2.0985462", \
+					  "-2.4552371, -2.3935835, -2.3195350, -2.2930408, -2.3930322, -2.4459110, -2.4924271", \
+					  "-2.8122662, -2.5613786, -2.6204112, -2.5594428, -2.7383446, -2.7731297, -2.7858488", \
+					  "-3.1386724, -3.0739659, -3.0075988, -2.9201279, -3.0299791, -3.0977784, -3.1678351", \
+					  "-3.3870242, -3.2720748, -3.2779354, -3.2157525, -3.3323255, -3.4208811, -3.4995015");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8559325, 1.8052216, 1.7955327, 1.8022642, 1.8096164, 1.8496906, 1.8717821", \
+					  "2.3798217, 2.3275848, 2.3228233, 2.3200500, 2.3293752, 2.3729308, 2.4085143", \
+					  "2.7371572, 2.6788168, 2.6609075, 2.6789114, 2.7790013, 2.8035659, 2.8585392", \
+					  "3.2206498, 3.1736643, 3.1461021, 3.0533982, 3.1315282, 3.1392005, 3.2099883", \
+					  "3.5532648, 3.5296158, 3.5075330, 3.3618389, 3.4448456, 3.4063381, 3.5436257", \
+					  "3.7945907, 3.8393678, 3.8469595, 3.7780701, 3.7822574, 3.6927457, 3.8168973", \
+					  "4.1245969, 4.0814865, 4.0718631, 4.1169679, 4.0840036, 3.9470727, 4.1083259");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.9245777, 1.8677631, 1.8703308, 1.8648059, 1.8987761, 1.9473616, 1.9409514", \
+					  "2.4607234, 2.4100125, 2.4012586, 2.4055294, 2.4227634, 2.4496368, 2.4757359", \
+					  "2.8418321, 2.8001946, 2.7961001, 2.8125780, 2.8615335, 2.8726730, 2.9435979", \
+					  "3.1438173, 3.0901739, 3.0828267, 3.1161063, 3.2342345, 3.2107017, 3.3071211", \
+					  "3.6799855, 3.6124173, 3.6100492, 3.5182853, 3.5543267, 3.5244115, 3.6405464", \
+					  "4.0468054, 3.9196449, 3.9139428, 3.8477144, 3.6538344, 3.7853132, 3.9207258", \
+					  "4.2346089, 4.2210118, 4.0999611, 4.1528113, 4.0622637, 4.0656983, 4.2101205");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.3117531, -1.2440846, -1.2626229, -1.2749371, -1.2914130, -1.3070732, -1.3494910", \
+					  "-1.8508415, -1.7786921, -1.7873986, -1.8086900, -1.8414224, -1.8848376, -1.8845505", \
+					  "-2.2367883, -2.1705270, -2.1819004, -2.2245267, -2.2614390, -2.3307866, -2.3547848", \
+					  "-2.6987456, -2.6258582, -2.5650910, -2.5156874, -2.5979289, -2.6917623, -2.7477282", \
+					  "-3.0503033, -2.9856066, -2.8924414, -2.8710534, -2.9181219, -2.9974784, -3.0696623", \
+					  "-3.4095664, -3.0776066, -3.2509186, -3.1922844, -3.1926241, -3.3517628, -3.3966782", \
+					  "-3.6197378, -3.5489129, -3.5665008, -3.5087686, -3.4468954, -3.6164638, -3.6722684");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.0664961, 2.0325697, 2.0207640, 2.0219833, 2.0384592, 2.0617326, 2.1041502", \
+					  "2.6053906, 2.5653609, 2.5627967, 2.5624037, 2.5575174, 2.5883183, 2.6476379", \
+					  "2.9877770, 2.9324883, 2.9315826, 2.9448806, 2.9994707, 2.9974819, 3.1005789", \
+					  "3.4867536, 3.4451702, 3.4257628, 3.4422408, 3.4265480, 3.3538619, 3.4859938", \
+					  "3.8398793, 3.7876425, 3.7727850, 3.5885400, 3.7201949, 3.7137216, 3.8118431", \
+					  "4.1423703, 4.1084441, 4.0935866, 3.9703443, 3.9087540, 3.9846622, 4.1046831", \
+					  "4.4274560, 4.3564479, 4.4484437, 4.2850748, 4.3887376, 4.4730373, 4.3995135");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.4399359, -1.3774943, -1.3962784, -1.4054117, -1.4408767, -1.4534851, -1.4592818", \
+					  "-1.9804524, -1.9192156, -1.9188579, -1.9109058, -1.9903072, -1.9934003, -2.0108241", \
+					  "-2.3566557, -2.2909507, -2.2987507, -2.3789088, -2.3959596, -2.4604862, -2.4811579", \
+					  "-2.8493583, -2.7910174, -2.7700564, -2.7925826, -2.7302609, -2.8193643, -2.8850575", \
+					  "-3.2056721, -3.1399403, -3.0008757, -3.0084526, -3.0541733, -3.1798597, -3.1810416", \
+					  "-3.5077936, -3.4559710, -3.3392575, -3.2865705, -3.3759337, -3.4685605, -3.5246563", \
+					  "-3.8711049, -3.8345271, -3.4357325, -3.5595617, -3.6166767, -3.7625203, -3.8448886");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3773342, 0.3210351, 0.3163609, 0.3267353, 0.3523666, 0.3817596, 0.4134963", \
+					  "0.8898358, 0.8413671, 0.8638267, 0.8480293, 0.8590282, 0.8992336, 0.9144349", \
+					  "1.2723701, 1.2156282, 1.2458717, 1.2970636, 1.2843137, 1.2692783, 1.3199174", \
+					  "1.6289938, 1.5910157, 1.5660174, 1.6144206, 1.6346904, 1.6884975, 1.6683319", \
+					  "1.9416169, 1.8081315, 1.9012299, 1.9172424, 1.9777220, 2.0394231, 2.0543752", \
+					  "2.2652900, 2.1100413, 2.2019411, 2.1891851, 2.2798124, 2.3516853, 2.3541292", \
+					  "2.5799903, 2.5365099, 2.4654410, 2.4831635, 2.5058609, 2.5928742, 2.6065808");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0712091, 0.0008450, -0.0026081, -0.0160342, -0.0553984, -0.0969984, -0.0921140", \
+					  "-0.5756193, -0.5353071, -0.5340385, -0.5504568, -0.5457782, -0.5797464, -0.6131598", \
+					  "-1.0006995, -0.9388566, -0.9575219, -0.9650840, -1.0027960, -0.9812191, -1.0327903", \
+					  "-1.2930435, -1.2397903, -1.2833880, -1.3410822, -1.3392476, -1.3554580, -1.3965699", \
+					  "-1.5689277, -1.4958863, -1.5942451, -1.6453314, -1.6459228, -1.7012558, -1.7042364", \
+					  "-1.8163430, -1.7885178, -1.9122947, -1.9164365, -1.9884522, -2.0101649, -2.0281687", \
+					  "-2.2550177, -2.0720820, -2.1869173, -2.1475852, -2.2543098, -2.2898913, -2.2958790");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.8519275, -1.8126155, -1.7909359, -1.7921549, -1.8103042, -1.8441844, -1.8621881", \
+					  "-2.3699060, -2.3191941, -2.3144497, -2.3116593, -2.3228412, -2.3822908, -2.3976616", \
+					  "-2.7166344, -2.6617881, -2.6543964, -2.6675430, -2.7491005, -2.7986950, -2.8518230", \
+					  "-3.2090212, -3.1582703, -3.1498490, -3.0454649, -3.1263762, -3.1367439, -3.2065286", \
+					  "-3.5492657, -3.5270872, -3.5005622, -3.3576494, -3.4932683, -3.4540887, -3.5447618", \
+					  "-3.7877290, -3.7324394, -3.8421574, -3.7252572, -3.7950418, -3.6957947, -3.8076104", \
+					  "-4.1214780, -4.0692580, -4.0040697, -3.8125527, -4.1100547, -3.9412089, -4.0857874");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8605044, 1.8235264, 1.7995136, 1.8007327, 1.8188679, 1.8497117, 1.8707671", \
+					  "2.3800413, 2.3293303, 2.3246516, 2.3172178, 2.3295712, 2.3877329, 2.4093306", \
+					  "2.7357208, 2.6807430, 2.6609970, 2.6774750, 2.7579846, 2.8050791, 2.8602630", \
+					  "3.2221757, 3.1730563, 3.1554693, 3.0527386, 3.1340526, 3.1403816, 3.2133783", \
+					  "3.5578425, 3.5385197, 3.5060071, 3.3626224, 3.4612198, 3.4590680, 3.5499837", \
+					  "3.7936204, 3.8428361, 3.8515371, 3.6992576, 3.8005677, 3.7007216, 3.8157644", \
+					  "4.2324764, 4.0851010, 4.0755810, 4.1265891, 4.1040143, 3.9446329, 4.0923330");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.2003840, -1.1343801, -1.1430052, -1.1591460, -1.1906865, -1.1941396, -1.2212996", \
+					  "-1.7226850, -1.6596298, -1.6655399, -1.6569761, -1.7050222, -1.7273827, -1.7237967", \
+					  "-2.0666985, -1.9976762, -2.0580412, -2.0311272, -2.1360768, -2.1720951, -2.2088495", \
+					  "-2.5467898, -2.4930400, -2.3959585, -2.3586574, -2.4756332, -2.5389896, -2.5890369", \
+					  "-2.9038189, -2.8358633, -2.6531121, -2.7258269, -2.7931534, -2.8550517, -2.8621427", \
+					  "-3.1339073, -3.0518392, -2.9093025, -2.9993760, -3.0586495, -3.1672673, -3.2636571", \
+					  "-3.4621281, -3.3208904, -3.1356028, -3.2906024, -3.3150757, -3.4352631, -3.5257099");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8147336, 1.7594451, 1.7506912, 1.7534361, 1.7974096, 1.8130696, 1.8264958", \
+					  "2.3355729, 2.2848617, 2.2776337, 2.2834304, 2.3004774, 2.3295273, 2.3659171", \
+					  "2.6929186, 2.6315266, 2.6273502, 2.6316210, 2.7117511, 2.7758862, 2.8212180", \
+					  "3.1794510, 3.1164418, 3.0986238, 2.9950900, 3.0204948, 3.1065582, 3.1655001", \
+					  "3.5063484, 3.4750880, 3.4587047, 3.3658752, 3.4711527, 3.3899213, 3.5038124", \
+					  "3.7503310, 3.6965684, 3.6272776, 3.5279928, 3.7822570, 3.6711863, 3.7809660", \
+					  "4.0818671, 4.0217392, 4.0140813, 3.7712125, 3.8692128, 3.9201791, 4.0601591");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-1.1225573, -1.0472130, -1.0706532, -1.0719972, -1.0976285, -1.1270223, -1.1602849", \
+					  "-1.6374873, -1.5710030, -1.5663987, -1.6098127, -1.6493119, -1.6707105, -1.6892328", \
+					  "-1.9689079, -1.9076316, -2.0005146, -1.9646022, -2.0592914, -2.0883752, -2.0985462", \
+					  "-2.4582886, -2.3874984, -2.3210482, -2.3282222, -2.3921049, -2.4504887, -2.4893445", \
+					  "-2.8168438, -2.5562554, -2.6192350, -2.5794053, -2.7603339, -2.7750224, -2.8056852", \
+					  "-3.0492886, -3.0243545, -2.8713091, -2.9048983, -2.9953952, -3.0901485, -3.1794487", \
+					  "-3.4117739, -3.1484521, -3.1060032, -3.2053355, -3.2798348, -3.3813107, -3.5312510");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("2.0954898, 2.0421860, 2.0390765, 2.0494509, 2.0735563, 2.0892752, 2.1287654", \
+					  "2.6134990, 2.5608009, 2.5634375, 2.5705118, 2.5900396, 2.6186020, 2.6829919", \
+					  "2.9706922, 2.9139993, 2.9128345, 2.9198164, 2.9961531, 2.9776146, 3.1102685", \
+					  "3.4571610, 3.4049242, 3.3927623, 3.3970369, 3.4291779, 3.3495087, 3.4527368", \
+					  "3.7943535, 3.7591923, 3.7409922, 3.5659924, 3.7046315, 3.6558848, 3.7670634", \
+					  "4.0347159, 4.0465660, 4.0567996, 3.9805726, 3.8967610, 4.0997906, 4.0552155", \
+					  "4.4705153, 4.3155855, 4.3675257, 4.3217019, 4.2975239, 4.3900632, 4.3003350");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.8162546, 1.7640178, 1.7498592, 1.7534312, 1.7823139, 1.8252902, 1.8234579", \
+					  "2.3387581, 2.2865210, 2.2777671, 2.2835638, 2.3020621, 2.3295273, 2.3754772", \
+					  "2.6954694, 2.6294998, 2.6268494, 2.6311203, 2.7148224, 2.7782570, 2.8166576", \
+					  "3.1825028, 3.1197430, 3.1016756, 3.0198440, 3.0182388, 3.1072707, 3.1686716", \
+					  "3.5151178, 3.4811916, 3.4632824, 3.3638259, 3.4790844, 3.3955317, 3.5074422", \
+					  "3.7511894, 3.8030168, 3.7851063, 3.5293036, 3.7868347, 3.6773158, 3.7758799", \
+					  "4.0861024, 4.0255193, 4.0319000, 4.0703745, 4.0445294, 3.9299002, 4.0630799");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.8540038, -0.7865073, -0.7884836, -0.7897026, -0.8290668, -0.8554089, -0.8688350", \
+					  "-1.3764642, -1.3080950, -1.3077515, -1.3488265, -1.3729776, -1.3905181, -1.4050346", \
+					  "-1.7105690, -1.6502412, -1.6845955, -1.7505117, -1.8009053, -1.8058843, -1.8163057", \
+					  "-2.2019410, -2.0451844, -2.0619002, -2.0744563, -2.1597421, -2.1956669, -2.1877308", \
+					  "-2.5574444, -2.3834594, -2.3927517, -2.3827280, -2.4962894, -2.5526962, -2.5584929", \
+					  "-2.9060265, -2.5209412, -2.6759776, -2.6256614, -2.7979485, -2.8905331, -2.8460398", \
+					  "-3.0655693, -2.7804549, -2.9398301, -2.9747511, -3.0602911, -3.1581328, -3.2220877");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.022541;
+			capacitance : 0.023294;
+			rise_capacitance : 0.023577;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.3773342, 0.8898358, 1.2723701, 1.6289938, 1.9416169, 2.2652900, 2.5799903", \
+					  "0.3210351, 0.8413671, 1.2156282, 1.5910157, 1.8081315, 2.1100413, 2.5365099", \
+					  "0.3163609, 0.8638267, 1.2458717, 1.5660174, 1.9012299, 2.2019411, 2.4654410", \
+					  "0.3267353, 0.8480293, 1.2970636, 1.6144206, 1.9172424, 2.1891851, 2.4831635", \
+					  "0.3523666, 0.8590282, 1.2843137, 1.6346904, 1.9777220, 2.2798124, 2.5058609", \
+					  "0.3817596, 0.8992336, 1.2692783, 1.6884975, 2.0394231, 2.3516853, 2.5928742", \
+					  "0.4134963, 0.9144349, 1.3199174, 1.6683319, 2.0543752, 2.3541292, 2.6065808");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.4887427, 1.1712741, 1.8721503, 2.5854219, 3.3139070, 4.0256117, 4.7178544", \
+					  "0.4304549, 1.1172652, 1.8107579, 2.5255554, 3.2325361, 3.9779520, 4.6747728", \
+					  "0.4280102, 1.1018450, 1.8375149, 2.5268084, 3.2597516, 3.9837545, 4.6645104", \
+					  "0.4458082, 1.1220232, 1.8444537, 2.5607163, 3.2536645, 3.9914306, 4.6539317", \
+					  "0.4683865, 1.1366911, 1.8870710, 2.5982548, 3.2980799, 4.0187039, 4.7440317", \
+					  "0.4947289, 1.1712345, 1.9075404, 2.6247387, 3.3626431, 4.0415487, 4.7487998", \
+					  "0.5142586, 1.1981675, 1.9265721, 2.6586498, 3.3808110, 4.1283597, 4.7628095");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.0712091, -0.5756193, -1.0006995, -1.2930435, -1.5689277, -1.8163430, -2.2550177", \
+					  "0.0008450, -0.5353071, -0.9388566, -1.2397903, -1.4958863, -1.7885178, -2.0720820", \
+					  "-0.0026081, -0.5340385, -0.9575219, -1.2833880, -1.5942451, -1.9122947, -2.1869173", \
+					  "-0.0160342, -0.5504568, -0.9650840, -1.3410822, -1.6453314, -1.9164365, -2.1475852", \
+					  "-0.0553984, -0.5457782, -1.0027960, -1.3392476, -1.6459228, -1.9884522, -2.2543098", \
+					  "-0.0969984, -0.5797464, -0.9812191, -1.3554580, -1.7012558, -2.0101649, -2.2898913", \
+					  "-0.0921140, -0.6131598, -1.0327903, -1.3965699, -1.7042364, -2.0281687, -2.2958790");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.4832175, -1.1656442, -1.8682395, -2.5815816, -3.3083518, -4.0217604, -4.7126664", \
+					  "-0.4188236, -1.1115828, -1.8022690, -2.5201887, -3.2606919, -3.9725744, -4.6680581", \
+					  "-0.4146473, -1.0964897, -1.8303764, -2.5217021, -3.2545426, -3.9784071, -4.6560668", \
+					  "-0.4387557, -1.1163632, -1.8393302, -2.5567259, -3.2463300, -3.9866485, -4.6500099", \
+					  "-0.4582834, -1.1309925, -1.8830781, -2.5948463, -3.2906772, -3.9809562, -4.6529173", \
+					  "-0.4830976, -1.1661180, -1.9020147, -2.6162165, -3.3589517, -4.0365726, -4.7321198", \
+					  "-0.5102567, -1.1926450, -1.9254577, -2.6583333, -3.3829070, -4.1247731, -4.7578996");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005827;
+			capacitance : 0.005949;
+			rise_capacitance : 0.006071;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.8519275, -2.3699060, -2.7166344, -3.2090212, -3.5492657, -3.7877290, -4.1214780", \
+					  "-1.8126155, -2.3191941, -2.6617881, -3.1582703, -3.5270872, -3.7324394, -4.0692580", \
+					  "-1.7909359, -2.3144497, -2.6543964, -3.1498490, -3.5005622, -3.8421574, -4.0040697", \
+					  "-1.7921549, -2.3116593, -2.6675430, -3.0454649, -3.3576494, -3.7252572, -3.8125527", \
+					  "-1.8103042, -2.3228412, -2.7491005, -3.1263762, -3.4932683, -3.7950418, -4.1100547", \
+					  "-1.8441844, -2.3822908, -2.7986950, -3.1367439, -3.4540887, -3.6957947, -3.9412089", \
+					  "-1.8621881, -2.3976616, -2.8518230, -3.2065286, -3.5447618, -3.8076104, -4.0857874");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1011731, -1.7668357, -2.4652528, -3.1755207, -3.8704868, -4.5734729, -5.3173641", \
+					  "-1.0289400, -1.7069233, -2.3950037, -3.1065117, -3.8154770, -4.5212338, -5.2433859", \
+					  "-1.0538184, -1.7022251, -2.4027389, -3.1274034, -3.8308563, -4.5441990, -5.2205881", \
+					  "-1.0444937, -1.7090051, -2.4254895, -3.1119924, -3.8442824, -4.5625274, -5.2774267", \
+					  "-1.0792802, -1.7437029, -2.4491360, -3.1476077, -3.8482610, -4.5835808, -5.2939024", \
+					  "-1.0918885, -1.7762109, -2.4797057, -3.1808833, -3.8928196, -4.6530532, -5.3477096", \
+					  "-1.1144699, -1.7563757, -2.5264745, -3.2192311, -3.9519434, -4.6852159, -5.3695574");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8605044, 2.3800413, 2.7357208, 3.2221757, 3.5578425, 3.7936204, 4.2324764", \
+					  "1.8235264, 2.3293303, 2.6807430, 3.1730563, 3.5385197, 3.8428361, 4.0851010", \
+					  "1.7995136, 2.3246516, 2.6609970, 3.1554693, 3.5060071, 3.8515371, 4.0755810", \
+					  "1.8007327, 2.3172178, 2.6774750, 3.0527386, 3.3626224, 3.6992576, 4.1265891", \
+					  "1.8188679, 2.3295712, 2.7579846, 3.1340526, 3.4612198, 3.8005677, 4.1040143", \
+					  "1.8497117, 2.3877329, 2.8050791, 3.1403816, 3.4590680, 3.7007216, 3.9446329", \
+					  "1.8707671, 2.4093306, 2.8602630, 3.2133783, 3.5499837, 3.8157644, 4.0923330");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7460377, 2.3997877, 3.0956858, 3.8086608, 4.5119720, 5.2489211, 5.9334487", \
+					  "1.6768452, 2.3452538, 3.0338610, 3.7436465, 4.4542932, 5.1505540, 5.8776271", \
+					  "1.6799181, 2.3360494, 3.0221166, 3.7385142, 4.4462299, 5.1479996, 5.8769725", \
+					  "1.6938953, 2.3416902, 3.0206553, 3.7504149, 4.4674591, 5.1308596, 5.8401073", \
+					  "1.6945833, 2.3840286, 3.0829075, 3.7729937, 4.4854607, 5.1671021, 5.8947303", \
+					  "1.7172113, 2.4008447, 3.0839187, 3.8174431, 4.5076314, 5.2376937, 5.9378563", \
+					  "1.7704559, 2.4315481, 3.1255277, 3.8423378, 4.5411539, 5.2587493, 5.9680672");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065694, 0.0133829, 0.0201964, 0.0200938, 0.0199900, 0.0198875, 0.0197849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079741, 0.0160686, 0.0241631, 0.0241410, 0.0241187, 0.0240966, 0.0240746");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.019212;
+			capacitance : 0.019967;
+			rise_capacitance : 0.020721;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.6754477, 1.5177186, 2.3599896, 3.3429253, 4.3377037, 5.3206395, 6.3035753");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("14.1568830, 30.6247920, 47.0927010, 48.3539990, 49.6304930, 50.8917900, 52.1530870");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			fall_capacitance : 0.033298;
+			capacitance : 0.033434;
+			rise_capacitance : 0.033570;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0584686, 0.7152385, 1.4889457, 2.4023119, 3.3266826, 4.2400488, 5.1534150");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1481253, 1.9655171, 2.7829089, 3.7016172, 4.6313944, 5.5501028, 6.4688112");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006308;
+			capacitance : 0.006189;
+			fall_capacitance : 0.006070;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.1225573, -1.6374873, -1.9689079, -2.4582886, -2.8168438, -3.0492886, -3.4117739", \
+					  "-1.0472130, -1.5710030, -1.9076316, -2.3874984, -2.5562554, -3.0243545, -3.1484521", \
+					  "-1.0706532, -1.5663987, -2.0005146, -2.3210482, -2.6192350, -2.8713091, -3.1060032", \
+					  "-1.0719972, -1.6098127, -1.9646022, -2.3282222, -2.5794053, -2.9048983, -3.2053355", \
+					  "-1.0976285, -1.6493119, -2.0592914, -2.3921049, -2.7603339, -2.9953952, -3.2798348", \
+					  "-1.1270223, -1.6707105, -2.0883752, -2.4504887, -2.7750224, -3.0901485, -3.3813107", \
+					  "-1.1602849, -1.6892328, -2.0985462, -2.4893445, -2.8056852, -3.1794487, -3.5312510");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.9678692, -2.6398134, -3.3360074, -4.0491230, -4.7551991, -5.4847622, -6.1811957", \
+					  "-1.9473771, -2.5926789, -3.2804405, -3.9927436, -4.7044872, -5.4368822, -6.1245495", \
+					  "-1.9192849, -2.5851667, -3.2781544, -3.9789760, -4.6852644, -5.4241671, -6.1232559", \
+					  "-1.9325108, -2.5891961, -3.2777607, -3.9985057, -4.7091595, -5.4127828, -6.1290530", \
+					  "-1.9459349, -2.6010944, -3.2927107, -4.0088783, -4.7439459, -5.4536728, -6.1638394", \
+					  "-1.9952046, -2.6280562, -3.3315612, -4.0531904, -4.7702870, -5.4739104, -6.1856029", \
+					  "-2.0116823, -2.6897260, -3.3746290, -4.0794343, -4.7684232, -5.4927722, -6.2397325");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.0954898, 2.6134990, 2.9706922, 3.4571610, 3.7943535, 4.0347159, 4.4705153", \
+					  "2.0421860, 2.5608009, 2.9139993, 3.4049242, 3.7591923, 4.0465660, 4.3155855", \
+					  "2.0390765, 2.5634375, 2.9128345, 3.3927623, 3.7409922, 4.0567996, 4.3675257", \
+					  "2.0494509, 2.5705118, 2.9198164, 3.3970369, 3.5659924, 3.9805726, 4.3217019", \
+					  "2.0735563, 2.5900396, 2.9961531, 3.4291779, 3.7046315, 3.8967610, 4.2975239", \
+					  "2.0892752, 2.6186020, 2.9776146, 3.3495087, 3.6558848, 4.0997906, 4.3900632", \
+					  "2.1287654, 2.6829919, 3.1102685, 3.4527368, 3.7670634, 4.0552155, 4.3003350");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.9962819, 2.6500322, 3.3459218, 4.0604118, 4.7652542, 5.4854106, 6.1922683", \
+					  "1.9441651, 2.5996676, 3.2887087, 3.9968125, 4.7145432, 5.4187044, 6.1343093", \
+					  "1.9265008, 2.5905674, 3.2834051, 3.9856880, 4.6951996, 5.4219614, 6.1328034", \
+					  "1.9365101, 2.5948379, 3.2830985, 4.0097954, 4.7176896, 5.4210612, 6.1401260", \
+					  "1.9575637, 2.6052105, 3.3102554, 4.0186426, 4.7524760, 5.4619512, 6.1205363", \
+					  "2.0007285, 2.6318104, 3.3363097, 4.0619608, 4.7788172, 5.4821887, 6.1804471", \
+					  "2.0233098, 2.7076386, 3.3883587, 4.0935675, 4.7807339, 5.4988003, 6.2532493");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065765, 0.0133853, 0.0201941, 0.0200782, 0.0199610, 0.0198452, 0.0197293");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079279, 0.0160721, 0.0242162, 0.0241236, 0.0240299, 0.0239373, 0.0238447");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013485;
+			capacitance : 0.013451;
+			fall_capacitance : 0.013418;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.8162546, 2.3387581, 2.6954694, 3.1825028, 3.5151178, 3.7511894, 4.0861024", \
+					  "1.7640178, 2.2865210, 2.6294998, 3.1197430, 3.4811916, 3.8030168, 4.0255193", \
+					  "1.7498592, 2.2777671, 2.6268494, 3.1016756, 3.4632824, 3.7851063, 4.0319000", \
+					  "1.7534312, 2.2835638, 2.6311203, 3.0198440, 3.3638259, 3.5293036, 4.0703745", \
+					  "1.7823139, 2.3020621, 2.7148224, 3.0182388, 3.4790844, 3.7868347, 4.0445294", \
+					  "1.8252902, 2.3295273, 2.7782570, 3.1072707, 3.3955317, 3.6773158, 3.9299002", \
+					  "1.8234579, 2.3754772, 2.8166576, 3.1686716, 3.5074422, 3.7758799, 4.0630799");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.7124701, 2.3738303, 3.0678773, 3.7819954, 4.4870470, 5.2313401, 5.9134833", \
+					  "1.6539439, 2.3147662, 3.0029817, 3.7230137, 4.4278162, 5.1512886, 5.8543426", \
+					  "1.6623185, 2.3173497, 3.0047099, 3.7133752, 4.4233708, 5.1340197, 5.8474930", \
+					  "1.6435430, 2.3079552, 2.9882690, 3.7329049, 4.4455859, 5.1486800, 5.8149253", \
+					  "1.6518530, 2.3187299, 3.0596179, 3.7463288, 4.4666393, 5.1480320, 5.8694594", \
+					  "1.7031864, 2.3728564, 3.0465804, 3.7733139, 4.4833726, 5.2236622, 5.9003782", \
+					  "1.7639148, 2.3938436, 3.0987677, 3.8191200, 4.5064626, 5.2550189, 5.9185366");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.8540038, -1.3764642, -1.7105690, -2.2019410, -2.5574444, -2.9060265, -3.0655693", \
+					  "-0.7865073, -1.3080950, -1.6502412, -2.0451844, -2.3834594, -2.5209412, -2.7804549", \
+					  "-0.7884836, -1.3077515, -1.6845955, -2.0619002, -2.3927517, -2.6759776, -2.9398301", \
+					  "-0.7897026, -1.3488265, -1.7505117, -2.0744563, -2.3827280, -2.6256614, -2.9747511", \
+					  "-0.8290668, -1.3729776, -1.8009053, -2.1597421, -2.4962894, -2.7979485, -3.0602911", \
+					  "-0.8554089, -1.3905181, -1.8058843, -2.1956669, -2.5526962, -2.8905331, -3.1581328", \
+					  "-0.8688350, -1.4050346, -1.8163057, -2.1877308, -2.5584929, -2.8460398, -3.2220877");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-1.7115274, -2.3755579, -3.0708333, -3.7748046, -4.4774319, -5.1831812, -5.9076861", \
+					  "-1.6512629, -2.3181565, -3.0157925, -3.7159391, -4.4166956, -5.1181012, -5.8505882", \
+					  "-1.6446546, -2.3038830, -2.9913809, -3.7000782, -4.4242354, -5.1257658, -5.8456877", \
+					  "-1.6365672, -2.3127315, -3.0034292, -3.7211338, -4.4390196, -5.1310362, -5.8662219", \
+					  "-1.6549685, -2.3162127, -3.0564792, -3.7437131, -4.4570216, -5.1551414, -5.8964306", \
+					  "-1.6961447, -2.3660049, -3.0513873, -3.7649756, -4.4718186, -5.2097639, -5.9065976", \
+					  "-1.7431401, -2.3811741, -3.0947358, -3.8147580, -4.5053949, -5.2238455, -5.9074782");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079404, 0.0160258, 0.0241112, 0.0240864, 0.0240613, 0.0240365, 0.0240117");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0065695, 0.0134010, 0.0202326, 0.0201490, 0.0200644, 0.0199808, 0.0198971");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.031500;
+			max_transition : 5.081715;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02165334, 0.04688671, 0.1015254, 0.2198363, 0.476019, 1.03074");
+					values("9.2025296, 9.4162450, 9.4754537, 9.4916803, 9.4962886, 9.4846026, 9.3435505", \
+					  "9.0757579, 9.2928334, 9.4169329, 9.3066912, 9.3698516, 9.4364850, 9.4445428", \
+					  "7.3960300, 7.6113213, 7.6739717, 7.6851312, 7.6674726, 7.7272902, 7.7209628", \
+					  "7.4757926, 7.6875728, 7.7429617, 7.7184223, 7.7177448, 7.7168575, 7.7080425", \
+					  "7.8433648, 7.8436988, 7.8441923, 7.8400992, 7.7808862, 7.7506760, 7.7506205", \
+					  "7.8668478, 7.8669545, 7.8671314, 7.8742438, 7.8691879, 7.7862473, 7.7510142", \
+					  "7.9406065, 7.9406854, 7.9413993, 7.8751831, 7.9163039, 7.8429121, 7.7661913");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02165334, 0.04688671, 0.1015254, 0.2198363, 0.476019, 1.03074");
+					values("6.8980765, 6.9186616, 6.9168315, 6.9128061, 6.9224390, 6.9309122, 6.9341423", \
+					  "6.8298774, 6.8231068, 6.8281358, 6.8371581, 6.8393265, 6.8498771, 6.8503090", \
+					  "8.8303210, 8.8309629, 8.8341374, 8.8389339, 8.8475321, 8.8541171, 8.8565093", \
+					  "8.8343855, 8.8403078, 8.8490951, 8.8575900, 8.8671188, 8.8726552, 8.8771846", \
+					  "8.7547513, 8.7530989, 8.7623294, 8.7782481, 8.8081296, 8.8545412, 8.8684624", \
+					  "8.7406983, 8.7444531, 8.7471046, 8.7438980, 8.7606155, 8.7546637, 8.8111272", \
+					  "8.7660903, 8.7679239, 8.7666419, 8.7679820, 8.7703169, 8.7694123, 8.7711635");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("11.7126389, 11.7167374, 11.7112019, 11.7135401, 11.7100542, 11.7093614, 11.7083472", \
+					  "56.2744483, 56.2720543, 56.2654143, 56.2677973, 55.6949053, 55.6013553, 55.5814403", \
+					  "85.8850700, 85.9473330, 85.9030900, 85.9491920, 85.9660680, 85.9628970, 85.3211880", \
+					  "123.2803600, 123.5923900, 123.4684700, 123.5800900, 123.5736000, 123.6077100, 123.4174600", \
+					  "160.6434400, 160.6564600, 160.6149700, 160.6326300, 160.6269300, 160.6215900, 160.6711600", \
+					  "197.6086500, 197.4499500, 197.5677800, 197.5139900, 197.5003200, 197.6651500, 197.4595300", \
+					  "234.0754500, 234.1740400, 234.0230800, 234.1269400, 234.0918800, 233.7961800, 234.0494200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("10.2626852, 10.2627992, 10.2697342, 10.2748922, 10.2790522, 10.2853162, 10.2888652", \
+					  "27.2934529, 27.3349189, 27.4188209, 27.5801779, 27.7327019, 27.7468989, 27.7588509", \
+					  "55.7599280, 55.7586440, 55.7609380, 55.7666070, 55.9185300, 55.7737430, 55.8246640", \
+					  "75.1660960, 75.1656170, 75.1646800, 75.1666510, 75.2672020, 75.1512320, 75.1452650", \
+					  "94.7139870, 94.7077420, 94.7100450, 94.7438100, 94.8467970, 94.7352750, 94.6466380", \
+					  "114.3932200, 114.3984900, 114.3943800, 114.4016100, 114.5091500, 114.3774000, 114.3834400", \
+					  "134.0759400, 134.0495100, 134.0447100, 134.0311200, 134.1682300, 134.0339200, 134.0145200");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("9.8602812, 9.8602029, 9.8624008, 9.8574758, 9.8545538, 9.8547645, 9.8512522", \
+					  "22.7570103, 22.7489703, 22.7371103, 22.7522753, 22.7465753, 22.0721243, 22.0580523", \
+					  "25.5606630, 25.5062050, 25.5110030, 25.5165700, 25.5795990, 25.5519220, 24.9281740", \
+					  "36.9091810, 36.9764910, 36.8585930, 36.8924680, 36.8639460, 36.8251710, 36.9319540", \
+					  "48.1069700, 48.0964610, 47.9885960, 47.9850670, 47.9943130, 48.0981850, 48.0736040", \
+					  "58.9613360, 59.0381840, 58.8999960, 59.0147720, 58.9766430, 58.9118820, 59.0452620", \
+					  "69.9375070, 69.8517980, 69.8367610, 69.8635800, 69.8643300, 69.8225230, 69.8070840");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("10.4749182, 10.4777822, 10.4806282, 10.4893892, 10.4935872, 10.5037492, 10.5101122", \
+					  "20.5028459, 20.5199579, 20.5281699, 20.5402919, 20.5590619, 20.5750069, 20.5862349", \
+					  "40.5831380, 40.5837760, 40.5842930, 40.5870750, 40.5834120, 40.5894030, 41.0913590", \
+					  "51.7735230, 51.7544650, 51.7771240, 51.7774050, 51.7774780, 51.7789250, 51.7838030", \
+					  "63.0434320, 63.0427860, 63.0394690, 63.0393520, 63.0465190, 63.0411000, 63.0440560", \
+					  "74.3844150, 74.4011450, 74.3835900, 74.3827990, 74.3824930, 74.3944910, 74.3872460", \
+					  "85.7343920, 85.7280360, 85.7897710, 85.7266690, 85.7669840, 85.7287370, 85.6755920");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("26.2886639, 26.2819699, 26.3160229, 26.2919429, 26.2947689, 26.2840189, 26.2912889", \
+					  "26.2794734, 26.2861144, 26.2804864, 26.2718914, 26.2822904, 26.2387404, 26.2834734", \
+					  "26.2710579, 26.2665459, 26.2707479, 26.2741029, 26.2762639, 26.2662649, 26.2749669", \
+					  "26.2679610, 26.2613570, 26.2668280, 26.2753440, 26.2772610, 26.2683640, 26.2756170", \
+					  "26.2464993, 26.2626633, 26.3139293, 26.2609163, 26.2927133, 26.2638183, 26.2737083", \
+					  "26.2471784, 26.2717304, 26.2736884, 26.2785094, 26.2808474, 26.2635904, 26.3101904", \
+					  "26.2836904, 26.2834284, 26.2889844, 26.2916164, 26.2906364, 26.2876574, 26.2972474");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.04689823, 0.1015628, 0.2199444, 0.4763115, 1.0315");
+					values("22.4450756, 22.4459806, 22.4479706, 22.4473716, 22.4583196, 22.4700866, 22.4806766", \
+					  "22.4494421, 22.4370861, 22.4455531, 22.4299091, 22.4612451, 22.4688241, 22.4690981", \
+					  "22.4240206, 22.4368396, 22.4286676, 22.4491646, 22.4415406, 22.4266436, 22.4622236", \
+					  "22.4293772, 22.4282952, 22.4360052, 22.4335802, 22.4142422, 22.4592822, 22.4644082", \
+					  "22.3852750, 22.4849040, 22.4348190, 22.4513250, 22.4447050, 22.4602530, 22.4501640", \
+					  "22.4083365, 22.4261805, 22.4389065, 22.4334265, 22.4455225, 22.4517845, 22.4578645", \
+					  "22.4268431, 22.4296151, 22.4323451, 22.4363631, 22.4439441, 22.4541941, 22.4577311");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("3.8298675, 3.8698462, 3.9613570, 4.1601980, 4.5774033, 5.4789844, 7.4284592", \
+					  "6.8620003, 6.9037167, 6.9925559, 7.1875665, 7.6092981, 8.5108240, 10.4555170", \
+					  "9.5934389, 9.6350830, 9.7237898, 9.9186686, 10.3403890, 11.2417290, 13.1940670", \
+					  "10.2210370, 10.2627690, 10.3517000, 10.5466440, 10.9684850, 11.8693830, 13.8183220", \
+					  "13.9362100, 13.9779610, 14.0717280, 14.2619150, 14.6836070, 15.5841630, 17.5298000", \
+					  "17.0596690, 17.1016450, 17.1780870, 17.3848440, 17.8061830, 18.7063240, 20.6307430", \
+					  "19.3833550, 19.4251640, 19.5168660, 19.7090880, 20.1307730, 21.0313790, 22.9292340", \
+					  "22.6933670, 22.7349750, 22.8736690, 23.0189730, 23.4406610, 24.3408440, 26.3535770", \
+					  "68.0966320, 68.1276690, 68.3482640, 68.3482705, 68.7998000, 69.8302570, 71.5696520", \
+					  "97.0648060, 97.0906340, 97.0906411, 97.2719430, 97.5932220, 98.5684490, 100.4549700", \
+					  "218.9938300, 219.6403000, 219.6403122, 219.6403275, 219.6403428, 220.6866000, 222.2435900", \
+					  "653.1872100, 654.1133600, 654.1133729, 654.1134339, 654.9555600, 655.2275000, 657.3876600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("0.2981814, 0.3499809, 0.4658383, 0.7163878, 1.2561939, 2.4204339, 4.9608424", \
+					  "0.2978782, 0.3498223, 0.4657133, 0.7162135, 1.2601054, 2.4553544, 5.0340545", \
+					  "0.2976600, 0.3495701, 0.4657576, 0.7161485, 1.2602207, 2.4227134, 5.1361742", \
+					  "0.2978364, 0.3497005, 0.4658294, 0.7162002, 1.2566929, 2.4208799, 4.9734541", \
+					  "0.2978914, 0.3496129, 0.4658243, 0.7164487, 1.2695245, 2.4198208, 4.9502877", \
+					  "0.2976305, 0.3496411, 0.4657554, 0.7163975, 1.2679067, 2.4479767, 4.9295203", \
+					  "0.2978860, 0.3496121, 0.4658687, 0.7164481, 1.2694196, 2.4372802, 4.9919557", \
+					  "0.2978980, 0.3496338, 0.4657377, 0.7164742, 1.2693776, 2.4357951, 5.0368771", \
+					  "0.2976861, 0.3495513, 0.4658646, 0.7164845, 1.2723070, 2.4383884, 5.0509734", \
+					  "0.2978696, 0.3496312, 0.4657771, 0.7162980, 1.2659096, 2.4299687, 4.9753912", \
+					  "0.2978392, 0.3495980, 0.4657707, 0.7161861, 1.2711699, 2.4312004, 4.9525553", \
+					  "0.2976515, 0.3496426, 0.4657776, 0.7164891, 1.2685671, 2.4304880, 4.9521498");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("4.0882551, 4.1173891, 4.1684949, 4.2617208, 4.4314068, 4.7379207, 5.2495541", \
+					  "7.1844810, 7.2111517, 7.2644197, 7.3591275, 7.5265438, 7.8278992, 8.3385983", \
+					  "10.2235880, 10.2503680, 10.3037090, 10.3979520, 10.5655920, 10.8673010, 11.3774060", \
+					  "10.9427480, 10.9680510, 11.0213350, 11.1162550, 11.2834120, 11.5845400, 12.0973700", \
+					  "15.0341450, 15.0563400, 15.1073540, 15.2046220, 15.3766740, 15.6850600, 16.1887140", \
+					  "18.3387370, 18.3680640, 18.4210570, 18.5160240, 18.6858000, 18.9872700, 19.4954990", \
+					  "20.8396540, 20.8656930, 20.9119570, 20.9956940, 21.1813290, 21.4804780, 21.9860950", \
+					  "24.2914080, 24.3138930, 24.3648250, 24.4251750, 24.6642720, 24.9419630, 25.4430250", \
+					  "69.4112570, 69.5195650, 69.5195656, 69.5882110, 69.7757730, 70.0743210, 70.5704300", \
+					  "96.6808430, 96.7011570, 96.7331600, 96.8668630, 96.9579050, 97.2878810, 97.7582890", \
+					  "205.9865800, 206.2407000, 206.2918600, 206.2986400, 206.5266900, 206.8052700, 207.3331300", \
+					  "559.4665700, 559.4665833, 559.4666443, 559.4752100, 559.7007700, 560.1568800, 560.4806600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216507, 0.0468752, 0.101488, 0.219728, 0.475726, 1.02998");
+					values("0.2167962, 0.2333020, 0.2672359, 0.3422726, 0.4932706, 0.7595544, 1.2300889", \
+					  "0.2213151, 0.2388781, 0.2787605, 0.3592069, 0.5043634, 0.7731137, 1.2632120", \
+					  "0.2213995, 0.2381577, 0.2785947, 0.3563359, 0.5044163, 0.7733111, 1.2429513", \
+					  "0.2209821, 0.2379284, 0.2784208, 0.3584347, 0.5046618, 0.7718811, 1.2647540", \
+					  "0.2235702, 0.2520775, 0.2847070, 0.3554803, 0.5082776, 0.7676846, 1.2363875", \
+					  "0.2269208, 0.2399468, 0.2839446, 0.3604892, 0.5039564, 0.7746023, 1.2557669", \
+					  "0.2241842, 0.2407626, 0.2865221, 0.3619032, 0.5070870, 0.7634653, 1.2558603", \
+					  "0.2233933, 0.2514245, 0.2840848, 0.3697790, 0.5071494, 0.7666760, 1.2557203", \
+					  "0.2235309, 0.2409512, 0.2865022, 0.3626279, 0.5050461, 0.7734426, 1.2562765", \
+					  "0.2234160, 0.2512986, 0.2849606, 0.3615494, 0.5042644, 0.7658321, 1.2559050", \
+					  "0.2208303, 0.2409333, 0.2856788, 0.3676742, 0.5072358, 0.7664247, 1.2558148", \
+					  "0.2284735, 0.2434340, 0.2941531, 0.3644770, 0.5025568, 0.7659809, 1.2560241");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("1.4057689, 1.4481135, 1.5403362, 1.7346780, 2.1569109, 3.0616611, 5.0228874", \
+					  "1.8203706, 1.8633859, 1.9534787, 2.1494118, 2.5697744, 3.4792373, 5.4325078", \
+					  "1.9895687, 2.0338764, 2.1247957, 2.3202802, 2.7399343, 3.6514813, 5.6060485", \
+					  "2.0231303, 2.0648709, 2.1557189, 2.3512512, 2.7712594, 3.6801870, 5.6350178", \
+					  "2.1975892, 2.2442455, 2.3346358, 2.5303937, 2.9513272, 3.8619548, 5.8121370", \
+					  "2.3267130, 2.3657332, 2.4567470, 2.6520888, 3.0724459, 3.9816688, 5.9422627", \
+					  "2.4109095, 2.4516258, 2.5423552, 2.7397522, 3.1600844, 4.0724326, 6.0328142", \
+					  "2.5210762, 2.5684082, 2.6584122, 2.8544360, 3.2735950, 4.1833181, 6.1385554", \
+					  "3.7540624, 3.8297130, 3.9062309, 4.0971231, 4.5148862, 5.4141807, 7.3826070", \
+					  "4.4743227, 4.5209081, 4.5814659, 4.8129358, 5.2006270, 6.1381402, 8.0937408", \
+					  "7.2223232, 7.2732829, 7.3643021, 7.5565376, 7.9772784, 8.8872953, 10.8467790", \
+					  "16.6132740, 16.6749330, 16.7592710, 16.9637570, 17.3879740, 18.2728450, 20.2464960");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.2998621, 0.3527665, 0.4665507, 0.7166968, 1.2603714, 2.4310189, 4.9662572", \
+					  "0.3069859, 0.3566244, 0.4743608, 0.7173995, 1.2771725, 2.4265714, 5.0963584", \
+					  "0.3094531, 0.3607446, 0.4785990, 0.7343667, 1.2638395, 2.4311892, 5.0296838", \
+					  "0.3094389, 0.3621139, 0.4785982, 0.7355523, 1.2717144, 2.5040796, 5.0359694", \
+					  "0.3093590, 0.3616071, 0.4773495, 0.7358680, 1.2640213, 2.4956537, 5.0266880", \
+					  "0.3095444, 0.3615359, 0.4780658, 0.7377648, 1.2683370, 2.4295599, 5.0453286", \
+					  "0.3096170, 0.3624861, 0.4780741, 0.7377699, 1.2678506, 2.5121889, 5.0825046", \
+					  "0.3021714, 0.3614674, 0.4781554, 0.7339906, 1.2648619, 2.4304103, 4.9945155", \
+					  "0.3074248, 0.3616487, 0.4779145, 0.7371647, 1.2778475, 2.4662035, 5.1277280", \
+					  "0.3091433, 0.3606560, 0.4698805, 0.7356164, 1.2721371, 2.4432805, 5.0107620", \
+					  "0.3079347, 0.3616147, 0.4779970, 0.7376255, 1.2640167, 2.5138127, 4.9978177", \
+					  "0.3100648, 0.3556380, 0.4779707, 0.7199061, 1.2585670, 2.4507398, 5.0015205");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("2.4483875, 2.4710896, 2.5245774, 2.6145117, 2.7794969, 3.0696214, 3.5568537", \
+					  "3.8319645, 3.8580334, 3.9087866, 3.9984047, 4.1530765, 4.4394737, 4.9336828", \
+					  "5.0814011, 5.1077071, 5.1800413, 5.2343223, 5.3976872, 5.6880620, 6.1849850", \
+					  "5.3714402, 5.3962697, 5.4492553, 5.5242109, 5.6886185, 5.9803233, 6.4757657", \
+					  "7.1672432, 7.1927557, 7.2422690, 7.3258972, 7.4936626, 7.7816846, 8.2685654", \
+					  "8.6790868, 8.7054604, 8.7630836, 8.8494385, 9.0115311, 9.3047063, 9.7897486", \
+					  "9.8669855, 9.8925064, 9.9388879, 10.0242330, 10.1868080, 10.4852800, 10.9681920", \
+					  "11.5308600, 11.5514600, 11.6650960, 11.6989830, 11.8566610, 12.1657980, 12.6625940", \
+					  "36.1934700, 36.2301830, 36.2832960, 36.3605040, 36.5293180, 36.8159550, 37.2108280", \
+					  "52.6648130, 52.6793900, 52.7324000, 52.8213670, 52.9804010, 53.2736060, 53.7754620", \
+					  "126.3964000, 126.4212000, 126.4807100, 126.5368800, 126.7244300, 127.0090700, 127.5035500", \
+					  "412.0198300, 412.0198517, 412.0943200, 412.1554300, 412.3471600, 412.5921300, 413.1314600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.2130459, 0.2279905, 0.2609581, 0.3281681, 0.4683483, 0.7234238, 1.2066548", \
+					  "0.2318574, 0.2447859, 0.2734780, 0.3536702, 0.5039166, 0.7314739, 1.2220009", \
+					  "0.2184909, 0.2325120, 0.2748501, 0.3624358, 0.4851922, 0.7448715, 1.2290585", \
+					  "0.2189142, 0.2334562, 0.2657975, 0.3507121, 0.4843677, 0.7439022, 1.2358666", \
+					  "0.2200624, 0.2350334, 0.2686328, 0.3772978, 0.5113184, 0.7349260, 1.2218555", \
+					  "0.2242959, 0.2396552, 0.2669217, 0.3407913, 0.4779651, 0.7335553, 1.2236456", \
+					  "0.2196676, 0.2359030, 0.2684124, 0.3685640, 0.4833155, 0.7341526, 1.2245670", \
+					  "0.2198116, 0.2399415, 0.2675129, 0.3773290, 0.5144159, 0.7344892, 1.2194221", \
+					  "0.2264891, 0.2361421, 0.2667458, 0.3447563, 0.5125311, 0.7345318, 1.2187018", \
+					  "0.2197960, 0.2335544, 0.2771196, 0.3774638, 0.5091366, 0.7337729, 1.2187786", \
+					  "0.2200025, 0.2359173, 0.2675114, 0.3492744, 0.5098517, 0.7348158, 1.2245497", \
+					  "0.2198972, 0.2356837, 0.2660231, 0.3628401, 0.5092623, 0.7344265, 1.2198360");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("1.3951391, 1.4381893, 1.5293841, 1.7247133, 2.1448952, 3.0489651, 5.0091744", \
+					  "0.8289637, 0.8709978, 0.9621827, 1.1575667, 1.5798090, 2.4832436, 4.4423052", \
+					  "-0.0312953, 0.0117319, 0.1022585, 0.2979766, 0.7189252, 1.6284779, 3.5845413", \
+					  "-0.2468772, -0.2051600, -0.1132071, 0.0818866, 0.5040751, 1.4110242, 3.3713152", \
+					  "-1.6426783, -1.5996600, -1.5091340, -1.3134063, -0.8924651, 0.0154265, 1.9708866", \
+					  "-2.8950198, -2.8536740, -2.7625240, -2.5669127, -2.1466640, -1.2360264, 0.7198780", \
+					  "-3.8866999, -3.8441059, -3.7592738, -3.5635659, -3.1445522, -2.2267201, -0.2792527", \
+					  "-5.3352092, -5.2931344, -5.2005338, -5.0048259, -4.5830819, -3.6733105, -1.7190465", \
+					  "-27.6525040, -27.6361300, -27.5156000, -27.3200680, -26.9000840, -25.9884790, -24.0316160", \
+					  "-42.9856680, -42.9334830, -42.8399500, -42.6450200, -42.2242010, -41.3144580, -39.3577760", \
+					  "-112.5635800, -112.5200600, -112.4243700, -112.2261800, -111.8209000, -110.9018000, -108.9581400", \
+					  "-385.3594100, -385.2782100, -385.2781829, -384.9853000, -384.5669600, -383.6552500, -381.7001800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.3007177, 0.3526437, 0.4665504, 0.7160178, 1.2581970, 2.4217874, 4.9630424", \
+					  "0.3080433, 0.3622598, 0.4789277, 0.7358342, 1.2639803, 2.4570723, 4.9676867", \
+					  "0.3090060, 0.3626293, 0.4779228, 0.7376824, 1.2683827, 2.4786071, 4.9822462", \
+					  "0.3080372, 0.3614727, 0.4701513, 0.7198340, 1.2970930, 2.4474012, 4.9678945", \
+					  "0.3090000, 0.3626339, 0.4779337, 0.7376996, 1.2741722, 2.5101925, 4.9680717", \
+					  "0.3094054, 0.3572876, 0.4783431, 0.7363405, 1.2653864, 2.5072149, 5.0981752", \
+					  "0.3092728, 0.3574749, 0.4785693, 0.7347267, 1.2647506, 2.4969440, 5.0448459", \
+					  "0.3095819, 0.3617881, 0.4770080, 0.7343379, 1.2640233, 2.5082638, 5.0801066", \
+					  "0.3093959, 0.3606905, 0.4785951, 0.7355205, 1.2646079, 2.5131781, 5.0014779", \
+					  "0.3085834, 0.3610133, 0.4778369, 0.7371601, 1.2667273, 2.5049405, 4.9992561", \
+					  "0.3019995, 0.3630569, 0.4800025, 0.7379597, 1.2647767, 2.4294680, 5.0013620", \
+					  "0.3091255, 0.3625986, 0.4804693, 0.7339606, 1.2687686, 2.4992703, 4.9925886");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("2.5622406, 2.5899309, 2.6368536, 2.7275788, 2.8834584, 3.1809873, 3.6692649", \
+					  "4.4339332, 4.4598769, 4.5094231, 4.5898640, 4.7553299, 5.0452114, 5.5399387", \
+					  "6.2061614, 6.2323364, 6.2836416, 6.3732339, 6.5267272, 6.8171211, 7.3102360", \
+					  "6.6087660, 6.6362684, 6.6863710, 6.7778956, 6.9406516, 7.2265030, 7.7218107", \
+					  "9.1549266, 9.1810630, 9.2235970, 9.3168353, 9.4783603, 9.7682173, 10.2622020", \
+					  "11.3308890, 11.3541650, 11.4052860, 11.4988140, 11.6516220, 11.9477600, 12.4370600", \
+					  "13.0106330, 13.0235050, 13.0840370, 13.1696260, 13.3352610, 13.6265040, 14.1166440", \
+					  "15.4049840, 15.4416460, 15.4906830, 15.5753610, 15.7386800, 16.0365180, 16.5310570", \
+					  "50.6592030, 50.6838620, 50.7352280, 50.8266030, 50.9883230, 51.2790270, 51.7687690", \
+					  "74.2109520, 74.2361550, 74.2857220, 74.3741210, 74.5391020, 74.8266440, 75.3193990", \
+					  "179.6581300, 179.6822300, 179.7333800, 179.8283600, 179.9883500, 180.2780200, 180.7676600", \
+					  "587.9278400, 587.9538200, 588.0040700, 588.0976900, 588.2594100, 588.5445400, 589.0416300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216773, 0.0469906, 0.101863, 0.220812, 0.478661, 1.03761");
+					values("0.2131599, 0.2281692, 0.2596061, 0.3280817, 0.4678738, 0.7243535, 1.2181045", \
+					  "0.2184181, 0.2346262, 0.2688925, 0.3617413, 0.4832623, 0.7403637, 1.2175205", \
+					  "0.2248186, 0.2381527, 0.2705201, 0.3546481, 0.5023603, 0.7336389, 1.2253493", \
+					  "0.2366631, 0.2519808, 0.2820599, 0.3548270, 0.4867999, 0.7367467, 1.2318824", \
+					  "0.2170656, 0.2319497, 0.2721511, 0.3438678, 0.4778422, 0.7374421, 1.2271955", \
+					  "0.2296394, 0.2393260, 0.2699906, 0.3566469, 0.4927647, 0.7256602, 1.2265204", \
+					  "0.2205493, 0.2570270, 0.2695787, 0.3747440, 0.4886841, 0.7249859, 1.2082541", \
+					  "0.2389744, 0.2553755, 0.2857924, 0.3659602, 0.4846487, 0.7380079, 1.2263158", \
+					  "0.2168896, 0.2336437, 0.2690761, 0.3591870, 0.4863557, 0.7380552, 1.2260551", \
+					  "0.2264848, 0.2411128, 0.2720444, 0.3444582, 0.4751856, 0.7336881, 1.2162960", \
+					  "0.2264803, 0.2409152, 0.2719485, 0.3422188, 0.4842971, 0.7320066, 1.2297520", \
+					  "0.2256082, 0.2389715, 0.2706331, 0.3560964, 0.4752526, 0.7362414, 1.2262478");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("6.8195458, 6.8620833, 6.9529551, 7.1466455, 7.5671638, 8.4659417, 10.4224750", \
+					  "7.4937052, 7.5365856, 7.6251165, 7.8211286, 8.2411455, 9.1332835, 11.0871740", \
+					  "8.1967864, 8.2393417, 8.3291249, 8.5238900, 8.9444141, 9.8273922, 11.7996390", \
+					  "8.9175014, 8.9600514, 9.0499785, 9.2446202, 9.6651149, 10.5365730, 12.5203420", \
+					  "9.6415320, 9.6798471, 9.7739719, 9.9688364, 10.3888760, 11.2491950, 13.2055810", \
+					  "10.3547800, 10.3956660, 10.4856830, 10.6805380, 11.1005790, 11.9534460, 13.9136240", \
+					  "11.0639990, 11.0662000, 11.1571180, 11.3869260, 11.7720560, 12.6744520, 14.6254540");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("0.3020207, 0.3628403, 0.4780778, 0.7376240, 1.2910808, 2.4286000, 5.0554108", \
+					  "0.3017538, 0.3634306, 0.4780111, 0.7363738, 1.2865470, 2.4178732, 5.0613393", \
+					  "0.3020193, 0.3628756, 0.4780020, 0.7376185, 1.2909160, 2.4285931, 5.0540092", \
+					  "0.3020070, 0.3628809, 0.4780003, 0.7375450, 1.2904826, 2.4183713, 5.0538209", \
+					  "0.3016303, 0.3627520, 0.4780774, 0.7363879, 1.2813947, 2.4282933, 5.0548183", \
+					  "0.3012084, 0.3625444, 0.4780737, 0.7379069, 1.2909904, 2.4345652, 5.0478008", \
+					  "0.3016310, 0.3631015, 0.4780957, 0.7338582, 1.2823402, 2.4192566, 5.0353535");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("7.2798239, 7.3152178, 7.3804829, 7.5051734, 7.7117699, 8.0787013, 8.6941538", \
+					  "7.8153608, 7.8507947, 7.9168867, 8.0408684, 8.2434486, 8.6152081, 9.2307113", \
+					  "8.2492386, 8.2861273, 8.3509830, 8.4746965, 8.6837932, 9.0491445, 9.6641601", \
+					  "8.6114680, 8.6468566, 8.7120018, 8.8368974, 9.0431449, 9.4108046, 10.0257200", \
+					  "8.9463486, 8.9815231, 9.0481000, 9.1718997, 9.3899759, 9.7578150, 10.3640930", \
+					  "9.2545474, 9.3104839, 9.3743759, 9.4744941, 9.7063122, 10.0751250, 10.6686880", \
+					  "9.5801207, 9.6158237, 9.6846735, 9.8051522, 10.0118380, 10.3808340, 10.9940260");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.021656, 0.0468982, 0.101563, 0.219944, 0.476311, 1.0315");
+					values("0.2969592, 0.3140761, 0.3551177, 0.4497953, 0.6282143, 0.9349642, 1.4661464", \
+					  "0.2976950, 0.3145637, 0.3553594, 0.4509813, 0.6282828, 0.9360318, 1.4829674", \
+					  "0.2975467, 0.3139849, 0.3553515, 0.4507626, 0.6288722, 0.9359653, 1.4697492", \
+					  "0.2972744, 0.3143700, 0.3550949, 0.4500759, 0.6283140, 0.9349348, 1.4651255", \
+					  "0.2987561, 0.3154680, 0.3553076, 0.4526499, 0.6282915, 0.9361861, 1.4972917", \
+					  "0.3007666, 0.3138966, 0.3552676, 0.4428622, 0.6282134, 0.9340704, 1.4661792", \
+					  "0.2966551, 0.3138960, 0.3800449, 0.4488996, 0.6283318, 0.9355286, 1.4689124");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.295700;
+			max_transition : 3.760311;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176876, 0.1009254, 0.3206275, 1.018594, 3.235946, 10.2802");
+					values("9.2325195, 9.1333454, 8.8661980, 8.4524139, 7.9443694, 7.2613641, 5.8729417", \
+					  "9.2096421, 9.2485763, 8.8072713, 8.3508681, 7.9127798, 7.2153628, 5.8397735", \
+					  "7.4253667, 7.3206901, 7.0684023, 6.6792990, 6.1328876, 5.6481915, 3.7932344", \
+					  "7.5170200, 7.4123771, 7.1593885, 6.7448742, 6.1935184, 5.4734338, 4.3834862", \
+					  "7.7872951, 7.6608728, 7.3671251, 6.9192070, 6.2772045, 5.5504581, 3.8403226", \
+					  "7.8065662, 7.6832325, 7.3443491, 6.9627576, 6.4770771, 5.5735130, 4.5361033", \
+					  "7.8489854, 7.7260958, 7.4287477, 6.9798091, 6.4966517, 5.8290408, 4.5813804");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176876, 0.1009254, 0.3206275, 1.018594, 3.235946, 10.2802");
+					values("6.4171262, 6.3513715, 6.2662824, 6.1503266, 5.9704288, 5.9011353, 5.8582399", \
+					  "6.3378830, 6.2710866, 6.1826989, 6.0562072, 5.8917867, 5.8368888, 5.7839641", \
+					  "8.3378755, 8.2669913, 8.1908369, 8.0689049, 7.8985507, 7.8339869, 7.7907143", \
+					  "8.2619371, 8.1964964, 8.1118064, 8.0014164, 7.8723738, 7.8503792, 7.8056190", \
+					  "8.6835530, 8.5812893, 8.3470644, 8.0504006, 7.8330250, 7.8172564, 7.8067049", \
+					  "8.6848194, 8.5863001, 8.3505069, 8.0750883, 7.8359021, 7.7449904, 7.7755883", \
+					  "8.7153895, 8.6120989, 8.3728779, 8.0770919, 7.8709105, 7.7471727, 7.8144826");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("9.5503353, 9.4303704, 9.1756368, 8.7647264, 8.3345355, 7.5030225, 5.8533758", \
+					  "22.6692383, 22.5619563, 22.2399903, 21.7797513, 21.3301263, 20.4790573, 18.6221497", \
+					  "25.4965870, 25.3147710, 24.9013500, 24.5330280, 24.0939960, 23.6067730, 21.1156060", \
+					  "36.8197800, 36.7283670, 36.2945680, 35.9435160, 35.5264120, 35.0064210, 33.9876180", \
+					  "47.9499770, 47.7979980, 47.4710270, 47.1127960, 46.6058720, 46.0595970, 45.5926960", \
+					  "58.9609540, 58.7536960, 58.4158620, 58.0874130, 57.6538780, 56.9852500, 56.6344640", \
+					  "69.8862220, 69.6267420, 69.2935850, 68.8548660, 68.4168760, 67.9726340, 67.4035060");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("9.4875032, 9.4325522, 9.4323832, 9.5065522, 9.4388892, 9.4094502, 9.3716892", \
+					  "19.9069649, 19.8015529, 19.5577659, 19.2404639, 19.0311639, 19.4744419, 19.4425939", \
+					  "40.5412820, 40.4299790, 40.1875170, 39.8692990, 39.7428690, 39.5000320, 39.9781180", \
+					  "51.7181370, 51.6415870, 51.3562140, 51.0404390, 50.9069940, 50.6727440, 51.1276400", \
+					  "63.0958900, 62.9666250, 62.6404140, 62.3533310, 62.2241630, 61.9579530, 62.1050020", \
+					  "74.4623880, 74.3461890, 74.0090970, 73.6916010, 73.5457880, 73.3266570, 73.2011400", \
+					  "85.8362890, 85.7281470, 85.3734790, 85.0420080, 84.9435310, 84.6661070, 84.5881560");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("9.5491772, 9.4762652, 9.4118822, 9.3647172, 9.2412862, 9.1932022, 9.1471392", \
+					  "27.1544459, 27.0543829, 26.8055889, 26.4865109, 26.2428659, 26.6437429, 26.6288769", \
+					  "55.7043270, 55.6000730, 55.3556610, 55.0420990, 54.8043210, 54.6740080, 55.1279540", \
+					  "75.1087660, 75.0391280, 74.7634110, 74.4436390, 74.2711590, 74.0828930, 74.4235090", \
+					  "94.8032560, 94.5045780, 94.3355810, 93.9926400, 93.7947710, 93.6407270, 93.4791900", \
+					  "114.4039700, 114.1303100, 113.9888300, 113.6680500, 113.3969100, 113.3115200, 113.1306800", \
+					  "134.0314500, 133.9908500, 133.6708000, 133.3584500, 133.1317400, 132.9541600, 132.8008300");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("11.3928195, 11.2769321, 10.9846156, 10.6000174, 10.1698587, 9.5824526, 7.7174308", \
+					  "56.2074713, 56.0202453, 55.7687283, 55.3023613, 54.8680383, 53.2455453, 51.9454083", \
+					  "85.8900660, 85.5912840, 85.2925990, 84.9812650, 84.5006080, 84.0303660, 81.9775780", \
+					  "123.5387500, 123.1704700, 122.9265200, 122.6657700, 122.2094600, 121.7047700, 119.5298200", \
+					  "160.5450900, 160.4181200, 160.1448600, 159.6510600, 159.1770500, 158.6434700, 158.0723100", \
+					  "197.5585700, 197.2274800, 196.9351300, 196.5977000, 196.1240600, 195.6029400, 194.8892400", \
+					  "234.0657700, 233.9026200, 233.5207900, 233.0460300, 232.7030700, 232.0940400, 231.4855800");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("25.4402369, 25.3272029, 25.0752219, 24.7527069, 24.3410919, 24.2527949, 22.1536909", \
+					  "25.4317714, 25.3183104, 25.0674814, 24.7438724, 24.3163214, 24.2410174, 22.1442694", \
+					  "25.4234949, 25.3089089, 25.0590359, 24.7348719, 24.3223999, 24.2342879, 22.1376299", \
+					  "25.4170930, 25.3095130, 25.0600350, 24.7358290, 24.3284260, 24.2346360, 22.1369770", \
+					  "25.4185453, 25.3097313, 25.0613323, 24.7330623, 24.3253673, 24.2193293, 22.1385643", \
+					  "25.4615344, 25.3117654, 25.0643974, 24.7395234, 24.3380184, 24.2409724, 22.1153964", \
+					  "25.4329764, 25.3257704, 25.0750824, 24.7537784, 24.3401634, 24.2532664, 22.0817064");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.03177674, 0.1009761, 0.3208691, 1.019617, 3.240012, 10.2957");
+					values("21.5060536, 21.3464546, 20.9051696, 20.2413436, 19.3249336, 18.4961156, 18.0675616", \
+					  "21.4859281, 21.3268941, 20.8847631, 20.2583841, 19.2725201, 18.4577101, 18.1491671", \
+					  "21.4850336, 21.3101216, 20.8818686, 20.2119456, 19.3110086, 18.4762876, 18.0413666", \
+					  "21.4745442, 21.3290702, 20.8968632, 20.2174162, 19.3140672, 18.4788722, 18.0662842", \
+					  "21.4839750, 21.3082720, 20.8886950, 20.2353960, 19.2557540, 18.4812590, 18.0948360", \
+					  "21.4857765, 21.3237825, 20.8842385, 20.2107385, 19.3120275, 18.4775975, 18.0686485", \
+					  "21.4878801, 21.3199681, 20.8875641, 20.2145971, 19.3187891, 18.4813341, 18.0624541");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("4.2302206, 4.2392578, 4.2626311, 4.3235322, 4.4942827, 5.0227631, 6.7060428", \
+					  "7.2586182, 7.2673454, 7.2912716, 7.3519235, 7.5226438, 8.0499518, 9.7393906", \
+					  "9.9895781, 9.9984190, 10.0220410, 10.0829360, 10.2535260, 10.7824280, 12.4635010", \
+					  "10.6203060, 10.6291220, 10.6527700, 10.7136610, 10.8842420, 11.4129740, 13.0978690", \
+					  "14.3330380, 14.3416940, 14.3653560, 14.4262420, 14.5966510, 15.1246700, 16.8069430", \
+					  "17.4509150, 17.4594260, 17.4828720, 17.5438140, 17.7148680, 18.2421950, 19.9290110", \
+					  "19.7803820, 19.7889810, 19.8126490, 19.8735360, 20.0440540, 20.5719810, 22.2628720", \
+					  "23.0894040, 23.0981140, 23.1218070, 23.1826860, 23.3861640, 23.8811160, 25.5719250", \
+					  "68.2265690, 68.3813820, 68.5117030, 68.5744230, 68.7446900, 69.2722680, 70.9587410", \
+					  "96.8844990, 97.2091530, 97.4797060, 97.5481740, 97.5481759, 98.0465060, 99.6916610", \
+					  "219.8405000, 219.8405076, 219.8405228, 219.8405381, 220.2334300, 220.3762600, 221.9398400", \
+					  "653.9386300, 653.9386902, 654.2447900, 654.2448426, 654.2449036, 654.5333200, 656.5833200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("0.0736862, 0.0820194, 0.1030587, 0.1719037, 0.4078833, 1.1969779, 3.7556398", \
+					  "0.0745095, 0.0821095, 0.1030167, 0.1716747, 0.4079596, 1.1983468, 3.7490349", \
+					  "0.0749148, 0.0818954, 0.1035493, 0.1717099, 0.4072364, 1.1993480, 3.7388528", \
+					  "0.0749357, 0.0818717, 0.1035427, 0.1716838, 0.4072139, 1.1986029, 3.7411927", \
+					  "0.0747142, 0.0821265, 0.1032172, 0.1716507, 0.4076158, 1.1973698, 3.7399590", \
+					  "0.0739765, 0.0812765, 0.1031424, 0.1717680, 0.4078402, 1.2006129, 3.7424863", \
+					  "0.0747255, 0.0821097, 0.1032298, 0.1716699, 0.4075786, 1.1972889, 3.7505701", \
+					  "0.0747263, 0.0821085, 0.1032307, 0.1716712, 0.4076520, 1.1972795, 3.7505683", \
+					  "0.0736452, 0.0822470, 0.1031477, 0.1719016, 0.4072437, 1.2032003, 3.7419716", \
+					  "0.0737732, 0.0821524, 0.1035695, 0.1718620, 0.4072428, 1.1978997, 3.7436685", \
+					  "0.0745019, 0.0821584, 0.1032246, 0.1716720, 0.4073817, 1.1991614, 3.7410643", \
+					  "0.0736434, 0.0821510, 0.1032636, 0.1717307, 0.4075425, 1.1974222, 3.7496961");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("2.7922347, 2.8007108, 2.8209313, 2.8855758, 3.0537395, 3.5639907, 5.1891065", \
+					  "5.8839862, 5.8924230, 5.9158816, 5.9783107, 6.1480585, 6.6600996, 8.2833331", \
+					  "8.9212842, 8.9295238, 8.9532115, 9.0153245, 9.1851957, 9.6974277, 11.3202860", \
+					  "9.6445110, 9.6544648, 9.6781720, 9.7401536, 9.9102371, 10.4202040, 12.0455280", \
+					  "13.7310500, 13.7395810, 13.7632420, 13.8252880, 13.9951800, 14.5065540, 16.1303020", \
+					  "17.0396590, 17.0512900, 17.0717020, 17.1341180, 17.3067480, 17.8153260, 19.4419610", \
+					  "19.5400340, 19.5483030, 19.5722090, 19.6347150, 19.8037500, 20.3158130, 21.9391100", \
+					  "22.9956020, 23.0038690, 23.0278390, 23.0906420, 23.2590730, 23.7717220, 25.3944370", \
+					  "68.1391440, 68.1462710, 68.1462746, 68.2961390, 68.3906980, 68.8775900, 70.5308380", \
+					  "95.3860000, 95.3860055, 95.4178670, 95.4801180, 95.6508260, 96.1621540, 97.7868520", \
+					  "204.8581400, 204.8782800, 204.8782883, 204.8783036, 204.9650500, 205.6565500, 206.9985600", \
+					  "558.1982200, 558.1982728, 558.2578500, 558.2579041, 558.4575400, 558.9748800, 560.3723700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317697, 0.100931, 0.320656, 1.01871, 3.23642, 10.282");
+					values("0.0648187, 0.0714053, 0.0912790, 0.1508175, 0.3469600, 1.0040210, 3.1325085", \
+					  "0.0645691, 0.0715224, 0.0910674, 0.1508586, 0.3474238, 1.0050534, 3.1378284", \
+					  "0.0648968, 0.0714074, 0.0903529, 0.1500869, 0.3470765, 1.0050725, 3.1360847", \
+					  "0.0646711, 0.0715898, 0.0913894, 0.1504740, 0.3478361, 1.0084871, 3.1365672", \
+					  "0.0646816, 0.0714052, 0.0906283, 0.1502961, 0.3474992, 1.0073807, 3.1327119", \
+					  "0.0650685, 0.0713090, 0.0912196, 0.1504267, 0.3472353, 1.0072093, 3.1403928", \
+					  "0.0646697, 0.0713768, 0.0905855, 0.1507712, 0.3475103, 1.0066097, 3.1357268", \
+					  "0.0646696, 0.0715896, 0.0913897, 0.1504742, 0.3473879, 1.0067323, 3.1398191", \
+					  "0.0646901, 0.0714004, 0.0906310, 0.1507501, 0.3474966, 1.0069288, 3.1396203", \
+					  "0.0647364, 0.0713236, 0.0913825, 0.1503969, 0.3474909, 1.0066736, 3.1403948", \
+					  "0.0647133, 0.0714022, 0.0906308, 0.1507214, 0.3475074, 1.0066288, 3.1395455", \
+					  "0.0648568, 0.0714570, 0.0906310, 0.1504984, 0.3473199, 1.0049724, 3.1395608");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.6680151, 0.6769814, 0.7015515, 0.7632420, 0.9336195, 1.4611051, 3.1473937", \
+					  "0.1014892, 0.1105521, 0.1352034, 0.1968204, 0.3673910, 0.8953979, 2.5808082", \
+					  "-0.7585056, -0.7495719, -0.7251603, -0.6635158, -0.4927471, 0.0356810, 1.7202501", \
+					  "-0.9744758, -0.9654945, -0.9408113, -0.8791338, -0.7085668, -0.1805528, 1.5056334", \
+					  "-2.3698068, -2.3618165, -2.3396656, -2.2748072, -2.1039164, -1.5760822, 0.1143964", \
+					  "-3.6246972, -3.6143148, -3.5895460, -3.5286463, -3.3579284, -2.8297698, -1.1440331", \
+					  "-4.6145110, -4.6061275, -4.5823807, -4.5204676, -4.3485007, -3.8267207, -2.1342963", \
+					  "-6.0637868, -6.0522152, -6.0290697, -5.9666818, -5.7984249, -5.2670459, -3.5812735", \
+					  "-28.3946220, -28.3855270, -28.3520210, -28.2818410, -28.1115290, -27.5965470, -25.8984920", \
+					  "-43.7058140, -43.6970030, -43.6692420, -43.6061180, -43.4629220, -42.9111890, -41.2493290", \
+					  "-113.2874000, -113.2669100, -113.2491900, -113.2027500, -113.0227700, -112.5026800, -110.8065400", \
+					  "-386.1468400, -386.0959300, -386.0198200, -386.0197906, -385.8122300, -385.3981500, -383.5633900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0775159, 0.0850352, 0.1064809, 0.1743503, 0.4089286, 1.1990976, 3.7496615", \
+					  "0.0782340, 0.0856210, 0.1065035, 0.1744885, 0.4092670, 1.1997261, 3.7428602", \
+					  "0.0772744, 0.0861549, 0.1069913, 0.1746344, 0.4092001, 1.1997320, 3.7438666", \
+					  "0.0778439, 0.0856400, 0.1069188, 0.1746689, 0.4092420, 1.1997966, 3.7498071", \
+					  "0.0770029, 0.0853323, 0.1071461, 0.1745360, 0.4093534, 1.2008513, 3.7501078", \
+					  "0.0782974, 0.0855650, 0.1072502, 0.1749062, 0.4092116, 1.1996816, 3.7487640", \
+					  "0.0771896, 0.0847735, 0.1073241, 0.1744037, 0.4088561, 1.1997050, 3.7507899", \
+					  "0.0773508, 0.0846665, 0.1070263, 0.1744860, 0.4088961, 1.1996155, 3.7497045", \
+					  "0.0783864, 0.0859172, 0.1068844, 0.1744521, 0.4092537, 1.1993818, 3.7425281", \
+					  "0.0769654, 0.0856641, 0.1073004, 0.1745818, 0.4090503, 1.1997451, 3.7396912", \
+					  "0.0771663, 0.0846482, 0.1071286, 0.1745403, 0.4092126, 1.2001462, 3.7433763", \
+					  "0.0768326, 0.0850488, 0.1070280, 0.1743870, 0.4091795, 1.1994535, 3.7456469");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.6764891, 0.6853386, 0.7097660, 0.7734667, 0.9452934, 1.4581489, 3.0838283", \
+					  "2.5459705, 2.5546716, 2.5791145, 2.6427887, 2.8147533, 3.3277379, 4.9532192", \
+					  "4.3133070, 4.3227573, 4.3471924, 4.4106936, 4.5828594, 5.0956719, 6.7212840", \
+					  "4.7277329, 4.7357234, 4.7601776, 4.8239626, 4.9957607, 5.5086726, 7.1342745", \
+					  "7.2681638, 7.2771038, 7.3007822, 7.3650219, 7.5371700, 8.0508462, 9.6756700", \
+					  "9.4384003, 9.4461043, 9.4696393, 9.5352695, 9.7094865, 10.2181920, 11.8477420", \
+					  "11.1188860, 11.1300200, 11.1519320, 11.2184320, 11.3905130, 11.9004660, 13.5259760", \
+					  "13.5352240, 13.5406190, 13.5680220, 13.6309120, 13.8014860, 14.3169800, 15.9425430", \
+					  "48.7721260, 48.7836830, 48.8056810, 48.8716370, 49.0413430, 49.5553710, 51.1795940", \
+					  "72.3244470, 72.3306070, 72.3561940, 72.4196380, 72.5920660, 73.1060440, 74.7303940", \
+					  "177.7729200, 177.7803200, 177.8048900, 177.8685300, 178.0371300, 178.5538800, 180.1788600", \
+					  "586.0424000, 586.0504800, 586.0742900, 586.1378400, 586.3108200, 586.8258800, 588.4496700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0674321, 0.0741697, 0.0937283, 0.1544310, 0.3495071, 1.0085794, 3.1379934", \
+					  "0.0679400, 0.0741764, 0.0937026, 0.1538298, 0.3498272, 1.0090757, 3.1344622", \
+					  "0.0675907, 0.0741246, 0.0940005, 0.1543930, 0.3500281, 1.0084172, 3.1358509", \
+					  "0.0675038, 0.0747036, 0.0939057, 0.1544662, 0.3500479, 1.0098773, 3.1378784", \
+					  "0.0677246, 0.0742837, 0.0937063, 0.1545218, 0.3497151, 1.0092177, 3.1348479", \
+					  "0.0676238, 0.0742166, 0.0937267, 0.1544931, 0.3497814, 1.0089055, 3.1378673", \
+					  "0.0676794, 0.0747086, 0.0937311, 0.1544120, 0.3500611, 1.0085840, 3.1328988", \
+					  "0.0673898, 0.0743210, 0.0939572, 0.1545096, 0.3499921, 1.0087414, 3.1352640", \
+					  "0.0677674, 0.0742801, 0.0937503, 0.1545166, 0.3499483, 1.0082971, 3.1365259", \
+					  "0.0677898, 0.0742969, 0.0944148, 0.1539219, 0.3499640, 1.0086963, 3.1374463", \
+					  "0.0677681, 0.0743572, 0.0938035, 0.1539426, 0.3499559, 1.0067613, 3.1363343", \
+					  "0.0679015, 0.0743646, 0.0940497, 0.1539795, 0.3499521, 1.0076564, 3.1374006");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.6786614, 0.6877783, 0.7120080, 0.7736564, 0.9444852, 1.4719386, 3.1575238", \
+					  "1.0916037, 1.1006008, 1.1252835, 1.1862180, 1.3575028, 1.8856186, 3.5712135", \
+					  "1.2629950, 1.2720716, 1.2967309, 1.3572447, 1.5286498, 2.0568318, 3.7385606", \
+					  "1.2941961, 1.3032332, 1.3278744, 1.3879287, 1.5599281, 2.0878346, 3.7726746", \
+					  "1.4728239, 1.4779823, 1.5060604, 1.5647870, 1.7385190, 2.2667612, 3.9535539", \
+					  "1.5936465, 1.6029101, 1.6272876, 1.6890040, 1.8597841, 2.3882731, 4.0803023", \
+					  "1.6826025, 1.6901698, 1.7149774, 1.7802801, 1.9473216, 2.4757831, 4.1607908", \
+					  "1.7914905, 1.8063383, 1.8303139, 1.8872128, 2.0609199, 2.5909617, 4.2781556", \
+					  "3.0550265, 3.0550267, 3.0914349, 3.1349190, 3.3107030, 3.8373602, 5.5267240", \
+					  "3.7507966, 3.7594430, 3.7834837, 3.8511878, 4.0091019, 4.5442263, 6.2322854", \
+					  "6.4988632, 6.5093313, 6.5320411, 6.5966579, 6.7606081, 7.2946547, 8.9778762", \
+					  "15.8976620, 15.8976627, 15.9341160, 15.9784350, 16.1303590, 16.6899810, 18.3559690");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0775465, 0.0846166, 0.1070508, 0.1745010, 0.4087714, 1.2012659, 3.7481636", \
+					  "0.0779576, 0.0855742, 0.1070739, 0.1749005, 0.4092370, 1.2022866, 3.7509880", \
+					  "0.0779445, 0.0853781, 0.1065730, 0.1744848, 0.4092131, 1.1997907, 3.7602343", \
+					  "0.0778290, 0.0850475, 0.1066514, 0.1745191, 0.4090247, 1.1998157, 3.7587831", \
+					  "0.0769366, 0.0853145, 0.1070008, 0.1743607, 0.4090054, 1.1999233, 3.7434509", \
+					  "0.0773571, 0.0849582, 0.1070004, 0.1744880, 0.4090981, 1.1996819, 3.7411163", \
+					  "0.0771853, 0.0856009, 0.1069534, 0.1743834, 0.4090889, 1.1996515, 3.7466727", \
+					  "0.0779809, 0.0847088, 0.1070016, 0.1745143, 0.4091439, 1.2002552, 3.7459129", \
+					  "0.0768405, 0.0853247, 0.1069958, 0.1744944, 0.4092892, 1.1998073, 3.7452459", \
+					  "0.0781819, 0.0846130, 0.1069446, 0.1746021, 0.4086562, 1.1999421, 3.7404408", \
+					  "0.0783617, 0.0860867, 0.1066167, 0.1744524, 0.4092450, 1.1997295, 3.7441197", \
+					  "0.0768654, 0.0860883, 0.1065907, 0.1744908, 0.4092963, 1.1996192, 3.7368952");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.5631455, 0.5720835, 0.5964687, 0.6600847, 0.8320700, 1.3450127, 2.9705647", \
+					  "1.9387365, 1.9473594, 1.9718138, 2.0354218, 2.2075208, 2.7214160, 4.3460036", \
+					  "3.1949223, 3.2035994, 3.2280543, 3.2916638, 3.4637508, 3.9765271, 5.6021707", \
+					  "3.5042453, 3.5042455, 3.5155010, 3.5790202, 3.7512007, 4.2641295, 5.8896634", \
+					  "5.2804130, 5.2857034, 5.3097868, 5.3733968, 5.5435878, 6.0508327, 7.7025497", \
+					  "6.7998922, 6.8063732, 6.8318853, 6.8954478, 7.0669492, 7.5760962, 9.1895380", \
+					  "7.9602716, 7.9716811, 8.0132612, 8.0770057, 8.2334486, 8.7470191, 10.3820760", \
+					  "9.6600479, 9.6737311, 9.6753388, 9.7389501, 9.9324211, 10.4470960, 12.0706410", \
+					  "34.2730610, 34.3216970, 34.3459550, 34.4121640, 34.5724620, 35.0539260, 37.0010800", \
+					  "50.7164300, 50.7702720, 50.8100300, 50.8582400, 51.0310090, 51.5447100, 53.1846670", \
+					  "124.5045200, 124.5275600, 124.5567800, 124.6154800, 124.7728100, 125.3020400, 126.9239100", \
+					  "409.9896100, 410.1150900, 410.1505100, 410.2379300, 410.4062200, 410.9170400, 412.5184000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317761, 0.100972, 0.32085, 1.01954, 3.2397, 10.2945");
+					values("0.0678087, 0.0745488, 0.0936619, 0.1543556, 0.3494217, 1.0084793, 3.1376626", \
+					  "0.0677075, 0.0743798, 0.0936849, 0.1546049, 0.3497080, 1.0128007, 3.1349121", \
+					  "0.0675361, 0.0743764, 0.0937554, 0.1545490, 0.3498964, 1.0089561, 3.1378500", \
+					  "0.0676343, 0.0741520, 0.0940250, 0.1544459, 0.3500974, 1.0119916, 3.1379483", \
+					  "0.0674094, 0.0742887, 0.0937443, 0.1545350, 0.3499016, 1.0121712, 3.1344374", \
+					  "0.0675449, 0.0747570, 0.0939177, 0.1541367, 0.3500683, 1.0130830, 3.1343816", \
+					  "0.0676720, 0.0741511, 0.0938879, 0.1544743, 0.3498969, 1.0116625, 3.1370866", \
+					  "0.0676103, 0.0741477, 0.0937441, 0.1544970, 0.3500811, 1.0124038, 3.1368519", \
+					  "0.0676374, 0.0743886, 0.0937618, 0.1545509, 0.3498112, 1.0121090, 3.1377696", \
+					  "0.0674971, 0.0744897, 0.0938716, 0.1544449, 0.3499175, 1.0120996, 3.1374927", \
+					  "0.0677510, 0.0747690, 0.0940275, 0.1545116, 0.3499192, 1.0090587, 3.1372138", \
+					  "0.0677703, 0.0747292, 0.0938913, 0.1545124, 0.3500609, 1.0115792, 3.1367531");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("4.2855985, 4.2945452, 4.3188750, 4.3805146, 4.5513864, 5.0801590, 6.7619754", \
+					  "4.9591331, 4.9677182, 4.9924029, 5.0540834, 5.2249312, 5.7537424, 7.4355113", \
+					  "5.6628324, 5.6716889, 5.6961116, 5.7577494, 5.9286229, 6.4573946, 8.1392120", \
+					  "6.3529244, 6.3925651, 6.4168497, 6.4784897, 6.6493615, 7.1781336, 8.8599501", \
+					  "7.0687376, 7.0775896, 7.1399162, 7.2015713, 7.3725079, 7.9012876, 9.5830126", \
+					  "7.7780449, 7.7867579, 7.8523881, 7.8730098, 8.0849025, 8.5726466, 10.2954210", \
+					  "8.4891782, 8.4980964, 8.5622558, 8.5841538, 8.7949354, 9.2838768, 10.9655620");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("0.0769952, 0.0848290, 0.1070121, 0.1745354, 0.4088215, 1.2009379, 3.7421453", \
+					  "0.0769915, 0.0848104, 0.1069930, 0.1745267, 0.4089361, 1.2008907, 3.7421550", \
+					  "0.0769939, 0.0848098, 0.1070105, 0.1745353, 0.4088154, 1.2009395, 3.7421475", \
+					  "0.0769883, 0.0848085, 0.1070105, 0.1745353, 0.4088156, 1.2009436, 3.7421470", \
+					  "0.0769940, 0.0848106, 0.1069900, 0.1745470, 0.4090061, 1.2007698, 3.7422336", \
+					  "0.0770030, 0.0848098, 0.1069984, 0.1745313, 0.4090897, 1.2006379, 3.7424166", \
+					  "0.0768961, 0.0848260, 0.1070135, 0.1745233, 0.4090068, 1.2006406, 3.7423153");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("3.9231391, 3.9341868, 3.9650132, 4.0399182, 4.2246144, 4.7425517, 6.3613514", \
+					  "4.4543689, 4.4705022, 4.5006900, 4.5759609, 4.7605897, 5.2785047, 6.8973005", \
+					  "4.8955489, 4.9051776, 4.9354867, 5.0107194, 5.1964988, 5.7129861, 7.3321393", \
+					  "5.2539022, 5.2651536, 5.2967879, 5.3714908, 5.5554787, 6.0741194, 7.6929192", \
+					  "5.6016325, 5.6129181, 5.6319824, 5.7071867, 5.9032224, 6.4097900, 8.0286293", \
+					  "5.9192469, 5.9305876, 5.9609557, 6.0361662, 6.2207293, 6.7177366, 8.3574729", \
+					  "6.2205245, 6.2316925, 6.2620602, 6.3373240, 6.5219692, 7.0397821, 8.6588008");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0317767, 0.100976, 0.320869, 1.01962, 3.24001, 10.2957");
+					values("0.1359781, 0.1444236, 0.1670895, 0.2224675, 0.4002106, 1.0296395, 3.1370371", \
+					  "0.1362195, 0.1449718, 0.1671582, 0.2225389, 0.4001071, 1.0295422, 3.1372509", \
+					  "0.1360978, 0.1447769, 0.1671826, 0.2225834, 0.4002893, 1.0289229, 3.1372449", \
+					  "0.1363307, 0.1450771, 0.1670860, 0.2224637, 0.4001404, 1.0296470, 3.1370417", \
+					  "0.1363578, 0.1450106, 0.1672541, 0.2225855, 0.4000716, 1.0284859, 3.1371783", \
+					  "0.1360894, 0.1447466, 0.1671340, 0.2222942, 0.4002041, 1.0296133, 3.1365681", \
+					  "0.1362265, 0.1449415, 0.1671805, 0.2225917, 0.4002185, 1.0273472, 3.1361269");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047797;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("54.0731362, 54.3394722, 56.1693072, 65.8273002, 92.3008042, 150.1652692, 178.8617692", \
+					  "53.9554439, 54.1775509, 56.0060539, 65.6471989, 91.9929649, 150.0168259, 178.6363159", \
+					  "53.7820007, 54.0126017, 55.8365587, 65.5118887, 91.9508857, 149.8617027, 178.4794227", \
+					  "53.7088796, 54.0714956, 55.8182936, 65.5024326, 91.9142426, 149.8131366, 178.4360966", \
+					  "53.8242465, 54.1146675, 55.8468405, 65.5074915, 91.9474385, 149.8525605, 178.5675305", \
+					  "53.8772715, 54.1454425, 55.9193015, 65.4563525, 92.1322505, 149.8918845, 178.5537745", \
+					  "53.9114045, 54.2561845, 56.0008585, 65.5989345, 92.2098215, 149.9860785, 178.6487285");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.7411428, 69.7836018, 69.9042698, 70.9640748, 72.6961958, 60.1691468, -128.2845132", \
+					  "69.8360658, 69.7715338, 69.9229608, 69.9700838, 69.8149778, 61.7148808, -125.6967042", \
+					  "69.8517459, 69.5802759, 70.0336149, 69.7097969, 69.8443839, 61.0968189, -125.5971451", \
+					  "69.7636848, 69.8258428, 69.7733018, 69.8545288, 69.9421108, 59.5315918, -125.1943722", \
+					  "69.7710199, 69.8013429, 69.7928499, 69.8906189, 69.8659859, 59.8853099, -125.6063991", \
+					  "69.7695007, 69.7681197, 69.7854807, 69.8625927, 69.8447387, 59.7930427, -125.6287563", \
+					  "69.7789646, 69.7712006, 69.8270656, 69.9633516, 69.7353396, 59.3915166, -125.5755034");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("71.3488988, 71.3701388, 71.7172428, 71.9878178, 72.8751848, 70.2361078, -3.2237002", \
+					  "71.3592288, 71.3925498, 71.5695258, 71.9464118, 72.8169448, 68.2230778, -3.3423707", \
+					  "71.3591649, 71.4222189, 71.5800649, 72.0287819, 72.1916519, 68.8847279, -7.9782560", \
+					  "71.3121128, 71.3887108, 71.5967248, 72.0512848, 72.3234628, 66.9666858, -7.0222681", \
+					  "71.3140839, 71.3777069, 71.6128039, 71.9907169, 72.1442949, 69.6087049, -12.3972431", \
+					  "71.3353657, 71.3890587, 71.6290817, 72.0016037, 71.9483197, 65.7829257, -14.1076283", \
+					  "71.3626906, 71.4078286, 71.6258766, 72.1087886, 72.4659126, 71.4672186, -13.4766824");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.8680362, 40.8132852, 41.1319642, 42.5951932, 43.2532392, 42.1470762, 44.1860802", \
+					  "40.6019419, 40.8148969, 40.7127109, 41.4261119, 44.3246099, 47.3175939, 44.0509819", \
+					  "40.4485207, 40.4209947, 40.8010587, 42.0622507, 42.7652967, 44.2279417, 43.9689997", \
+					  "40.5329886, 40.4989016, 40.6784286, 41.6762236, 43.5854816, 44.1220626, 44.5470936", \
+					  "40.4138455, 40.5340205, 40.6222135, 41.6186525, 43.5094455, 43.8925425, 44.4892525", \
+					  "40.5474655, 40.5827255, 40.7784785, 41.6757505, 43.8513885, 44.9850685, 44.6094385", \
+					  "40.6343485, 40.6679465, 40.8612635, 41.8007585, 43.6311175, 45.2565635, 44.5008245");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5309481, 1.5309183, 1.5310704, 1.5313379, 1.5310753, 1.5305121, 1.5310613", \
+					  "15.7495376, 15.7490256, 15.7470166, 15.7415596, 15.7372836, 15.7363376, 15.7361266", \
+					  "15.7625866, 15.7571676, 15.7649286, 15.7193626, 15.7393036, 15.7111746, 15.7472496", \
+					  "15.7455607, 15.7528717, 15.7495467, 15.7331377, 15.7311277, 15.7241747, 15.7312867", \
+					  "15.7503688, 15.7491828, 15.7463598, 15.7446948, 15.7305498, 15.7356328, 15.7283298", \
+					  "15.7535709, 15.7530439, 15.7497059, 15.7409089, 15.7340689, 15.7404789, 15.7317519", \
+					  "15.7585570, 15.7579220, 15.7547990, 15.7460130, 15.7393010, 15.7390010, 15.7370630");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3276812, 27.3184902, 27.3886552, 27.3463922, 26.8929702, 25.3590142, 27.7045432", \
+					  "27.3070765, 27.3037335, 27.3180245, 27.2560995, 27.2491145, 23.9129495, 27.4627595", \
+					  "27.3042889, 27.2816879, 27.2939449, 27.5291359, 27.3587539, 27.3560809, 27.0398519", \
+					  "27.2971647, 27.2775847, 27.2379237, 27.3773257, 27.5064167, 27.7747887, 27.0963317", \
+					  "27.3705291, 27.5642961, 27.3099301, 27.2782091, 27.2286671, 28.4544521, 26.1133731", \
+					  "27.3928509, 27.3621229, 27.3552109, 26.9705259, 28.2874689, 27.5066999, 27.2643949", \
+					  "27.4634267, 27.4247477, 27.4616637, 27.4456147, 27.4594587, 27.4765707, 27.3300177");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("89.2589872, 90.1380272, 96.9773482, 126.4455092, 153.7335692, 161.7147492, 167.5754192", \
+					  "89.0334299, 89.9739479, 96.7905099, 126.0459659, 153.6047759, 161.5705059, 167.4905059", \
+					  "88.9470877, 89.7715947, 96.6568577, 125.8931127, 153.4800127, 161.3995027, 167.4425227", \
+					  "88.9480456, 89.9144666, 96.6463876, 125.8525366, 153.4297866, 161.4000066, 167.4630466", \
+					  "89.3075155, 90.4026125, 96.0346415, 125.8353005, 153.4682305, 161.4113905, 167.2405805", \
+					  "89.5985275, 90.0625445, 96.0621555, 126.1007145, 153.5391845, 161.4566845, 167.2627645", \
+					  "89.2179855, 90.1616335, 96.1020615, 126.1872085, 153.6593285, 161.5662185, 167.4570785");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.4995500, 1.5003280, 1.4997123, 1.4982762, 1.5013524, 1.4998287, 1.4995088", \
+					  "21.4723968, 21.4684968, 21.4458258, 21.4070458, 21.3612078, 21.3180448, 21.3126408", \
+					  "21.5115009, 21.5252349, 21.5169979, 21.4621409, 21.4054299, 21.3695679, 21.3571419", \
+					  "21.5064548, 21.5115388, 21.5466948, 21.4366058, 21.3840318, 21.3547098, 21.3436938", \
+					  "21.5205609, 21.5156719, 21.4953499, 21.4510419, 21.4003899, 21.3625569, 21.3429039", \
+					  "21.5184597, 21.5090077, 21.4905987, 21.4473847, 21.4250957, 21.3586557, 21.3540897", \
+					  "21.5299406, 21.5416656, 21.5155766, 21.4643716, 21.3490486, 21.3741896, 21.3671056");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5308246, 1.5307199, 1.5291998, 1.5308666, 1.5309657, 1.5303174, 1.5296101", \
+					  "15.7493496, 15.7489496, 15.7468296, 15.7413056, 15.7369966, 15.7358466, 15.7356886", \
+					  "15.7374266, 15.7480706, 15.7521036, 15.7491596, 15.7228496, 15.7363336, 15.7305496", \
+					  "15.7529657, 15.7522877, 15.7495107, 15.7402257, 15.7311847, 15.7311847, 15.7313337", \
+					  "15.7502628, 15.7491148, 15.7460928, 15.7371088, 15.7302338, 15.7283098, 15.7279458", \
+					  "15.7532619, 15.7526799, 15.7494549, 15.7406039, 15.7337759, 15.7318399, 15.7314729", \
+					  "15.7583350, 15.7576960, 15.7545080, 15.7457230, 15.7390340, 15.7369450, 15.7367080");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3450512, 27.3113802, 27.3134112, 27.3542172, 27.3438292, 27.3616282, 26.9726872", \
+					  "27.2236395, 27.2888465, 27.3252235, 27.1879965, 27.4840855, 27.3991865, 27.9763835", \
+					  "27.2370309, 27.2738219, 27.3099499, 27.3312229, 27.4493609, 27.0533649, 27.2108879", \
+					  "27.2312117, 27.2001867, 27.3019957, 27.2463647, 26.8657147, 26.9886907, 26.3158187", \
+					  "27.4634691, 27.2644231, 27.0368591, 26.9470571, 25.7699241, 27.0955461, 26.7050321", \
+					  "27.3824329, 27.3668129, 27.3576189, 26.8494339, 27.4832879, 27.3993059, 27.2797229", \
+					  "27.4293247, 27.4677307, 27.4573527, 27.4463687, 27.4969737, 27.4870427, 27.2779667");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.3612342, 60.3083882, 60.4024232, 59.9134332, 58.0220532, 45.2168622, -141.9624408", \
+					  "60.3404315, 60.3230365, 60.2550485, 59.8383335, 57.9844515, 45.8779975, -146.5031745", \
+					  "60.0079689, 60.2968419, 60.2405959, 59.8695109, 58.0344299, 45.2586289, -136.4869881", \
+					  "60.2415827, 59.8694497, 60.2340047, 59.8398757, 57.9492977, 45.4010677, -142.7565113", \
+					  "60.2261521, 60.1256281, 60.1376671, 59.4272151, 57.9831751, 15.0215761, -139.1720339", \
+					  "60.4025469, 60.3914239, 60.3227499, 59.9476679, 58.2191819, 45.4571479, -139.3159471", \
+					  "60.4833587, 60.4691827, 60.4108407, 60.0311717, 58.2645497, 45.6142457, -139.1118103");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5307339, 1.5297002, 1.5296854, 1.5307395, 1.5295339, 1.5304652, 1.5304965", \
+					  "15.2328496, 15.2339476, 15.2378896, 15.2496596, 15.2588966, 15.2609476, 15.2613496", \
+					  "15.2286196, 15.2300456, 15.2385456, 15.2504406, 15.2637796, 15.2651466, 15.2650036", \
+					  "15.2319397, 15.2340507, 15.2360117, 15.2503367, 15.2673577, 15.2660357, 15.2666637", \
+					  "15.2300348, 15.2329808, 15.2361748, 15.2530408, 15.2650398, 15.2667698, 15.2674008", \
+					  "15.2343949, 15.2329199, 15.2403519, 15.2526069, 15.2679299, 15.2706759, 15.2715729", \
+					  "15.2381260, 15.2391580, 15.2443950, 15.2553130, 15.2706900, 15.2744110, 15.2749020");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("50.1407612, 50.3471312, 51.9423062, 60.4935602, 104.5300992, 161.7216992, 174.0751592", \
+					  "49.9840999, 50.1946119, 51.7784079, 60.3200369, 104.3801359, 161.5431559, 173.8342259", \
+					  "49.8191017, 50.0270607, 51.6205427, 60.1480617, 104.1944627, 161.3963927, 173.6255527", \
+					  "49.8179956, 50.0162816, 51.6134486, 60.1530586, 104.2205266, 161.3823266, 173.7021566", \
+					  "49.8356105, 50.0588145, 51.5951585, 60.1264485, 103.7773705, 161.3676505, 173.7821905", \
+					  "49.9009205, 50.1778585, 51.6951455, 60.2263215, 104.1181945, 161.4709345, 173.7682845", \
+					  "49.9454865, 50.2033815, 51.8032025, 60.2210835, 104.3664585, 161.5772385, 173.8791485");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5003272, 1.4997144, 1.4999500, 1.4997555, 1.4994415, 1.4999970, 1.4997403", \
+					  "22.0973498, 22.0865438, 22.0523058, 22.0508418, 21.9899128, 21.8627178, 21.9459538", \
+					  "22.1599079, 22.1430909, 22.1171969, 22.0165809, 22.0184639, 21.9951469, 21.9859339", \
+					  "22.1301898, 22.1478058, 22.1159478, 22.0714768, 22.0020058, 21.9836598, 21.9715998", \
+					  "22.1199299, 22.1171239, 22.1061529, 22.0560389, 22.0043869, 21.9684109, 21.9553139", \
+					  "22.1352897, 22.1222737, 22.1030267, 22.0667387, 22.0108667, 21.9427747, 21.9640707", \
+					  "22.1427296, 22.1432536, 22.1209626, 22.0616866, 22.0249956, 21.9934636, 21.9808676");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.1339438, 67.1420418, 67.0687318, 66.6791408, 64.9236978, 52.0662258, -126.2110232", \
+					  "67.5696148, 67.5117028, 67.3772128, 65.6919918, 64.8198488, 66.3059728, -131.8243342", \
+					  "66.6658499, 67.1255869, 67.0508479, 65.6212269, 64.8076279, 51.7973029, -136.0270451", \
+					  "67.1304718, 67.1113058, 67.0634348, 66.6917318, 64.9202878, 52.3586518, -134.6123222", \
+					  "67.0956949, 67.1634389, 67.0682999, 66.6618959, 64.6322629, 52.5484359, -133.9197491", \
+					  "67.1326717, 67.1343647, 67.0569197, 66.6862447, 64.8080727, 52.2885457, -134.4067063", \
+					  "67.1783786, 67.1222216, 67.0512026, 66.7086226, 64.9839676, 52.1813296, -134.6612634");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.5323902, 33.8448872, 33.2018802, 34.0309052, 35.9398952, 31.5670562, 32.7561782", \
+					  "32.9370419, 33.2881289, 33.4630619, 33.2584829, 40.5511769, 34.1798189, 35.2653179", \
+					  "33.2470867, 33.4437387, 33.0691977, 33.5474297, 34.1951997, 33.0533257, 32.9729037", \
+					  "33.2853696, 33.1987796, 32.9623886, 33.2626626, 32.4884726, 33.2620936, 32.1571196", \
+					  "33.2185625, 33.2351155, 33.2177585, 32.9926495, 33.6981445, 33.2103715, 32.9956405", \
+					  "33.2615645, 33.2779535, 33.2758305, 33.1487445, 33.4075445, 33.2954055, 33.0887335", \
+					  "33.4244175, 33.3841585, 33.3679315, 33.3711615, 33.3894905, 33.3673245, 33.1866495");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.5214692, 64.6401452, 64.7819762, 65.2312762, 66.8245152, 61.8118452, -12.0679558", \
+					  "64.5474555, 64.6136945, 64.7982485, 65.2931785, 65.4627235, 62.0376295, -11.3839805", \
+					  "64.4972599, 64.4881149, 64.8165809, 65.3017899, 65.9514099, 62.4460049, -10.0248011", \
+					  "64.6448937, 64.6338607, 64.7072047, 65.2337837, 66.9183107, 62.0127627, -6.7430851", \
+					  "64.5097191, 64.6230031, 64.8142041, 65.1016481, 66.5305261, 60.2823921, -21.3964129", \
+					  "64.6174459, 64.6820959, 64.8974999, 65.2751769, 66.8446159, 63.3215039, -12.6947851", \
+					  "64.7149177, 64.7580297, 65.0116327, 65.3696307, 66.3182257, 63.5232257, -7.4923125");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5297014, 1.5302460, 1.5309204, 1.5304303, 1.5302567, 1.5302294, 1.5302848", \
+					  "22.1112836, 22.1486906, 22.3668326, 23.3899026, 25.4163046, 26.4098796, 26.6185736", \
+					  "22.1041896, 22.1388096, 22.3613416, 23.3815736, 25.4047366, 26.4036526, 26.6121856", \
+					  "22.1092047, 22.1465317, 22.3637577, 23.3853657, 25.4132197, 26.4082547, 26.6178267", \
+					  "22.1122258, 22.1494518, 22.3685458, 23.3886218, 25.4153448, 26.4095558, 26.6194538", \
+					  "22.1132669, 22.1503989, 22.3685209, 23.3925019, 25.4170309, 26.4111799, 26.6209369", \
+					  "22.1206740, 22.1597690, 22.3754880, 23.3959590, 25.4256500, 26.4185520, 26.6283040");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("67.1486608, 67.1505698, 67.0599408, 66.6738258, 64.0085198, 52.9823788, -137.4572632", \
+					  "67.3716548, 67.4917668, 67.9880088, 64.4552378, 64.9049618, 67.5618988, -135.6791942", \
+					  "67.0470039, 66.8693959, 67.3628619, 66.6804219, 67.9459299, 52.5745139, -132.0356251", \
+					  "67.1363558, 67.1293748, 67.0735378, 66.6769938, 64.9291908, 52.2345018, -133.8822722", \
+					  "67.1524619, 67.1030289, 67.0433199, 66.7205989, 64.8792799, 52.5270599, -134.0752291", \
+					  "67.1292577, 67.1348157, 67.0423627, 66.6860637, 64.8048187, 52.3548857, -133.2476363", \
+					  "67.1921456, 67.1199116, 67.0939326, 66.7150126, 64.9457196, 52.3717576, -133.9682234");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("33.5231652, 33.7758732, 33.1983282, 34.0208192, 35.1035222, 38.0081712, 32.5285932", \
+					  "33.4341929, 33.1260749, 32.9953629, 33.2585829, 25.3087479, 32.7650269, 35.0007799", \
+					  "33.3917627, 33.3653087, 32.9394717, 33.3553827, 34.5414097, 33.0738197, 32.7480657", \
+					  "33.3953786, 33.3058706, 33.0310906, 33.1163136, 31.0199116, 29.5623476, 32.7553496", \
+					  "33.2177195, 33.2327185, 33.2096465, 32.8610955, 33.7448085, 33.1971465, 33.1193335", \
+					  "33.2748275, 33.2439085, 33.2409345, 33.1917455, 33.2861695, 33.9883165, 33.1039475", \
+					  "33.3712515, 33.3730805, 33.3711165, 33.3807755, 33.3660995, 33.3877905, 33.1744435");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9030958, 70.9211018, 71.1706388, 71.6043398, 73.1324858, 69.8623518, -6.5991072", \
+					  "70.8607808, 70.8470558, 71.2274598, 71.5825708, 71.7972188, 70.0415538, -8.1722695", \
+					  "70.8228789, 71.0307059, 71.1044389, 71.6122199, 72.9700709, 66.7860799, -9.3924581", \
+					  "70.8872998, 70.9527268, 71.1924028, 71.5454748, 72.8061678, 70.2082458, -6.5641957", \
+					  "70.8777669, 70.9497229, 71.1704149, 71.6344949, 73.1455149, 69.4795029, -4.4190404", \
+					  "70.9114067, 70.9490007, 71.1780747, 71.5690957, 73.2249307, 64.9044407, -5.7585671", \
+					  "70.8926986, 70.9574386, 71.1814886, 71.6676276, 72.5550426, 69.7175766, -10.7805994");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.0237967, 1.0238422, 1.0239703, 1.0241279, 1.0260117, 1.0260842, 1.0260209", \
+					  "26.9853059, 27.0225059, 27.2382739, 28.2666509, 30.2888479, 31.2760679, 31.4882769", \
+					  "26.9390897, 26.9746967, 27.1916397, 28.2078977, 30.2349267, 31.2318587, 31.4440497", \
+					  "26.9404626, 26.9764496, 27.2088086, 28.2128026, 30.2410656, 31.2214336, 31.4318486", \
+					  "26.9786515, 27.0180265, 27.2300705, 28.2553355, 30.2709065, 31.2601505, 31.4695455", \
+					  "27.0211045, 27.0642295, 27.2833285, 28.2987165, 30.3312975, 31.3245615, 31.5358825", \
+					  "27.1139155, 27.1460425, 27.3646365, 28.3857935, 30.4195575, 31.4043665, 31.6200485");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.9056648, 95.9382288, 96.1893898, 96.3821518, 99.1961088, 94.0222688, 19.7489898", \
+					  "95.8908768, 95.9492538, 96.1333008, 96.3927738, 99.1995498, 95.8106768, 27.9690138", \
+					  "95.8996529, 95.9978449, 96.1684939, 96.3849039, 99.0862079, 94.9289019, 12.9434069", \
+					  "95.8938518, 95.9833428, 96.0520658, 96.3605688, 99.1715838, 95.5817548, 24.1895078", \
+					  "95.9730049, 96.0263299, 96.1832049, 96.3454089, 99.1275439, 93.8223499, 14.6852659", \
+					  "95.9084867, 95.9146697, 96.1541447, 96.3685427, 99.1764077, 94.6034267, 19.9261047", \
+					  "95.9214486, 96.0083306, 96.2354336, 96.3901436, 98.9521216, 93.8063556, 26.1874036");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("61.6641092, 61.9886622, 63.9042972, 74.5236082, 102.8870792, 161.4084992, 190.2088992", \
+					  "61.4971439, 61.8395229, 63.7780419, 74.3568229, 102.7707459, 161.2836959, 189.9124259", \
+					  "61.3380127, 61.6623987, 63.5702197, 74.2252247, 102.5456227, 161.0914927, 189.9498827", \
+					  "61.3270986, 61.6436996, 63.6027016, 74.2081766, 102.5446166, 161.0881266, 189.9199166", \
+					  "61.4027955, 61.7045195, 63.6266835, 74.2494985, 102.5039005, 161.1045005, 189.8861205", \
+					  "61.4463105, 61.7361685, 63.6900715, 74.2214175, 102.6843145, 161.1442645, 189.9537645", \
+					  "61.5223265, 61.8367555, 63.7794515, 74.2834665, 102.7517085, 161.2687085, 190.1302985");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5300088, 1.5298641, 1.5298496, 1.5299816, 1.5297664, 1.5298477, 1.5300785", \
+					  "17.3874616, 17.3816416, 17.3460046, 17.3397046, 17.2908496, 17.2456586, 17.2578166", \
+					  "17.5325496, 17.5143266, 17.5020236, 17.4016646, 17.2819736, 17.3662556, 17.3566796", \
+					  "17.5127457, 17.5037567, 17.4698427, 17.4452197, 17.3858267, 17.3577827, 17.3456627", \
+					  "17.5068948, 17.4147178, 17.4973358, 17.4438648, 17.3975758, 17.3607158, 17.3612258", \
+					  "17.5087699, 17.5058659, 17.4909969, 17.4446319, 17.3922259, 17.3580239, 17.3454279", \
+					  "17.5172610, 17.5111390, 17.4952940, 17.4497020, 17.4006320, 17.3619860, 17.3530590");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("45.4129072, 45.6110442, 47.2113642, 55.7252202, 99.8230152, 156.9744992, 169.2760792", \
+					  "45.4023745, 45.5937075, 47.2097825, 55.7073115, 99.7869475, 156.9998155, 169.2926355", \
+					  "45.4067219, 45.6517799, 47.1541119, 55.7207669, 99.8005409, 156.9706019, 169.2563019", \
+					  "45.3933207, 45.6104147, 47.2035707, 55.6589707, 99.7923407, 156.9895187, 169.2364587", \
+					  "45.4037031, 45.6646541, 47.2577791, 55.6470751, 99.8354121, 156.9737861, 169.4082361", \
+					  "45.4629069, 45.7583999, 47.2202479, 55.8206979, 99.5638879, 157.0849829, 169.4547729", \
+					  "45.5833817, 45.8499047, 47.3711217, 55.8661947, 99.8374687, 157.1206697, 169.4654997");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5303603, 1.5302365, 1.5305413, 1.5301579, 1.5287646, 1.5298893, 1.5302486", \
+					  "22.1609056, 22.1977546, 22.4153736, 23.4358696, 25.4589736, 26.4528126, 26.6625476", \
+					  "22.1538946, 22.2004856, 22.4116886, 23.4327446, 25.4555956, 26.4484246, 26.6609466", \
+					  "22.1550497, 22.1967207, 22.4099427, 23.4307517, 25.4601767, 26.4562517, 26.6657087", \
+					  "22.1602968, 22.1967938, 22.4135838, 23.4334328, 25.4622648, 26.4565548, 26.6658338", \
+					  "22.1617419, 22.1987069, 22.4162939, 23.4362349, 25.4630349, 26.4579839, 26.6684649", \
+					  "22.1676680, 22.2038920, 22.4217600, 23.4421460, 25.4700030, 26.4644410, 26.6746930");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.4464242, 86.4694612, 86.5678062, 86.3767302, 87.2681282, 79.9136152, -0.5876072", \
+					  "86.4371055, 86.4115495, 86.5713935, 86.4044645, 87.2891875, 79.8180325, -1.2620497", \
+					  "86.4218399, 86.3959649, 86.5606599, 86.3821499, 87.3922029, 79.7925109, 1.2583604", \
+					  "86.4127867, 86.3908387, 86.5569977, 86.3784737, 87.2232287, 79.8043707, 8.0213307", \
+					  "86.4230511, 86.4733511, 86.5958011, 86.3907161, 87.4177411, 81.5913671, 11.0580611", \
+					  "86.5115159, 86.5393969, 86.6398159, 86.4193189, 87.3888559, 81.6970969, 2.4981418", \
+					  "86.5800877, 86.5881157, 86.7485847, 86.5217317, 87.4549707, 81.2013417, 7.3064097");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5299517, 1.5299554, 1.5296134, 1.5297275, 1.5295756, 1.5298098, 1.5302656", \
+					  "17.4135606, 17.3675126, 17.3873596, 17.3350366, 17.2841866, 17.1669276, 17.2332476", \
+					  "17.3966876, 17.3899356, 17.3638576, 17.3247816, 17.2730786, 17.2304946, 17.2189216", \
+					  "17.3942937, 17.3937207, 17.3780097, 17.3323587, 17.2704327, 17.1738257, 17.2149217", \
+					  "17.3929268, 17.3896878, 17.3731938, 17.3266618, 17.2501368, 17.2330228, 17.2272488", \
+					  "17.3960939, 17.3932229, 17.3759749, 17.3300829, 17.2755079, 17.2354989, 17.2218589", \
+					  "17.4051890, 17.3990220, 17.3818330, 17.3408050, 17.2841960, 17.2496170, 17.2296320");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("30.4461222, 30.5530092, 31.0250762, 32.6986812, 36.7253042, 49.7124682, 72.3408392", \
+					  "30.4158205, 30.5345245, 31.0214335, 32.6588905, 36.7045995, 49.6459795, 72.3840415", \
+					  "30.4438299, 30.5301739, 30.9704369, 32.6830219, 36.6305649, 49.6632719, 72.3570149", \
+					  "30.4395947, 30.5473357, 30.9598037, 32.6814577, 36.7146307, 49.5864247, 72.3494737", \
+					  "30.5323661, 30.5758141, 31.0338851, 32.7008921, 36.7067951, 49.6214511, 72.3672391", \
+					  "30.5186529, 30.5998099, 31.0931309, 32.7340579, 36.8370989, 49.5957849, 72.4206489", \
+					  "30.5863017, 30.6806637, 31.1811627, 32.8239837, 36.9012087, 49.7609217, 72.5130627");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("84.4666602, 85.4919862, 92.2377632, 121.7188392, 149.0855792, 157.0325692, 162.8240892", \
+					  "84.4369345, 85.4910705, 92.1991005, 121.4314155, 149.0527355, 156.8549455, 162.8181055", \
+					  "84.4273589, 85.4080889, 92.2317489, 121.3870919, 149.1219619, 156.9500119, 162.7325519", \
+					  "84.4218787, 85.4888037, 92.2228537, 121.6934987, 149.1123887, 157.0328187, 162.9215087", \
+					  "84.5710531, 85.4049001, 92.2174641, 121.7127561, 149.0405961, 156.8507261, 162.8028861", \
+					  "85.2505319, 85.2878419, 92.1801969, 121.5038529, 149.0998229, 157.0174029, 162.9453729", \
+					  "84.8971907, 85.7676817, 92.2545207, 121.6392397, 149.2445497, 157.1682097, 163.0374497");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5299541, 1.5302404, 1.5298594, 1.5302478, 1.5296800, 1.5293405, 1.5299584", \
+					  "16.7610706, 16.7585336, 16.7450916, 16.7070506, 16.6552776, 16.6300486, 16.6195896", \
+					  "16.9153626, 16.9112196, 16.8901486, 16.8551326, 16.7981156, 16.7608296, 16.7545276", \
+					  "16.8958417, 16.9073807, 16.8882747, 16.8427067, 16.7781997, 16.7500707, 16.7352457", \
+					  "16.9115008, 16.9219508, 16.9056168, 16.8433268, 16.8016858, 16.7642298, 16.7478058", \
+					  "16.9096639, 16.9058369, 16.8950629, 16.8453189, 16.7983079, 16.7575129, 16.7456059", \
+					  "16.9153150, 16.9129610, 16.8783990, 16.8477500, 16.7997830, 16.7091210, 16.8124080");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5306760, 1.5306481, 1.5296344, 1.5307100, 1.5313664, 1.5309619, 1.5309265", \
+					  "15.7493446, 15.7488386, 15.7467656, 15.7411986, 15.7370096, 15.7358136, 15.7356556", \
+					  "15.7608386, 15.7408016, 15.7464226, 15.7359416, 15.7390256, 15.7200996, 15.7184556", \
+					  "15.7439817, 15.7526357, 15.7490367, 15.7402447, 15.7342327, 15.7312537, 15.7310127", \
+					  "15.7497118, 15.7492158, 15.7459978, 15.7369028, 15.7422018, 15.7282978, 15.7279738", \
+					  "15.7533019, 15.7526849, 15.7494599, 15.7406159, 15.7337849, 15.7318229, 15.7314599", \
+					  "15.7583240, 15.7576200, 15.7544610, 15.7457730, 15.7391100, 15.7369630, 15.7367440");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.3061742, 27.2712992, 27.3150302, 26.9971612, 27.6855632, 25.6295962, 27.3556782", \
+					  "27.3202585, 27.3434905, 27.3208935, 27.0673135, 27.0010645, 27.4331435, 25.9937885", \
+					  "27.2435199, 27.3777269, 27.2919689, 27.3143139, 26.9440719, 30.7462369, 27.1091359", \
+					  "27.2045547, 27.4204567, 27.3056937, 27.2570837, 26.9811197, 27.3705607, 26.7603457", \
+					  "27.1077951, 27.3822701, 27.2285541, 27.3377081, 25.6613151, 27.5181111, 25.8579411", \
+					  "27.3789229, 27.3607449, 27.4010099, 27.3731759, 27.6725029, 27.4139539, 27.2006669", \
+					  "27.4392847, 27.4550977, 27.4664817, 27.4610587, 27.4939987, 27.4777137, 27.3389617");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.7609788, 92.7661418, 92.9005968, 92.6934008, 94.2285898, 86.1352788, 5.0407555", \
+					  "92.7545938, 92.7335408, 92.9049108, 92.6930388, 93.4657258, 86.1264788, 4.9767362", \
+					  "92.7518449, 92.7398189, 92.9042989, 92.6899429, 93.6514509, 86.1429369, 4.8507735", \
+					  "92.7814948, 92.7678108, 92.9008848, 92.7185098, 93.7237828, 86.1640588, 16.1143478", \
+					  "92.7738419, 92.8448269, 92.9548919, 92.7040019, 93.5683239, 87.5188369, 11.9442639", \
+					  "92.7367537, 92.7853187, 92.9098717, 92.6728047, 93.8784377, 87.1247637, 18.8036837", \
+					  "92.7915356, 92.8272786, 92.9524276, 92.6785776, 93.7785036, 87.0998806, 7.4737414");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.0252644, 1.0254725, 1.0253852, 1.0243614, 1.0266831, 1.0252460, 1.0253692", \
+					  "27.0379549, 27.0800899, 27.2985769, 28.3148069, 30.3265259, 31.3276179, 31.5361279", \
+					  "26.9978477, 27.0327157, 27.2513187, 28.2693037, 30.2928707, 31.2816317, 31.4917757", \
+					  "26.9875866, 27.0293686, 27.2434786, 28.2758476, 30.3025896, 31.2875266, 31.4967896", \
+					  "27.0138305, 27.0523655, 27.2744065, 28.3029845, 30.3319795, 31.3103085, 31.5185795", \
+					  "27.0744685, 27.1110605, 27.3288045, 28.3508155, 30.3789225, 31.3719095, 31.5810055", \
+					  "27.1728525, 27.2081835, 27.4290205, 28.4489875, 30.4701665, 31.4639965, 31.6731585");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("86.4518082, 86.4515722, 86.5885742, 86.3829402, 87.4570882, 79.8874552, -1.3825722", \
+					  "86.4295625, 86.4475695, 86.5782145, 86.3661825, 86.9721565, 79.7602865, 0.6150188", \
+					  "86.4265869, 86.3986369, 86.5556989, 86.3873259, 86.9206289, 79.7697589, -0.9443587", \
+					  "86.4220847, 86.3958767, 86.5584127, 86.2715937, 87.2490407, 79.8397667, -1.3265082", \
+					  "86.4375601, 86.4559621, 86.6102971, 86.3994101, 87.4818431, 79.8995261, 11.1443141", \
+					  "86.4745389, 86.5116339, 86.6139759, 86.4758499, 87.0594249, 79.8611689, 11.6887699", \
+					  "86.5919397, 86.6476027, 86.7678957, 86.4846017, 87.4257027, 81.3647037, 3.3089953");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5304553, 1.5303231, 1.5302318, 1.5301455, 1.5305952, 1.5298825, 1.5302726", \
+					  "22.1607506, 22.1979556, 22.4154496, 23.4356776, 25.4590086, 26.4527656, 26.6628616", \
+					  "22.1584376, 22.2023866, 22.4121476, 23.4325606, 25.4541776, 26.4517156, 26.6465626", \
+					  "22.1547237, 22.1924187, 22.4100867, 23.4312807, 25.4604647, 26.4542147, 26.6657207", \
+					  "22.1596658, 22.1954268, 22.4151838, 23.4336358, 25.4603878, 26.4565168, 26.6665018", \
+					  "22.1615929, 22.1985649, 22.4161979, 23.4364969, 25.4639219, 26.4579389, 26.6684239", \
+					  "22.1675030, 22.2047560, 22.4210220, 23.4420020, 25.4694540, 26.4644090, 26.6746020");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("64.4978072, 64.6855672, 64.8022302, 65.2918872, 66.7038692, 61.9453492, -11.3392728", \
+					  "64.6483475, 64.6238875, 64.7941745, 65.2309295, 65.4450985, 64.2416235, -12.4922755", \
+					  "64.5217199, 64.6250949, 64.7298029, 65.2321239, 66.4440499, 61.8169319, -15.4869171", \
+					  "64.6339717, 64.6066047, 64.7295337, 65.1405177, 66.7047387, 62.0410107, -20.3148663", \
+					  "64.5368841, 64.6115881, 64.7733481, 65.2382121, 65.1616281, 58.6315731, -20.1176979", \
+					  "64.6358479, 64.6627499, 64.9054729, 65.3047369, 66.8004779, 64.3927159, -15.5683211", \
+					  "64.7157717, 64.7552467, 65.0072467, 65.4245107, 66.9135897, 61.1243667, -17.8971503");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5306714, 1.5309805, 1.5300216, 1.5298403, 1.5302698, 1.5303176, 1.5302750", \
+					  "22.1111086, 22.1483356, 22.3666416, 23.3897436, 25.4169366, 26.4098066, 26.6182946", \
+					  "22.1055166, 22.1384896, 22.3609176, 23.3796936, 25.4074946, 26.4037776, 26.6147686", \
+					  "22.1104947, 22.1477407, 22.3654317, 23.3862347, 25.4135137, 26.4081437, 26.6178357", \
+					  "22.1115128, 22.1467648, 22.3677528, 23.3894598, 25.4158478, 26.4094818, 26.6193688", \
+					  "22.1130519, 22.1500099, 22.3683389, 23.3893899, 25.4166559, 26.4111429, 26.6209059", \
+					  "22.1195030, 22.1566800, 22.3753940, 23.3986670, 25.4241510, 26.4184980, 26.6281380");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.5302482, 1.5306457, 1.5309412, 1.5305324, 1.5300576, 1.5310882, 1.5311181", \
+					  "15.7494226, 15.7491696, 15.7470446, 15.7414256, 15.7373976, 15.7363426, 15.7361416", \
+					  "15.7397026, 15.7287316, 15.7410986, 15.7294376, 15.7609456, 15.7343556, 15.7125396", \
+					  "15.7545897, 15.7448267, 15.7418967, 15.7415737, 15.7264607, 15.7320847, 15.7240497", \
+					  "15.7502498, 15.7557608, 15.7538918, 15.7371768, 15.7376048, 15.7286968, 15.7350308", \
+					  "15.7535749, 15.7530279, 15.7581539, 15.7409039, 15.7340749, 15.7320969, 15.7401879", \
+					  "15.7585500, 15.7579340, 15.7568510, 15.7460110, 15.7392960, 15.7374260, 15.7370490");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.2934102, 27.3222202, 27.2945092, 27.3636682, 27.4634462, 27.3873972, 27.5815192", \
+					  "27.2416165, 27.2084015, 27.3263895, 27.2546965, 28.2104645, 27.0208415, 27.1127765", \
+					  "27.3339509, 27.2831849, 27.2139919, 27.1246069, 27.3726459, 30.3971619, 27.1738069", \
+					  "27.2889087, 27.3164367, 27.3436707, 27.2132257, 27.8140977, 24.6667297, 28.9190057", \
+					  "27.5086241, 27.6318901, 27.2287421, 26.9552711, 27.7473531, 27.1729631, 27.7173141", \
+					  "27.4486709, 27.1432609, 27.3760769, 27.1092499, 27.7514599, 27.3926939, 27.1019089", \
+					  "27.4221577, 27.4356207, 27.4269377, 27.5094707, 27.4986217, 27.4766327, 27.2642297");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("93.0916868, 93.1518748, 93.2391658, 93.0199318, 94.0202568, 87.3695768, 14.2988528", \
+					  "93.0948218, 93.1468978, 93.2260288, 93.0370548, 93.9309308, 86.4140408, 15.0567178", \
+					  "93.1373559, 93.1394439, 93.2434439, 93.0320089, 94.0812879, 86.3871369, 17.2973549", \
+					  "93.1351978, 93.1498178, 93.2530198, 93.0470878, 93.7982088, 87.4925518, 13.0446778", \
+					  "93.1505539, 93.1913069, 93.2853009, 93.0450829, 93.5982799, 87.2875979, 4.8518453", \
+					  "93.0301087, 93.1517967, 93.2215317, 92.9951857, 94.0727397, 87.4590787, 15.8143547", \
+					  "93.1395976, 93.1171576, 93.2918786, 93.0209076, 93.8530966, 86.8357856, 8.5149293");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.8599002, 40.9050662, 41.0821812, 42.1078122, 45.3015702, 44.7585842, 44.8346652", \
+					  "40.6359039, 40.7256279, 40.9207289, 41.9159839, 43.7515159, 45.2175069, 43.5956999", \
+					  "40.5553797, 40.6071367, 40.7636507, 41.9809547, 43.8795017, 43.6968457, 44.2378507", \
+					  "40.5598316, 40.5464326, 40.7439386, 41.4590676, 43.5958406, 45.0134886, 44.2976616", \
+					  "40.5903645, 40.6914045, 40.6589865, 41.5665135, 43.7148055, 44.4700975, 44.3001255", \
+					  "40.5824405, 40.5935155, 40.8461395, 41.6862175, 43.6129195, 44.5083905, 44.5288955", \
+					  "40.6896445, 40.7188015, 40.9092985, 41.8400155, 43.6784615, 44.6070835, 44.5885535");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.0539392, 44.2152682, 44.7594302, 47.3818432, 53.2915672, 67.1078452, 89.6633792", \
+					  "43.8186989, 43.9520159, 44.6323879, 47.2596959, 53.1641259, 66.8555379, 89.6817859", \
+					  "43.6715577, 43.8422677, 44.4706717, 47.0650337, 52.9423087, 66.8680607, 89.6230947", \
+					  "43.6819106, 43.8107076, 44.4589806, 47.0848176, 52.9326046, 66.6865826, 89.5152866", \
+					  "43.6943705, 43.8270455, 44.4751105, 47.0825385, 52.9532285, 66.7214305, 89.6520045", \
+					  "43.7279735, 43.8754735, 44.5400115, 47.1503465, 52.9977735, 66.7043055, 89.7003415", \
+					  "43.8317175, 43.9507235, 44.6361405, 47.2316025, 53.1015425, 66.9145415, 89.7851635");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("74.0145438, 74.0396418, 74.3205838, 75.1303668, 77.4223948, 73.8971028, 2.5555070", \
+					  "73.9486158, 74.0213778, 74.3953008, 75.3253618, 77.0616398, 73.5953708, -0.3968366", \
+					  "74.0072899, 73.9245439, 74.2427609, 75.1441999, 77.6371299, 74.9704499, -8.1077297", \
+					  "73.9128658, 73.9850928, 74.3151338, 75.1279628, 78.3074268, 72.0931478, 4.5800214", \
+					  "73.9167589, 74.0040509, 74.3167659, 75.1399479, 77.2567889, 77.0578989, -0.3928767", \
+					  "73.9421207, 73.9848017, 74.3617577, 75.1459907, 77.6537007, 77.3081917, 0.9053298", \
+					  "73.8761176, 74.0415896, 74.3343276, 75.2313466, 77.5309236, 78.5791686, 6.5757553");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.3197578, 68.9604828, 69.7507048, 70.4182538, 69.2255688, 69.8231328, -125.7281032", \
+					  "69.6696498, 70.2677688, 69.7001468, 69.7816018, 69.5667668, 57.9221788, -123.2207542", \
+					  "69.5376919, 69.8095919, 69.7043989, 71.8320089, 74.1231279, 59.4764209, -126.9404551", \
+					  "69.6919638, 69.7197128, 69.7314538, 69.7767508, 69.7569048, 59.3425688, -125.2873622", \
+					  "69.6921869, 69.7031439, 69.6981959, 69.7870489, 69.7281449, 59.6029239, -125.8141991", \
+					  "69.6811197, 69.6902167, 69.6826597, 69.7520007, 69.8006487, 59.6893967, -126.0114263", \
+					  "69.6988416, 69.7075776, 69.7310446, 69.7923986, 69.7634076, 59.3622556, -125.4847034");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("36.7061852, 36.7947292, 37.2249472, 38.9028652, 42.9302622, 55.9292972, 78.5873502", \
+					  "36.5054929, 36.6160679, 37.0690529, 38.7139419, 42.8050549, 55.5348539, 78.4109819", \
+					  "36.3578067, 36.4287397, 36.9574347, 38.6180617, 42.6304557, 55.4878347, 78.2814867", \
+					  "36.3563686, 36.4220516, 36.9254826, 38.5647646, 42.6025246, 55.5713726, 78.2469256", \
+					  "36.3595675, 36.4453495, 36.9289635, 38.5709625, 42.6611585, 55.5333965, 78.2253185", \
+					  "36.4240795, 36.4965595, 36.9922745, 38.6357655, 42.7020365, 55.5030785, 78.3675525", \
+					  "36.4961935, 36.6081595, 37.0678715, 38.7319775, 42.7919655, 55.7905365, 78.3668665");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.9281998, 70.7804704, 71.0650679, 70.9393168, 70.9542051, 62.4624806, -58.8785069", \
+					  "86.1276417, 86.1001036, 86.2244884, 86.0515003, 85.7351563, 77.2821287, -43.1688990", \
+					  "86.0849295, 86.1093082, 86.2151285, 86.0970077, 86.1705216, 81.3033472, -44.1925720", \
+					  "86.1550072, 86.0963873, 86.2243220, 86.0743774, 85.8758703, 77.3142782, -44.2092173", \
+					  "86.1064429, 86.0017457, 86.2346246, 86.1162491, 85.7770160, 73.9227193, -42.0505961", \
+					  "86.2111854, 86.2373676, 86.2901655, 86.1685654, 86.1285502, 77.8889604, -40.9150391", \
+					  "86.3088704, 86.3178315, 86.3842634, 86.2699263, 86.0340358, 77.8045419, -42.1298544");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("41.1028081, 41.3178016, 42.6020021, 48.6418103, 61.9105184, 82.2159258, 94.6975102", \
+					  "58.9185284, 59.1651869, 60.5917775, 67.1919454, 81.7963857, 102.6475918, 115.1541079", \
+					  "58.9307480, 59.1628000, 60.5560905, 67.2352517, 81.7098998, 103.6215124, 115.1250005", \
+					  "58.9188254, 59.1709384, 60.5753672, 67.2422761, 81.7180257, 102.7642325, 115.1958175", \
+					  "58.9771505, 59.2263130, 60.5454964, 67.1962607, 81.4491717, 103.0901709, 114.9212877", \
+					  "59.1030628, 59.1957529, 60.6421798, 67.1918310, 81.9531604, 103.0727339, 115.2385884", \
+					  "59.1388656, 59.3555184, 60.7354117, 67.3907029, 81.9126798, 103.1670936, 115.3279460");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("81.1216119, 81.5352861, 83.6068909, 94.7296509, 118.5540505, 152.7632629, 172.5548139", \
+					  "89.4044418, 89.8018403, 92.1138616, 103.1307136, 127.2115380, 163.1480346, 183.1505710", \
+					  "89.2492091, 89.5982091, 91.8547704, 103.1474337, 127.2754922, 162.1117349, 182.3776012", \
+					  "89.2569860, 89.5724175, 91.8222380, 102.8947840, 126.4796105, 161.7350840, 182.3282152", \
+					  "89.3095133, 89.7194433, 91.7867467, 102.8282452, 127.0969028, 162.2219243, 182.5410370", \
+					  "89.4561590, 89.7279067, 91.9420793, 103.0238180, 127.1736660, 162.6074673, 182.6757308", \
+					  "89.5552573, 89.9191752, 92.1154755, 103.2469608, 127.3059530, 162.7650745, 182.8351603");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("129.0613752, 129.0373111, 129.3868212, 129.7585559, 130.7882995, 119.9272328, -80.4767260", \
+					  "135.9876083, 136.1147481, 136.3238313, 135.7223358, 136.7882809, 129.4828154, -72.8452335", \
+					  "135.7919813, 135.8846255, 136.1715700, 136.3690390, 138.2937938, 124.4016675, -77.9406838", \
+					  "135.8803239, 135.9455138, 136.1024338, 136.2264961, 137.1775274, 124.2186881, -71.8864907", \
+					  "135.8929775, 135.9662825, 136.1212585, 136.2378161, 136.8918661, 125.4449315, -76.9741906", \
+					  "135.8717569, 135.9222407, 136.1025851, 136.2090414, 137.1081296, 124.1016881, -73.3988479", \
+					  "135.9175149, 135.9609090, 136.1602029, 136.3004725, 136.9896799, 125.6967497, -75.3078621");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1297546, 2.1297547, 2.1297550, 2.1297552, 2.1297554, 2.1297557, 2.3057640", \
+					  "2.6523412, 2.6523413, 2.6540328, 2.6540329, 2.6540331, 2.6540334, 2.8067624", \
+					  "3.0517469, 3.0637852, 3.0637855, 3.0664715, 3.0664717, 3.0664720, 3.1984344", \
+					  "3.4077448, 3.4120100, 3.4120101, 3.4120104, 3.4159968, 3.4159970, 3.5702414", \
+					  "3.7385894, 3.7431405, 3.7431406, 3.7431409, 3.7431411, 3.7431413, 3.8894425", \
+					  "4.0369281, 4.0395611, 4.0395616, 4.0395620, 4.0395625, 4.0395630, 4.1825881", \
+					  "4.3235646, 4.3295385, 4.3295386, 4.3295391, 4.3295396, 4.3295401, 4.4795261");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0555003, 8.2220302, 9.3563557, 16.3385220, 59.1967650, 321.9081700, 1939.7369000", \
+					  "8.5724272, 8.7688150, 9.8880832, 16.8583760, 59.7426040, 322.7624500, 1940.0129000", \
+					  "8.9767385, 9.1682741, 10.2825500, 17.2606480, 60.0950540, 322.9471600, 1941.1721000", \
+					  "9.3317212, 9.5076489, 10.6376560, 17.6163850, 60.4016530, 323.9221900, 1943.5822000", \
+					  "9.6321626, 9.8089536, 10.9477080, 17.9488760, 60.7914070, 323.5760200, 1943.7982000", \
+					  "9.9683080, 10.1574960, 11.2990770, 18.3005040, 61.0940920, 324.6237000, 1941.4376000", \
+					  "10.2071030, 10.4028530, 11.5420380, 18.5557400, 61.4852380, 324.3694400, 1942.6068000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8807280, 12.2655450, 14.4765300, 28.2378760, 113.4797600, 637.8464800, 3872.8385000", \
+					  "11.8986090, 12.2282350, 14.5311270, 28.1850450, 113.4279100, 638.3209000, 3878.1195000", \
+					  "11.9008950, 12.2421350, 14.4720130, 28.1881430, 113.3355200, 637.2895900, 3872.6594000", \
+					  "11.8817240, 12.2364890, 14.4593330, 28.1711010, 113.2874700, 637.9992200, 3871.4102000", \
+					  "11.8688130, 12.2424180, 14.4463130, 28.1720780, 113.2900500, 637.2370600, 3873.5016000", \
+					  "11.8794970, 12.2281370, 14.4557410, 28.1349020, 113.4942700, 638.0369700, 3872.3911000", \
+					  "11.8692450, 12.2155160, 14.4607520, 28.1870580, 113.4678600, 638.0748200, 3873.1173000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6370246, 2.6458966, 2.6967080, 2.9485034, 3.9239847, 6.6095205, 15.6640830", \
+					  "3.3297169, 3.3385512, 3.3897927, 3.6409020, 4.6168811, 7.3018280, 16.3575380", \
+					  "4.0491930, 4.0581192, 4.0980312, 4.3603099, 5.3363576, 8.0131342, 17.0659610", \
+					  "4.7735220, 4.7824460, 4.8133009, 5.0848805, 6.0609131, 8.7274135, 17.7812570", \
+					  "5.5083884, 5.5170757, 5.5681380, 5.8193832, 6.7956489, 9.4524069, 18.5081690", \
+					  "6.2302403, 6.2388614, 6.2902239, 6.5409633, 7.5164763, 10.1654900, 19.2215560", \
+					  "6.8924195, 6.9437118, 6.9519128, 7.2461970, 8.2211464, 10.8657850, 19.9199890");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4438486, 0.4473178, 0.4766567, 0.6548309, 1.4587780, 3.3916319, 13.2171150", \
+					  "0.4439277, 0.4472138, 0.4773628, 0.6546178, 1.4603506, 3.3863004, 13.2033470", \
+					  "0.4443830, 0.4475715, 0.4775951, 0.6541735, 1.4624874, 3.3913083, 13.2310130", \
+					  "0.4434443, 0.4473691, 0.4779038, 0.6549147, 1.4616727, 3.3910414, 13.2307110", \
+					  "0.4460248, 0.4485921, 0.4777672, 0.6541529, 1.4620566, 3.3897813, 13.2314590", \
+					  "0.4452581, 0.4495558, 0.4767827, 0.6567784, 1.4616253, 3.3841663, 13.2261400", \
+					  "0.4425367, 0.4465127, 0.4745249, 0.6550613, 1.4616317, 3.3883532, 13.2190590");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7202468, 3.7234751, 3.7234754, 3.7234756, 3.7234759, 3.7234761, 3.7234763", \
+					  "4.2245931, 4.2547716, 4.2547720, 4.2547725, 4.2547730, 4.2547734, 4.2547739", \
+					  "4.6364708, 4.6373785, 4.6373790, 4.6520450, 4.6520451, 4.6520455, 4.6520460", \
+					  "4.9986419, 5.0015050, 5.0015052, 5.0015057, 5.0015061, 5.0015066, 5.0015071", \
+					  "5.3400933, 5.3573901, 5.3573902, 5.3573907, 5.3573912, 5.3573916, 5.3573921", \
+					  "5.6056875, 5.6073444, 5.6073449, 5.6073454, 5.6073459, 5.6073463, 5.6073468", \
+					  "5.8800684, 5.8834058, 5.8834060, 5.8834065, 5.8834069, 5.8834074, 5.8834079");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4481884, 3.4546586, 3.4546587, 3.4546589, 3.4546592, 3.4546594, 3.4546596", \
+					  "3.9926200, 3.9926202, 3.9926662, 3.9926664, 3.9926667, 3.9926669, 3.9926671", \
+					  "4.4173531, 4.4187125, 4.4187129, 4.4187134, 4.4187139, 4.4187143, 4.4187148", \
+					  "4.7621480, 4.7621482, 4.7621487, 4.7621491, 4.7621496, 4.7621501, 4.7621506", \
+					  "5.0751288, 5.0755458, 5.0755461, 5.0755466, 5.0755470, 5.0755475, 5.0755480", \
+					  "5.4035887, 5.4035891, 5.4035895, 5.4035900, 5.4035905, 5.4035910, 5.4035914", \
+					  "5.6704756, 5.7032761, 5.7032764, 5.7032769, 5.7032774, 5.7032779, 5.7032784");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6185611, 2.6428826, 2.7909626, 3.6821894, 8.7829113, 16.9933170, 36.4494890", \
+					  "3.1520659, 3.1766003, 3.3246363, 4.2149781, 9.3153121, 17.5293610, 36.9926490", \
+					  "3.5569969, 3.5715659, 3.7196026, 4.6102842, 9.7066204, 17.9253860, 37.3814510", \
+					  "3.8959405, 3.9161292, 4.0641419, 4.9556879, 10.0566430, 18.2658990, 37.7392480", \
+					  "4.2004884, 4.2248316, 4.3729056, 5.2642894, 10.3599810, 18.5798130, 38.0347660", \
+					  "4.5428461, 4.5671666, 4.7152557, 5.6062963, 10.7042380, 18.9136870, 38.3778950", \
+					  "4.7841788, 4.8085260, 4.9566045, 5.8478515, 10.9474110, 19.1566370, 38.6201760");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5055884, 1.5517643, 1.8308604, 3.5752317, 7.9217166, 10.2926410, 28.2127350", \
+					  "1.5061682, 1.5509177, 1.8306623, 3.5764061, 7.9255358, 10.2754330, 28.2242350", \
+					  "1.5064096, 1.5512969, 1.8302374, 3.5771945, 7.9142195, 10.2797210, 28.1884440", \
+					  "1.5063351, 1.5511907, 1.8315659, 3.5737229, 7.9282643, 10.2742560, 28.1833220", \
+					  "1.5077883, 1.5498944, 1.8316083, 3.5770351, 7.9143554, 10.2818390, 28.2046870", \
+					  "1.5036276, 1.5510644, 1.8304768, 3.5764844, 7.9167748, 10.3092690, 28.1995900", \
+					  "1.5172390, 1.5533719, 1.8298800, 3.5868586, 7.9145099, 10.2875890, 28.2163380");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.4234042, 8.6204812, 9.7750608, 16.8503560, 59.9329940, 323.2119900, 1946.1099000", \
+					  "9.1447694, 9.3100674, 10.4671530, 17.5669700, 60.6109150, 323.9257500, 1946.8207000", \
+					  "9.8417630, 10.0235580, 11.1802860, 18.2475010, 61.3344610, 324.6227900, 1947.5194000", \
+					  "10.5653970, 10.7357280, 11.9130590, 18.9722420, 61.9822200, 325.3619000, 1948.2347000", \
+					  "11.2987010, 11.5136390, 12.6158010, 19.7256130, 62.6687110, 325.9479200, 1949.2595000", \
+					  "11.9807060, 12.1656260, 13.3275440, 20.4384070, 63.4218720, 326.9350700, 1949.9475000", \
+					  "12.6845700, 12.8679460, 14.0224480, 21.1005060, 64.2082720, 327.5474400, 1951.6788000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7437840, 12.1062210, 14.3261290, 28.1382950, 113.5786300, 639.8531100, 3886.4764000", \
+					  "11.7221350, 12.0873060, 14.3243790, 28.1485450, 113.5132900, 639.8057600, 3886.0617000", \
+					  "11.7322910, 12.1104570, 14.3441860, 28.1388490, 113.5908500, 639.8511200, 3886.2991000", \
+					  "11.7243860, 12.1020670, 14.3242220, 28.1217110, 113.5702500, 639.7668800, 3888.5951000", \
+					  "11.7197080, 12.0738880, 14.3091060, 28.0998670, 113.3256500, 639.4871500, 3885.5865000", \
+					  "11.6993060, 12.0616970, 14.2963630, 28.1030220, 113.4868600, 639.7976900, 3887.1417000", \
+					  "11.7190330, 12.0570580, 14.3021120, 28.0559060, 113.7191200, 639.9084200, 3885.9694000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7206529, 3.7220922, 3.7220923, 3.7220926, 3.7220928, 3.7220930, 3.7220933", \
+					  "4.2586410, 4.2586411, 4.2586415, 4.2586420, 4.2586425, 4.2586430, 4.2586434", \
+					  "4.6395674, 4.6487392, 4.6487396, 4.6487401, 4.6487406, 4.6487411, 4.6487415", \
+					  "4.9945621, 4.9945624, 4.9945629, 4.9945634, 4.9945639, 4.9945643, 4.9945648", \
+					  "5.3429212, 5.3527915, 5.3527916, 5.3527921, 5.3527926, 5.3527930, 5.3527935", \
+					  "5.6098379, 5.6114113, 5.6114114, 5.6156995, 5.6156996, 5.6157001, 5.6157005", \
+					  "5.8856973, 5.8901830, 5.8901833, 5.8979501, 5.8979505, 5.8979509, 5.8979514");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1293549, 2.1293551, 2.1293554, 2.1293556, 2.1293558, 2.1293561, 2.2920972", \
+					  "2.6524469, 2.6524472, 2.6542624, 2.6542625, 2.6542627, 2.6599796, 2.7998412", \
+					  "3.0550937, 3.0590199, 3.0590200, 3.0590202, 3.0616913, 3.0616915, 3.2160952", \
+					  "3.4094108, 3.4108270, 3.4108271, 3.4131620, 3.4131622, 3.4131624, 3.5639531", \
+					  "3.7403804, 3.7415324, 3.7415325, 3.7415327, 3.7415330, 3.7415332, 3.8881138", \
+					  "4.0402304, 4.0431174, 4.0431178, 4.0431183, 4.0431188, 4.0431192, 4.1843418", \
+					  "4.3190846, 4.3259827, 4.3259829, 4.3259833, 4.3294701, 4.3294704, 4.4792103");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8981837, 2.9222722, 3.0733971, 3.9936565, 8.4774046, 28.0968070, 68.4379490", \
+					  "3.5903580, 3.6119059, 3.7653178, 4.6834385, 9.1680938, 28.7865130, 69.1303300", \
+					  "4.3124273, 4.3357731, 4.4868331, 5.4070255, 9.8870023, 29.4942060, 69.8704880", \
+					  "5.0012674, 5.0264162, 5.1923092, 6.1078018, 10.6061730, 30.2116310, 70.5747420", \
+					  "5.7441549, 5.7686598, 5.9349894, 6.8583921, 11.3055930, 30.9381430, 71.3101190", \
+					  "6.4916592, 6.4916595, 6.6213963, 7.5354469, 12.0288250, 31.6768020, 72.0118220", \
+					  "7.1865669, 7.1865671, 7.3270541, 8.2444265, 12.7084850, 32.3284650, 72.7000470");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5267073, 1.5724849, 1.8572422, 3.2751306, 8.5702618, 31.0664840, 38.0271210", \
+					  "1.5265360, 1.5724951, 1.8571594, 3.2747651, 8.5690646, 31.0824680, 38.0292950", \
+					  "1.5267358, 1.5723811, 1.8572540, 3.2747336, 8.5641236, 31.0591660, 37.9758940", \
+					  "1.5267183, 1.5728702, 1.8572386, 3.2749092, 8.5666230, 31.0755620, 38.0170420", \
+					  "1.5261785, 1.5706001, 1.8567060, 3.2745449, 8.5763805, 31.0750640, 38.1303560", \
+					  "1.5270750, 1.5717330, 1.8559456, 3.2724816, 8.5672784, 31.0661190, 38.0202000", \
+					  "1.5268141, 1.5718957, 1.8560339, 3.2769625, 8.5702450, 31.0511150, 38.0934220");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1235700, 2.1235701, 2.1235704, 2.1312287, 2.1312289, 2.1312291, 2.2942832", \
+					  "2.6496410, 2.6496412, 2.6549876, 2.6549877, 2.6549880, 2.6549882, 2.8056546", \
+					  "3.0498477, 3.0588423, 3.0588424, 3.0588426, 3.0588428, 3.0588431, 3.2131986", \
+					  "3.4119156, 3.4119157, 3.4119159, 3.4119162, 3.4119164, 3.4133971, 3.5673249", \
+					  "3.7398435, 3.7398438, 3.7412375, 3.7412376, 3.7412378, 3.7412380, 3.8882120", \
+					  "4.0400656, 4.0400661, 4.0430788, 4.0454480, 4.0468775, 4.0504111, 4.1951935", \
+					  "4.3224824, 4.3276573, 4.3276575, 4.3276580, 4.3276585, 4.3276589, 4.4799973");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6223008, 2.6311426, 2.6827642, 2.9375657, 3.9970862, 9.5321484, 37.0250110", \
+					  "3.3106253, 3.3237850, 3.3729477, 3.6280488, 4.6897548, 10.2249990, 37.7204860", \
+					  "4.0150474, 4.0218358, 4.0954595, 4.3509480, 5.3877232, 10.9411980, 38.4226690", \
+					  "4.7255084, 4.7659969, 4.7857780, 5.0444533, 6.1106467, 11.6510910, 39.1239960", \
+					  "5.4495715, 5.4560521, 5.5288005, 5.7833880, 6.8234334, 12.3969450, 39.8496700", \
+					  "6.1784973, 6.1855059, 6.2721988, 6.4884231, 7.5516340, 13.0719520, 40.6135290", \
+					  "6.8788570, 6.8861903, 6.9734867, 7.1649460, 8.2531741, 13.7868230, 41.2749190");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4500204, 0.4534468, 0.4825557, 0.6608673, 1.7584060, 8.7112451, 30.0676990", \
+					  "0.4499523, 0.4535750, 0.4825568, 0.6608654, 1.7593741, 8.7128278, 30.0385030", \
+					  "0.4499433, 0.4535030, 0.4825524, 0.6608726, 1.7583719, 8.7097538, 30.0027560", \
+					  "0.4499592, 0.4534376, 0.4825603, 0.6608198, 1.7586269, 8.7100638, 30.0278490", \
+					  "0.4501579, 0.4552668, 0.4832947, 0.6604649, 1.7590092, 8.7029006, 30.0034770", \
+					  "0.4479787, 0.4519151, 0.4803473, 0.6617263, 1.7572570, 8.7115621, 30.0641610", \
+					  "0.4473969, 0.4517081, 0.4791112, 0.6598069, 1.7590254, 8.7039904, 30.0789150");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0560296, 8.2383345, 9.3508516, 16.2736280, 58.8336700, 321.5824300, 1938.9713000", \
+					  "8.7394501, 8.9241512, 10.0401200, 16.9191420, 59.4525460, 322.2981800, 1939.5009000", \
+					  "9.4414689, 9.6298765, 10.7548020, 17.6347350, 60.1545690, 322.6662700, 1940.2673000", \
+					  "10.1426990, 10.3392490, 11.4529750, 18.3380810, 60.8513560, 323.3137400, 1941.0638000", \
+					  "10.9125310, 11.0659960, 12.1823020, 19.0463520, 61.6672300, 324.2722100, 1941.8126000", \
+					  "11.5812820, 11.7769540, 12.8848950, 19.7871850, 62.3460320, 325.4533000, 1943.6475000", \
+					  "12.2871520, 12.4265000, 13.5397320, 20.4848090, 63.0137720, 326.1527900, 1943.7234000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8830520, 12.2360480, 14.4477420, 28.1333680, 113.4478000, 637.6549800, 3874.8685000", \
+					  "11.8526630, 12.2345300, 14.4654990, 28.1988320, 113.3660700, 637.7645700, 3873.3137000", \
+					  "11.8797780, 12.2381150, 14.4651930, 28.1925670, 113.1820800, 637.5040600, 3872.4302000", \
+					  "11.8814630, 12.2436950, 14.4644440, 28.1938290, 113.2462600, 637.3177300, 3873.2592000", \
+					  "11.8845040, 12.2439300, 14.4407060, 28.1980890, 113.4313100, 637.4359000, 3873.4652000", \
+					  "11.8837970, 12.2416020, 14.4408740, 28.1802230, 113.4398900, 638.0886900, 3872.7385000", \
+					  "11.8840450, 12.2396320, 14.4695640, 28.1780270, 113.0047200, 638.0927900, 3872.4620000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8074982, 2.8172922, 2.8676492, 3.1199072, 4.0957913, 6.7803514, 15.8359260", \
+					  "3.5018684, 3.5029113, 3.5606724, 3.8120653, 4.7802691, 7.4680093, 16.5289010", \
+					  "4.1954382, 4.2036064, 4.2556993, 4.5105120, 5.4879941, 8.1892271, 17.2490040", \
+					  "4.9144715, 4.9230500, 4.9664082, 5.2447895, 6.1848255, 8.8868566, 17.9401080", \
+					  "5.6693268, 5.6725074, 5.6725076, 5.9447172, 6.9229729, 9.6182520, 18.6954330", \
+					  "6.3239818, 6.3446710, 6.3673684, 6.6599246, 7.6646680, 10.3601580, 19.3622490", \
+					  "7.0371144, 7.0531692, 7.0892878, 7.3960411, 8.3452649, 10.9717730, 20.0590120");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4452400, 0.4507915, 0.4783577, 0.6555997, 1.4612721, 3.3912047, 13.1994350", \
+					  "0.4455775, 0.4501064, 0.4765038, 0.6562526, 1.4604054, 3.3899541, 13.2231070", \
+					  "0.4460539, 0.4501956, 0.4781228, 0.6554864, 1.4605840, 3.3841063, 13.2258530", \
+					  "0.4467572, 0.4500967, 0.4786434, 0.6540544, 1.4605284, 3.3911909, 13.2258630", \
+					  "0.4451252, 0.4491203, 0.4755597, 0.6552384, 1.4597903, 3.3918954, 13.2276070", \
+					  "0.4448571, 0.4486417, 0.4766210, 0.6558552, 1.4645217, 3.3857015, 13.2226020", \
+					  "0.4472860, 0.4491576, 0.4790667, 0.6566243, 1.4591506, 3.3905347, 13.2300370");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.8704314, 8.0537967, 9.1685006, 16.0936400, 58.6111780, 321.0712700, 1938.7639000", \
+					  "8.3899350, 8.5828029, 9.7040553, 16.5897550, 59.1554220, 322.0194200, 1939.6989000", \
+					  "8.7973921, 8.9897139, 10.1080990, 16.9855970, 59.5079540, 321.9194000, 1939.6143000", \
+					  "9.1289705, 9.3303223, 10.4497450, 17.3662100, 59.9653940, 322.8599000, 1939.6014000", \
+					  "9.4542640, 9.6701660, 10.7925430, 17.6683540, 60.2163870, 322.8647400, 1940.2128000", \
+					  "9.7865707, 9.9730864, 11.1032340, 18.0231990, 60.4944790, 323.3075700, 1942.5424000", \
+					  "10.0208220, 10.2171250, 11.3947460, 18.2192960, 60.7469700, 323.3280100, 1941.6168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8815920, 12.2413100, 14.4348840, 28.2008350, 113.3130300, 637.6809000, 3873.1378000", \
+					  "11.8781630, 12.2284160, 14.4349770, 28.1843210, 113.3592900, 638.3581300, 3873.9072000", \
+					  "11.8758520, 12.2197270, 14.4302610, 28.1751830, 113.3593100, 637.1613500, 3873.0032000", \
+					  "11.8811830, 12.2371070, 14.4400810, 28.2017200, 113.4641200, 638.2276800, 3873.1368000", \
+					  "11.8809150, 12.2297100, 14.4284520, 28.1338210, 113.3577100, 637.4756400, 3874.7294000", \
+					  "11.8759460, 12.2461310, 14.4393030, 28.1882000, 113.4794400, 637.5841500, 3872.4752000", \
+					  "11.8726790, 12.2280730, 14.4363140, 28.1920510, 113.3720700, 637.0263500, 3872.7021000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.1445313, 8.3484961, 9.4526706, 16.3784200, 59.1450230, 322.1406600, 1945.3332000", \
+					  "8.8371035, 9.0342906, 10.1464690, 17.0575730, 59.9228540, 323.3588800, 1946.5970000", \
+					  "9.5579944, 9.7531903, 10.8549680, 17.7820670, 60.5569210, 323.8702100, 1949.1545000", \
+					  "10.2822350, 10.4467130, 11.5702560, 18.5011400, 61.3317820, 325.0364700, 1947.1704000", \
+					  "11.0149860, 11.1731280, 12.2979830, 19.2341240, 62.1126190, 325.6835700, 1948.4003000", \
+					  "11.7662450, 11.9103610, 13.0102040, 19.9382550, 62.7436310, 326.0414900, 1950.5371000", \
+					  "12.4442910, 12.5844370, 13.7197620, 20.6431530, 63.5088140, 326.9677800, 1949.4248000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7250290, 12.0898870, 14.3215020, 28.0815820, 113.7327700, 639.9511700, 3888.8975000", \
+					  "11.7243600, 12.0865730, 14.3199890, 28.0361580, 113.3206000, 639.7636400, 3885.2113000", \
+					  "11.7254350, 12.0897890, 14.3198760, 28.0576310, 113.7392900, 639.9122300, 3884.3427000", \
+					  "11.7264240, 12.0878120, 14.3198550, 28.0744990, 113.6348300, 639.7603400, 3886.8548000", \
+					  "11.7227740, 12.0847540, 14.3195860, 28.0929890, 113.2934500, 638.9584000, 3884.9985000", \
+					  "11.7205470, 12.0882270, 14.3202910, 28.0583980, 113.7170000, 639.9101800, 3884.1631000", \
+					  "11.7194480, 12.0884530, 14.3140190, 28.0991550, 113.5683000, 640.1497200, 3884.3746000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1731157, 2.1731159, 2.1731161, 2.1884103, 2.1884105, 2.1884107, 2.3262852", \
+					  "2.6994060, 2.6994061, 2.6994063, 2.6994066, 2.7036604, 2.7036607, 2.7859146", \
+					  "3.1075544, 3.1104457, 3.1126364, 3.1126365, 3.1126367, 3.1126370, 3.2538232", \
+					  "3.4536538, 3.4574992, 3.4723333, 3.4723334, 3.4723336, 3.4750205, 3.5848911", \
+					  "3.7829953, 3.7953720, 3.7953722, 3.7953724, 3.7953727, 3.7953729, 3.8972656", \
+					  "4.0837032, 4.0837033, 4.0856101, 4.0856102, 4.0856107, 4.0937631, 4.2075388", \
+					  "4.3779509, 4.3779510, 4.3779514, 4.3779519, 4.3779524, 4.3804085, 4.4691117");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4422851, 3.4451910, 3.4451911, 3.4451913, 3.4451916, 3.4451918, 3.4451920", \
+					  "3.9955479, 3.9955482, 3.9958366, 3.9958367, 3.9958369, 3.9958371, 3.9958374", \
+					  "4.4158748, 4.4176729, 4.4176734, 4.4176739, 4.4176744, 4.4176748, 4.4176753", \
+					  "4.7643876, 4.7643879, 4.7643884, 4.7643888, 4.7643893, 4.7643898, 4.7643903", \
+					  "5.0751199, 5.0755893, 5.0755895, 5.0755899, 5.0755904, 5.0755909, 5.0755914", \
+					  "5.4013327, 5.4013331, 5.4013336, 5.4013341, 5.4013346, 5.4013351, 5.4013355", \
+					  "5.6697935, 5.6923626, 5.6923631, 5.6923636, 5.6923640, 5.6923645, 5.6923650");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8105722, 2.8348945, 2.9835885, 3.8745398, 8.9958041, 17.2351950, 36.6910630", \
+					  "3.4983334, 3.5271566, 3.6745456, 4.5687969, 9.6916324, 17.9276790, 37.3697870", \
+					  "4.1993129, 4.2424433, 4.3874868, 5.2628200, 10.3865470, 18.6410160, 38.0764610", \
+					  "4.9062141, 4.9403912, 5.0842632, 5.9783360, 11.0995330, 19.3361310, 38.7898390", \
+					  "5.6158993, 5.6904828, 5.7944400, 6.7145156, 11.8251590, 20.0489300, 39.5268780", \
+					  "6.3448473, 6.3536003, 6.4873964, 7.4473623, 12.5349730, 20.7361420, 40.2232060", \
+					  "7.0404007, 7.0540405, 7.2031265, 8.0821865, 13.1862880, 21.4511590, 40.9367080");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5038994, 1.5499204, 1.8290529, 3.5731211, 7.9735809, 10.2856110, 28.2062360", \
+					  "1.5056116, 1.5493182, 1.8290287, 3.5767371, 7.9617433, 10.2874390, 28.2151880", \
+					  "1.5038214, 1.5507938, 1.8284145, 3.5767183, 7.9666966, 10.2914350, 28.2141120", \
+					  "1.5040565, 1.5493017, 1.8290410, 3.5766443, 7.9693923, 10.2873530, 28.2216810", \
+					  "1.5023308, 1.5491490, 1.8276769, 3.5764258, 7.9706872, 10.2878110, 28.1990880", \
+					  "1.5049152, 1.5505910, 1.8291274, 3.5767258, 7.9736555, 10.3074950, 28.2177840", \
+					  "1.5049884, 1.5497776, 1.8297972, 3.5757832, 7.9699101, 10.3039700, 28.2115860");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.3928093, 2.3928096, 2.3928098, 2.3928100, 2.3928103, 2.4023903, 2.4906597", \
+					  "2.9055263, 2.9165059, 2.9165060, 2.9204850, 2.9204852, 2.9303602, 3.0141543", \
+					  "3.3279133, 3.3279135, 3.3347700, 3.3347701, 3.3347704, 3.3347706, 3.4158083", \
+					  "3.6719829, 3.6746379, 3.6746380, 3.6746382, 3.6767607, 3.6797365, 3.7765484", \
+					  "3.9858947, 4.0152046, 4.0152047, 4.0152052, 4.0152057, 4.0152061, 4.1081578", \
+					  "4.2950465, 4.2950466, 4.3029336, 4.3029340, 4.3029345, 4.3082093, 4.3877111", \
+					  "4.5869752, 4.5959379, 4.5959380, 4.5959385, 4.6020125, 4.6020129, 4.6643218");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.8700371, 8.0538678, 9.1668255, 16.0942730, 58.6927410, 321.1207500, 1938.7642000", \
+					  "8.3907725, 8.5830729, 9.7033794, 16.5915870, 59.1190630, 322.0260100, 1939.3458000", \
+					  "8.7973735, 8.9818695, 10.0927800, 17.0299580, 59.6025100, 322.7023900, 1941.6611000", \
+					  "9.1381152, 9.3259676, 10.4402400, 17.3421700, 59.8717240, 322.9056600, 1940.1950000", \
+					  "9.4541486, 9.6361044, 10.7411390, 17.6815080, 60.2422500, 322.8883000, 1940.5904000", \
+					  "9.7860759, 9.9732214, 11.0871500, 18.0231650, 60.4954590, 323.3287200, 1942.0581000", \
+					  "10.0203400, 10.2140640, 11.3371370, 18.2191770, 60.7473750, 323.4297300, 1943.0859000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8756730, 12.2411550, 14.4420830, 28.1980220, 113.4814100, 637.7032900, 3873.1341000", \
+					  "11.8802280, 12.2284060, 14.4305760, 28.1684990, 113.3817100, 638.2983300, 3873.8258000", \
+					  "11.8756650, 12.2424160, 14.4511380, 28.1560780, 113.4818400, 638.0495800, 3872.2010000", \
+					  "11.8701870, 12.2379540, 14.4451080, 28.1793500, 113.3817300, 638.1981700, 3877.3478000", \
+					  "11.8814320, 12.2357280, 14.4622300, 28.1789830, 113.4462100, 637.4334000, 3873.9017000", \
+					  "11.8768620, 12.2462650, 14.4594430, 28.1857990, 113.4794700, 637.6137700, 3872.0623000", \
+					  "11.8734840, 12.2138190, 14.4318440, 28.1922730, 113.3698300, 637.8808700, 3871.4161000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.1444638, 8.3489606, 9.4527302, 16.3792940, 59.1432720, 322.1347000, 1945.0558000", \
+					  "8.8387742, 9.0446086, 10.1464020, 17.0749490, 59.8367440, 323.6037600, 1948.4582000", \
+					  "9.5473869, 9.7528750, 10.8656340, 17.7831010, 60.5558060, 323.8813000, 1947.2718000", \
+					  "10.2838080, 10.4862000, 11.5891200, 18.5165520, 61.2887730, 324.3187100, 1947.5124000", \
+					  "11.0147060, 11.1730610, 12.3241080, 19.2346210, 62.1127330, 325.7798400, 1948.4994000", \
+					  "11.7650790, 11.9093710, 13.0453660, 19.9381880, 62.7455210, 326.5210200, 1949.4394000", \
+					  "12.4432260, 12.5814810, 13.7620870, 20.6350260, 63.2823780, 327.2549200, 1950.4951000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7245260, 12.0899370, 14.3214890, 28.0846880, 113.7353500, 639.9384300, 3887.1544000", \
+					  "11.7265180, 12.0893310, 14.3202600, 28.0646550, 113.7323000, 639.2070900, 3890.1776000", \
+					  "11.7254440, 12.0899140, 14.3197910, 28.0604960, 113.7400900, 639.9141600, 3884.6956000", \
+					  "11.7262910, 12.0898050, 14.3212840, 28.0855570, 113.7136300, 640.0756000, 3885.3104000", \
+					  "11.7222030, 12.0841710, 14.3209320, 28.0448520, 113.3165700, 640.0009100, 3884.1813000", \
+					  "11.7238580, 12.0891640, 14.3171660, 28.0623600, 113.7112400, 640.0790700, 3885.6265000", \
+					  "11.7192850, 12.0849390, 14.3139910, 28.1010310, 113.3420000, 639.9035900, 3886.3600000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6162146, 2.6405615, 2.7885168, 3.6789896, 8.7987502, 17.0391860, 36.4997810", \
+					  "3.1459257, 3.1721945, 3.3184171, 4.2051149, 9.3340766, 17.5749020, 37.0238240", \
+					  "3.5613934, 3.5632322, 3.7166281, 4.6262434, 9.7401438, 17.9841950, 37.4426460", \
+					  "3.9023047, 3.9396171, 4.0876400, 4.9542090, 10.0709390, 18.3139850, 37.7883360", \
+					  "4.1976782, 4.2223482, 4.3708379, 5.2997300, 10.4254590, 18.6359800, 38.1332010", \
+					  "4.4975601, 4.5612838, 4.7098738, 5.5952305, 10.7136830, 18.9560790, 38.4138840", \
+					  "4.8369793, 4.8450830, 4.9895639, 5.8854144, 10.9923920, 19.2336920, 38.6880640");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5053937, 1.5507569, 1.8293403, 3.5767198, 7.9687013, 10.3046520, 28.2022280", \
+					  "1.5055671, 1.5505273, 1.8294731, 3.5733139, 7.9625941, 10.2984370, 28.1997450", \
+					  "1.5034000, 1.5494390, 1.8290743, 3.5765513, 7.9676337, 10.2792460, 28.2162350", \
+					  "1.5044734, 1.5485192, 1.8307106, 3.5766341, 7.9696525, 10.3034270, 28.1853540", \
+					  "1.5064219, 1.5612986, 1.8306886, 3.5769172, 7.9779641, 10.2967890, 28.2187320", \
+					  "1.5056285, 1.5503501, 1.8290407, 3.5756973, 7.9716624, 10.3288420, 28.2281080", \
+					  "1.5079232, 1.5533848, 1.8294045, 3.5863641, 7.9700255, 10.3014150, 28.2320850");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1768225, 2.1768226, 2.1768228, 2.1820006, 2.1820008, 2.1844191, 2.2993099", \
+					  "2.6916891, 2.7045470, 2.7064906, 2.7074802, 2.7074804, 2.7104969, 2.8069014", \
+					  "3.1152552, 3.1152553, 3.1202601, 3.1202602, 3.1202604, 3.1202606, 3.2036787", \
+					  "3.4508265, 3.4575494, 3.4575495, 3.4624159, 3.4624161, 3.4654468, 3.5568655", \
+					  "3.7896899, 3.7896902, 3.7896904, 3.7906229, 3.8010493, 3.8010494, 3.8963339", \
+					  "4.0965169, 4.0965174, 4.0968038, 4.0978976, 4.0978978, 4.0978983, 4.1865529", \
+					  "4.3719823, 4.3823847, 4.3823851, 4.3823855, 4.3823860, 4.3828630, 4.4619313");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6035971, 2.6169182, 2.6888258, 2.9538381, 3.8775720, 8.4553058, 26.4107650", \
+					  "3.1373446, 3.1505867, 3.2223257, 3.4874161, 4.4112998, 8.9884242, 26.9484510", \
+					  "3.5323799, 3.5455494, 3.6173085, 3.8919721, 4.8149005, 9.3907406, 27.3236140", \
+					  "3.8768828, 3.8905004, 3.9617993, 4.2310280, 5.1533786, 9.7327844, 27.6789580", \
+					  "4.1855306, 4.1988626, 4.2706221, 4.5769277, 5.5009708, 10.0806470, 27.9982600", \
+					  "4.5279913, 4.5411697, 4.6130624, 4.8896585, 5.8121294, 10.3809650, 28.3347300", \
+					  "4.7692170, 4.7825583, 4.8542068, 5.1745537, 6.0977867, 10.6224740, 28.5700620");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7596975, 0.7618445, 0.7723361, 0.7599894, 1.7363733, 7.0809638, 27.3392300", \
+					  "0.7604836, 0.7619965, 0.7728204, 0.7586445, 1.7360469, 7.0701174, 27.3299850", \
+					  "0.7596969, 0.7623428, 0.7714763, 0.7597918, 1.7319375, 7.0721766, 27.3038570", \
+					  "0.7599900, 0.7618922, 0.7718044, 0.7589781, 1.7329227, 7.0819923, 27.3539480", \
+					  "0.7605751, 0.7623061, 0.7728104, 0.7585955, 1.7372378, 7.0840334, 27.3243440", \
+					  "0.7598796, 0.7623989, 0.7721056, 0.7598281, 1.7332277, 7.0830466, 27.3509840", \
+					  "0.7601195, 0.7623149, 0.7723440, 0.7594516, 1.7341409, 7.0828383, 27.3313620");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6528657, 2.6613811, 2.7125737, 2.9662803, 3.9420969, 6.6183010, 15.6708800", \
+					  "3.3459970, 3.3544580, 3.4055052, 3.6592405, 4.6347566, 7.3126531, 16.3647320", \
+					  "4.0543102, 4.0628292, 4.1140034, 4.3677963, 5.3436602, 8.0199571, 17.0832270", \
+					  "4.7696522, 4.7781588, 4.8292228, 5.0830837, 6.0784902, 8.7547694, 17.8074160", \
+					  "5.4968559, 5.5053380, 5.5563438, 5.8377392, 6.7861090, 9.4912673, 18.5439150", \
+					  "6.2092571, 6.2179284, 6.2696723, 6.5585968, 7.4982989, 10.2127000, 19.2281480", \
+					  "6.9081243, 6.9166282, 6.9678134, 7.2637985, 8.1967935, 10.9179820, 19.9268250");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4246962, 0.4293179, 0.4572185, 0.6418591, 1.4487969, 3.3840477, 13.2095660", \
+					  "0.4246053, 0.4293253, 0.4577040, 0.6417913, 1.4493993, 3.3828644, 13.2106440", \
+					  "0.4247200, 0.4293313, 0.4574654, 0.6421373, 1.4491461, 3.3853027, 13.2137860", \
+					  "0.4246742, 0.4293544, 0.4577709, 0.6420984, 1.4489842, 3.3852765, 13.2144230", \
+					  "0.4238815, 0.4290827, 0.4579356, 0.6420672, 1.4494520, 3.3819605, 13.2045090", \
+					  "0.4248201, 0.4290557, 0.4575443, 0.6408894, 1.4509534, 3.3816949, 13.2237750", \
+					  "0.4225233, 0.4267184, 0.4566281, 0.6419846, 1.4507806, 3.3825172, 13.2124140");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2019164, 2.2238082, 2.2238085, 2.2238087, 2.2238089, 2.2238092, 2.2928970", \
+					  "2.7300247, 2.7536622, 2.7536623, 2.7536625, 2.7536628, 2.7536630, 2.8618943", \
+					  "3.1464193, 3.1567651, 3.1567652, 3.1567654, 3.1567656, 3.1567659, 3.2365999", \
+					  "3.5017174, 3.5017175, 3.5041445, 3.5041446, 3.5041448, 3.5041451, 3.6006624", \
+					  "3.8355341, 3.8355343, 3.8355345, 3.8355347, 3.8355350, 3.8355352, 3.9454235", \
+					  "4.1245882, 4.1265156, 4.1364721, 4.1364725, 4.1364730, 4.1364735, 4.2205155", \
+					  "4.4107806, 4.4193831, 4.4193833, 4.4193838, 4.4193843, 4.4193848, 4.5154964");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1860509, 2.1860511, 2.1860513, 2.1860515, 2.1860518, 2.1860520, 2.3196214", \
+					  "2.6957223, 2.7068006, 2.7172782, 2.7172784, 2.7172786, 2.7172789, 2.8338540", \
+					  "3.1274252, 3.1274254, 3.1274256, 3.1274258, 3.1274261, 3.1274263, 3.2392302", \
+					  "3.4694254, 3.4772798, 3.4772801, 3.4772803, 3.4772805, 3.4772808, 3.5828933", \
+					  "3.7844421, 3.7933341, 3.7933342, 3.7933344, 3.7933347, 3.7964574, 3.9117589", \
+					  "4.0792574, 4.0820978, 4.0820983, 4.0907433, 4.0907434, 4.0963331, 4.2220978", \
+					  "4.3736916, 4.3810471, 4.3810475, 4.3810480, 4.3810485, 4.3810490, 4.4834692");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4421166, 3.4421167, 3.4421169, 3.4421171, 3.4421174, 3.4421176, 3.4421179", \
+					  "3.9952956, 3.9979451, 3.9979452, 3.9979455, 3.9979457, 3.9979460, 3.9979462", \
+					  "4.4158708, 4.4182347, 4.4182351, 4.4182356, 4.4182361, 4.4182365, 4.4182370", \
+					  "4.7644484, 4.7679820, 4.7679823, 4.7679828, 4.7679832, 4.7679837, 4.7679842", \
+					  "5.0750972, 5.0759317, 5.0759318, 5.0759323, 5.0759328, 5.0759333, 5.0759337", \
+					  "5.4011098, 5.4011100, 5.4011105, 5.4011109, 5.4011114, 5.4011119, 5.4011124", \
+					  "5.6698917, 5.6827449, 5.6827453, 5.6827457, 5.6827462, 5.6827467, 5.6827472");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7836498, 2.7974847, 2.8710208, 3.1385046, 4.0571457, 8.6321510, 26.5948930", \
+					  "3.4748904, 3.4884209, 3.5613412, 3.8321537, 4.7427502, 9.3254906, 27.2836150", \
+					  "4.1700172, 4.2103259, 4.2625006, 4.5434287, 5.4413682, 10.0453310, 27.9832810", \
+					  "4.8860045, 4.9028701, 4.9605444, 5.2341300, 6.1695085, 10.7368700, 28.6893030", \
+					  "5.6131468, 5.6131471, 5.7002278, 5.9594578, 6.9043278, 11.4496580, 29.3997360", \
+					  "6.3142696, 6.3142698, 6.4133476, 6.7150916, 7.5863165, 12.1374910, 30.1242410", \
+					  "6.9728086, 7.0215513, 7.0646391, 7.3433335, 8.2951841, 12.8576240, 30.8265090");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787465, 0.7820685, 0.7936732, 0.7858931, 1.7370597, 7.0860908, 27.3339770", \
+					  "0.7787556, 0.7820616, 0.7935701, 0.7858887, 1.7370357, 7.0861694, 27.3108360", \
+					  "0.7794773, 0.7820632, 0.7936974, 0.7841145, 1.7368819, 7.0860899, 27.3335680", \
+					  "0.7787621, 0.7820601, 0.7936577, 0.7858863, 1.7370352, 7.0880986, 27.3314420", \
+					  "0.7793549, 0.7817372, 0.7945972, 0.7859846, 1.7368023, 7.0871047, 27.3544030", \
+					  "0.7788059, 0.7816531, 0.7933057, 0.7837797, 1.7374061, 7.0883037, 27.3466510", \
+					  "0.7791197, 0.7822912, 0.7936253, 0.7849671, 1.7356668, 7.0875541, 27.3467400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.3242080, 8.4810612, 9.6033119, 16.5562640, 59.1879430, 323.0818200, 1945.6979000", \
+					  "8.9855394, 9.1683932, 10.2955330, 17.2179980, 60.0043250, 323.7950900, 1945.9994000", \
+					  "9.7037427, 9.8711093, 10.9965810, 17.9151820, 60.5951980, 323.9710800, 1947.3359000", \
+					  "10.4003340, 10.5673580, 11.6944560, 18.6255470, 61.4534410, 324.7939400, 1947.6454000", \
+					  "11.1200070, 11.3175060, 12.4290070, 19.3346440, 62.0529830, 325.5034100, 1948.2761000", \
+					  "11.8052230, 12.0458990, 13.1730880, 20.0604620, 62.9329050, 326.5697900, 1948.5765000", \
+					  "12.5237990, 12.7574220, 13.8814470, 20.7619930, 63.5828310, 327.3628700, 1949.9241000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7247150, 12.0902830, 14.3206190, 28.1043970, 113.3508700, 639.8688600, 3885.3914000", \
+					  "11.7193770, 12.0783540, 14.3204510, 28.0903820, 113.7176500, 640.0247200, 3886.4164000", \
+					  "11.7216100, 12.0827750, 14.3204290, 28.0806470, 113.4566700, 640.2557300, 3884.5815000", \
+					  "11.7215990, 12.0827130, 14.3222750, 28.0783520, 113.6328300, 640.4765700, 3886.4609000", \
+					  "11.7237030, 12.0827620, 14.3009860, 28.1026620, 113.5590800, 640.3569700, 3886.1867000", \
+					  "11.7236620, 12.0842380, 14.3217340, 28.0774350, 113.2824700, 639.5238800, 3885.7843000", \
+					  "11.7252700, 12.0854460, 14.3217860, 28.1035430, 113.3206600, 639.7492600, 3885.5123000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7210066, 3.7210068, 3.7210070, 3.7210073, 3.7210075, 3.7210078, 3.7210080", \
+					  "4.2380917, 4.2512571, 4.2512572, 4.2512577, 4.2512582, 4.2512587, 4.2512591", \
+					  "4.6365414, 4.6541335, 4.6541336, 4.6541341, 4.6541346, 4.6541350, 4.6541355", \
+					  "4.9867395, 4.9929154, 4.9929159, 5.0026392, 5.0026396, 5.0026401, 5.0026405", \
+					  "5.3449822, 5.3493996, 5.3493999, 5.3494003, 5.3494008, 5.3494013, 5.3494018", \
+					  "5.6098339, 5.6098340, 5.6098345, 5.6098350, 5.6098354, 5.6098359, 5.6098364", \
+					  "5.8856329, 5.9026459, 5.9026464, 5.9026468, 5.9026473, 5.9026478, 5.9026483");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1252895, 2.1252896, 2.1252898, 2.1252901, 2.1252903, 2.1252906, 2.2753006", \
+					  "2.6585749, 2.6585750, 2.6585752, 2.6585755, 2.6585757, 2.6585759, 2.7984755", \
+					  "3.0500380, 3.0589127, 3.0589129, 3.0589132, 3.0589134, 3.0629745, 3.2130640", \
+					  "3.4122143, 3.4143124, 3.4143125, 3.4143128, 3.4143130, 3.4143132, 3.5651628", \
+					  "3.7437218, 3.7437219, 3.7437221, 3.7440340, 3.7440342, 3.7440345, 3.8810808", \
+					  "4.0449466, 4.0449470, 4.0449474, 4.0449479, 4.0449484, 4.0449489, 4.1962975", \
+					  "4.3199293, 4.3341366, 4.3341368, 4.3341373, 4.3341377, 4.3341382, 4.4629633");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.0700025, 3.0942884, 3.2453755, 4.1653365, 8.6490051, 28.2686030, 68.6191440", \
+					  "3.7638235, 3.7865235, 3.9377706, 4.8529931, 9.3314316, 28.9626260, 69.3024590", \
+					  "4.4790904, 4.4979562, 4.6555000, 5.5645528, 10.0362470, 29.6620700, 70.0177620", \
+					  "5.1745288, 5.1980615, 5.3508531, 6.2690062, 10.7561900, 30.3595480, 70.7155650", \
+					  "5.9068319, 5.9578080, 6.0564531, 7.0131381, 11.4905080, 31.0985940, 71.4373840", \
+					  "6.6004429, 6.6541457, 6.7488988, 7.7210425, 12.1797360, 31.8085650, 72.1693990", \
+					  "7.3000561, 7.3083246, 7.4670767, 8.3833864, 12.8813920, 32.4613470, 72.8074380");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5264959, 1.5727522, 1.8571669, 3.2750918, 8.5708756, 31.0606440, 38.0555000", \
+					  "1.5265310, 1.5727767, 1.8571980, 3.2745064, 8.5710177, 31.0786810, 38.0178730", \
+					  "1.5265516, 1.5725338, 1.8572460, 3.2748775, 8.5722052, 31.0668220, 38.0422520", \
+					  "1.5265497, 1.5727710, 1.8571578, 3.2751928, 8.5721750, 31.0463940, 38.0066470", \
+					  "1.5269640, 1.5725372, 1.8570127, 3.2751428, 8.5740801, 31.0496980, 38.0275650", \
+					  "1.5262609, 1.5717306, 1.8556653, 3.2732046, 8.5683451, 31.0742190, 38.0357580", \
+					  "1.5255788, 1.5729738, 1.8559171, 3.2736580, 8.5705647, 31.0665660, 38.1080590");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1693101, 2.1711322, 2.1917217, 2.1917218, 2.1917221, 2.1917223, 2.2660929", \
+					  "2.6981164, 2.7016618, 2.7016620, 2.7083364, 2.7083365, 2.7083368, 2.8412219", \
+					  "3.1094061, 3.1094062, 3.1094064, 3.1094066, 3.1145140, 3.1145143, 3.2408924", \
+					  "3.4523497, 3.4759322, 3.4759323, 3.4759325, 3.4759328, 3.4759330, 3.5813208", \
+					  "3.7815400, 3.7989203, 3.7989206, 3.7989208, 3.7989210, 3.7989213, 3.9038954", \
+					  "4.0774220, 4.0990114, 4.0990117, 4.0990122, 4.0990127, 4.0990131, 4.2017261", \
+					  "4.3739430, 4.3856057, 4.3856061, 4.3856066, 4.3856071, 4.3856075, 4.4593795");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1283444, 2.1283445, 2.1283447, 2.1289261, 2.1300877, 2.1300878, 2.3004625", \
+					  "2.6595470, 2.6595473, 2.6595475, 2.6595477, 2.6595480, 2.6595482, 2.7967679", \
+					  "3.0519669, 3.0637990, 3.0637991, 3.0637993, 3.0637996, 3.0637998, 3.2079779", \
+					  "3.4095773, 3.4191725, 3.4191727, 3.4191729, 3.4191732, 3.4191734, 3.5591503", \
+					  "3.7427976, 3.7427978, 3.7434091, 3.7447889, 3.7447891, 3.7447893, 3.8817500", \
+					  "4.0387316, 4.0404475, 4.0404480, 4.0404485, 4.0477302, 4.0477303, 4.1966053", \
+					  "4.3215440, 4.3291273, 4.3291276, 4.3291281, 4.3291286, 4.3291290, 4.4539870");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.7200636, 3.7226233, 3.7226235, 3.7226238, 3.7226240, 3.7226242, 3.7226245", \
+					  "4.2247068, 4.2548192, 4.2548197, 4.2548202, 4.2548206, 4.2548211, 4.2548216", \
+					  "4.6362834, 4.6362837, 4.6362842, 4.6523958, 4.6523960, 4.6523965, 4.6523970", \
+					  "4.9989790, 5.0126703, 5.0126708, 5.0126713, 5.0126718, 5.0126722, 5.0126727", \
+					  "5.3420974, 5.3536948, 5.3536952, 5.3536957, 5.3536962, 5.3536966, 5.3536971", \
+					  "5.6056897, 5.6114269, 5.6114271, 5.6114276, 5.6114281, 5.6114285, 5.6114290", \
+					  "5.8800667, 5.8800672, 5.8800676, 5.8800681, 5.8800686, 5.8800691, 5.8800695");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.4483838, 3.4548424, 3.4548425, 3.4548427, 3.4548430, 3.4548432, 3.4548435", \
+					  "3.9925721, 3.9925722, 3.9925725, 3.9925727, 3.9925730, 3.9925732, 3.9925734", \
+					  "4.4171276, 4.4186881, 4.4186886, 4.4186891, 4.4186895, 4.4186900, 4.4186905", \
+					  "4.7621000, 4.7621005, 4.7621010, 4.7621015, 4.7621019, 4.7621024, 4.7621029", \
+					  "5.0751403, 5.0755666, 5.0755671, 5.0755675, 5.0755680, 5.0755685, 5.0755690", \
+					  "5.4040332, 5.4040335, 5.4040339, 5.4040344, 5.4040349, 5.4040354, 5.4040359", \
+					  "5.6704286, 5.7033289, 5.7033294, 5.7033299, 5.7033303, 5.7033308, 5.7033313");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.5886442, 2.6025981, 2.6759901, 2.9441372, 3.8621364, 8.4369516, 26.4010160", \
+					  "3.1222391, 3.1340821, 3.2057106, 3.4769532, 4.3914130, 8.9687520, 26.9330530", \
+					  "3.5311960, 3.5403749, 3.6228378, 3.8870853, 4.8053432, 9.3786143, 27.3414290", \
+					  "3.8851873, 3.8973664, 3.9598183, 4.2189252, 5.1330189, 9.7119797, 27.6856560", \
+					  "4.2215373, 4.2215374, 4.2586521, 4.5520880, 5.4950222, 10.0503900, 27.9777210", \
+					  "4.5025388, 4.5025390, 4.6113802, 4.8572506, 5.7783542, 10.3516330, 28.2590200", \
+					  "4.7853335, 4.8095585, 4.8956652, 5.1488236, 6.0602727, 10.6363020, 28.6160770");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787416, 0.7820025, 0.7936552, 0.7858776, 1.7380548, 7.0861801, 27.3119860", \
+					  "0.7787404, 0.7820448, 0.7936440, 0.7859001, 1.7374018, 7.0862174, 27.3146360", \
+					  "0.7787446, 0.7820364, 0.7937234, 0.7858761, 1.7366059, 7.0860842, 27.3135100", \
+					  "0.7793315, 0.7815256, 0.7945467, 0.7847633, 1.7376660, 7.0853394, 27.3567910", \
+					  "0.7787757, 0.7816464, 0.7936408, 0.7847149, 1.7387249, 7.0893269, 27.3656850", \
+					  "0.7787928, 0.7816174, 0.7936150, 0.7856450, 1.7374165, 7.0870683, 27.3214860", \
+					  "0.7787499, 0.7820421, 0.7936458, 0.7853471, 1.7386848, 7.0886457, 27.3326200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4146301, 2.4146303, 2.4146306, 2.4146308, 2.4175906, 2.4224074, 2.5329445", \
+					  "2.9459892, 2.9459893, 2.9459895, 2.9459898, 2.9459900, 2.9484881, 3.0574943", \
+					  "3.3539900, 3.3552845, 3.3552846, 3.3552849, 3.3552851, 3.3644882, 3.4535206", \
+					  "3.7015173, 3.7020440, 3.7020442, 3.7020444, 3.7080337, 3.7080340, 3.8212233", \
+					  "4.0468331, 4.0468333, 4.0468338, 4.0468343, 4.0468348, 4.0468352, 4.1555435", \
+					  "4.3270023, 4.3449901, 4.3449905, 4.3449910, 4.3449915, 4.3449920, 4.4218083", \
+					  "4.6153534, 4.6153539, 4.6153543, 4.6153548, 4.6153553, 4.6290665, 4.7170636");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7836635, 2.7968698, 2.8709930, 3.1384257, 4.0563464, 8.6320204, 26.5937800", \
+					  "3.4774579, 3.4864831, 3.5618455, 3.8231285, 4.7492343, 9.3139505, 27.2880740", \
+					  "4.1737054, 4.2044674, 4.2620609, 4.5295057, 5.4479501, 10.0222910, 27.9846240", \
+					  "4.9092073, 4.9092076, 5.0039568, 5.2538867, 6.1814820, 10.7630860, 28.6851310", \
+					  "5.6090323, 5.6251692, 5.6932858, 5.9660237, 6.8826345, 11.4538830, 29.4103530", \
+					  "6.3217342, 6.3774293, 6.4126984, 6.6778871, 7.5943589, 12.1683770, 30.1329880", \
+					  "6.9733956, 6.9900006, 7.1343083, 7.3458000, 8.2897458, 12.8159820, 30.8743570");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787885, 0.7817243, 0.7936932, 0.7858986, 1.7369235, 7.0861055, 27.3380710", \
+					  "0.7788226, 0.7815819, 0.7946153, 0.7859092, 1.7378862, 7.0878743, 27.3304170", \
+					  "0.7795866, 0.7821024, 0.7937306, 0.7860213, 1.7380865, 7.0861623, 27.3392540", \
+					  "0.7788671, 0.7821168, 0.7937089, 0.7841517, 1.7368206, 7.0861201, 27.3173660", \
+					  "0.7791826, 0.7817635, 0.7949109, 0.7860488, 1.7369400, 7.0872850, 27.3437660", \
+					  "0.7796326, 0.7817121, 0.7948379, 0.7858928, 1.7383704, 7.0852892, 27.3312790", \
+					  "0.7790684, 0.7816939, 0.7940496, 0.7855403, 1.7353025, 7.0871593, 27.3488250");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8073264, 2.8162008, 2.8669360, 3.1191654, 4.0957744, 6.7804575, 15.8362910", \
+					  "3.4950807, 3.5022920, 3.5568868, 3.8097703, 4.7846238, 7.4671327, 16.5261350", \
+					  "4.2028030, 4.2047765, 4.2519663, 4.5036073, 5.4871516, 8.1705247, 17.2279040", \
+					  "4.9128857, 4.9128860, 4.9778315, 5.2351248, 6.2124106, 8.8719556, 17.9265050", \
+					  "5.6702212, 5.6702216, 5.6877378, 5.9460290, 6.9224826, 9.5880646, 18.6644850", \
+					  "6.3273418, 6.3508860, 6.3684351, 6.6634520, 7.6370013, 10.3156770, 19.3766230", \
+					  "7.0385697, 7.0945747, 7.0945752, 7.3898110, 8.3743981, 11.0110790, 20.0561670");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4450747, 0.4488114, 0.4779149, 0.6556516, 1.4609118, 3.3909178, 13.2032610", \
+					  "0.4450308, 0.4504416, 0.4782491, 0.6556547, 1.4599387, 3.3844145, 13.2081710", \
+					  "0.4465755, 0.4507817, 0.4782902, 0.6556513, 1.4599575, 3.3890537, 13.2072950", \
+					  "0.4453694, 0.4488007, 0.4784335, 0.6561364, 1.4600186, 3.3914012, 13.2077760", \
+					  "0.4451751, 0.4499267, 0.4782210, 0.6553950, 1.4609381, 3.3881278, 13.2079000", \
+					  "0.4462290, 0.4498588, 0.4781974, 0.6554580, 1.4624050, 3.3930176, 13.2189020", \
+					  "0.4461284, 0.4495537, 0.4780339, 0.6572494, 1.4621027, 3.3864895, 13.2260320");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8105167, 2.8355338, 2.9828322, 3.8734109, 8.9957863, 17.2354240, 36.6936450", \
+					  "3.5053764, 3.5273637, 3.6770360, 4.5609809, 9.6862101, 17.9260430, 37.3799590", \
+					  "4.2136077, 4.2191952, 4.3963926, 5.2638915, 10.3795160, 18.6403990, 38.0837340", \
+					  "4.9126609, 4.9347295, 5.0883155, 5.9987810, 11.1062480, 19.3403690, 38.7821080", \
+					  "5.6729501, 5.6729506, 5.7897425, 6.6929688, 11.8156850, 20.0847730, 39.4986550", \
+					  "6.3336252, 6.3982686, 6.4855059, 7.4090005, 12.5280870, 20.7530220, 40.2257390", \
+					  "7.0437332, 7.1148807, 7.2062112, 8.1532123, 13.2243840, 21.4543440, 40.9356100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5054500, 1.5508842, 1.8294566, 3.5767644, 7.9759763, 10.2867050, 28.2089850", \
+					  "1.5044047, 1.5502641, 1.8288909, 3.5774670, 7.9621589, 10.2997830, 28.2296600", \
+					  "1.5038476, 1.5505198, 1.8291682, 3.5760823, 7.9706490, 10.2858860, 28.1912540", \
+					  "1.5041173, 1.5501829, 1.8288363, 3.5759160, 7.9705949, 10.2877600, 28.2074670", \
+					  "1.5057008, 1.5499531, 1.8285546, 3.5733425, 7.9616926, 10.3238350, 28.2198420", \
+					  "1.5055285, 1.5500308, 1.8275393, 3.5765262, 7.9770492, 10.2997580, 28.2128290", \
+					  "1.5040963, 1.5504771, 1.8293235, 3.5750776, 7.9676097, 10.2787690, 28.1888730");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.0810066, 3.1043559, 3.2553518, 4.1805786, 9.3351682, 17.3070540, 32.8052070", \
+					  "3.7712642, 3.7904706, 3.9480779, 4.8724464, 10.0242850, 17.9931110, 33.4984770", \
+					  "4.4715289, 4.4881081, 4.6601754, 5.5705112, 10.7417820, 18.6971310, 34.2049770", \
+					  "5.1904725, 5.2105458, 5.3603793, 6.2810934, 11.4346700, 19.4361700, 34.8970030", \
+					  "5.9068017, 5.9199214, 6.0632281, 6.9982597, 12.1646070, 20.1296010, 35.6323180", \
+					  "6.6073720, 6.6088046, 6.7566086, 7.7202165, 12.8593530, 20.8466560, 36.3046770", \
+					  "7.3112445, 7.3302462, 7.4774293, 8.4280378, 13.5216060, 21.5781540, 37.0050410");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5217254, 1.5665442, 1.8560599, 3.6390950, 8.7181080, 9.4437036, 19.4415650", \
+					  "1.5211732, 1.5667679, 1.8553534, 3.6390020, 8.7163556, 9.4376222, 19.4305270", \
+					  "1.5209598, 1.5666746, 1.8557459, 3.6459880, 8.7159000, 9.4378123, 19.4220040", \
+					  "1.5218196, 1.5670342, 1.8555855, 3.6389241, 8.7187999, 9.4389749, 19.4032110", \
+					  "1.5206102, 1.5673073, 1.8539380, 3.6471006, 8.7207015, 9.4377697, 19.4270930", \
+					  "1.5211438, 1.5659956, 1.8524342, 3.6411199, 8.7198071, 9.4387103, 19.4275120", \
+					  "1.5220307, 1.5651299, 1.8562125, 3.6477072, 8.7197272, 9.4379100, 19.4024900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1708653, 2.1708655, 2.1913928, 2.1913931, 2.1913933, 2.1913935, 2.2558313", \
+					  "2.6981406, 2.7173628, 2.7173630, 2.7173633, 2.7173635, 2.7173638, 2.8565528", \
+					  "3.1059477, 3.1105005, 3.1105007, 3.1135032, 3.1135034, 3.1168350, 3.2345062", \
+					  "3.4534910, 3.4719467, 3.4719469, 3.4719471, 3.4719474, 3.4719476, 3.5834771", \
+					  "3.7827759, 3.7884460, 3.7884461, 3.7884464, 3.7884466, 3.7935015, 3.9057135", \
+					  "4.0835304, 4.0904329, 4.0904334, 4.0952792, 4.0952795, 4.0952800, 4.1990988", \
+					  "4.3813553, 4.3834159, 4.3863104, 4.3863109, 4.3863113, 4.3863118, 4.4704618");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1263935, 2.1263937, 2.1266597, 2.1320661, 2.1320662, 2.1320664, 2.2975024", \
+					  "2.6531553, 2.6531555, 2.6531557, 2.6573630, 2.6573631, 2.6580322, 2.8087996", \
+					  "3.0556746, 3.0587073, 3.0623128, 3.0623130, 3.0623132, 3.0623135, 3.2190228", \
+					  "3.4164795, 3.4195096, 3.4195098, 3.4196663, 3.4196665, 3.4196667, 3.5571259", \
+					  "3.7433678, 3.7433681, 3.7433683, 3.7450443, 3.7450444, 3.7450446, 3.8818651", \
+					  "4.0397681, 4.0444399, 4.0444401, 4.0444406, 4.0444410, 4.0444415, 4.1986294", \
+					  "4.3212500, 4.3262443, 4.3345101, 4.3345106, 4.3345111, 4.3345116, 4.4581876");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1415101, 2.1415102, 2.1415104, 2.1415107, 2.1415109, 2.1415111, 2.2898043", \
+					  "2.8303957, 2.8303959, 2.8303961, 2.8319280, 2.8319282, 2.8319284, 2.9687723", \
+					  "3.5489660, 3.5489661, 3.5489663, 3.5500247, 3.5500249, 3.5500252, 3.6884926", \
+					  "4.2725716, 4.2726191, 4.2726195, 4.2726200, 4.2726205, 4.2726210, 4.4117643", \
+					  "5.0059130, 5.0059131, 5.0059135, 5.0059140, 5.0059145, 5.0059150, 5.1423679", \
+					  "5.7226432, 5.7226437, 5.7226441, 5.7231322, 5.7231324, 5.7231329, 5.8627725", \
+					  "6.4190977, 6.4208479, 6.4208482, 6.4208487, 6.4222587, 6.4222591, 6.5574870");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7936555, 2.8028087, 2.8546401, 3.1086631, 4.1685393, 9.7037329, 37.1987280", \
+					  "3.4862480, 3.4938217, 3.5470555, 3.7998977, 4.8559228, 10.3913080, 37.8771290", \
+					  "4.1771675, 4.1893018, 4.2411625, 4.5045376, 5.5584692, 11.0939880, 38.5762750", \
+					  "4.9027191, 4.9027193, 4.9571343, 5.2092761, 6.2700241, 11.8340060, 39.2725990", \
+					  "5.6137268, 5.6644196, 5.6773155, 5.9396310, 6.9850139, 12.5277330, 40.0168930", \
+					  "6.3262222, 6.3290697, 6.3661942, 6.6524048, 7.7090014, 13.2405300, 40.7292650", \
+					  "7.0220683, 7.0220688, 7.0749886, 7.3452870, 8.4138776, 13.8870640, 41.4608050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4502972, 0.4532669, 0.4824386, 0.6606432, 1.7591616, 8.7066416, 30.0156340", \
+					  "0.4500515, 0.4532573, 0.4823593, 0.6604155, 1.7588023, 8.7045731, 30.0714050", \
+					  "0.4498519, 0.4538694, 0.4830141, 0.6607033, 1.7597439, 8.7124086, 30.0137800", \
+					  "0.4498704, 0.4534224, 0.4824557, 0.6602333, 1.7594516, 8.7049647, 29.9810810", \
+					  "0.4505484, 0.4541374, 0.4817201, 0.6596163, 1.7593627, 8.6987608, 29.9827900", \
+					  "0.4477961, 0.4540945, 0.4797622, 0.6620894, 1.7591687, 8.7044416, 30.0317820", \
+					  "0.4497863, 0.4531125, 0.4826556, 0.6619887, 1.7629889, 8.7041911, 30.0491120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1421631, 2.1437951, 2.1437952, 2.1437954, 2.1437957, 2.1437959, 2.2848237", \
+					  "2.8307272, 2.8307273, 2.8307275, 2.8307277, 2.8307280, 2.8307282, 2.9703537", \
+					  "3.5485010, 3.5496615, 3.5496616, 3.5527388, 3.5527391, 3.5527393, 3.6890107", \
+					  "4.2725898, 4.2725900, 4.2729575, 4.2729576, 4.2729581, 4.2729586, 4.4084252", \
+					  "5.0063416, 5.0063417, 5.0063422, 5.0063427, 5.0063432, 5.0063437, 5.1414450", \
+					  "5.7214078, 5.7214082, 5.7214087, 5.7214091, 5.7214096, 5.7214101, 5.8489427", \
+					  "6.4195946, 6.4205352, 6.4215055, 6.4215057, 6.4215062, 6.4215067, 6.5541266");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0560030, 8.2365217, 9.3449266, 16.2278490, 58.7698850, 321.7302500, 1938.8101000", \
+					  "8.7442897, 8.9219858, 10.0529150, 16.9734800, 59.5367060, 322.3198900, 1941.1430000", \
+					  "9.4358743, 9.6266639, 10.7352620, 17.6236840, 60.2093020, 322.9869500, 1940.2830000", \
+					  "10.1797010, 10.3607110, 11.4471440, 18.3820000, 60.9189360, 323.4957000, 1940.9042000", \
+					  "10.8650540, 11.0483760, 12.1674230, 19.0687770, 61.5757050, 324.2386700, 1941.7512000", \
+					  "11.5859660, 11.7659660, 12.8844850, 19.7700610, 62.3379680, 324.5644700, 1942.2828000", \
+					  "12.3304830, 12.5186150, 13.5927860, 20.4463770, 63.0707790, 326.1433200, 1943.1352000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8835310, 12.2395920, 14.4648440, 28.1932200, 113.4539100, 638.2300600, 3873.3538000", \
+					  "11.8851200, 12.2418150, 14.4671220, 28.1929860, 113.4580600, 637.7858300, 3873.0163000", \
+					  "11.8728010, 12.2140480, 14.4635990, 28.1847350, 113.4441700, 638.1860100, 3873.2933000", \
+					  "11.8830300, 12.2407760, 14.4698170, 28.1931920, 113.4386200, 638.1433200, 3873.3642000", \
+					  "11.8807860, 12.2429520, 14.4669930, 28.1976560, 113.3090700, 637.3465500, 3873.4238000", \
+					  "11.8769940, 12.2425810, 14.4590620, 28.1674350, 113.3652900, 637.2884500, 3873.4679000", \
+					  "11.8829010, 12.2431050, 14.4710260, 28.1653540, 113.4521000, 638.0768100, 3874.1086000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.2969640, 8.5064391, 9.6025046, 16.5324000, 59.3239950, 322.2767200, 1945.8496000", \
+					  "8.9875725, 9.1961656, 10.2884420, 17.2123100, 59.9151310, 322.9677900, 1946.7341000", \
+					  "9.6921839, 9.8884234, 10.9946460, 17.9163030, 60.7291280, 324.0901100, 1947.3232000", \
+					  "10.3855480, 10.5832620, 11.7162490, 18.6266200, 61.3278530, 324.4224700, 1948.0312000", \
+					  "11.1248600, 11.3308000, 12.4204680, 19.4138150, 62.2161950, 325.5820800, 1948.3455000", \
+					  "11.8637170, 11.9841270, 13.1375830, 20.1002110, 62.8748110, 326.3435000, 1949.2072000", \
+					  "12.6008010, 12.7041550, 13.8469140, 20.7400550, 63.4413410, 327.2649800, 1952.1651000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7266540, 12.0859630, 14.3061300, 28.0880560, 113.7096100, 640.0401300, 3886.2318000", \
+					  "11.7258920, 12.0894460, 14.3059320, 28.0920100, 113.6030200, 640.0525800, 3884.5319000", \
+					  "11.7266040, 12.0848580, 14.3019330, 28.0512500, 113.7053500, 640.4799800, 3887.1922000", \
+					  "11.7264120, 12.0848570, 14.3199180, 28.0967050, 113.6052000, 639.9465600, 3884.8989000", \
+					  "11.7280660, 12.0833320, 14.3143150, 28.1114790, 113.5874300, 639.8833500, 3886.3600000", \
+					  "11.7265110, 12.0785280, 14.3197660, 28.0645200, 113.7300100, 639.5795300, 3884.5119000", \
+					  "11.7242030, 12.0841950, 14.3188290, 28.1027700, 113.4864400, 639.1175000, 3885.2313000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.5871232, 2.6005864, 2.6733071, 2.9407821, 3.8606509, 8.4479570, 26.4159840", \
+					  "3.1208731, 3.1343099, 3.2070255, 3.4744698, 4.3945843, 8.9813517, 26.9490710", \
+					  "3.5157497, 3.5293288, 3.6020631, 3.8694541, 4.7894098, 9.3756167, 27.3415480", \
+					  "3.8602952, 3.8739270, 3.9465258, 4.2141060, 5.1335722, 9.7183959, 27.6856350", \
+					  "4.1690180, 4.1826183, 4.2552815, 4.5226862, 5.4430127, 10.0322740, 28.0164320", \
+					  "4.5114641, 4.5249449, 4.5975903, 4.8650266, 5.7862932, 10.3707220, 28.3386980", \
+					  "4.7528914, 4.7662110, 4.8390638, 5.1064215, 6.0268324, 10.6154560, 28.5862690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7732594, 0.7765205, 0.7881589, 0.7816313, 1.7405106, 7.1021367, 27.3638300", \
+					  "0.7732967, 0.7764870, 0.7881315, 0.7818871, 1.7435186, 7.1015633, 27.3708400", \
+					  "0.7738095, 0.7760988, 0.7888579, 0.7818031, 1.7412013, 7.1009811, 27.3617950", \
+					  "0.7739651, 0.7759440, 0.7888490, 0.7806320, 1.7426853, 7.0996582, 27.3655160", \
+					  "0.7736307, 0.7759300, 0.7880646, 0.7811464, 1.7435208, 7.1042328, 27.3463740", \
+					  "0.7731653, 0.7761604, 0.7881255, 0.7818640, 1.7450913, 7.1004691, 27.3556700", \
+					  "0.7732749, 0.7762474, 0.7881835, 0.7812217, 1.7432255, 7.1034632, 27.3605900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.4301002, 8.6090290, 9.7751638, 16.8692880, 59.9327590, 323.2232500, 1946.1195000", \
+					  "9.1192884, 9.3197112, 10.4775980, 17.5739100, 60.5404010, 323.9774500, 1948.8332000", \
+					  "9.8399200, 10.0236950, 11.1663490, 18.2629290, 61.3038510, 324.7185100, 1947.5237000", \
+					  "10.5567170, 10.7619370, 11.9023050, 18.9873860, 62.0362000, 325.4130000, 1948.2800000", \
+					  "11.2994430, 11.4540930, 12.6296460, 19.6946620, 62.8081410, 326.7387300, 1949.3527000", \
+					  "12.0154640, 12.1663520, 13.3410010, 20.3953480, 63.5122460, 327.4137200, 1950.5958000", \
+					  "12.7221190, 12.8968110, 14.0215430, 21.1143260, 64.0403360, 327.8823000, 1952.2338000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7355880, 12.1011200, 14.3304170, 28.1244130, 113.6662800, 639.8174200, 3885.5142000", \
+					  "11.7071920, 12.0988800, 14.3122230, 28.1196700, 113.7500800, 639.8774400, 3884.3700000", \
+					  "11.7179730, 12.0837650, 14.3170530, 28.1186560, 113.5232200, 639.8463500, 3885.5101000", \
+					  "11.7278000, 12.0923220, 14.3254870, 28.1120000, 113.6114000, 639.8647100, 3885.5396000", \
+					  "11.7216980, 12.0719100, 14.3202820, 28.0410730, 113.6948700, 639.6663800, 3886.0885000", \
+					  "11.7004490, 12.0616770, 14.3197210, 28.0600220, 113.7140200, 639.8199700, 3887.0262000", \
+					  "11.7023800, 12.0745580, 14.3081860, 28.0788830, 113.2668900, 640.1718300, 3884.8134000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.8105367, 2.8348008, 2.9835092, 3.8734802, 8.9942986, 17.2318980, 36.6935350", \
+					  "3.4973757, 3.5259746, 3.6770800, 4.5676871, 9.6834887, 17.9221400, 37.3782750", \
+					  "4.2001891, 4.2251767, 4.3927795, 5.2788067, 10.3799120, 18.6424190, 38.0792110", \
+					  "4.9117230, 4.9613407, 5.0804299, 5.9795555, 11.1032270, 19.3405750, 38.8068530", \
+					  "5.6266387, 5.6598104, 5.8109038, 6.6798806, 11.8567010, 20.0311730, 39.5208030", \
+					  "6.3476673, 6.3763470, 6.5184071, 7.3741515, 12.5193230, 20.7373460, 40.2363300", \
+					  "7.0596613, 7.1114408, 7.1740920, 8.0949301, 13.2305270, 21.4574400, 40.9451390");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5052863, 1.5504605, 1.8293137, 3.5767198, 7.9701001, 10.2987580, 28.2045520", \
+					  "1.5039973, 1.5499093, 1.8296655, 3.5758749, 7.9777065, 10.3028810, 28.2235300", \
+					  "1.5034938, 1.5501453, 1.8291492, 3.5724670, 7.9687119, 10.2880180, 28.1772210", \
+					  "1.5039433, 1.5501936, 1.8306615, 3.5766372, 7.9655873, 10.2893210, 28.2012390", \
+					  "1.5041755, 1.5509812, 1.8310613, 3.5707119, 7.9616234, 10.3097250, 28.2266070", \
+					  "1.5036875, 1.5501964, 1.8309232, 3.5741974, 7.9687910, 10.2826410, 28.1975830", \
+					  "1.5045187, 1.5504221, 1.8289236, 3.5760357, 7.9622859, 10.2792560, 28.1977690");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.2951193, 8.4808738, 9.6258121, 16.5294620, 59.3039040, 322.7481500, 1945.5842000", \
+					  "9.0159835, 9.1647712, 10.2961480, 17.2153830, 60.0427870, 323.7844100, 1946.1513000", \
+					  "9.7156621, 9.8709494, 11.0114220, 17.9215490, 60.7805290, 324.1171100, 1947.2732000", \
+					  "10.4234990, 10.6008910, 11.7057930, 18.6313350, 61.4581380, 325.1912500, 1948.1745000", \
+					  "11.1147380, 11.2782280, 12.4079160, 19.3491390, 62.2005130, 325.5956400, 1948.4346000", \
+					  "11.8373280, 12.0160430, 13.1059990, 20.0658320, 62.9278030, 326.5843700, 1948.3796000", \
+					  "12.5377180, 12.7216820, 13.8287520, 20.7141450, 63.5801310, 327.2416800, 1949.6508000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7235230, 12.0853960, 14.3244570, 28.0629580, 113.7272400, 639.9242800, 3886.3168000", \
+					  "11.7201380, 12.0900380, 14.3198140, 28.1018230, 113.6201700, 639.6892300, 3886.1222000", \
+					  "11.7212600, 12.0899180, 14.3229690, 28.1137440, 113.2354200, 640.4689000, 3885.7061000", \
+					  "11.7266610, 12.0800920, 14.3198990, 28.0741560, 113.6212500, 640.0478600, 3885.5223000", \
+					  "11.7238940, 12.0761190, 14.3187400, 28.0606010, 113.3348100, 639.8605600, 3885.6942000", \
+					  "11.7244360, 12.0844180, 14.3126380, 28.0916870, 113.2304200, 639.5585500, 3884.5278000", \
+					  "11.7235390, 12.0845480, 14.3215170, 28.0782240, 113.3246800, 639.5398500, 3884.6661000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0558742, 8.2368343, 9.3407628, 16.2726830, 58.7674050, 321.5882900, 1941.0802000", \
+					  "8.7474552, 8.9241956, 10.0289670, 16.9613510, 59.4959390, 322.2637900, 1939.4770000", \
+					  "9.4454089, 9.6218260, 10.7280080, 17.6341380, 60.2192220, 322.7085900, 1940.4597000", \
+					  "10.1615050, 10.3360720, 11.4399670, 18.4020180, 60.8698300, 323.5315100, 1940.9372000", \
+					  "10.9129360, 11.0835680, 12.1640000, 19.0563490, 61.5642720, 324.3579300, 1941.8019000", \
+					  "11.5743200, 11.7640410, 12.8739510, 19.7924610, 62.3646410, 324.9145900, 1942.3055000", \
+					  "12.2703130, 12.4723420, 13.5832600, 20.5112670, 63.1025690, 326.1454300, 1943.8380000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8830840, 12.2414360, 14.4662360, 28.1981960, 113.3772400, 637.6536700, 3872.1000000", \
+					  "11.8830460, 12.2327290, 14.4665490, 28.1331050, 113.4342000, 637.6471300, 3873.3574000", \
+					  "11.8653140, 12.2407940, 14.4664410, 28.1871420, 113.3758100, 638.1488900, 3874.0245000", \
+					  "11.8818120, 12.2413970, 14.4663980, 28.1476620, 113.3597000, 637.8276700, 3873.3069000", \
+					  "11.8832710, 12.2437690, 14.4476530, 28.1993520, 113.2728800, 638.3686500, 3873.4079000", \
+					  "11.8653980, 12.2292760, 14.4682350, 28.1761410, 113.3901900, 637.4044100, 3872.6257000", \
+					  "11.8822250, 12.2430200, 14.4707240, 28.1569880, 113.4691300, 638.0844900, 3871.6212000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.0808340, 3.1061920, 3.2577614, 4.1764894, 9.3358397, 17.3056160, 32.8044290", \
+					  "3.7676706, 3.7919730, 3.9500101, 4.8685562, 10.0273060, 18.0015450, 33.4941280", \
+					  "4.4694088, 4.4951798, 4.6618860, 5.5905107, 10.7464410, 18.7226020, 34.1963080", \
+					  "5.1752735, 5.2048330, 5.3608615, 6.3100609, 11.4250850, 19.4034000, 34.8985840", \
+					  "5.8946483, 5.9195697, 6.1035359, 7.0340631, 12.1480820, 20.1344700, 35.6179760", \
+					  "6.6159260, 6.6452790, 6.8288331, 7.7017885, 12.8401580, 20.8852310, 36.3399730", \
+					  "7.3335245, 7.3467517, 7.4662241, 8.3918534, 13.5456310, 21.5723670, 37.0383620");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5196177, 1.5680200, 1.8527689, 3.6439989, 8.7154577, 9.4380992, 19.4106540", \
+					  "1.5215458, 1.5663673, 1.8556400, 3.6469263, 8.7148599, 9.4533243, 19.3921840", \
+					  "1.5212346, 1.5680479, 1.8558579, 3.6402741, 8.7154852, 9.4523482, 19.4172660", \
+					  "1.5211028, 1.5682301, 1.8558636, 3.6404637, 8.7161487, 9.4392254, 19.4158640", \
+					  "1.5218454, 1.5680298, 1.8549096, 3.6440337, 8.7164205, 9.4361798, 19.4475480", \
+					  "1.5216469, 1.5680895, 1.8533065, 3.6396701, 8.7197227, 9.4388550, 19.4690970", \
+					  "1.5208158, 1.5671651, 1.8560694, 3.6429615, 8.7184366, 9.4377288, 19.4233300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.7835976, 2.7969436, 2.8703235, 3.1385181, 4.0571058, 8.6305461, 26.5928990", \
+					  "3.4763330, 3.4899588, 3.5628411, 3.8326204, 4.7460267, 9.3166745, 27.2858500", \
+					  "4.1971577, 4.2060577, 4.2762456, 4.5422017, 5.4481029, 10.0179970, 27.9976950", \
+					  "4.8710711, 4.9027191, 4.9757785, 5.2439315, 6.1485688, 10.7610040, 28.6818850", \
+					  "5.6132987, 5.6467040, 5.6740426, 5.9959744, 6.8856330, 11.4367940, 29.4114010", \
+					  "6.3356889, 6.3356894, 6.3712760, 6.7024635, 7.5965785, 12.1655060, 30.1027430", \
+					  "7.0643438, 7.0643442, 7.0926558, 7.3519333, 8.2617353, 12.8635620, 30.7965880");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7787479, 0.7815547, 0.7943393, 0.7858421, 1.7369073, 7.0752972, 27.3394070", \
+					  "0.7795518, 0.7820521, 0.7936624, 0.7859669, 1.7371158, 7.0861028, 27.3179540", \
+					  "0.7787549, 0.7820540, 0.7936713, 0.7858871, 1.7366888, 7.0861534, 27.3310000", \
+					  "0.7787360, 0.7820420, 0.7936639, 0.7858854, 1.7369214, 7.0860886, 27.3563940", \
+					  "0.7790755, 0.7816589, 0.7944992, 0.7859884, 1.7366895, 7.0763519, 27.3443510", \
+					  "0.7792156, 0.7816556, 0.7935893, 0.7848714, 1.7369641, 7.0805894, 27.3361650", \
+					  "0.7794670, 0.7822060, 0.7943105, 0.7859312, 1.7357623, 7.0879227, 27.3479530");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0547622, 8.2367020, 9.3466426, 16.2799070, 58.8350490, 321.7255700, 1938.8199000", \
+					  "8.7455270, 8.9305239, 10.0271950, 16.9287410, 59.4416750, 321.9545300, 1939.6448000", \
+					  "9.4616421, 9.6347454, 10.7525630, 17.6939690, 60.1944730, 323.0577200, 1940.3478000", \
+					  "10.1572540, 10.3376460, 11.4743260, 18.3837690, 60.9174080, 323.9669000, 1940.9299000", \
+					  "10.8696700, 11.0678010, 12.1682410, 19.0587350, 61.5803510, 324.1096900, 1945.2266000", \
+					  "11.5563740, 11.7605240, 12.8969680, 19.7571990, 62.3024620, 325.0104300, 1943.5845000", \
+					  "12.2806990, 12.4202200, 13.6370780, 20.5005810, 63.0304480, 325.7194700, 1943.9303000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8824300, 12.2403550, 14.4626170, 28.1946870, 113.4484900, 638.2297800, 3873.3488000", \
+					  "11.8845400, 12.2439100, 14.4640890, 28.1959150, 113.4033100, 637.7868000, 3874.7148000", \
+					  "11.8778530, 12.2417040, 14.4664340, 28.1949040, 113.0791700, 638.2152300, 3873.3415000", \
+					  "11.8848680, 12.2417620, 14.4622850, 28.1914070, 113.4550700, 638.1235900, 3873.2642000", \
+					  "11.8814350, 12.2419400, 14.4644120, 28.1840130, 113.3644400, 637.7526900, 3871.9845000", \
+					  "11.8664110, 12.2291270, 14.4404680, 28.1831250, 113.1846400, 637.4215200, 3873.3956000", \
+					  "11.8830000, 12.2387580, 14.4669880, 28.2047030, 113.5047800, 637.5112200, 3872.5689000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.2967162, 8.5061069, 9.6046131, 16.5266800, 59.3197560, 322.6998300, 1945.8421000", \
+					  "9.0091188, 9.1862713, 10.2877980, 17.2227010, 60.0515550, 322.9799000, 1946.3350000", \
+					  "9.6864357, 9.8634416, 10.9955200, 17.9232810, 60.7614580, 324.1164300, 1947.2257000", \
+					  "10.4219320, 10.5787160, 11.7172410, 18.6576370, 61.3291960, 324.4449000, 1947.8482000", \
+					  "11.1186140, 11.3360890, 12.4300070, 19.3561100, 62.1587330, 325.8935200, 1948.1315000", \
+					  "11.8325060, 12.0136270, 13.1422210, 20.0685600, 62.8653400, 326.1667100, 1948.5349000", \
+					  "12.5721100, 12.6867780, 13.8388900, 20.7783460, 63.5985340, 327.2311900, 1950.1458000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7263470, 12.0865150, 14.3225520, 28.0674930, 113.7168000, 640.4769100, 3888.0457000", \
+					  "11.7268950, 12.0875710, 14.3226070, 28.0927210, 113.6034100, 640.0023300, 3883.5260000", \
+					  "11.7264320, 12.0846430, 14.3228960, 28.0956080, 113.6288900, 640.3636800, 3885.1235000", \
+					  "11.7051610, 12.0841610, 14.2970780, 28.0770860, 113.5960900, 640.1591600, 3886.4550000", \
+					  "11.7264460, 12.0865850, 14.3188230, 28.0653230, 113.7475300, 639.3048600, 3884.8766000", \
+					  "11.7250900, 12.0897060, 14.3159720, 28.0642300, 113.6706200, 639.9705500, 3891.6069000", \
+					  "11.7224070, 12.0851110, 14.3219560, 28.0666690, 113.3368100, 639.0323500, 3883.0904000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.6415796, 2.6661537, 2.8158817, 3.7154937, 8.8589625, 17.0685300, 36.5097850", \
+					  "3.1752547, 3.1997334, 3.3496430, 4.2491251, 9.3925916, 17.6065400, 37.0484020", \
+					  "3.5702568, 3.6044712, 3.7542138, 4.6444297, 9.7967243, 17.9974910, 37.4221290", \
+					  "3.9148809, 3.9434402, 4.0931702, 4.9905249, 10.1329070, 18.3329190, 37.7895830", \
+					  "4.2236770, 4.2481414, 4.4391246, 5.2978768, 10.4795310, 18.6547040, 38.1047050", \
+					  "4.5659019, 4.5904254, 4.7515165, 5.6408918, 10.7807100, 18.9962940, 38.4461560", \
+					  "4.8071231, 4.8316853, 4.9814943, 5.8822089, 11.0227030, 19.2290500, 38.6892650");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5086474, 1.5497617, 1.8378095, 3.5777803, 7.9171265, 10.2467890, 28.2013060", \
+					  "1.5084202, 1.5505273, 1.8323574, 3.5910803, 7.9165074, 10.2136310, 28.1858700", \
+					  "1.5085379, 1.5490287, 1.8329946, 3.5773156, 7.9149967, 10.2223930, 28.1241950", \
+					  "1.5054418, 1.5473413, 1.8292065, 3.5719820, 7.9248235, 10.3042720, 28.2215830", \
+					  "1.5047592, 1.5494924, 1.8281298, 3.5753316, 7.9176221, 10.2706630, 28.1974480", \
+					  "1.5042833, 1.5508971, 1.8301809, 3.5762411, 7.9157534, 10.2709210, 28.1935240", \
+					  "1.5128929, 1.5597791, 1.8293566, 3.5841834, 7.9270457, 10.2719660, 28.2198670");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9518443, 2.9779184, 3.1334928, 4.0747650, 9.2537080, 17.1563140, 32.6386420", \
+					  "3.6446401, 3.6704126, 3.8261936, 4.7669251, 9.9465316, 17.8502510, 33.3348740", \
+					  "4.3640651, 4.3900870, 4.5455746, 5.4753082, 10.6553270, 18.5619930, 34.0501600", \
+					  "5.0891162, 5.1144848, 5.2686180, 6.1929946, 11.3898190, 19.2735270, 34.7759550", \
+					  "5.8239125, 5.8493344, 6.0048722, 6.9186097, 12.0963430, 20.0271320, 35.5132280", \
+					  "6.5453087, 6.5710721, 6.7266099, 7.6327602, 12.8100060, 20.7509940, 36.2379890", \
+					  "7.2508191, 7.2772113, 7.4320967, 8.3326883, 13.5085240, 21.4152800, 36.9375610");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5226538, 1.5675775, 1.8556576, 3.6499066, 8.6626066, 9.4109698, 19.3521730", \
+					  "1.5184786, 1.5666614, 1.8506088, 3.6515333, 8.6626404, 9.4121848, 19.4239360", \
+					  "1.5228381, 1.5684415, 1.8568730, 3.6523446, 8.6648777, 9.4212664, 19.4010780", \
+					  "1.5223776, 1.5686206, 1.8568250, 3.6458807, 8.6618996, 9.4139416, 19.3612060", \
+					  "1.5207863, 1.5671284, 1.8542783, 3.6462759, 8.6601180, 9.4138075, 19.4083220", \
+					  "1.5188797, 1.5672568, 1.8536527, 3.6417698, 8.6607752, 9.4115782, 19.4488830", \
+					  "1.5213305, 1.5670248, 1.8522947, 3.6452676, 8.6614707, 9.4103472, 19.4159600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("8.0425275, 8.2234823, 9.3544585, 16.3373560, 59.1837410, 322.3058200, 1939.6737000", \
+					  "8.5850056, 8.7703151, 9.8909476, 16.8725960, 59.8082220, 322.5597400, 1940.2039000", \
+					  "8.9687493, 9.1540251, 10.2851030, 17.2619290, 60.1939900, 322.9701200, 1941.1971000", \
+					  "9.3184855, 9.5068664, 10.6379770, 17.5954840, 60.4997080, 323.4868000, 1940.9297000", \
+					  "9.6280557, 9.8132178, 10.9468420, 17.9046570, 60.7858790, 323.8485200, 1940.8028000", \
+					  "9.9635393, 10.1621850, 11.2966120, 18.2816290, 61.1095740, 324.1012800, 1942.5122000", \
+					  "10.2070070, 10.4021000, 11.5426030, 18.4977990, 61.3893430, 324.4398100, 1942.3833000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8913020, 12.2439580, 14.4793300, 28.2236790, 113.3598600, 637.4002100, 3873.0141000", \
+					  "11.8662150, 12.2411850, 14.4917700, 28.1707420, 113.4620600, 637.6631600, 3873.4811000", \
+					  "11.8907630, 12.2549620, 14.4730050, 28.3213100, 113.4814900, 637.1034300, 3872.1946000", \
+					  "11.8811100, 12.2359180, 14.4493680, 28.1969360, 113.4367300, 637.3820200, 3871.9550000", \
+					  "11.8734420, 12.2418720, 14.4508160, 28.1948050, 113.4222000, 637.8109000, 3874.0936000", \
+					  "11.8797320, 12.2375770, 14.4444370, 28.1366880, 112.9868700, 637.4164600, 3872.2487000", \
+					  "11.8651260, 12.2147230, 14.4669610, 28.1891470, 113.4376100, 637.4805800, 3874.1427000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9128253, 2.9378915, 3.0894804, 4.0086836, 9.1664898, 17.1338300, 32.6330710", \
+					  "3.6053946, 3.6306433, 3.7822554, 4.7012279, 9.8580060, 17.8259650, 33.3243760", \
+					  "4.3245034, 4.3380850, 4.4997837, 5.4136020, 10.5779270, 18.5447360, 34.0445110", \
+					  "5.0489652, 5.0537237, 5.2239710, 6.1288343, 11.3026430, 19.2721220, 34.7698370", \
+					  "5.7845977, 5.8088072, 5.9599765, 6.8518955, 12.0358890, 20.0022170, 35.5023600", \
+					  "6.5062107, 6.5301391, 6.6807622, 7.5665962, 12.7589580, 20.7263330, 36.1903570", \
+					  "7.2097990, 7.2097991, 7.3885329, 8.2695886, 13.4634060, 21.4318540, 36.8898010");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5221252, 1.5686833, 1.8549702, 3.6452315, 8.7134699, 9.4363717, 19.4131270", \
+					  "1.5224260, 1.5683943, 1.8530907, 3.6481658, 8.7134522, 9.4459258, 19.4391510", \
+					  "1.5215441, 1.5658731, 1.8563401, 3.6392496, 8.7127034, 9.4363291, 19.4009710", \
+					  "1.5221193, 1.5657078, 1.8563696, 3.6390144, 8.7130934, 9.4385939, 19.4358130", \
+					  "1.5216737, 1.5689104, 1.8546824, 3.6478303, 8.7121590, 9.4362829, 19.4163640", \
+					  "1.5223388, 1.5686822, 1.8558450, 3.6459959, 8.7142560, 9.4372199, 19.4481800", \
+					  "1.5211031, 1.5687995, 1.8533869, 3.6480352, 8.7181320, 9.4387200, 19.4498620");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-9.0350592, -9.0998541, -9.1646491, -9.1612744, -9.1578590, -9.1544843, -9.1511096");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("9.3117528, 9.3616599, 9.4115670, 9.4079990, 9.4043880, 9.4008201, 9.3972521");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.141462;
+			capacitance : 0.141311;
+			fall_capacitance : 0.141159;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3664361, -0.3592036, -0.3519712, -0.3541007, -0.3562558, -0.3583853, -0.3605148");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3637246, 0.3594212, 0.3551177, 0.3564663, 0.3578312, 0.3591798, 0.3605284");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.134421;
+			capacitance : 0.134435;
+			fall_capacitance : 0.134449;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.3669042, -0.3590369, -0.3511697, -0.3532443, -0.3553438, -0.3574184, -0.3594929");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.3632322, 0.3588524, 0.3544727, 0.3562470, 0.3580427, 0.3598171, 0.3615914");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p35v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_n40C_1v60_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_n40C_1v60_5v50.lib
new file mode 100644
index 0000000..0a45aa2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ff_n40C_1v60_5v50.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ff_n40C_1v60_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ff_1p60v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.092660e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.5296000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.5620000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.8940000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.9296000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.1569000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.6660000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.3150000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.2660000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.5786000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.2090000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "68.3788000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.6660000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "109.0180000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.7750000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "108.1690000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.0378000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "67.2807000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "66.9290000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006148;
+			capacitance : 0.006020;
+			fall_capacitance : 0.005892;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1734632, -0.3161846, -0.4333243, -0.5140211, -0.5997181, -0.6784456, -0.7525099", \
+					  "-0.1090687, -0.2523347, -0.3817668, -0.4752943, -0.5519598, -0.6226413, -0.6982583", \
+					  "-0.1048924, -0.2453599, -0.3737434, -0.4549784, -0.5598234, -0.6526672, -0.7240928", \
+					  "-0.1228961, -0.2587861, -0.3784838, -0.4725754, -0.5573065, -0.6434430, -0.7361357", \
+					  "-0.1470015, -0.2798396, -0.3980117, -0.4993981, -0.5543404, -0.6525758, -0.7845542", \
+					  "-0.1870757, -0.3122844, -0.4289307, -0.4977342, -0.6201483, -0.6888349, -0.7924828", \
+					  "-0.2264417, -0.3424952, -0.4591410, -0.5377668, -0.6562063, -0.7442229, -0.7877760");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3443376, -0.5180538, -0.6994129, -0.8792422, -1.0586708, -1.2420917, -1.4236680", \
+					  "-0.2970148, -0.4621507, -0.6471753, -0.8359410, -0.9938110, -1.1791579, -1.3632132", \
+					  "-0.2803212, -0.4517254, -0.6366993, -0.8406285, -1.0215105, -1.1968299, -1.3816691", \
+					  "-0.2967991, -0.4682537, -0.6487330, -0.8409419, -1.0247276, -1.2228434, -1.4162353", \
+					  "-0.3163268, -0.4862555, -0.6682683, -0.8605930, -1.0337400, -1.2399705, -1.4375887", \
+					  "-0.3502975, -0.5141226, -0.6946096, -0.8585517, -1.0669799, -1.2587151, -1.4588986", \
+					  "-0.3820342, -0.5428075, -0.7263463, -0.9137175, -1.0946672, -1.2654173, -1.4823049");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4368616, 0.5811916, 0.6998937, 0.7792206, 0.8307520, 0.9055692, 0.9766137", \
+					  "0.3787613, 0.5250810, 0.6400274, 0.7259619, 0.8075860, 0.8896766, 0.9544369", \
+					  "0.3698199, 0.5052906, 0.6419468, 0.7469723, 0.8214980, 0.9168833, 0.9711675", \
+					  "0.3801943, 0.5252820, 0.6445458, 0.7444372, 0.8273023, 0.9087852, 0.9699664", \
+					  "0.4027737, 0.5493872, 0.6568417, 0.7419093, 0.8103661, 0.8940482, 1.0278614", \
+					  "0.4336926, 0.5772543, 0.6862348, 0.7968470, 0.8361817, 0.9420626, 1.0479812", \
+					  "0.4654293, 0.6013616, 0.7212793, 0.7820153, 0.9212971, 0.9607884, 1.0516175");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529152, 0.5267091, 0.7096428, 0.8925421, 1.0658593, 1.2493391, 1.4326231", \
+					  "0.2991780, 0.4723186, 0.6574060, 0.8421949, 1.0151628, 1.2045140, 1.3677762", \
+					  "0.2995794, 0.4603579, 0.6471980, 0.8492062, 1.0310766, 1.2050286, 1.3868910", \
+					  "0.3084278, 0.4707323, 0.6594847, 0.8624827, 1.0302535, 1.2313321, 1.4245703", \
+					  "0.3249038, 0.4917859, 0.6784161, 0.8730480, 1.0383879, 1.2501554, 1.4446403", \
+					  "0.3512450, 0.5242307, 0.7032315, 0.8926496, 1.0698755, 1.2673513, 1.4624772", \
+					  "0.3860334, 0.5544415, 0.7364941, 0.9207610, 1.1011082, 1.2752377, 1.4795405");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225574, 0.0257698, 0.0289822, 0.0287817, 0.0285893, 0.0283889, 0.0281885");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263704, 0.0309089, 0.0354473, 0.0353382, 0.0352334, 0.0351242, 0.0350151");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004346;
+			capacitance : 0.004249;
+			fall_capacitance : 0.004151;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5223564, 0.6678841, 0.7961150, 0.8865226, 0.9385965, 1.0138160, 1.1534928", \
+					  "0.4664895, 0.6095479, 0.7325605, 0.8288636, 0.9227428, 0.9882808, 1.0753771", \
+					  "0.4613052, 0.6061161, 0.7431067, 0.8548356, 0.9451110, 1.0176539, 1.1062569", \
+					  "0.4732055, 0.6149832, 0.6656903, 0.8502884, 0.9387007, 1.0430507, 1.0894194", \
+					  "0.4912072, 0.6329853, 0.7464709, 0.8572158, 0.9237323, 1.0480661, 1.0821752", \
+					  "0.5251780, 0.6654301, 0.7804415, 0.8783830, 0.9745466, 1.0650157, 1.1355730", \
+					  "0.5523369, 0.6941150, 0.8106524, 0.9385360, 0.9714585, 1.0494989, 1.1558887");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4292780, 0.6018516, 0.7837179, 0.9696402, 1.1501436, 1.3416112, 1.5291581", \
+					  "0.3825004, 0.5514819, 0.7327144, 0.9154300, 1.0877685, 1.2773540, 1.4735865", \
+					  "0.3728138, 0.5362020, 0.7341730, 0.9270260, 1.1129487, 1.2999388, 1.4735762", \
+					  "0.3801364, 0.5479703, 0.7339369, 0.9348506, 1.1218061, 1.3154352, 1.4998807", \
+					  "0.4042417, 0.5736009, 0.7539619, 0.9500948, 1.1338274, 1.3259986, 1.5200784", \
+					  "0.4305830, 0.5968904, 0.7816350, 0.9689208, 1.1542259, 1.3486138, 1.5422710", \
+					  "0.4638455, 0.6316789, 0.8103206, 0.9984901, 1.1794166, 1.3677057, 1.5513596");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2314907, -0.3784780, -0.5067170, -0.5985468, -0.6634240, -0.7886857, -0.8486199", \
+					  "-0.1674468, -0.3183203, -0.4585117, -0.5572298, -0.6264943, -0.6943622, -0.7734660", \
+					  "-0.1643353, -0.3111132, -0.4458941, -0.5458937, -0.6466164, -0.7326558, -0.8398963", \
+					  "-0.1838649, -0.3210524, -0.4479725, -0.5635109, -0.6457011, -0.7300849, -0.8342073", \
+					  "-0.2064444, -0.3482094, -0.4663428, -0.5449285, -0.6502366, -0.7211624, -0.8276625", \
+					  "-0.2404150, -0.3760766, -0.4977787, -0.6220942, -0.6609552, -0.7598799, -0.8484285", \
+					  "-0.2767293, -0.4093391, -0.5274725, -0.6492612, -0.7529069, -0.8004837, -0.8605857");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4161093, -0.5777933, -0.7717591, -0.9626118, -1.1389660, -1.3284138, -1.5145739", \
+					  "-0.3706720, -0.5379295, -0.7208693, -0.9015899, -1.0814417, -1.2686223, -1.4682970", \
+					  "-0.3596549, -0.5244663, -0.7240695, -0.9169225, -1.1067343, -1.2933939, -1.4672274", \
+					  "-0.3730811, -0.5348998, -0.7224630, -0.9293236, -1.1117027, -1.3081892, -1.4943549", \
+					  "-0.3834535, -0.5559528, -0.7315835, -0.9426564, -1.1252776, -1.3188775, -1.5115952", \
+					  "-0.4128465, -0.5899235, -0.7655542, -0.9608184, -1.1417352, -1.3398590, -1.5412999", \
+					  "-0.4598419, -0.6170831, -0.7881356, -0.9712075, -1.1651278, -1.3609741, -1.5467470");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221415, 0.0284961, 0.0348507, 0.0347635, 0.0346797, 0.0345925, 0.0345052");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0269001, 0.0342360, 0.0415719, 0.0414380, 0.0413095, 0.0411755, 0.0410416");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006045;
+			capacitance : 0.005914;
+			fall_capacitance : 0.005783;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1566784, -0.2948341, -0.4180829, -0.5054789, -0.6036683, -0.6616798, -0.7524973", \
+					  "-0.0877067, -0.2365540, -0.3620349, -0.4618092, -0.5373530, -0.6144629, -0.6614161", \
+					  "-0.0911598, -0.2301073, -0.3601235, -0.4489832, -0.5295816, -0.6395772, -0.7073143", \
+					  "-0.1015342, -0.2435335, -0.3616997, -0.4641905, -0.5250707, -0.6222958, -0.7111398", \
+					  "-0.1317430, -0.2661129, -0.3818079, -0.4841502, -0.5434218, -0.6314720, -0.6990668", \
+					  "-0.1702913, -0.2955059, -0.4075685, -0.4776620, -0.6032067, -0.6479033, -0.7953958", \
+					  "-0.2111833, -0.3272426, -0.4362538, -0.5361697, -0.6364382, -0.7302983, -0.7878295");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3458649, -0.5191951, -0.7033926, -0.8831842, -1.0543931, -1.2387662, -1.4296549", \
+					  "-0.2957872, -0.4636766, -0.6465740, -0.8347030, -1.0069539, -1.1960346, -1.3684496", \
+					  "-0.2849046, -0.4546096, -0.6431980, -0.8435121, -1.0246603, -1.2100529, -1.3926194", \
+					  "-0.2907014, -0.4649839, -0.6464542, -0.8427624, -1.0262535, -1.2273599, -1.4177140", \
+					  "-0.3254878, -0.4875636, -0.6666706, -0.8586458, -1.0351916, -1.2399916, -1.4391144", \
+					  "-0.3503033, -0.5139049, -0.6945378, -0.8831693, -1.0700747, -1.2560812, -1.4606220", \
+					  "-0.3820400, -0.5441154, -0.7232227, -0.9063022, -1.0930660, -1.2670707, -1.4614578");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4322839, 0.5766136, 0.6968419, 0.7731172, 0.8277002, 0.9055694, 0.9734940", \
+					  "0.3740631, 0.5250695, 0.6385015, 0.7213284, 0.8057948, 0.8867327, 0.9513832", \
+					  "0.3667681, 0.5041370, 0.6395630, 0.7439205, 0.8154113, 0.9122855, 0.9653249", \
+					  "0.3756166, 0.5222300, 0.6398278, 0.7444369, 0.8273017, 0.9087853, 0.9683612", \
+					  "0.4027737, 0.5463353, 0.6537902, 0.7302368, 0.8091771, 0.8940474, 0.9583830", \
+					  "0.4306409, 0.5726766, 0.6801314, 0.7922691, 0.8327413, 0.9420617, 1.0480440", \
+					  "0.4623775, 0.5983097, 0.7166206, 0.7820159, 0.9182170, 0.9622517, 1.0516236");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3574928, 0.5312865, 0.7081169, 0.8940680, 1.0673856, 1.2493396, 1.4341493", \
+					  "0.2991780, 0.4738295, 0.6574058, 0.8442437, 1.0151637, 1.2082981, 1.3731089", \
+					  "0.3011052, 0.4634096, 0.6480112, 0.8522579, 1.0310768, 1.2079457, 1.3884172", \
+					  "0.3130054, 0.4722581, 0.6613117, 0.8624822, 1.0287276, 1.2343408, 1.4245703", \
+					  "0.3294814, 0.4963635, 0.6738386, 0.8730473, 1.0383881, 1.2486054, 1.4446403", \
+					  "0.3573485, 0.5181271, 0.7078092, 0.8926497, 1.0698756, 1.2717842, 1.4727868", \
+					  "0.3875593, 0.5559673, 0.7380200, 0.9146582, 1.1011086, 1.2752367, 1.4826664");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225465, 0.0257739, 0.0290013, 0.0287972, 0.0286012, 0.0283970, 0.0281928");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263490, 0.0306841, 0.0350192, 0.0349994, 0.0349804, 0.0349605, 0.0349407");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028484;
+			capacitance : 0.028420;
+			fall_capacitance : 0.028355;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0547962, 0.0615681, 0.1779325, 0.3271302, 0.4703599, 0.6195576, 0.7687553");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3196994, 0.4472162, 0.5747330, 0.7260734, 0.8713601, 1.0227004, 1.1740408");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032513;
+			capacitance : 0.032166;
+			fall_capacitance : 0.031820;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0618035, 0.0821645, 0.1025255, 0.1028997, 0.1032589, 0.1036330, 0.1040072");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0685112, 0.0867413, 0.1049714, 0.1066136, 0.1081901, 0.1098323, 0.1114745");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.051866;
+			capacitance : 0.051435;
+			fall_capacitance : 0.051004;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0119843, -0.0121168, -0.0122492, -0.0122781, -0.0123058, -0.0123347, -0.0123635");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0123794, 0.0123015, 0.0122235, 0.0122430, 0.0122617, 0.0122812, 0.0123007");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016629;
+			capacitance : 0.016476;
+			fall_capacitance : 0.016323;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0176558, 0.0172933, 0.0169308, 0.0168341, 0.0167413, 0.0166446, 0.0165479");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0207407, 0.0203689, 0.0199970, 0.0199577, 0.0199199, 0.0198805, 0.0198411");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.036308;
+			capacitance : 0.035657;
+			fall_capacitance : 0.035006;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1673508, -0.0156701, 0.0976425, 0.1865996, 0.2474410, 0.3005516, 0.3690714", \
+					  "-0.2287219, -0.0803316, 0.0390640, 0.1345867, 0.2153395, 0.2937497, 0.3614806", \
+					  "-0.2223757, -0.0820287, 0.0328666, 0.1241396, 0.2175039, 0.3006090, 0.3654403", \
+					  "-0.2225238, -0.0731796, 0.0436305, 0.1363139, 0.2215376, 0.3038827, 0.3525145", \
+					  "-0.2014702, -0.0429708, 0.0675676, 0.1452786, 0.2425912, 0.3316824, 0.3753576", \
+					  "-0.1720772, -0.0151036, 0.0749067, 0.1772922, 0.2568753, 0.3427649, 0.4074440", \
+					  "-0.1357629, 0.0349436, 0.1081692, 0.2116132, 0.2898721, 0.4095968, 0.4803794");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1658517, 0.0130647, 0.2055653, 0.3851054, 0.5616549, 0.7214375, 0.9057187", \
+					  "-0.2302747, -0.0512828, 0.1509714, 0.3401535, 0.5151617, 0.6828822, 0.8584011", \
+					  "-0.2208514, -0.0612110, 0.1453725, 0.3274786, 0.5205676, 0.6953225, 0.8702072", \
+					  "-0.2210249, -0.0447331, 0.1581238, 0.3426844, 0.5191390, 0.7032102, 0.8726063", \
+					  "-0.1999713, -0.0160500, 0.1758162, 0.3685661, 0.5386898, 0.7249631, 0.9028169", \
+					  "-0.1705783, 0.0148688, 0.2031946, 0.3918259, 0.5801371, 0.7397898, 0.9208059", \
+					  "-0.1357898, 0.0603385, 0.2336633, 0.4283940, 0.6119484, 0.7569650, 0.9454186");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3391897, 0.1952632, 0.0755346, -0.0196621, -0.0968087, -0.1549489, -0.2045077", \
+					  "0.4000365, 0.2479695, 0.1351482, 0.0439562, -0.0386990, -0.1143227, -0.1835304", \
+					  "0.4109407, 0.2411150, 0.1425464, 0.0558694, -0.0374707, -0.1070455, -0.1758182", \
+					  "0.3867339, 0.2462857, 0.1276777, 0.0489558, -0.0311785, -0.1259313, -0.1927802", \
+					  "0.3672062, 0.2221893, 0.1035724, 0.0087097, -0.0542713, -0.1316244, -0.2242882", \
+					  "0.3530720, 0.1607478, 0.0901332, -0.0217212, -0.0701423, -0.1682970, -0.2397732", \
+					  "0.3182835, 0.1640723, 0.0629824, -0.0299348, -0.1140174, -0.1696568, -0.2383871");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1744140, -0.0076589, -0.1979229, -0.3804590, -0.5465227, -0.7181325, -0.8984440", \
+					  "0.2357828, 0.0553837, -0.1391114, -0.3354532, -0.5099054, -0.6782681, -0.8504291", \
+					  "0.2212076, 0.0667889, -0.1396178, -0.3196479, -0.5134154, -0.6992025, -0.8677322", \
+					  "0.2250071, 0.0503111, -0.1514397, -0.3394322, -0.5120558, -0.6970172, -0.8657116", \
+					  "0.2070053, 0.0216279, -0.1690540, -0.3685164, -0.5315920, -0.7071485, -0.8953641", \
+					  "0.1684569, -0.0092910, -0.1948961, -0.3876515, -0.5714077, -0.7275774, -0.9021130", \
+					  "0.1458755, -0.0532347, -0.2266784, -0.4204250, -0.6048440, -0.7517116, -0.9402255");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0792618, 0.0395170, -0.0002278, -0.0241302, -0.0470766, -0.0709790, -0.0948814");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1338057, 0.3046294, 0.4754531, 0.4754059, 0.4753605, 0.4753132, 0.4752659");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.013331;
+			capacitance : 0.012998;
+			fall_capacitance : 0.012664;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.0411879, 16.3018300, 23.5624710, 25.2421710, 26.8546820, 28.5343820, 30.2140810");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("7.3678017, 15.1656870, 22.9635720, 23.4082430, 23.8351260, 24.2797970, 24.7244670");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006443;
+			capacitance : 0.006306;
+			fall_capacitance : 0.006168;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1002211, -0.2398942, -0.3618053, -0.4398515, -0.5335463, -0.6112865, -0.6900821", \
+					  "-0.0266713, -0.1732980, -0.3067890, -0.4023000, -0.4793413, -0.5665169, -0.6202526", \
+					  "-0.0301244, -0.1766977, -0.2999331, -0.3862309, -0.4659038, -0.5875978, -0.6806822", \
+					  "-0.0450764, -0.1916497, -0.3007411, -0.3959878, -0.4839425, -0.5665718, -0.6434107", \
+					  "-0.0783370, -0.2142291, -0.3201916, -0.4292104, -0.4664538, -0.5960638, -0.6998787", \
+					  "-0.1245147, -0.2420963, -0.3511450, -0.4497667, -0.5435953, -0.5912222, -0.6994043", \
+					  "-0.1257338, -0.2662036, -0.3782695, -0.4797369, -0.5649385, -0.6887986, -0.7235718");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3489154, -0.5225219, -0.7053410, -0.8882010, -1.0660801, -1.2476559, -1.4307844", \
+					  "-0.3001654, -0.4697801, -0.6531024, -0.8375915, -1.0091282, -1.1953267, -1.3792568", \
+					  "-0.2864260, -0.4578183, -0.6431980, -0.8436803, -1.0285776, -1.2014682, -1.3854442", \
+					  "-0.3029039, -0.4727707, -0.6563724, -0.8595088, -1.0308310, -1.2303526, -1.4220339", \
+					  "-0.3254833, -0.4907724, -0.6743238, -0.8638638, -1.0346813, -1.2492145, -1.4421662", \
+					  "-0.3564022, -0.5171137, -0.6976134, -0.8902957, -1.0708523, -1.2650474, -1.4609675", \
+					  "-0.3866131, -0.5473241, -0.7293500, -0.9168068, -1.0988952, -1.2676811, -1.4873536");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4475427, 0.5918738, 0.7136271, 0.7914276, 0.8399079, 0.9193017, 0.9920838", \
+					  "0.3907808, 0.5443195, 0.6507091, 0.7414128, 0.8229221, 0.8961920, 0.9666717", \
+					  "0.3820269, 0.5242700, 0.6573012, 0.7576534, 0.8367736, 0.9395978, 1.0242240", \
+					  "0.3969789, 0.5405417, 0.6583244, 0.7624967, 0.8281531, 0.9236346, 0.9948148", \
+					  "0.4195584, 0.5631211, 0.6705747, 0.7538187, 0.8512959, 0.9203224, 1.0344196", \
+					  "0.4458996, 0.5879365, 0.6969160, 0.8075288, 0.8871651, 0.9685613, 1.0574972", \
+					  "0.4745845, 0.6196731, 0.7347562, 0.8033195, 0.9321013, 0.9700104, 1.0635775");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3559670, 0.5297610, 0.7126952, 0.8955943, 1.0703980, 1.2569676, 1.4417784", \
+					  "0.3083334, 0.4810244, 0.6650359, 0.8484267, 1.0192921, 1.2082710, 1.3864313", \
+					  "0.3056829, 0.4664937, 0.6624567, 0.8553097, 1.0365914, 1.2094012, 1.3929945", \
+					  "0.3145313, 0.4783622, 0.6660963, 0.8605247, 1.0424605, 1.2373611, 1.4306530", \
+					  "0.3340591, 0.4994158, 0.6845203, 0.8728312, 1.0600015, 1.2563578, 1.4492180", \
+					  "0.3619262, 0.5303347, 0.7108615, 0.8946580, 1.0844369, 1.2740388, 1.4727862", \
+					  "0.3890853, 0.5605455, 0.7395464, 0.9268641, 1.1068465, 1.2936904, 1.4991351");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225606, 0.0258062, 0.0290518, 0.0288564, 0.0286688, 0.0284734, 0.0282781");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263597, 0.0307511, 0.0351425, 0.0351007, 0.0350606, 0.0350188, 0.0349771");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017542;
+			capacitance : 0.017385;
+			fall_capacitance : 0.017227;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0278737, -0.0121493, -0.0521724, -0.0755914, -0.0980737, -0.1214928, -0.1449119");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0647622, 0.2339114, 0.4030606, 0.4031990, 0.4033318, 0.4034702, 0.4036085");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005020;
+			capacitance : 0.004922;
+			fall_capacitance : 0.004824;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4765140, 0.6227754, 0.7522570, 0.8497209, 0.8933718, 0.9624655, 1.0411140", \
+					  "0.4203176, 0.5728248, 0.6882550, 0.7822490, 0.8697194, 0.9593720, 1.0243984", \
+					  "0.4109825, 0.5530965, 0.6960261, 0.8091126, 0.8935240, 0.9791359, 1.0356877", \
+					  "0.4228826, 0.5683808, 0.6896021, 0.8088641, 0.8785572, 0.9606533, 1.0390653", \
+					  "0.4439362, 0.5924860, 0.7073816, 0.8346988, 0.8787291, 1.0070810, 1.0561404", \
+					  "0.4718034, 0.6203532, 0.7398266, 0.8127718, 0.8876387, 0.9904279, 1.0610780", \
+					  "0.5035401, 0.6520899, 0.7685116, 0.8658705, 0.9324534, 1.0072286, 1.1212247");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4551893, 0.6146547, 0.8080693, 0.9911903, 1.1646832, 1.3555252, 1.5391457", \
+					  "0.3969581, 0.5736796, 0.7374374, 0.9350876, 1.0829504, 1.2959425, 1.4835505", \
+					  "0.3957598, 0.5488953, 0.7558399, 0.9512900, 1.1251095, 1.3015749, 1.4812068", \
+					  "0.4030824, 0.5708571, 0.7476658, 0.9556453, 1.1431685, 1.3365577, 1.5176318", \
+					  "0.4256619, 0.5949625, 0.7736871, 0.9717564, 1.1498720, 1.3400287, 1.5383160", \
+					  "0.4596325, 0.6243556, 0.8019479, 0.9959601, 1.1763376, 1.3511959, 1.5671120", \
+					  "0.4928951, 0.6530404, 0.8336845, 1.0246444, 1.1987092, 1.3858519, 1.5624933");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1673387, -0.3165628, -0.4536230, -0.5346619, -0.6020746, -0.7054299, -0.8196645", \
+					  "-0.0998809, -0.2571777, -0.3895025, -0.4911964, -0.5680762, -0.6426532, -0.7370047", \
+					  "-0.1094375, -0.2548941, -0.3882381, -0.4791467, -0.5656404, -0.6645916, -0.7791651", \
+					  "-0.1243894, -0.2606908, -0.4015230, -0.5081153, -0.5792607, -0.6568236, -0.7619138", \
+					  "-0.1515466, -0.2924253, -0.4118953, -0.4803266, -0.6032149, -0.6870727, -0.7474231", \
+					  "-0.1916208, -0.3126630, -0.4382365, -0.5352386, -0.6219413, -0.6955745, -0.7924807", \
+					  "-0.2279351, -0.3474517, -0.4745509, -0.5598271, -0.6730609, -0.7519713, -0.8244961");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4405113, -0.6028162, -0.7976793, -0.9836580, -1.1567136, -1.3461242, -1.5253197", \
+					  "-0.3927954, -0.5629629, -0.7336595, -0.9204325, -1.0788983, -1.2897306, -1.4725294", \
+					  "-0.3908937, -0.5380931, -0.7418691, -0.9413365, -1.1171865, -1.2942182, -1.4889742", \
+					  "-0.3960365, -0.5621796, -0.7367381, -0.9500541, -1.1315390, -1.3274419, -1.5064466", \
+					  "-0.4155524, -0.5832331, -0.7594205, -0.9637851, -1.1390587, -1.3313635, -1.5358857", \
+					  "-0.4403678, -0.6095744, -0.7888133, -0.9797596, -1.1645530, -1.3425930, -1.5509050", \
+					  "-0.4721045, -0.6428370, -0.8190241, -1.0099695, -1.1882044, -1.3769218, -1.5541858");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221797, 0.0283411, 0.0345026, 0.0343307, 0.0341658, 0.0339939, 0.0338220");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0267251, 0.0334001, 0.0400750, 0.0399262, 0.0397833, 0.0396344, 0.0394856");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005769;
+			capacitance : 0.005539;
+			fall_capacitance : 0.005310;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1016847, -0.1011193, -0.1005539, -0.1004259, -0.1003031, -0.1001751, -0.1000471");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1071761, 0.1071446, 0.1071131, 0.1070185, 0.1069276, 0.1068329, 0.1067383");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1734632, -0.1090687, -0.1048924, -0.1228961, -0.1470015, -0.1870757, -0.2264417", \
+					  "-0.3161846, -0.2523347, -0.2453599, -0.2587861, -0.2798396, -0.3122844, -0.3424952", \
+					  "-0.4333243, -0.3817668, -0.3737434, -0.3784838, -0.3980117, -0.4289307, -0.4591410", \
+					  "-0.5140211, -0.4752943, -0.4549784, -0.4725754, -0.4993981, -0.4977342, -0.5377668", \
+					  "-0.5997181, -0.5519598, -0.5598234, -0.5573065, -0.5543404, -0.6201483, -0.6562063", \
+					  "-0.6784456, -0.6226413, -0.6526672, -0.6434430, -0.6525758, -0.6888349, -0.7442229", \
+					  "-0.7525099, -0.6982583, -0.7240928, -0.7361357, -0.7845542, -0.7924828, -0.7877760");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4368616, 0.3787613, 0.3698199, 0.3801943, 0.4027737, 0.4336926, 0.4654293", \
+					  "0.5811916, 0.5250810, 0.5052906, 0.5252820, 0.5493872, 0.5772543, 0.6013616", \
+					  "0.6998937, 0.6400274, 0.6419468, 0.6445458, 0.6568417, 0.6862348, 0.7212793", \
+					  "0.7792206, 0.7259619, 0.7469723, 0.7444372, 0.7419093, 0.7968470, 0.7820153", \
+					  "0.8307520, 0.8075860, 0.8214980, 0.8273023, 0.8103661, 0.8361817, 0.9212971", \
+					  "0.9055692, 0.8896766, 0.9168833, 0.9087852, 0.8940482, 0.9420626, 0.9607884", \
+					  "0.9766137, 0.9544369, 0.9711675, 0.9699664, 1.0278614, 1.0479812, 1.0516175");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1673508, -0.2287219, -0.2223757, -0.2225238, -0.2014702, -0.1720772, -0.1357629", \
+					  "-0.0156701, -0.0803316, -0.0820287, -0.0731796, -0.0429708, -0.0151036, 0.0349436", \
+					  "0.0976425, 0.0390640, 0.0328666, 0.0436305, 0.0675676, 0.0749067, 0.1081692", \
+					  "0.1865996, 0.1345867, 0.1241396, 0.1363139, 0.1452786, 0.1772922, 0.2116132", \
+					  "0.2474410, 0.2153395, 0.2175039, 0.2215376, 0.2425912, 0.2568753, 0.2898721", \
+					  "0.3005516, 0.2937497, 0.3006090, 0.3038827, 0.3316824, 0.3427649, 0.4095968", \
+					  "0.3690714, 0.3614806, 0.3654403, 0.3525145, 0.3753576, 0.4074440, 0.4803794");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3391897, 0.4000365, 0.4109407, 0.3867339, 0.3672062, 0.3530720, 0.3182835", \
+					  "0.1952632, 0.2479695, 0.2411150, 0.2462857, 0.2221893, 0.1607478, 0.1640723", \
+					  "0.0755346, 0.1351482, 0.1425464, 0.1276777, 0.1035724, 0.0901332, 0.0629824", \
+					  "-0.0196621, 0.0439562, 0.0558694, 0.0489558, 0.0087097, -0.0217212, -0.0299348", \
+					  "-0.0968087, -0.0386990, -0.0374707, -0.0311785, -0.0542713, -0.0701423, -0.1140174", \
+					  "-0.1549489, -0.1143227, -0.1070455, -0.1259313, -0.1316244, -0.1682970, -0.1696568", \
+					  "-0.2045077, -0.1835304, -0.1758182, -0.1927802, -0.2242882, -0.2397732, -0.2383871");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1002211, -0.0266713, -0.0301244, -0.0450764, -0.0783370, -0.1245147, -0.1257338", \
+					  "-0.2398942, -0.1732980, -0.1766977, -0.1916497, -0.2142291, -0.2420963, -0.2662036", \
+					  "-0.3618053, -0.3067890, -0.2999331, -0.3007411, -0.3201916, -0.3511450, -0.3782695", \
+					  "-0.4398515, -0.4023000, -0.3862309, -0.3959878, -0.4292104, -0.4497667, -0.4797369", \
+					  "-0.5335463, -0.4793413, -0.4659038, -0.4839425, -0.4664538, -0.5435953, -0.5649385", \
+					  "-0.6112865, -0.5665169, -0.5875978, -0.5665718, -0.5960638, -0.5912222, -0.6887986", \
+					  "-0.6900821, -0.6202526, -0.6806822, -0.6434107, -0.6998787, -0.6994043, -0.7235718");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4475427, 0.3907808, 0.3820269, 0.3969789, 0.4195584, 0.4458996, 0.4745845", \
+					  "0.5918738, 0.5443195, 0.5242700, 0.5405417, 0.5631211, 0.5879365, 0.6196731", \
+					  "0.7136271, 0.6507091, 0.6573012, 0.6583244, 0.6705747, 0.6969160, 0.7347562", \
+					  "0.7914276, 0.7414128, 0.7576534, 0.7624967, 0.7538187, 0.8075288, 0.8033195", \
+					  "0.8399079, 0.8229221, 0.8367736, 0.8281531, 0.8512959, 0.8871651, 0.9321013", \
+					  "0.9193017, 0.8961920, 0.9395978, 0.9236346, 0.9203224, 0.9685613, 0.9700104", \
+					  "0.9920838, 0.9666717, 1.0242240, 0.9948148, 1.0344196, 1.0574972, 1.0635775");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4765140, 0.4203176, 0.4109825, 0.4228826, 0.4439362, 0.4718034, 0.5035401", \
+					  "0.6227754, 0.5728248, 0.5530965, 0.5683808, 0.5924860, 0.6203532, 0.6520899", \
+					  "0.7522570, 0.6882550, 0.6960261, 0.6896021, 0.7073816, 0.7398266, 0.7685116", \
+					  "0.8497209, 0.7822490, 0.8091126, 0.8088641, 0.8346988, 0.8127718, 0.8658705", \
+					  "0.8933718, 0.8697194, 0.8935240, 0.8785572, 0.8787291, 0.8876387, 0.9324534", \
+					  "0.9624655, 0.9593720, 0.9791359, 0.9606533, 1.0070810, 0.9904279, 1.0072286", \
+					  "1.0411140, 1.0243984, 1.0356877, 1.0390653, 1.0561404, 1.0610780, 1.1212247");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1673387, -0.0998809, -0.1094375, -0.1243894, -0.1515466, -0.1916208, -0.2279351", \
+					  "-0.3165628, -0.2571777, -0.2548941, -0.2606908, -0.2924253, -0.3126630, -0.3474517", \
+					  "-0.4536230, -0.3895025, -0.3882381, -0.4015230, -0.4118953, -0.4382365, -0.4745509", \
+					  "-0.5346619, -0.4911964, -0.4791467, -0.5081153, -0.4803266, -0.5352386, -0.5598271", \
+					  "-0.6020746, -0.5680762, -0.5656404, -0.5792607, -0.6032149, -0.6219413, -0.6730609", \
+					  "-0.7054299, -0.6426532, -0.6645916, -0.6568236, -0.6870727, -0.6955745, -0.7519713", \
+					  "-0.8196645, -0.7370047, -0.7791651, -0.7619138, -0.7474231, -0.7924807, -0.8244961");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5223564, 0.4664895, 0.4613052, 0.4732055, 0.4912072, 0.5251780, 0.5523369", \
+					  "0.6678841, 0.6095479, 0.6061161, 0.6149832, 0.6329853, 0.6654301, 0.6941150", \
+					  "0.7961150, 0.7325605, 0.7431067, 0.6656903, 0.7464709, 0.7804415, 0.8106524", \
+					  "0.8865226, 0.8288636, 0.8548356, 0.8502884, 0.8572158, 0.8783830, 0.9385360", \
+					  "0.9385965, 0.9227428, 0.9451110, 0.9387007, 0.9237323, 0.9745466, 0.9714585", \
+					  "1.0138160, 0.9882808, 1.0176539, 1.0430507, 1.0480661, 1.0650157, 1.0494989", \
+					  "1.1534928, 1.0753771, 1.1062569, 1.0894194, 1.0821752, 1.1355730, 1.1558887");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.2314907, -0.1674468, -0.1643353, -0.1838649, -0.2064444, -0.2404150, -0.2767293", \
+					  "-0.3784780, -0.3183203, -0.3111132, -0.3210524, -0.3482094, -0.3760766, -0.4093391", \
+					  "-0.5067170, -0.4585117, -0.4458941, -0.4479725, -0.4663428, -0.4977787, -0.5274725", \
+					  "-0.5985468, -0.5572298, -0.5458937, -0.5635109, -0.5449285, -0.6220942, -0.6492612", \
+					  "-0.6634240, -0.6264943, -0.6466164, -0.6457011, -0.6502366, -0.6609552, -0.7529069", \
+					  "-0.7886857, -0.6943622, -0.7326558, -0.7300849, -0.7211624, -0.7598799, -0.8004837", \
+					  "-0.8486199, -0.7734660, -0.8398963, -0.8342073, -0.8276625, -0.8484285, -0.8605857");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1613074, -0.2242044, -0.2163324, -0.2180063, -0.1969528, -0.1675597, -0.1312454", \
+					  "-0.0126183, -0.0755863, -0.0806541, -0.0702789, -0.0400700, -0.0106770, 0.0378443", \
+					  "0.0990871, 0.0438914, 0.0368667, 0.0480529, 0.0691173, 0.0778670, 0.1109827", \
+					  "0.1922154, 0.1345949, 0.1250155, 0.1393657, 0.1498090, 0.1803643, 0.2145903", \
+					  "0.2609731, 0.2199172, 0.2216447, 0.2230635, 0.2441171, 0.2598354, 0.2962084", \
+					  "0.3181316, 0.2983273, 0.3056749, 0.3167324, 0.3377860, 0.3549719, 0.4111227", \
+					  "0.3608905, 0.3645323, 0.3642202, 0.3693699, 0.3826888, 0.4104958, 0.4498619");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.3529894, 0.4109941, 0.4216903, 0.4005335, 0.3794799, 0.3531387, 0.3336091", \
+					  "0.2059030, 0.2559736, 0.2486628, 0.2534768, 0.2326565, 0.1698854, 0.1731809", \
+					  "0.0877417, 0.1476087, 0.1548784, 0.1368330, 0.1142535, 0.0987181, 0.0716222", \
+					  "-0.0058816, 0.0582870, 0.0690500, 0.0460116, 0.0163100, 0.0026781, -0.0236784", \
+					  "-0.0880695, -0.0265822, -0.0276514, -0.0222126, -0.0378147, -0.0641944, -0.1141145", \
+					  "-0.1534466, -0.1035924, -0.0853362, -0.1133019, -0.1347972, -0.1258305, -0.1583827", \
+					  "-0.2026593, -0.1728492, -0.1665827, -0.1775214, -0.1924714, -0.2233903, -0.2293915");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4450611, -0.3853000, -0.3826025, -0.3945009, -0.4170803, -0.4434235, -0.4751601", \
+					  "-0.5891850, -0.5371282, -0.5221832, -0.5333081, -0.5543617, -0.5807030, -0.6124397", \
+					  "-0.7094669, -0.6434964, -0.6516794, -0.6507557, -0.6681278, -0.6959954, -0.7262062", \
+					  "-0.7843178, -0.7325323, -0.7506017, -0.7577568, -0.7482600, -0.8018677, -0.7964227", \
+					  "-0.8325228, -0.8126170, -0.8312477, -0.8225151, -0.8467254, -0.8867207, -0.9279272", \
+					  "-0.9151996, -0.8894157, -0.9340719, -0.9147178, -0.9166717, -0.9580685, -0.9638657", \
+					  "-1.0070914, -0.9597158, -1.0175529, -0.9877630, -1.0306825, -1.0246302, -1.0618473");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4612672, 0.4053875, 0.3988063, 0.4091807, 0.4317621, 0.4581014, 0.4898381", \
+					  "0.6008763, 0.5501652, 0.5314409, 0.5480233, 0.5706027, 0.5938922, 0.6241030", \
+					  "0.7226990, 0.6613216, 0.6663813, 0.6668415, 0.6751765, 0.7030437, 0.7347803", \
+					  "0.7929825, 0.7475114, 0.7591106, 0.7637688, 0.7581219, 0.8150684, 0.8080653", \
+					  "0.8444207, 0.8270641, 0.8444030, 0.8281423, 0.8538745, 0.8865198, 0.9381519", \
+					  "0.9270618, 0.9012603, 0.9516605, 0.9236953, 0.9407287, 0.9672897, 0.9730390", \
+					  "0.9942835, 0.9702500, 1.0304166, 0.9946502, 1.0344396, 1.0574972, 1.1220846");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.1566784, -0.0877067, -0.0911598, -0.1015342, -0.1317430, -0.1702913, -0.2111833", \
+					  "-0.2948341, -0.2365540, -0.2301073, -0.2435335, -0.2661129, -0.2955059, -0.3272426", \
+					  "-0.4180829, -0.3620349, -0.3601235, -0.3616997, -0.3818079, -0.4075685, -0.4362538", \
+					  "-0.5054789, -0.4618092, -0.4489832, -0.4641905, -0.4841502, -0.4776620, -0.5361697", \
+					  "-0.6036683, -0.5373530, -0.5295816, -0.5250707, -0.5434218, -0.6032067, -0.6364382", \
+					  "-0.6616798, -0.6144629, -0.6395772, -0.6222958, -0.6314720, -0.6479033, -0.7302983", \
+					  "-0.7524973, -0.6614161, -0.7073143, -0.7111398, -0.6990668, -0.7953958, -0.7878295");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4322839, 0.3740631, 0.3667681, 0.3756166, 0.4027737, 0.4306409, 0.4623775", \
+					  "0.5766136, 0.5250695, 0.5041370, 0.5222300, 0.5463353, 0.5726766, 0.5983097", \
+					  "0.6968419, 0.6385015, 0.6395630, 0.6398278, 0.6537902, 0.6801314, 0.7166206", \
+					  "0.7731172, 0.7213284, 0.7439205, 0.7444369, 0.7302368, 0.7922691, 0.7820159", \
+					  "0.8277002, 0.8057948, 0.8154113, 0.8273017, 0.8091771, 0.8327413, 0.9182170", \
+					  "0.9055694, 0.8867327, 0.9122855, 0.9087853, 0.8940474, 0.9420617, 0.9622517", \
+					  "0.9734940, 0.9513832, 0.9653249, 0.9683612, 0.9583830, 1.0480440, 1.0516236");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.3046803, -0.2463963, -0.2437458, -0.2586978, -0.2828051, -0.3137240, -0.3500383", \
+					  "-0.4472744, -0.3917630, -0.3840778, -0.4051332, -0.4246610, -0.4540540, -0.4918941", \
+					  "-0.5706058, -0.5193861, -0.5140399, -0.5295827, -0.5353226, -0.5647702, -0.5980324", \
+					  "-0.6469822, -0.5960140, -0.6147779, -0.6199312, -0.6171984, -0.6752172, -0.7084797", \
+					  "-0.7017482, -0.6635091, -0.6862892, -0.7132196, -0.7224551, -0.7349538, -0.8042664", \
+					  "-0.8032620, -0.7474981, -0.7708028, -0.7842290, -0.8105022, -0.8129298, -0.8709898", \
+					  "-0.8900440, -0.8304572, -0.8659996, -0.9113576, -0.8828205, -0.9218318, -0.9103260");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7267700, 0.6653779, 0.6643113, 0.6807872, 0.7033667, 0.7327597, 0.7629705", \
+					  "0.8679056, 0.8054982, 0.8071284, 0.8166637, 0.8391588, 0.8670259, 0.9018143", \
+					  "0.9851654, 0.9284961, 0.9386408, 0.9382664, 0.9562424, 0.9807535, 1.0124907", \
+					  "1.0600109, 1.0051755, 1.0207076, 1.0411601, 1.0396143, 1.0777836, 1.1199380", \
+					  "1.1190792, 1.1167193, 1.1037293, 1.1279097, 1.1236192, 1.1765780, 1.1680786", \
+					  "1.1971409, 1.1445048, 1.1601396, 1.2328362, 1.2221132, 1.1996403, 1.2441775", \
+					  "1.3109342, 1.1852679, 1.2331816, 1.3045683, 1.2911406, 1.3323446, 1.3176054");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4322783, 0.3742708, 0.3774588, 0.3817297, 0.4043107, 0.4337022, 0.4654388", \
+					  "0.5749696, 0.5243655, 0.5041128, 0.5236579, 0.5462374, 0.5741045, 0.6027894", \
+					  "0.6952692, 0.6399805, 0.6407595, 0.6429940, 0.6522739, 0.6801407, 0.7181282", \
+					  "0.7731317, 0.7244697, 0.7454464, 0.7443567, 0.7420360, 0.7952587, 0.7836818", \
+					  "0.8261252, 0.8071089, 0.8199890, 0.8272062, 0.8117984, 0.8339834, 0.9197102", \
+					  "0.9041161, 0.8892626, 0.9122850, 0.9087954, 0.8937264, 0.9483226, 0.9607390", \
+					  "0.9737959, 0.9521739, 0.9697523, 0.9700136, 1.0278614, 1.0569223, 1.0507559");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0254432, 0.0400768, 0.0328577, 0.0230662, -0.0218928, -0.0238191, -0.0540299", \
+					  "-0.1623219, -0.1061235, -0.1003088, -0.1152609, -0.1347886, -0.1626557, -0.1959182", \
+					  "-0.2826801, -0.2461736, -0.2231396, -0.2338179, -0.2608544, -0.2733085, -0.3090140", \
+					  "-0.3881640, -0.3310371, -0.3236040, -0.3459177, -0.3654048, -0.3867675, -0.4185959", \
+					  "-0.4669805, -0.4112297, -0.4043464, -0.4079519, -0.4409916, -0.4644901, -0.4996110", \
+					  "-0.5385442, -0.4869620, -0.4851419, -0.4582345, -0.5229949, -0.5476781, -0.5792797", \
+					  "-0.6384517, -0.5532190, -0.5664754, -0.5692351, -0.6007731, -0.5926727, -0.6182468");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023178;
+			capacitance : 0.023997;
+			rise_capacitance : 0.024282;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1613074, -0.0126183, 0.0990871, 0.1922154, 0.2609731, 0.3181316, 0.3608905", \
+					  "-0.2242044, -0.0755863, 0.0438914, 0.1345949, 0.2199172, 0.2983273, 0.3645323", \
+					  "-0.2163324, -0.0806541, 0.0368667, 0.1250155, 0.2216447, 0.3056749, 0.3642202", \
+					  "-0.2180063, -0.0702789, 0.0480529, 0.1393657, 0.2230635, 0.3167324, 0.3693699", \
+					  "-0.1969528, -0.0400700, 0.0691173, 0.1498090, 0.2441171, 0.3377860, 0.3826888", \
+					  "-0.1675597, -0.0106770, 0.0778670, 0.1803643, 0.2598354, 0.3549719, 0.4104958", \
+					  "-0.1312454, 0.0378443, 0.1109827, 0.2145903, 0.2962084, 0.4111227, 0.4498619");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1688693, 0.0131803, 0.2005902, 0.3823488, 0.5483902, 0.7150324, 0.8881333", \
+					  "-0.2317664, -0.0512715, 0.1439145, 0.3334516, 0.5168494, 0.6806470, 0.8534466", \
+					  "-0.2177669, -0.0630879, 0.1422167, 0.3274786, 0.5176513, 0.6997535, 0.8745772", \
+					  "-0.2179390, -0.0450841, 0.1554172, 0.3420328, 0.5192058, 0.7032101, 0.8726547", \
+					  "-0.1968871, -0.0148752, 0.1733582, 0.3740423, 0.5365485, 0.7255786, 0.9031344", \
+					  "-0.1674940, 0.0145179, 0.2005689, 0.3925112, 0.5737356, 0.7611142, 0.9105626", \
+					  "-0.1327056, 0.0599875, 0.2308738, 0.4244361, 0.6072894, 0.7572242, 0.9404668");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529894, 0.2059030, 0.0877417, -0.0058816, -0.0880695, -0.1534466, -0.2026593", \
+					  "0.4109941, 0.2559736, 0.1476087, 0.0582870, -0.0265822, -0.1035924, -0.1728492", \
+					  "0.4216903, 0.2486628, 0.1548784, 0.0690500, -0.0276514, -0.0853362, -0.1665827", \
+					  "0.4005335, 0.2534768, 0.1368330, 0.0460116, -0.0222126, -0.1133019, -0.1775214", \
+					  "0.3794799, 0.2326565, 0.1142535, 0.0163100, -0.0378147, -0.1347972, -0.1924714", \
+					  "0.3531387, 0.1698854, 0.0987181, 0.0026781, -0.0641944, -0.1258305, -0.2233903", \
+					  "0.3336091, 0.1731809, 0.0716222, -0.0236784, -0.1141145, -0.1583827, -0.2293915");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1743953, -0.0062425, -0.1949721, -0.3766942, -0.5427039, -0.7204852, -0.8716819", \
+					  "0.2403446, 0.0582896, -0.1412211, -0.3294432, -0.5114862, -0.6759015, -0.8491244", \
+					  "0.2339394, 0.0701461, -0.1366692, -0.3213602, -0.5104596, -0.6927825, -0.8691540", \
+					  "0.2341481, 0.0536682, -0.1484431, -0.3378799, -0.5140212, -0.6994124, -0.8670037", \
+					  "0.2100428, 0.0234593, -0.1679446, -0.3669906, -0.5310922, -0.7077710, -0.8961503", \
+					  "0.1837016, -0.0059337, -0.1936235, -0.3866410, -0.5696600, -0.7583587, -0.9037952", \
+					  "0.1504390, -0.0529291, -0.2253712, -0.4174109, -0.6017033, -0.7530908, -0.9357574");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006086;
+			capacitance : 0.006215;
+			rise_capacitance : 0.006344;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4450611, -0.5891850, -0.7094669, -0.7843178, -0.8325228, -0.9151996, -1.0070914", \
+					  "-0.3853000, -0.5371282, -0.6434964, -0.7325323, -0.8126170, -0.8894157, -0.9597158", \
+					  "-0.3826025, -0.5221832, -0.6516794, -0.7506017, -0.8312477, -0.9340719, -1.0175529", \
+					  "-0.3945009, -0.5333081, -0.6507557, -0.7577568, -0.8225151, -0.9147178, -0.9877630", \
+					  "-0.4170803, -0.5543617, -0.6681278, -0.7482600, -0.8467254, -0.9166717, -1.0306825", \
+					  "-0.4434235, -0.5807030, -0.6959954, -0.8018677, -0.8867207, -0.9580685, -1.0246302", \
+					  "-0.4751601, -0.6124397, -0.7262062, -0.7964227, -0.9279272, -0.9638657, -1.0618473");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0467427, -0.2202903, -0.3984631, -0.5767982, -0.7562415, -0.9483152, -1.1209618", \
+					  "0.0160163, -0.1553393, -0.3437243, -0.5335362, -0.7058682, -0.8989719, -1.0897879", \
+					  "0.0034971, -0.1585794, -0.3422165, -0.5265742, -0.7183327, -0.9096578, -1.0927376", \
+					  "0.0022780, -0.1674281, -0.3419344, -0.5416532, -0.7165190, -0.9199468, -1.1064584", \
+					  "-0.0386120, -0.1884817, -0.3722740, -0.5489276, -0.7490301, -0.9325674, -1.1176952", \
+					  "-0.0435910, -0.2224521, -0.4106912, -0.5786033, -0.7467470, -0.9593589, -1.1492461", \
+					  "-0.0692241, -0.2465597, -0.4332726, -0.6133914, -0.7813336, -0.9872545, -1.1838280");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4612672, 0.6008763, 0.7226990, 0.7929825, 0.8444207, 0.9270618, 0.9942835", \
+					  "0.4053875, 0.5501652, 0.6613216, 0.7475114, 0.8270641, 0.9012603, 0.9702500", \
+					  "0.3988063, 0.5314409, 0.6663813, 0.7591106, 0.8444030, 0.9516605, 1.0304166", \
+					  "0.4091807, 0.5480233, 0.6668415, 0.7637688, 0.8281423, 0.9236953, 0.9946502", \
+					  "0.4317621, 0.5706027, 0.6751765, 0.7581219, 0.8538745, 0.9407287, 1.0344396", \
+					  "0.4581014, 0.5938922, 0.7030437, 0.8150684, 0.8865198, 0.9672897, 1.0574972", \
+					  "0.4898381, 0.6241030, 0.7347803, 0.8080653, 0.9381519, 0.9730390, 1.1220846");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3605470, 0.5327999, 0.7157004, 0.9001702, 1.0735423, 1.2587082, 1.4465214", \
+					  "0.3103825, 0.4781796, 0.6649897, 0.8484064, 1.0218347, 1.2077282, 1.3881934", \
+					  "0.2995748, 0.4618050, 0.6539699, 0.8553097, 1.0339146, 1.2126932, 1.4087308", \
+					  "0.3053715, 0.4813449, 0.6663119, 0.8604813, 1.0394087, 1.2403931, 1.4307065", \
+					  "0.3279510, 0.5023985, 0.6798905, 0.8725339, 1.0431147, 1.2609427, 1.4492180", \
+					  "0.3603958, 0.5302657, 0.7077577, 0.8657237, 1.0841444, 1.2640435, 1.4728481", \
+					  "0.3936583, 0.5620023, 0.7394943, 0.9300575, 1.1071955, 1.2938542, 1.4999545");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225573, 0.0257580, 0.0289587, 0.0287524, 0.0285543, 0.0283479, 0.0281415");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263823, 0.0308517, 0.0353212, 0.0352280, 0.0351385, 0.0350453, 0.0349520");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.020208;
+			capacitance : 0.019260;
+			fall_capacitance : 0.018312;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6754074, 0.8966953, 1.1179832, 1.3832477, 1.6379016, 1.9031661, 2.1684306");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.1579040, 29.7325850, 45.3072650, 45.7038750, 46.0846210, 46.4812320, 46.8778420");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033266;
+			capacitance : 0.033204;
+			fall_capacitance : 0.033142;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0588279, 0.1149180, 0.2886639, 0.5452564, 0.7915852, 1.0481777, 1.3047702");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1500361, 1.3481388, 1.5462414, 1.8121359, 2.0673947, 2.3332892, 2.5991837");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006583;
+			capacitance : 0.006450;
+			fall_capacitance : 0.006318;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3046803, -0.4472744, -0.5706058, -0.6469822, -0.7017482, -0.8032620, -0.8900440", \
+					  "-0.2463963, -0.3917630, -0.5193861, -0.5960140, -0.6635091, -0.7474981, -0.8304572", \
+					  "-0.2437458, -0.3840778, -0.5140399, -0.6147779, -0.6862892, -0.7708028, -0.8659996", \
+					  "-0.2586978, -0.4051332, -0.5295827, -0.6199312, -0.7132196, -0.7842290, -0.9113576", \
+					  "-0.2828051, -0.4246610, -0.5353226, -0.6171984, -0.7224551, -0.8105022, -0.8828205", \
+					  "-0.3137240, -0.4540540, -0.5647702, -0.6752172, -0.7349538, -0.8129298, -0.9218318", \
+					  "-0.3500383, -0.4918941, -0.5980324, -0.7084797, -0.8042664, -0.8709898, -0.9103260");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.6281527, -0.8003056, -0.9831504, -1.1676041, -1.3455991, -1.5289276, -1.7121779", \
+					  "-0.5683256, -0.7344779, -0.9156541, -1.1016336, -1.2812980, -1.4629572, -1.6449235", \
+					  "-0.5687070, -0.7431894, -0.9331149, -1.1149262, -1.2983406, -1.4725137, -1.6667159", \
+					  "-0.5806073, -0.7550055, -0.9382450, -1.1389077, -1.3252308, -1.5095540, -1.6926964", \
+					  "-0.6031867, -0.7791112, -0.9573667, -1.1454691, -1.3387498, -1.5316009, -1.7229280", \
+					  "-0.6325798, -0.8085038, -0.9905761, -1.1790951, -1.3576534, -1.5532359, -1.7385881", \
+					  "-0.6643164, -0.8402405, -1.0192610, -1.2082261, -1.3873675, -1.5681627, -1.7616060");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7267700, 0.8679056, 0.9851654, 1.0600109, 1.1190792, 1.1971409, 1.3109342", \
+					  "0.6653779, 0.8054982, 0.9284961, 1.0051755, 1.1167193, 1.1445048, 1.1852679", \
+					  "0.6643113, 0.8071284, 0.9386408, 1.0207076, 1.1037293, 1.1601396, 1.2331816", \
+					  "0.6807872, 0.8166637, 0.9382664, 1.0411601, 1.1279097, 1.2328362, 1.3045683", \
+					  "0.7033667, 0.8391588, 0.9562424, 1.0396143, 1.1236192, 1.2221132, 1.2911406", \
+					  "0.7327597, 0.8670259, 0.9807535, 1.0777836, 1.1765780, 1.1996403, 1.3323446", \
+					  "0.7629705, 0.9018143, 1.0124907, 1.1199380, 1.1680786, 1.2441775, 1.3176054");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6458861, 0.8120096, 0.9933700, 1.1763092, 1.3573423, 1.5393659, 1.7195414", \
+					  "0.5844940, 0.7460399, 0.9274613, 1.1088167, 1.2898484, 1.4703443, 1.6523423", \
+					  "0.5834870, 0.7541924, 0.9462702, 1.1217420, 1.3100845, 1.4829527, 1.6746620", \
+					  "0.5983402, 0.7697517, 0.9538565, 1.1502896, 1.3369551, 1.5204156, 1.6994202", \
+					  "0.6224455, 0.7953661, 0.9722609, 1.1563700, 1.3442757, 1.5417044, 1.7330315", \
+					  "0.6503127, 0.8217073, 1.0007138, 1.1904081, 1.3637552, 1.5634180, 1.7471657", \
+					  "0.6820493, 0.8503922, 1.0324504, 1.2168456, 1.3979729, 1.5753149, 1.7780210");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0226163, 0.0257510, 0.0288857, 0.0286970, 0.0285158, 0.0283271, 0.0281384");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263526, 0.0306921, 0.0350316, 0.0349445, 0.0348610, 0.0347739, 0.0346868");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013761;
+			capacitance : 0.013706;
+			fall_capacitance : 0.013652;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4322783, 0.5749696, 0.6952692, 0.7731317, 0.8261252, 0.9041161, 0.9737959", \
+					  "0.3742708, 0.5243655, 0.6399805, 0.7244697, 0.8071089, 0.8892626, 0.9521739", \
+					  "0.3774588, 0.5041128, 0.6407595, 0.7454464, 0.8199890, 0.9122850, 0.9697523", \
+					  "0.3817297, 0.5236579, 0.6429940, 0.7443567, 0.8272062, 0.9087954, 0.9700136", \
+					  "0.4043107, 0.5462374, 0.6522739, 0.7420360, 0.8117984, 0.8937264, 1.0278614", \
+					  "0.4337022, 0.5741045, 0.6801407, 0.7952587, 0.8339834, 0.9483226, 1.0569223", \
+					  "0.4654388, 0.6027894, 0.7181282, 0.7836818, 0.9197102, 0.9607390, 1.0507559");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3529164, 0.5282100, 0.7095847, 0.8940349, 1.0658819, 1.2494541, 1.4326490", \
+					  "0.3083520, 0.4753475, 0.6573478, 0.8441544, 1.0151684, 1.2037798, 1.3841820", \
+					  "0.2965237, 0.4592218, 0.6471980, 0.8492062, 1.0311380, 1.2038401, 1.3884428", \
+					  "0.3038463, 0.4737370, 0.6597151, 0.8624600, 1.0348310, 1.2313330, 1.4245806", \
+					  "0.3203223, 0.4932647, 0.6768434, 0.8726932, 1.0394922, 1.2501597, 1.4446403", \
+					  "0.3573447, 0.5241836, 0.7062365, 0.8654243, 1.0700119, 1.2716435, 1.4648649", \
+					  "0.3890814, 0.5589720, 0.7349214, 0.9208504, 1.1013567, 1.2747724, 1.4812527");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0254432, -0.1623219, -0.2826801, -0.3881640, -0.4669805, -0.5385442, -0.6384517", \
+					  "0.0400768, -0.1061235, -0.2461736, -0.3310371, -0.4112297, -0.4869620, -0.5532190", \
+					  "0.0328577, -0.1003088, -0.2231396, -0.3236040, -0.4043464, -0.4851419, -0.5664754", \
+					  "0.0230662, -0.1152609, -0.2338179, -0.3459177, -0.4079519, -0.4582345, -0.5692351", \
+					  "-0.0218928, -0.1347886, -0.2608544, -0.3654048, -0.4409916, -0.5229949, -0.6007731", \
+					  "-0.0238191, -0.1626557, -0.2733085, -0.3867675, -0.4644901, -0.5476781, -0.5926727", \
+					  "-0.0540299, -0.1959182, -0.3090140, -0.4185959, -0.4996110, -0.5792797, -0.6182468");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3412859, -0.5162413, -0.7020105, -0.8833722, -1.0625848, -1.2454808, -1.4287883", \
+					  "-0.2914263, -0.4652024, -0.6467189, -0.8350500, -1.0071892, -1.1959752, -1.3775918", \
+					  "-0.2834038, -0.4500164, -0.6431980, -0.8402094, -1.0232235, -1.1977594, -1.3872357", \
+					  "-0.2983224, -0.4649684, -0.6478848, -0.8487592, -1.0262535, -1.2273521, -1.4177110", \
+					  "-0.3224277, -0.4875476, -0.6681643, -0.8590488, -1.0343534, -1.2430047, -1.4375887", \
+					  "-0.3487690, -0.5123630, -0.6914539, -0.8833430, -1.0700252, -1.2630450, -1.4597013", \
+					  "-0.3805056, -0.5456258, -0.7247163, -0.9109493, -1.0956264, -1.2670405, -1.4615195");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0226292, 0.0258326, 0.0290361, 0.0288430, 0.0286577, 0.0284646, 0.0282715");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263769, 0.0309325, 0.0354881, 0.0353725, 0.0352616, 0.0351461, 0.0350306");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.662852;
+			max_transition : 1.505197;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02015875, 0.04063751, 0.08192014, 0.1651408, 0.3329031, 0.671091");
+					values("5.1062945, 6.9328985, 8.5113750, 8.7631363, 8.7854010, 8.7854821, 8.7263120", \
+					  "3.0212245, 4.8600421, 6.4109729, 6.6383392, 6.6616500, 6.6626132, 6.6596667", \
+					  "6.8889751, 6.8894751, 6.8992641, 6.8922684, 6.8974908, 6.8420924, 6.7865019", \
+					  "6.9819098, 7.0099772, 6.9851564, 6.9915237, 6.9755673, 6.9797315, 6.9878547", \
+					  "7.0704722, 7.0329883, 7.0086337, 7.0481585, 7.1016478, 7.0274750, 7.1068824", \
+					  "7.1209974, 7.1044065, 7.1047569, 7.1138685, 7.1105717, 7.1168930, 7.1103965", \
+					  "7.1622942, 7.1759495, 7.1763398, 7.1939217, 7.1973495, 7.2023607, 7.1830332");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02015875, 0.04063751, 0.08192014, 0.1651408, 0.3329031, 0.671091");
+					values("6.5156062, 6.5205606, 6.5224219, 6.5375114, 6.5410954, 6.5550746, 6.5527232", \
+					  "8.8027285, 8.8151734, 8.8266269, 8.8351253, 8.8495773, 8.8570436, 8.8658378", \
+					  "8.7314591, 8.7305068, 8.7353857, 8.7325381, 8.7361073, 8.7379114, 8.7333630", \
+					  "8.7138621, 8.7370848, 8.7401362, 8.7192016, 8.7344073, 8.7461201, 8.7234707", \
+					  "8.7228219, 8.7279845, 8.7315381, 8.7298684, 8.7298571, 8.7447523, 8.7350908", \
+					  "8.7285474, 8.7202008, 8.7322953, 8.7225522, 8.7370614, 8.7431113, 8.7296594", \
+					  "8.7108680, 8.7107630, 8.7142810, 8.7387788, 8.7198981, 8.7326171, 8.7506937");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("11.8104025, 11.8099729, 11.8017913, 11.7874651, 11.7916243, 11.7877758, 11.7798475", \
+					  "47.2182920, 47.2322700, 47.2314760, 47.2271460, 47.2276730, 47.2405210, 46.5391650", \
+					  "85.9793140, 86.0623590, 85.9141300, 86.0325490, 85.9157880, 85.9969390, 85.9429890", \
+					  "123.4466300, 123.6171600, 123.7283900, 123.6191100, 123.5891300, 123.5584700, 123.3993700", \
+					  "160.8329600, 160.5101500, 160.8887600, 160.6133000, 160.5533900, 160.5402100, 160.8169600", \
+					  "197.7346100, 197.5894400, 197.8170000, 197.6420000, 197.5650500, 197.5156100, 197.6765000", \
+					  "234.2985800, 234.1907200, 234.2965000, 234.1931900, 234.3266700, 234.1102600, 234.1570300");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("10.3122138, 10.3169008, 10.3251878, 10.3352218, 10.3458158, 10.3598098, 10.3687638", \
+					  "36.6872470, 36.6853460, 36.6861330, 36.6879340, 36.6884960, 36.6905810, 36.8789720", \
+					  "55.8805920, 55.8819170, 55.8941470, 55.8830520, 55.8818200, 55.8841690, 55.9125140", \
+					  "75.2944650, 75.3032150, 75.2666330, 75.3024100, 75.3037350, 75.3136230, 75.3000370", \
+					  "94.8492740, 94.8739000, 94.7748690, 94.8574760, 94.8609730, 94.8380610, 94.9385150", \
+					  "114.5292200, 114.5309700, 114.6195800, 114.5459900, 114.4722900, 114.5613300, 114.6013800", \
+					  "134.2288200, 134.1803600, 134.2782400, 134.1785600, 134.2171200, 134.2142400, 134.1504000");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("9.9660553, 9.9651654, 9.9535389, 9.9461217, 9.9367242, 9.9311726, 9.9208554", \
+					  "13.6843050, 13.6637450, 13.6784630, 13.6705570, 13.6407000, 13.6697990, 13.5773810", \
+					  "25.6193470, 25.6051140, 25.5543170, 25.5433050, 25.5792350, 25.5981160, 25.6373600", \
+					  "36.9268190, 36.9676410, 36.9492390, 37.0089240, 36.9753910, 36.9469840, 36.9548590", \
+					  "48.1274330, 48.1413870, 48.0655130, 48.0831280, 48.1108240, 48.0649100, 48.0764530", \
+					  "59.0902740, 59.1095920, 59.1105910, 59.0702970, 59.0555240, 59.1366890, 59.0543790", \
+					  "69.8814060, 69.9498380, 69.9585530, 69.9614950, 69.8918770, 69.9043950, 70.0165060");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("10.5127198, 10.5185748, 10.5298448, 10.5394158, 10.5552658, 10.5670808, 10.5794828", \
+					  "29.5064350, 29.5076600, 29.5071810, 29.5022900, 29.5078860, 29.7013110, 30.0444010", \
+					  "40.6809000, 40.6570850, 40.6813540, 40.6836540, 40.6823600, 40.6844110, 40.6878180", \
+					  "51.8740920, 51.8971360, 51.8756180, 51.8685650, 51.8717640, 51.8750250, 51.8760560", \
+					  "63.2109570, 63.3170250, 63.3240060, 63.2081470, 63.2243220, 63.1992100, 63.2887490", \
+					  "74.6098810, 74.6426810, 74.6532210, 74.5682200, 74.5915690, 74.6240920, 74.6987680", \
+					  "85.9125550, 86.0626220, 86.0675630, 85.9066220, 86.0788800, 85.9665330, 86.0666120");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("24.2191867, 24.2257507, 24.2312487, 24.2434577, 24.2626657, 24.2819767, 24.2728717", \
+					  "24.2056553, 24.2077313, 24.2141463, 24.2562853, 24.2842863, 24.2262033, 24.3241823", \
+					  "24.2153098, 24.2189908, 24.2158338, 24.2204328, 24.2457648, 24.2682028, 24.2774738", \
+					  "24.2127230, 24.2152870, 24.2195800, 24.2451710, 24.2535360, 24.2763770, 24.2683120", \
+					  "24.1995555, 24.2008685, 24.2256335, 24.2368125, 24.2536145, 24.2682505, 24.2856115", \
+					  "24.2155547, 24.2177017, 24.2711607, 24.2446337, 24.2598997, 24.2702327, 24.2692907", \
+					  "24.2342320, 24.2375310, 24.2293330, 24.2596610, 24.2761690, 24.2521900, 24.2929330");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02011729, 0.04047053, 0.08141572, 0.1637864, 0.3294937, 0.662852");
+					values("20.0081477, 20.0195527, 20.0404707, 20.0779487, 20.1340017, 20.1718587, 20.1837517", \
+					  "20.0046070, 19.9988190, 20.0284940, 20.0685480, 20.1232250, 20.1629940, 20.2081560", \
+					  "19.9947203, 20.0140763, 20.0392243, 20.0670963, 20.1308113, 20.1469213, 20.1800093", \
+					  "20.0033436, 20.0189216, 20.0482116, 20.0661786, 20.1290586, 20.1740906, 20.1564086", \
+					  "19.9954417, 20.0126317, 20.0442067, 20.0749027, 20.1258497, 20.1606897, 20.1636187", \
+					  "19.9966221, 20.0045131, 20.0349781, 20.0751071, 20.1065601, 20.1595951, 20.1618181", \
+					  "20.0091085, 20.0148035, 20.0335365, 20.0718065, 20.1221045, 20.1580965, 20.1860035");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("2.0923039, 2.1081636, 2.1406736, 2.2057445, 2.3376154, 2.5987839, 3.1216520", \
+					  "4.4013047, 4.4176649, 4.4502131, 4.5155963, 4.6466693, 4.9074864, 5.4306049", \
+					  "6.3535652, 6.3699073, 6.4023857, 6.4677770, 6.5988206, 6.8595165, 7.3824187", \
+					  "6.7908745, 6.8072197, 6.8397328, 6.9051875, 7.0362232, 7.2970163, 7.8195947", \
+					  "9.4364561, 9.4529558, 9.4854027, 9.5507833, 9.6819468, 9.9432036, 10.4662620", \
+					  "11.6059180, 11.6222760, 11.6547950, 11.7202090, 11.8512520, 12.1118430, 12.6350120", \
+					  "13.1923660, 13.2086260, 13.2412370, 13.3066920, 13.4378450, 13.6984780, 14.2214060", \
+					  "15.5102930, 15.5266240, 15.5591400, 15.6245170, 15.7455150, 16.0162920, 16.5390690", \
+					  "46.9146250, 46.9442510, 46.9700230, 47.0452870, 47.1642570, 47.4163750, 47.9506570", \
+					  "67.0887910, 67.0887948, 67.1349480, 67.1349526, 67.2003540, 67.4607460, 68.1487720", \
+					  "152.6959500, 152.6959610, 152.6959763, 153.0777400, 153.3072300, 153.3679100, 153.9639100", \
+					  "478.7292500, 478.7292634, 479.0094300, 479.0094452, 479.0094758, 479.4448500, 479.8723100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("0.1372889, 0.1574656, 0.1989999, 0.2830092, 0.4528787, 0.7953079, 1.4892680", \
+					  "0.1375735, 0.1575650, 0.1991120, 0.2831197, 0.4529134, 0.7949249, 1.4949435", \
+					  "0.1374249, 0.1578743, 0.1991286, 0.2828996, 0.4529097, 0.7955791, 1.5018933", \
+					  "0.1376328, 0.1577090, 0.1991097, 0.2829745, 0.4528815, 0.7980752, 1.5000472", \
+					  "0.1373492, 0.1578294, 0.1990074, 0.2829636, 0.4529192, 0.7973093, 1.5077928", \
+					  "0.1374903, 0.1580336, 0.1990012, 0.2829050, 0.4527100, 0.7966645, 1.5003271", \
+					  "0.1375740, 0.1579966, 0.1988099, 0.2828431, 0.4528962, 0.7948851, 1.5002041", \
+					  "0.1375414, 0.1576742, 0.1990177, 0.2829427, 0.4528906, 0.7982085, 1.4973368", \
+					  "0.1373022, 0.1580081, 0.1989081, 0.2828531, 0.4529005, 0.7972792, 1.5016597", \
+					  "0.1375651, 0.1578653, 0.1991161, 0.2828943, 0.4528993, 0.7976009, 1.5076819", \
+					  "0.1376710, 0.1577324, 0.1991518, 0.2828658, 0.4528512, 0.7972403, 1.5016878", \
+					  "0.1376359, 0.1577954, 0.1992035, 0.2829694, 0.4529124, 0.7964596, 1.5005638");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("1.9716171, 1.9837791, 2.0112054, 2.0552509, 2.1394240, 2.2761701, 2.4952160", \
+					  "4.3290811, 4.3427555, 4.3679345, 4.4146260, 4.4958379, 4.6323487, 4.8512687", \
+					  "6.2170336, 6.2307297, 6.2562298, 6.3021406, 6.3837073, 6.5196981, 6.7390435", \
+					  "6.6273773, 6.6406511, 6.6660184, 6.7126433, 6.7937678, 6.9297923, 7.1485884", \
+					  "9.0053831, 9.0191179, 9.0436698, 9.0906180, 9.1734771, 9.3117940, 9.5273016", \
+					  "10.8947140, 10.9080280, 10.9330230, 10.9801150, 11.0614160, 11.1976610, 11.4160370", \
+					  "12.3043120, 12.3176250, 12.3423990, 12.3897550, 12.4709650, 12.6069900, 12.8256790", \
+					  "14.3286010, 14.3421470, 14.3672020, 14.4140790, 14.4953320, 14.6315150, 14.8500580", \
+					  "38.4609460, 38.4609471, 38.4714200, 38.5180990, 38.6013030, 38.7350100, 38.9563120", \
+					  "52.5043120, 52.5179050, 52.5430190, 52.5917120, 52.6711120, 52.8094790, 53.0252610", \
+					  "105.8064100, 105.8349800, 105.8349801, 105.8541200, 105.9898500, 106.0736600, 106.3277200", \
+					  "262.8578100, 262.8578339, 262.9076900, 263.0000300, 263.0839300, 263.2034500, 263.3582900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201725, 0.0406929, 0.0820876, 0.165591, 0.334038, 0.673838");
+					values("0.1204766, 0.1312846, 0.1513543, 0.1918506, 0.2635639, 0.3812123, 0.5847503", \
+					  "0.1279978, 0.1343660, 0.1555945, 0.1924908, 0.2674589, 0.3873152, 0.5985295", \
+					  "0.1232931, 0.1331375, 0.1532902, 0.1945397, 0.2683254, 0.3879303, 0.5983510", \
+					  "0.1279907, 0.1344033, 0.1555893, 0.1929202, 0.2677601, 0.3871047, 0.5980346", \
+					  "0.1217102, 0.1326991, 0.1534608, 0.1945820, 0.2679667, 0.3891934, 0.5976011", \
+					  "0.1279836, 0.1343750, 0.1555141, 0.1928326, 0.2677901, 0.3870747, 0.5980264", \
+					  "0.1279896, 0.1342850, 0.1556910, 0.1928308, 0.2679231, 0.3870224, 0.5982642", \
+					  "0.1279418, 0.1343232, 0.1555040, 0.1928422, 0.2677832, 0.3870553, 0.5980450", \
+					  "0.1281028, 0.1345441, 0.1541864, 0.1927627, 0.2658253, 0.3868206, 0.5964535", \
+					  "0.1285472, 0.1339665, 0.1553081, 0.1946139, 0.2658418, 0.3863810, 0.5969308", \
+					  "0.1257157, 0.1334139, 0.1556650, 0.1941581, 0.2681654, 0.3870803, 0.5976467", \
+					  "0.1225741, 0.1359226, 0.1542469, 0.1939258, 0.2665345, 0.3870100, 0.6000146");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.9450307, 0.9616422, 0.9939708, 1.0583531, 1.1872894, 1.4443968, 1.9621975", \
+					  "1.3591588, 1.3755160, 1.4082541, 1.4726982, 1.6016367, 1.8594045, 2.3742737", \
+					  "1.5269750, 1.5430409, 1.5755156, 1.6404562, 1.7687884, 2.0266562, 2.5445128", \
+					  "1.5606262, 1.5769622, 1.6097145, 1.6742610, 1.8030314, 2.0608155, 2.5797289", \
+					  "1.7385610, 1.7551058, 1.7877613, 1.8523479, 1.9810154, 2.2385960, 2.7567009", \
+					  "1.8650319, 1.8758031, 1.9085944, 1.9787771, 2.0973250, 2.3652669, 2.8845006", \
+					  "1.9531650, 1.9682249, 2.0007793, 2.0667976, 2.1942499, 2.4461893, 2.9729861", \
+					  "2.0629234, 2.0795390, 2.1153972, 2.1765587, 2.3054574, 2.5602613, 3.0823029", \
+					  "3.3062766, 3.3437757, 3.3535241, 3.4199290, 3.5703005, 3.8062549, 4.3422368", \
+					  "4.0242885, 4.0318384, 4.0371471, 4.1362798, 4.2641273, 4.4916311, 5.0287614", \
+					  "6.7685484, 6.7806085, 6.7877899, 6.8750410, 7.0084507, 7.2676389, 7.7595663", \
+					  "16.1568860, 16.1890200, 16.2034580, 16.2702850, 16.4062840, 16.6758250, 17.1884680");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1373275, 0.1576115, 0.1979656, 0.2810764, 0.4483299, 0.7871988, 1.4845987", \
+					  "0.1392491, 0.1596609, 0.2005040, 0.2834198, 0.4547853, 0.7982686, 1.4804431", \
+					  "0.1393018, 0.1593847, 0.1991695, 0.2833671, 0.4549647, 0.7972894, 1.4868726", \
+					  "0.1391890, 0.1596593, 0.2005887, 0.2839530, 0.4548694, 0.7991918, 1.5137936", \
+					  "0.1395420, 0.1594054, 0.2002216, 0.2834253, 0.4540384, 0.8004731, 1.5081103", \
+					  "0.1389769, 0.1596770, 0.2003378, 0.2835442, 0.4548215, 0.7969994, 1.5053674", \
+					  "0.1391822, 0.1596792, 0.2005893, 0.2839509, 0.4547953, 0.7991591, 1.5076645", \
+					  "0.1392300, 0.1593849, 0.2005890, 0.2839687, 0.4540623, 0.7988943, 1.5127386", \
+					  "0.1394846, 0.1596529, 0.2002973, 0.2837252, 0.4540599, 0.8016561, 1.5041128", \
+					  "0.1392488, 0.1594126, 0.2000007, 0.2839686, 0.4547846, 0.8001774, 1.5255512", \
+					  "0.1392242, 0.1596693, 0.2004632, 0.2839669, 0.4547981, 0.7991571, 1.5090197", \
+					  "0.1394834, 0.1594522, 0.2005873, 0.2837252, 0.4547862, 0.7994399, 1.5135859");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("1.3589566, 1.3726221, 1.3963096, 1.4395687, 1.5157567, 1.6439976, 1.8524083", \
+					  "2.7359215, 2.7492530, 2.7740026, 2.8170113, 2.8893019, 3.0212925, 3.2296852", \
+					  "3.9897139, 4.0031893, 4.0273256, 4.0708232, 4.1452730, 4.2729082, 4.4818003", \
+					  "4.2771018, 4.2905781, 4.3146988, 4.3581916, 4.4379416, 4.5602704, 4.7691624", \
+					  "6.0737650, 6.0863057, 6.1101302, 6.1525371, 6.2261636, 6.3575119, 6.5641941", \
+					  "7.5936839, 7.6071176, 7.6292022, 7.6736981, 7.7515336, 7.8793692, 8.0823606", \
+					  "8.7729868, 8.7866656, 8.8120835, 8.8560839, 8.9167660, 9.0516270, 9.3049159", \
+					  "10.4540630, 10.4606390, 10.4715430, 10.5179550, 10.6682960, 10.7494170, 10.9557330", \
+					  "35.1100410, 35.1226890, 35.1447550, 35.1875190, 35.4773460, 35.4773465, 35.5995910", \
+					  "51.5322400, 51.5752130, 51.5752163, 51.6376060, 52.0813610, 52.0813618, 52.0813656", \
+					  "125.3140300, 125.3140374, 125.3577200, 125.3800700, 125.4719100, 125.5818900, 125.7909800", \
+					  "410.9259100, 410.9259186, 410.9482500, 411.0098900, 411.0535800, 411.2242500, 411.4215200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1162499, 0.1259626, 0.1458532, 0.1821062, 0.2487321, 0.3617856, 0.5651761", \
+					  "0.1251991, 0.1321397, 0.1485724, 0.1877621, 0.2599848, 0.3663590, 0.5760753", \
+					  "0.1213299, 0.1294773, 0.1530363, 0.1875452, 0.2562478, 0.3686181, 0.5750265", \
+					  "0.1213189, 0.1294667, 0.1529982, 0.1875527, 0.2562825, 0.3686151, 0.5753264", \
+					  "0.1206994, 0.1292986, 0.1515647, 0.1893531, 0.2530487, 0.3718732, 0.5762255", \
+					  "0.1188526, 0.1339489, 0.1558876, 0.1876488, 0.2562469, 0.3666271, 0.5754631", \
+					  "0.1273367, 0.1356030, 0.1521999, 0.1891379, 0.2544880, 0.3682830, 0.5757409", \
+					  "0.1212325, 0.1373398, 0.1514748, 0.1898322, 0.2557614, 0.3710321, 0.5757507", \
+					  "0.1209079, 0.1375029, 0.1513968, 0.1862534, 0.2557146, 0.3714036, 0.5757295", \
+					  "0.1210202, 0.1373598, 0.1518768, 0.1895993, 0.2559575, 0.3710296, 0.5757172", \
+					  "0.1207512, 0.1293257, 0.1559587, 0.1878259, 0.2530394, 0.3685788, 0.5755225", \
+					  "0.1211459, 0.1374621, 0.1555573, 0.1899009, 0.2559576, 0.3714002, 0.5758861");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.9343423, 0.9507816, 0.9834499, 1.0481447, 1.1767423, 1.4338890, 1.9509889", \
+					  "0.3673559, 0.3839133, 0.4165464, 0.4811667, 0.6098234, 0.8674311, 1.3854663", \
+					  "-0.4933950, -0.4768947, -0.4441924, -0.3796081, -0.2509420, 0.0066284, 0.5247383", \
+					  "-0.7094049, -0.6928877, -0.6601957, -0.5949403, -0.4669418, -0.2093812, 0.3087328", \
+					  "-2.1061841, -2.0889956, -2.0562958, -1.9918054, -1.8629582, -1.6051779, -1.0855759", \
+					  "-3.3579570, -3.3412355, -3.3085019, -3.2438661, -3.1151772, -2.8573892, -2.3407738", \
+					  "-4.3519650, -4.3350625, -4.3029580, -4.2382760, -4.1095483, -3.8519707, -3.3331011", \
+					  "-5.8010268, -5.7864238, -5.7542224, -5.6887055, -5.5579243, -5.2992619, -4.7791819", \
+					  "-28.1118510, -28.1107760, -28.0781230, -28.0009010, -27.8745770, -27.6170870, -27.0946980", \
+					  "-43.4361200, -43.4197600, -43.3864180, -43.3260400, -43.1966600, -42.9491070, -42.4167230", \
+					  "-113.0219900, -113.0176600, -112.9740600, -112.9208700, -112.7795900, -112.5236500, -112.0070900", \
+					  "-385.7858200, -385.7708200, -385.7707977, -385.7411700, -385.5430200, -385.2858300, -384.7777600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1373092, 0.1572926, 0.1983090, 0.2810930, 0.4486768, 0.7940145, 1.4783260", \
+					  "0.1395256, 0.1593597, 0.2000896, 0.2832797, 0.4541724, 0.8002679, 1.4899971", \
+					  "0.1395436, 0.1594001, 0.2002323, 0.2834450, 0.4540170, 0.8005447, 1.5077229", \
+					  "0.1395476, 0.1594057, 0.2002398, 0.2834568, 0.4540105, 0.8005698, 1.5076105", \
+					  "0.1395644, 0.1596669, 0.2005877, 0.2839654, 0.4548046, 0.7994395, 1.5130525", \
+					  "0.1395524, 0.1596598, 0.2005887, 0.2838180, 0.4548608, 0.7992240, 1.5071610", \
+					  "0.1391830, 0.1596618, 0.2005875, 0.2834221, 0.4548870, 0.8004664, 1.5138752", \
+					  "0.1391970, 0.1594794, 0.2002155, 0.2839533, 0.4548056, 0.7999585, 1.5128975", \
+					  "0.1392373, 0.1596571, 0.2005877, 0.2838932, 0.4534357, 0.7988806, 1.5082888", \
+					  "0.1391904, 0.1596587, 0.1999880, 0.2839531, 0.4548307, 0.7993283, 1.5083503", \
+					  "0.1392768, 0.1596620, 0.2005858, 0.2838029, 0.4547513, 0.7996335, 1.5079414", \
+					  "0.1391929, 0.1593652, 0.2005875, 0.2839608, 0.4548206, 0.7993191, 1.5123510");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("1.4723157, 1.4854874, 1.5094882, 1.5535779, 1.6299878, 1.7577689, 1.9671722", \
+					  "3.3409859, 3.3545950, 3.3782290, 3.4209751, 3.4968719, 3.6257630, 3.8325827", \
+					  "5.1104490, 5.1239306, 5.1480358, 5.1914628, 5.2662195, 5.3938791, 5.6033413", \
+					  "5.5192917, 5.5326792, 5.5568035, 5.6006781, 5.6774190, 5.8052692, 6.0140701", \
+					  "8.0653679, 8.0738554, 8.1015443, 8.1437390, 8.2199865, 8.3489775, 8.5555483", \
+					  "10.2338160, 10.2456610, 10.2709560, 10.3140420, 10.3912590, 10.5181860, 10.7271530", \
+					  "11.9136590, 11.9230020, 11.9532900, 11.9940040, 12.0704920, 12.2006750, 12.4039300", \
+					  "14.3284130, 14.3436950, 14.3591990, 14.4083620, 14.4851590, 14.6049030, 14.8225820", \
+					  "49.5681580, 49.5797250, 49.6046870, 49.6481220, 49.7272200, 49.8516180, 50.0608160", \
+					  "73.1195510, 73.1297760, 73.1559810, 73.2011930, 73.2745630, 73.4023760, 73.6114250", \
+					  "178.5698300, 178.5804400, 178.6062600, 178.6480100, 178.7268900, 178.8518900, 179.0633900", \
+					  "586.8413400, 586.8531000, 586.8778900, 586.9180800, 586.9992500, 587.1270300, 587.3344500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201358, 0.0405449, 0.0816403, 0.164389, 0.33101, 0.666514");
+					values("0.1181462, 0.1261731, 0.1454778, 0.1817177, 0.2487517, 0.3619169, 0.5636295", \
+					  "0.1211603, 0.1291310, 0.1524391, 0.1892843, 0.2540972, 0.3698827, 0.5770638", \
+					  "0.1229265, 0.1309311, 0.1541862, 0.1865299, 0.2518722, 0.3730503, 0.5754563", \
+					  "0.1238085, 0.1318988, 0.1494998, 0.1842982, 0.2522450, 0.3705031, 0.5751344", \
+					  "0.1211146, 0.1379957, 0.1511665, 0.1899355, 0.2557038, 0.3709724, 0.5756492", \
+					  "0.1203521, 0.1367368, 0.1511071, 0.1900175, 0.2559706, 0.3715659, 0.5758911", \
+					  "0.1242691, 0.1325299, 0.1514626, 0.1877510, 0.2561837, 0.3716964, 0.5769679", \
+					  "0.1208308, 0.1357924, 0.1513749, 0.1894344, 0.2561082, 0.3711219, 0.5759682", \
+					  "0.1233922, 0.1358030, 0.1508222, 0.1884700, 0.2523260, 0.3709509, 0.5750916", \
+					  "0.1247371, 0.1330160, 0.1498045, 0.1851056, 0.2562646, 0.3732903, 0.5749032", \
+					  "0.1208770, 0.1328773, 0.1483943, 0.1865666, 0.2513127, 0.3672394, 0.5790995", \
+					  "0.1198867, 0.1283204, 0.1487399, 0.1895708, 0.2515827, 0.3675298, 0.5712056");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("3.9768517, 3.9929970, 4.0255475, 4.0906980, 4.2182768, 4.4748538, 4.9891038", \
+					  "4.1561630, 4.1726023, 4.2049217, 4.2697446, 4.3978154, 4.6549578, 5.1660360", \
+					  "4.3444364, 4.3575477, 4.3908228, 4.4556887, 4.5825255, 4.8425819, 5.3581410", \
+					  "4.5288377, 4.5458219, 4.5832351, 4.6482111, 4.7710582, 5.0277391, 5.5425358", \
+					  "4.7169801, 4.7342041, 4.7607704, 4.8257731, 4.9592876, 5.2134279, 5.7276863", \
+					  "4.9001256, 4.9161413, 4.9415818, 5.0061817, 5.1412981, 5.3986855, 5.9119754", \
+					  "5.0864237, 5.1020224, 5.1286531, 5.1936779, 5.3282898, 5.5884026, 6.1025518");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("0.1391190, 0.1597331, 0.2013341, 0.2844199, 0.4570834, 0.7995987, 1.4876174", \
+					  "0.1393345, 0.1600100, 0.2017980, 0.2830813, 0.4569589, 0.8065083, 1.4907909", \
+					  "0.1382322, 0.1600078, 0.2014674, 0.2834723, 0.4550338, 0.7987956, 1.4931383", \
+					  "0.1381915, 0.1597747, 0.2011005, 0.2852056, 0.4568428, 0.7987930, 1.4932393", \
+					  "0.1397733, 0.1599988, 0.2012062, 0.2851407, 0.4569197, 0.7983759, 1.4914862", \
+					  "0.1390947, 0.1597437, 0.2019745, 0.2852293, 0.4550822, 0.8006283, 1.4862057", \
+					  "0.1390989, 0.1599634, 0.2011660, 0.2852265, 0.4567669, 0.8078755, 1.4932326");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("3.0778151, 3.0939991, 3.1252079, 3.1832388, 3.2969889, 3.5372836, 4.0067691", \
+					  "3.2270455, 3.2445782, 3.2752150, 3.3333138, 3.4473222, 3.6848331, 4.1538577", \
+					  "3.3436749, 3.3604008, 3.3904830, 3.4492154, 3.5635364, 3.8023118, 4.2749519", \
+					  "3.4484837, 3.4640322, 3.4940366, 3.5521130, 3.6660051, 3.9033630, 4.3684865", \
+					  "3.5321848, 3.5483874, 3.5794643, 3.6376280, 3.7519072, 3.9913215, 4.4570956", \
+					  "3.6055576, 3.6221457, 3.6534398, 3.7110330, 3.8266457, 4.0648862, 4.5340571", \
+					  "3.6813317, 3.6980259, 3.7294865, 3.7879060, 3.8998769, 4.1381805, 4.6082391");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0201173, 0.0404705, 0.0814157, 0.163786, 0.329494, 0.662852");
+					values("0.1505106, 0.1629554, 0.1931733, 0.2572432, 0.4063742, 0.7337745, 1.0498555", \
+					  "0.1457048, 0.1619968, 0.1902559, 0.2567475, 0.4089685, 0.7288747, 1.0499740", \
+					  "0.1508586, 0.1625221, 0.1923940, 0.2568179, 0.4064334, 0.7298688, 1.0495501", \
+					  "0.1497570, 0.1648120, 0.1907441, 0.2556680, 0.4055244, 0.7283459, 1.0495741", \
+					  "0.1504456, 0.1621082, 0.1930033, 0.2572762, 0.4065940, 0.7334350, 1.0495915", \
+					  "0.1493777, 0.1618471, 0.1937391, 0.2577987, 0.4080694, 0.7340054, 1.0495130", \
+					  "0.1514306, 0.1629236, 0.1933388, 0.2567918, 0.4056886, 0.7315150, 1.0495790");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 10.308800;
+			max_transition : 3.762298;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176613, 0.1009087, 0.320548, 1.018257, 3.234608, 10.2751");
+					values("8.5357669, 8.4607917, 8.1505729, 7.7581298, 7.2383612, 6.6000324, 5.2730133", \
+					  "6.4076799, 6.3079806, 6.0563611, 5.6645530, 5.1131729, 4.4845620, 3.0862671", \
+					  "6.8294268, 6.7042188, 6.3806577, 5.9645943, 5.3397933, 4.7165631, 3.1692461", \
+					  "6.8979366, 6.7609447, 6.4625258, 6.0300211, 5.5572730, 4.7695949, 4.1916982", \
+					  "6.9799973, 6.9236639, 6.5340676, 6.1436889, 5.6760807, 5.2825535, 3.6918146", \
+					  "7.0601290, 6.9347895, 6.6054931, 6.1941463, 5.7292357, 5.3537652, 3.4887902", \
+					  "7.1145624, 6.9888244, 6.6759545, 6.2525961, 5.7944196, 5.4015447, 3.3599907");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03176613, 0.1009087, 0.320548, 1.018257, 3.234608, 10.2751");
+					values("6.0066002, 5.9453757, 5.8720290, 5.7732894, 5.6363763, 5.5331109, 5.4787663", \
+					  "8.2058024, 8.1389114, 8.0707602, 8.0185095, 7.9457751, 7.8480521, 7.8036301", \
+					  "8.6867527, 8.5680100, 8.3434501, 8.0456197, 7.8435747, 7.8029970, 7.8038765", \
+					  "8.6763747, 8.5724188, 8.3436574, 8.0622974, 7.8586573, 7.7153041, 7.8067908", \
+					  "8.6719841, 8.5710319, 8.3348025, 8.0449432, 7.8288634, 7.7142841, 7.7862499", \
+					  "8.6754909, 8.5727866, 8.3381375, 8.0549846, 7.8250505, 7.7231008, 7.6618260", \
+					  "8.6637928, 8.5681288, 8.3304665, 8.0289837, 7.8210199, 7.7149788, 7.6639233");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("9.6658438, 9.5632757, 9.3169660, 8.9425612, 8.3687803, 7.5133662, 5.9653359", \
+					  "13.5676410, 13.4617210, 13.1177190, 12.6614570, 12.1924280, 11.4452800, 9.5403780", \
+					  "25.5607760, 25.4300450, 25.0693460, 24.6234300, 24.1154540, 23.6960940, 21.5205320", \
+					  "36.9059820, 36.7829000, 36.4474320, 36.0005670, 35.4860240, 35.0602530, 34.2259310", \
+					  "48.0541750, 47.8263260, 47.5517720, 47.0859080, 46.6575320, 46.1994190, 45.8944550", \
+					  "58.9948230, 58.9114310, 58.5185300, 57.9823970, 57.5423220, 57.2535070, 56.7620600", \
+					  "69.8340070, 69.7868500, 69.3264130, 68.8890470, 68.4846760, 68.1022320, 67.6185500");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("9.5306788, 9.4868648, 9.4960978, 9.5732578, 9.5587908, 9.4886958, 9.4536208", \
+					  "29.4500710, 29.3457720, 29.1036880, 28.7903400, 28.5447660, 28.9784600, 28.9465310", \
+					  "40.6254890, 40.5215340, 40.2782320, 40.0704430, 39.7245950, 39.6067480, 40.0764070", \
+					  "51.8194520, 51.7127350, 51.4728880, 51.2604400, 50.9148490, 50.8780000, 51.2370810", \
+					  "63.1616500, 63.0551440, 62.8139350, 62.6124640, 62.2550480, 62.2078640, 62.2134980", \
+					  "74.5577700, 74.4528810, 74.2162580, 74.0142110, 73.6047820, 73.5350740, 73.3400910", \
+					  "85.8691800, 85.7741450, 85.5323380, 85.3645350, 84.9680260, 84.9191690, 84.6087090");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("11.4915865, 11.3946699, 11.1669263, 10.7793570, 10.2072515, 9.3529634, 7.9398323", \
+					  "47.1595850, 47.0357470, 46.7132100, 46.2420590, 45.7663560, 44.2627950, 42.8066850", \
+					  "85.8915790, 85.7611410, 85.4524020, 84.9746590, 84.4438620, 84.0941830, 81.9914970", \
+					  "123.3986900, 123.2615000, 122.9280600, 122.4706000, 122.3014200, 121.7987800, 119.6530200", \
+					  "160.7740300, 160.6640700, 160.2617200, 159.8309400, 159.1819300, 158.9067300, 158.2649600", \
+					  "197.5706100, 197.3826200, 197.1573000, 196.6169900, 196.0803700, 195.8362600, 195.4537500", \
+					  "234.2626100, 234.1696300, 233.7126000, 233.0400900, 232.6774500, 232.3483400, 232.1091800");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("9.5932398, 9.5301918, 9.4808228, 9.4275878, 9.3573008, 9.2721878, 9.2288808", \
+					  "36.6321170, 36.5239680, 36.2824390, 35.9676490, 35.7264320, 36.1019270, 36.0773530", \
+					  "55.8252400, 55.7232870, 55.5149400, 55.1628710, 54.9242840, 54.7700110, 55.2382130", \
+					  "75.2537550, 75.1471800, 75.0006520, 74.5872950, 74.3512470, 74.2071540, 74.5186200", \
+					  "94.8688210, 94.6818110, 94.5838620, 94.1181650, 93.8854060, 93.7083610, 93.5849040", \
+					  "114.5053000, 114.4149700, 114.2642900, 113.8397500, 113.5460800, 113.3761800, 113.2237700", \
+					  "134.1056200, 134.0453700, 133.8614500, 133.4745800, 133.2536900, 132.9672600, 132.8386800");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("23.2951417, 23.2200187, 22.9744937, 22.7110947, 22.6686217, 21.9071417, 20.3361697", \
+					  "23.3283483, 23.2233433, 22.9713023, 22.6940503, 22.6609443, 21.8999543, 20.2463813", \
+					  "23.2881138, 23.2170458, 22.9703108, 22.6991958, 22.6529488, 21.8962868, 20.2029758", \
+					  "23.2847880, 23.2155730, 22.9655380, 22.7015060, 22.6536250, 21.8722790, 20.3059470", \
+					  "23.2592075, 23.2213275, 22.9728075, 22.7036355, 22.6544635, 21.8746705, 20.2099765", \
+					  "23.2913877, 23.2178477, 22.9707497, 22.7089107, 22.6656207, 21.9255377, 20.3660747", \
+					  "23.3128390, 23.2167800, 22.9883740, 22.7240530, 22.6849380, 21.9554420, 20.3377760");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.03178347, 0.1010189, 0.3210732, 1.020482, 3.243447, 10.3088");
+					values("19.1858047, 19.0139457, 18.5808967, 17.9393767, 17.0720517, 16.2611137, 15.8055717", \
+					  "19.1728170, 19.0074150, 18.5751890, 17.9380360, 17.0474230, 16.2649900, 15.7267800", \
+					  "19.1616613, 19.0137233, 18.5857383, 17.9320993, 17.0547753, 16.2494143, 15.7972753", \
+					  "19.1717016, 19.0076936, 18.5772026, 17.9231666, 17.0620646, 16.2459726, 15.7271256", \
+					  "19.1788937, 19.0077097, 18.5768007, 17.9322227, 17.0697667, 16.2457887, 15.8128517", \
+					  "19.1638611, 19.0056901, 18.5869451, 17.9342891, 17.0382141, 16.2288661, 15.8321851", \
+					  "19.1741195, 19.0282865, 18.5834955, 17.9418695, 17.0534815, 16.2496415, 15.7539735");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("2.4157980, 2.4245104, 2.4481477, 2.5090907, 2.6800420, 3.2104608, 4.9031339", \
+					  "4.7229003, 4.7316018, 4.7553090, 4.8162834, 4.9871693, 5.5169660, 7.2045574", \
+					  "6.6751102, 6.6838259, 6.7073240, 6.7682944, 6.9393780, 7.4684356, 9.1556771", \
+					  "7.1118702, 7.1203821, 7.1441866, 7.2051134, 7.3758550, 7.9052196, 9.5977706", \
+					  "9.7571577, 9.7658859, 9.7896020, 9.8500861, 10.0216170, 10.5502870, 12.2433070", \
+					  "11.9270210, 11.9357910, 11.9593140, 12.0202420, 12.1896580, 12.7226240, 14.4160560", \
+					  "13.5145510, 13.5233110, 13.5467950, 13.6077260, 13.7408870, 14.3079720, 16.0019820", \
+					  "15.8309580, 15.8399430, 15.8632860, 15.9243760, 15.9512720, 16.6246360, 18.3122760", \
+					  "47.2317690, 47.2496710, 47.2769520, 47.3206430, 47.5006910, 48.0225530, 49.7192050", \
+					  "67.4053670, 67.4135660, 67.4135705, 67.4883720, 67.6078140, 68.1960800, 69.9260670", \
+					  "153.1427800, 153.4748000, 153.4748002, 153.4748154, 153.4748307, 153.4748459, 155.8363600", \
+					  "479.3842500, 479.3842621, 479.3842927, 479.3843232, 479.4870300, 479.4949900, 481.5299800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("0.0737061, 0.0814150, 0.1028720, 0.1719207, 0.4076401, 1.2006626, 3.7569365", \
+					  "0.0747364, 0.0822189, 0.1032995, 0.1719276, 0.4078404, 1.2068481, 3.7531320", \
+					  "0.0740388, 0.0812859, 0.1032171, 0.1719165, 0.4087809, 1.2022275, 3.7435304", \
+					  "0.0746569, 0.0822241, 0.1031348, 0.1717587, 0.4081283, 1.1994364, 3.7531067", \
+					  "0.0742739, 0.0812929, 0.1031367, 0.1718731, 0.4087669, 1.2008660, 3.7498262", \
+					  "0.0740470, 0.0811986, 0.1031307, 0.1719067, 0.4091175, 1.2046579, 3.7568419", \
+					  "0.0742768, 0.0812824, 0.1031609, 0.1718069, 0.4084790, 1.2052120, 3.7619521", \
+					  "0.0746757, 0.0812580, 0.1031259, 0.1719304, 0.4079095, 1.2031535, 3.7492085", \
+					  "0.0742531, 0.0820294, 0.1032976, 0.1721080, 0.4087310, 1.2039408, 3.7611994", \
+					  "0.0747022, 0.0812535, 0.1031699, 0.1717730, 0.4087563, 1.2002257, 3.7633523", \
+					  "0.0740724, 0.0820852, 0.1031972, 0.1717598, 0.4087718, 1.1991160, 3.7605656", \
+					  "0.0749860, 0.0813232, 0.1032603, 0.1717517, 0.4087553, 1.2016989, 3.7496437");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("1.5348859, 1.5415252, 1.5670083, 1.6288798, 1.7993564, 2.3115676, 3.9415560", \
+					  "3.8921835, 3.9006376, 3.9242916, 3.9863468, 4.1565027, 4.6691699, 6.2988224", \
+					  "5.7800227, 5.7884932, 5.8122271, 5.8741292, 6.0441891, 6.5567933, 8.1868414", \
+					  "6.1901866, 6.1986580, 6.2223124, 6.2842811, 6.4546648, 6.9669990, 8.5975955", \
+					  "8.5691791, 8.5832088, 8.6012468, 8.6646050, 8.8393382, 9.3518402, 10.9751190", \
+					  "10.4578990, 10.4670370, 10.4899970, 10.5524920, 10.7227180, 11.2353250, 12.8657250", \
+					  "11.8665340, 11.8756230, 11.8986750, 11.9613770, 12.1318570, 12.6443870, 14.2744680", \
+					  "13.8924700, 13.9012330, 13.9245720, 13.9868270, 14.1571950, 14.6697680, 16.2997300", \
+					  "37.9978080, 38.0067140, 38.0295010, 38.0599130, 38.2617240, 38.7739620, 40.4040610", \
+					  "52.0730870, 52.0813190, 52.1050300, 52.1641010, 52.3374270, 52.8499020, 54.4772510", \
+					  "105.3479200, 105.3577000, 105.3786200, 105.4852600, 105.5664400, 105.9740700, 107.7265000", \
+					  "262.4657300, 262.5533600, 262.5533906, 262.5534211, 262.7025100, 263.2403100, 264.9195800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317832, 0.101017, 0.321065, 1.02045, 3.24332, 10.3083");
+					values("0.0650326, 0.0712525, 0.0912720, 0.1508748, 0.3467678, 1.0090737, 3.1510845", \
+					  "0.0646336, 0.0714183, 0.0906038, 0.1504305, 0.3478188, 1.0084560, 3.1548031", \
+					  "0.0648956, 0.0717559, 0.0906048, 0.1511187, 0.3477600, 1.0089181, 3.1449650", \
+					  "0.0646364, 0.0714155, 0.0906033, 0.1503589, 0.3478327, 1.0084950, 3.1504241", \
+					  "0.0646799, 0.0717790, 0.0905714, 0.1508284, 0.3476805, 1.0080689, 3.1431990", \
+					  "0.0646345, 0.0714169, 0.0906043, 0.1504294, 0.3478172, 1.0091731, 3.1576475", \
+					  "0.0646627, 0.0714395, 0.0913460, 0.1505394, 0.3478030, 1.0089767, 3.1458214", \
+					  "0.0646674, 0.0714475, 0.0913400, 0.1506285, 0.3479043, 1.0088369, 3.1475833", \
+					  "0.0646427, 0.0714090, 0.0906060, 0.1509670, 0.3476921, 1.0092341, 3.1506935", \
+					  "0.0649121, 0.0713472, 0.0906008, 0.1508931, 0.3479635, 1.0096178, 3.1524425", \
+					  "0.0651376, 0.0714710, 0.0906103, 0.1505431, 0.3479338, 1.0091725, 3.1455842", \
+					  "0.0651404, 0.0712407, 0.0910678, 0.1507422, 0.3474739, 1.0080881, 3.1489769");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.6678159, 0.6768281, 0.7010524, 0.7622535, 0.9329757, 1.4611237, 3.1493215", \
+					  "0.1007672, 0.1097333, 0.1340954, 0.1957739, 0.3662130, 0.8953324, 2.5812830", \
+					  "-0.7599976, -0.7509589, -0.7265556, -0.6652063, -0.4944735, 0.0350309, 1.7270742", \
+					  "-0.9760005, -0.9669619, -0.9425557, -0.8812052, -0.7104713, -0.1809140, 1.5090988", \
+					  "-2.3720874, -2.3630333, -2.3376623, -2.2773454, -2.1067372, -1.5760834, 0.1170314", \
+					  "-3.6238983, -3.6152759, -3.5905119, -3.5295584, -3.3583805, -2.8293805, -1.1386668", \
+					  "-4.6166853, -4.6063837, -4.5847197, -4.5239523, -4.3531538, -3.8243360, -2.1288094", \
+					  "-6.0699450, -6.0601129, -6.0296927, -5.9751657, -5.7988947, -5.2704405, -3.5802383", \
+					  "-28.3967780, -28.3849850, -28.3628070, -28.2990200, -28.1165120, -27.5867950, -25.9032140", \
+					  "-43.7039200, -43.6978310, -43.6695270, -43.6097130, -43.4392330, -42.9082510, -41.2199770", \
+					  "-113.2793600, -113.2765400, -113.2569600, -113.1896400, -113.0202100, -112.4906000, -110.7982000", \
+					  "-386.1379400, -386.1099500, -386.1051100, -386.0532200, -385.8049500, -385.2655900, -383.5907000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0771240, 0.0848203, 0.1066438, 0.1735023, 0.4089270, 1.2006524, 3.7453840", \
+					  "0.0777079, 0.0861309, 0.1070061, 0.1744121, 0.4086579, 1.1985839, 3.7446406", \
+					  "0.0778269, 0.0851492, 0.1070572, 0.1738736, 0.4096698, 1.2031165, 3.7552019", \
+					  "0.0778302, 0.0851610, 0.1070584, 0.1738745, 0.4096768, 1.2031072, 3.7538359", \
+					  "0.0775249, 0.0845785, 0.1070074, 0.1738362, 0.4093506, 1.2029091, 3.7532555", \
+					  "0.0768352, 0.0846894, 0.1075286, 0.1738471, 0.4090852, 1.1992433, 3.7554195", \
+					  "0.0775455, 0.0847129, 0.1069834, 0.1738626, 0.4096429, 1.1994149, 3.7452725", \
+					  "0.0778099, 0.0846831, 0.1074350, 0.1738703, 0.4096682, 1.1994761, 3.7475787", \
+					  "0.0768059, 0.0847620, 0.1069674, 0.1738768, 0.4084885, 1.2006238, 3.7492662", \
+					  "0.0771548, 0.0845743, 0.1070022, 0.1738794, 0.4089008, 1.1994994, 3.7556602", \
+					  "0.0768745, 0.0846801, 0.1070185, 0.1737241, 0.4083230, 1.1999987, 3.7576986", \
+					  "0.0768864, 0.0846922, 0.1070485, 0.1738662, 0.4083429, 1.2003877, 3.7547134");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.6762116, 0.6849851, 0.7093990, 0.7728177, 0.9447600, 1.4579025, 3.0852449", \
+					  "2.5446723, 2.5533200, 2.5777160, 2.6412519, 2.8133489, 3.3263057, 4.9528510", \
+					  "4.3127724, 4.3213855, 4.3458210, 4.4093635, 4.5814170, 5.0943476, 6.7215358", \
+					  "4.7256550, 4.7343338, 4.7588076, 4.8221627, 4.9943472, 5.5073244, 7.1344228", \
+					  "7.2670208, 7.2756605, 7.3001623, 7.3627908, 7.5356628, 8.0486622, 9.6764410", \
+					  "9.4359311, 9.4446904, 9.4691339, 9.5317558, 9.7047321, 10.2176530, 11.8446410", \
+					  "11.1185610, 11.1271770, 11.1517940, 11.2140070, 11.3885780, 11.9001950, 13.5273560", \
+					  "13.5244960, 13.5320730, 13.5653750, 13.6305240, 13.8006500, 14.3061120, 15.9317550", \
+					  "48.7708790, 48.7808410, 48.8040190, 48.8681100, 49.0395670, 49.5528450, 51.1795580", \
+					  "72.3213920, 72.3302880, 72.3560800, 72.4194820, 72.5916110, 73.1038550, 74.7298400", \
+					  "177.7692900, 177.7813900, 177.8043700, 177.8667000, 178.0396700, 178.5507900, 180.1790400", \
+					  "586.0395600, 586.0511000, 586.0720200, 586.1361400, 586.3116700, 586.8203100, 588.4488100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0677861, 0.0743794, 0.0939420, 0.1528261, 0.3501933, 1.0092697, 3.1460166", \
+					  "0.0677418, 0.0743431, 0.0940722, 0.1531474, 0.3503838, 1.0092028, 3.1374878", \
+					  "0.0677582, 0.0742526, 0.0940463, 0.1531064, 0.3506767, 1.0090622, 3.1488130", \
+					  "0.0679085, 0.0741839, 0.0939444, 0.1528764, 0.3505137, 1.0090663, 3.1416629", \
+					  "0.0678694, 0.0742154, 0.0939976, 0.1530284, 0.3506781, 1.0090730, 3.1501273", \
+					  "0.0674069, 0.0741595, 0.0940703, 0.1528138, 0.3508215, 1.0063761, 3.1437717", \
+					  "0.0677450, 0.0742866, 0.0940264, 0.1526301, 0.3505165, 1.0092555, 3.1378176", \
+					  "0.0677562, 0.0742567, 0.0940599, 0.1531293, 0.3505282, 1.0065696, 3.1459690", \
+					  "0.0679697, 0.0742255, 0.0942028, 0.1529787, 0.3497879, 1.0090550, 3.1494354", \
+					  "0.0679390, 0.0743895, 0.0942324, 0.1528123, 0.3501708, 1.0092069, 3.1525533", \
+					  "0.0678538, 0.0743659, 0.0941239, 0.1527891, 0.3505682, 1.0079317, 3.1445559", \
+					  "0.0678251, 0.0743077, 0.0940607, 0.1531797, 0.3505871, 1.0092888, 3.1454159");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.6785447, 0.6873830, 0.7118311, 0.7729140, 0.9436155, 1.4720257, 3.1641021", \
+					  "1.0924103, 1.1014896, 1.1256902, 1.1871447, 1.3578366, 1.8870576, 3.5752672", \
+					  "1.2602269, 1.2688967, 1.2965179, 1.3549628, 1.5253587, 2.0544446, 3.7430512", \
+					  "1.2938707, 1.3029166, 1.3258078, 1.3886334, 1.5593263, 2.0883910, 3.7759369", \
+					  "1.4719297, 1.4778231, 1.5016975, 1.5667113, 1.7374407, 2.2668245, 3.9617709", \
+					  "1.5942651, 1.6043900, 1.6285813, 1.6932884, 1.8639805, 2.3877189, 4.0807802", \
+					  "1.6856740, 1.6886263, 1.7151641, 1.7811551, 1.9518589, 2.4801081, 4.1768478", \
+					  "1.8002260, 1.8039940, 1.8280946, 1.8946211, 2.0614455, 2.5932634, 4.2864299", \
+					  "3.0363736, 3.0670810, 3.0754399, 3.1346161, 3.3045753, 3.8324037, 5.5459792", \
+					  "3.7270440, 3.7624792, 3.7825938, 3.8445740, 4.0211732, 4.5422253, 6.2396648", \
+					  "6.5010521, 6.5077557, 6.5323293, 6.5943939, 6.7649824, 7.2659976, 8.9743830", \
+					  "15.8974840, 15.9267280, 15.9432630, 15.9817920, 16.1758140, 16.6948770, 18.3913610");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0783601, 0.0845407, 0.1057200, 0.1736142, 0.4087996, 1.2000959, 3.7534122", \
+					  "0.0769432, 0.0845808, 0.1069804, 0.1737486, 0.4084186, 1.2040849, 3.7574566", \
+					  "0.0768176, 0.0850763, 0.1074232, 0.1737333, 0.4095488, 1.1996116, 3.7577137", \
+					  "0.0775498, 0.0847148, 0.1070810, 0.1738485, 0.4095476, 1.1994342, 3.7602907", \
+					  "0.0778046, 0.0847267, 0.1070417, 0.1738672, 0.4096377, 1.2026071, 3.7451664", \
+					  "0.0775570, 0.0860572, 0.1070156, 0.1738554, 0.4084891, 1.2018651, 3.7563508", \
+					  "0.0780924, 0.0845874, 0.1070148, 0.1738578, 0.4095818, 1.2007053, 3.7493608", \
+					  "0.0768754, 0.0852346, 0.1069971, 0.1737481, 0.4092760, 1.2016672, 3.7469565", \
+					  "0.0777412, 0.0852953, 0.1069350, 0.1737812, 0.4097156, 1.1994998, 3.7578847", \
+					  "0.0773442, 0.0851911, 0.1070487, 0.1738609, 0.4092701, 1.2013934, 3.7564636", \
+					  "0.0775491, 0.0845717, 0.1070217, 0.1737462, 0.4096529, 1.2012114, 3.7582000", \
+					  "0.0775541, 0.0855120, 0.1070210, 0.1738326, 0.4093052, 1.2002809, 3.7579384");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.5629877, 0.5716328, 0.5960656, 0.6595262, 0.8315798, 1.3445697, 2.9716400", \
+					  "1.9391491, 1.9478159, 1.9723052, 2.0357807, 2.2078919, 2.7209379, 4.3480695", \
+					  "3.1937422, 3.2023548, 3.2267951, 3.2903444, 3.4624095, 3.9754213, 5.6027467", \
+					  "3.4810825, 3.4897001, 3.5141412, 3.5776899, 3.7497512, 4.2627639, 5.8894201", \
+					  "5.2689315, 5.2841411, 5.3076663, 5.3721312, 5.5441940, 6.0572076, 7.6843607", \
+					  "6.8000294, 6.8066379, 6.8303292, 6.8940791, 7.0662294, 7.5791684, 9.2067625", \
+					  "7.9747471, 7.9843367, 7.9983273, 8.0755074, 8.2476870, 8.7606242, 10.3953850", \
+					  "9.6582715, 9.6815436, 9.6942827, 9.7373061, 9.9091508, 10.4228010, 12.1017550", \
+					  "34.3096320, 34.3222520, 34.3434540, 34.4072860, 34.5799300, 35.0538120, 36.7226710", \
+					  "50.7694220, 50.7694370, 50.8140340, 50.8395210, 51.0448290, 51.5573080, 53.6828930", \
+					  "124.5197900, 124.5197945, 124.5489800, 124.5982600, 124.7678100, 125.2291900, 126.9121800", \
+					  "410.0910500, 410.1139600, 410.1733300, 410.2292900, 410.2292939, 410.9062100, 412.5440400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0317782, 0.100985, 0.320913, 1.0198, 3.24075, 10.2985");
+					values("0.0680859, 0.0742956, 0.0940570, 0.1529169, 0.3505529, 1.0067828, 3.1411831", \
+					  "0.0679097, 0.0742287, 0.0940116, 0.1530389, 0.3512689, 1.0065659, 3.1365632", \
+					  "0.0677655, 0.0743301, 0.0940850, 0.1531607, 0.3504917, 1.0092808, 3.1423639", \
+					  "0.0677662, 0.0743182, 0.0940830, 0.1531582, 0.3505351, 1.0092734, 3.1481531", \
+					  "0.0677671, 0.0743135, 0.0940843, 0.1531571, 0.3505691, 1.0092744, 3.1511607", \
+					  "0.0677675, 0.0749724, 0.0940832, 0.1527297, 0.3507673, 1.0091974, 3.1465348", \
+					  "0.0677737, 0.0742584, 0.0942236, 0.1526838, 0.3507560, 1.0091922, 3.1460119", \
+					  "0.0678573, 0.0743023, 0.0942319, 0.1531161, 0.3506894, 1.0091312, 3.1466625", \
+					  "0.0678847, 0.0742795, 0.0940457, 0.1528150, 0.3507599, 1.0091991, 3.1458132", \
+					  "0.0678432, 0.0743105, 0.0940970, 0.1531700, 0.3503910, 1.0091757, 3.1465373", \
+					  "0.0679825, 0.0742418, 0.0940929, 0.1531661, 0.3506667, 1.0092704, 3.1461713", \
+					  "0.0678067, 0.0744089, 0.0940989, 0.1531516, 0.3503947, 1.0091163, 3.1458738");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("3.0116807, 3.0207561, 3.0449772, 3.1067431, 3.2778096, 3.8056109, 5.4984359", \
+					  "3.1873306, 3.1963747, 3.2207419, 3.2824310, 3.4534646, 3.9812438, 5.6725482", \
+					  "3.3760217, 3.3852230, 3.4094443, 3.4710779, 3.6423506, 4.1668855, 5.8638414", \
+					  "3.5611252, 3.5702341, 3.5944583, 3.6562420, 3.8272883, 4.3600106, 6.0448611", \
+					  "3.7493439, 3.7584940, 3.7826458, 3.8445620, 4.0154799, 4.5432609, 6.2374106", \
+					  "3.9349257, 3.9439296, 3.9682213, 4.0299635, 4.2010457, 4.7287765, 6.4215162", \
+					  "4.1211470, 4.1302850, 4.1544643, 4.2162331, 4.3874500, 4.9151820, 6.6081074");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("0.0769777, 0.0845976, 0.1073013, 0.1746458, 0.4088079, 1.2035934, 3.7475179", \
+					  "0.0775551, 0.0846456, 0.1071217, 0.1746324, 0.4088772, 1.2043065, 3.7495340", \
+					  "0.0769810, 0.0845993, 0.1073013, 0.1746488, 0.4088684, 1.2043067, 3.7556811", \
+					  "0.0769927, 0.0848773, 0.1072933, 0.1746497, 0.4088660, 1.2015643, 3.7499719", \
+					  "0.0769138, 0.0846594, 0.1072023, 0.1746552, 0.4088566, 1.2010258, 3.7530472", \
+					  "0.0769520, 0.0845958, 0.1072921, 0.1746482, 0.4088085, 1.2003628, 3.7461771", \
+					  "0.0769940, 0.0848816, 0.1073015, 0.1746465, 0.4088675, 1.2003831, 3.7483652");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("2.5323814, 2.5434406, 2.5740541, 2.6488427, 2.8339269, 3.3520500, 4.9737769", \
+					  "2.6830862, 2.6944575, 2.7217402, 2.8001341, 2.9847083, 3.5028869, 5.1250941", \
+					  "2.7988447, 2.8097342, 2.8472797, 2.9151250, 3.1001461, 3.6182677, 5.2401665", \
+					  "2.9025018, 2.9136560, 2.9404472, 3.0191429, 3.2040250, 3.7221948, 5.3443068", \
+					  "2.9868066, 2.9978264, 3.0296272, 3.1032332, 3.2883338, 3.8064294, 5.4281362", \
+					  "3.0598430, 3.0707268, 3.1052008, 3.1760952, 3.3613545, 3.8794377, 5.5016760", \
+					  "3.1357308, 3.1469571, 3.1773817, 3.2521310, 3.4369061, 3.9549994, 5.5779488");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0317835, 0.101019, 0.321073, 1.02048, 3.24345, 10.3088");
+					values("0.1350407, 0.1443155, 0.1666320, 0.2238674, 0.4001792, 1.0276687, 3.1506926", \
+					  "0.1361778, 0.1434632, 0.1665304, 0.2229863, 0.4002589, 1.0268316, 3.1472129", \
+					  "0.1354335, 0.1441013, 0.1674949, 0.2227704, 0.3996700, 1.0275862, 3.1508607", \
+					  "0.1355479, 0.1431905, 0.1674275, 0.2230519, 0.4000586, 1.0267918, 3.1483598", \
+					  "0.1350669, 0.1443211, 0.1675014, 0.2239306, 0.4000838, 1.0276580, 3.1509046", \
+					  "0.1353813, 0.1442291, 0.1663792, 0.2227310, 0.4000837, 1.0268183, 3.1499416", \
+					  "0.1351839, 0.1440298, 0.1663819, 0.2234040, 0.3996205, 1.0276883, 3.1478087");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.047798;
+			max_capacitance : 551.048000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6555683, 13.6634663, 13.7070193, 13.8630153, 14.0168203, 14.0561673, 14.0621933", \
+					  "21.1927387, 21.2301077, 21.4477007, 22.4685717, 24.4938527, 25.4850147, 25.6938947", \
+					  "21.4544981, 21.4918641, 21.7105621, 22.7317431, 24.7545611, 25.7480111, 25.9574911", \
+					  "21.4503826, 21.4875476, 21.7070646, 22.7254996, 24.7560416, 25.7505766, 25.9604036", \
+					  "21.4419649, 21.4994259, 21.7104639, 22.7347829, 24.7572849, 25.7534359, 25.9600879", \
+					  "21.4597055, 21.4940055, 21.7137485, 22.7299165, 24.7601465, 25.7556315, 25.9656945", \
+					  "21.4585890, 21.4916700, 21.7138070, 22.7263390, 24.7582860, 25.7549870, 25.9644100");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("63.7747705, 63.8030605, 64.0142795, 64.3916235, 64.3475755, 61.5531235, -15.0275315", \
+					  "63.7767231, 63.8001691, 63.9765071, 64.4497811, 65.0863631, 62.8857411, -21.5105979", \
+					  "63.7734227, 63.8156177, 63.9739427, 64.4495967, 64.4881147, 59.3440767, -17.4470233", \
+					  "63.8198441, 63.7729651, 63.9680181, 64.4538231, 64.5672951, 62.4822621, -15.4093189", \
+					  "63.7433651, 63.7968571, 63.9857131, 64.4720811, 66.1002721, 62.4996591, -20.9554209", \
+					  "63.7903775, 63.8131025, 63.9966145, 64.4500925, 66.1230945, 62.3889705, -21.0044725", \
+					  "63.7879558, 63.8323438, 64.0350228, 64.5026898, 65.5912288, 63.9532268, -14.4067392");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("69.0681972, 69.0244952, 68.8048792, 68.2686562, 68.9787542, 58.8348412, -126.6577218", \
+					  "69.0486560, 68.9219580, 69.0797770, 69.2379760, 69.1656520, 58.9970360, -126.9837370", \
+					  "69.0956998, 69.6224108, 69.4332358, 69.1094878, 69.2676108, 58.6908218, -129.4389522", \
+					  "69.0437262, 69.1070012, 69.0827642, 69.2593172, 69.1349032, 79.5035952, -124.3557198", \
+					  "69.2878976, 68.9291296, 69.0803696, 69.9502846, 69.1783886, 58.8743326, -126.5882534", \
+					  "68.6295890, 69.0250640, 69.2971870, 69.1609140, 69.0479490, 59.3557570, -127.6669810", \
+					  "69.0901704, 69.1131104, 69.1209514, 69.1791614, 69.2415974, 58.9155864, -124.6405086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("53.2888113, 53.5199943, 55.3146423, 65.0001413, 91.3935143, 149.3525443, 178.0440543", \
+					  "53.1192016, 53.3290276, 55.1418926, 64.8336956, 91.2384906, 149.1838206, 177.6815406", \
+					  "52.9522119, 53.1649829, 54.9708229, 64.6263879, 91.0736829, 149.0024169, 177.4955969", \
+					  "52.9512151, 53.2254291, 54.9677131, 64.6502971, 91.0424081, 149.0242241, 177.6497741", \
+					  "53.0150735, 53.2419755, 54.9918015, 64.6430915, 91.1256715, 149.0299095, 177.6168495", \
+					  "52.9875108, 53.2713818, 55.0205688, 64.6879368, 91.1776638, 149.0395968, 177.7282068", \
+					  "53.0069731, 53.2934141, 55.0333631, 64.6745921, 91.1622091, 149.0772841, 177.7096641");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8355531, 9.8427730, 9.8375168, 9.8367665, 9.8374500, 9.8382940, 9.8376885", \
+					  "14.9952377, 14.9971807, 14.9938637, 14.9927477, 14.9903877, 14.9902417, 14.9912647", \
+					  "15.0899921, 15.0895391, 15.0869281, 15.0813231, 15.0727211, 15.0717461, 15.0749381", \
+					  "15.0938876, 15.0933006, 15.0897086, 15.0823986, 15.0746356, 15.0733546, 15.0740676", \
+					  "15.1055649, 15.1050319, 15.1023569, 15.0933229, 15.0865609, 15.0798989, 15.0846399", \
+					  "15.0985525, 15.0970235, 15.0945865, 15.0838715, 15.0786495, 15.0763755, 15.0779295", \
+					  "15.0969300, 15.0960880, 15.0926850, 15.0861970, 15.0746780, 15.0745640, 15.0775890");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.5310485, 26.7141235, 26.4710005, 26.8399365, 28.8320075, 26.3397015, 24.5937695", \
+					  "26.5197141, 26.4637301, 26.5382221, 26.7297361, 26.5496001, 26.4959431, 26.5185681", \
+					  "26.5343737, 26.4619337, 26.7830567, 26.7235717, 26.6887907, 28.9052287, 26.7884157", \
+					  "26.6531411, 26.4963251, 26.5290911, 26.2213701, 26.4054051, 29.4016611, 26.0930591", \
+					  "26.5495881, 26.5970531, 26.5273761, 26.7338211, 27.0112051, 26.4346611, 26.2713001", \
+					  "26.5055195, 26.5813285, 26.5791885, 26.2748635, 26.7127475, 29.1556545, 26.6367515", \
+					  "26.5485398, 26.5779258, 26.6352898, 26.5839578, 26.5101658, 26.5637798, 25.3155988");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.6418892, 70.6652322, 70.7428572, 71.2354842, 72.0698792, 69.9758182, -0.6947647", \
+					  "70.6896690, 70.6574240, 70.9655740, 71.2681930, 72.1595080, 67.9758540, -7.3307567", \
+					  "70.7093188, 70.7188118, 70.8774418, 71.2687748, 72.1710178, 68.7260098, -2.9226856", \
+					  "70.6759482, 70.7194752, 70.9663472, 71.2771892, 72.0716232, 69.6669912, -5.8116574", \
+					  "70.7080396, 70.7372316, 70.8803046, 71.3108216, 71.9995066, 69.6283686, -14.4904124", \
+					  "70.6356930, 70.7201110, 70.8620600, 71.2988080, 71.1728810, 69.9253370, -1.9838259", \
+					  "70.6636614, 70.7222464, 70.9187834, 71.3510214, 72.1818224, 69.9721794, -14.5233036");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.0011623, 39.9932313, 40.1581033, 40.7028933, 44.2014933, 46.8277573, 11.9452883", \
+					  "39.7871786, 39.7806806, 40.1790186, 41.2392496, 43.0713536, 43.8193706, 43.8868686", \
+					  "39.7403599, 39.7218399, 39.9431109, 41.1627189, 42.3199699, 42.5960759, 43.8222549", \
+					  "39.6883521, 39.7667401, 39.8590831, 40.3413751, 43.0208911, 44.6860071, 43.9761231", \
+					  "39.6383575, 39.7033675, 40.0725175, 40.9647685, 42.9814085, 45.9145345, 43.9748745", \
+					  "39.6130778, 39.7755938, 40.1907168, 40.9947608, 43.9399218, 50.3583128, 41.8888478", \
+					  "39.6987091, 39.7336851, 40.3248131, 40.6212591, 41.9886211, 43.6997691, 43.1056851");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8324332, 9.8323291, 9.8389107, 9.8343535, 9.8328964, 9.8338522, 9.8334324", \
+					  "14.9890797, 14.9889127, 14.9914787, 14.9858667, 14.9862847, 14.9855357, 14.9853197", \
+					  "15.0892141, 15.0885851, 15.0864021, 15.0805701, 15.0722301, 15.0745061, 15.0708021", \
+					  "15.0929646, 15.0924556, 15.0899376, 15.0811576, 15.0747826, 15.0738056, 15.0724116", \
+					  "15.1049639, 15.1041799, 15.1010279, 15.0930519, 15.0859639, 15.0843909, 15.0781389", \
+					  "15.0980475, 15.0978185, 15.0950325, 15.0879495, 15.0783805, 15.0775395, 15.0757005", \
+					  "15.0966240, 15.0960430, 15.0934900, 15.0844410, 15.0759060, 15.0750290, 15.0730480");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.3924435, 26.4835825, 26.5366545, 26.6820945, 26.5297085, 26.3740455, 26.2084915", \
+					  "26.4433781, 26.5504001, 26.5750031, 26.6763361, 25.8109621, 25.0154351, 27.3775491", \
+					  "26.4669887, 26.6282237, 26.5581447, 26.1629577, 25.8644457, 26.4457937, 26.7892797", \
+					  "26.4662611, 26.5082371, 26.5303191, 26.2230071, 25.8719181, 31.5050791, 26.8083581", \
+					  "26.5367371, 26.5253121, 26.5525491, 26.4632471, 27.9960691, 26.7126951, 27.8226841", \
+					  "26.6340695, 26.6325305, 26.5759455, 26.3256025, 26.0093675, 30.5765015, 25.3884305", \
+					  "26.6533048, 26.5910938, 26.5766628, 26.6338548, 27.2542218, 26.5175108, 27.3031128");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("88.5356273, 89.6202183, 96.1266733, 125.4184743, 152.9559043, 161.1615843, 166.7738643", \
+					  "88.2526916, 89.2517606, 95.7739396, 125.1264706, 152.8168306, 160.8178906, 167.0591206", \
+					  "88.0082599, 88.8688909, 95.6560869, 124.9885069, 152.5769269, 160.7323069, 166.6687369", \
+					  "88.1225291, 89.2936541, 95.7557491, 124.9941741, 152.5849541, 160.6268941, 166.3112441", \
+					  "87.9322405, 89.2830485, 95.7078745, 125.2734695, 152.5991395, 160.6198995, 166.4420195", \
+					  "88.0479168, 89.1762438, 95.7988628, 124.9879768, 152.6576668, 160.6025368, 166.5391968", \
+					  "88.0107931, 89.0832341, 95.8262151, 125.0656341, 152.6399341, 160.6292841, 166.5071741");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.9035602, 12.8962282, 12.9031582, 12.9001662, 12.8957052, 12.8965902, 12.8959892", \
+					  "20.4657280, 20.4572240, 20.4625780, 20.4517440, 20.4367820, 20.4166980, 20.4093180", \
+					  "20.8476458, 20.8336568, 20.8227888, 20.7802978, 20.7258518, 20.6940108, 20.6767578", \
+					  "20.8425922, 20.8351322, 20.8246812, 20.7869922, 20.7249692, 20.6871662, 20.6848602", \
+					  "20.8511136, 20.8466136, 20.8297686, 20.7893146, 20.7294126, 20.6973986, 20.6730206", \
+					  "20.8550880, 20.8646340, 20.8418620, 20.8083530, 20.7355310, 20.7101670, 20.6900860", \
+					  "20.8539304, 20.8523774, 20.8497634, 20.7941244, 20.7414234, 20.7050654, 20.7070184");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6559823, 13.6634383, 13.7086083, 13.8641513, 14.0169663, 14.0545333, 14.0617543", \
+					  "21.2477117, 21.2834157, 21.5024157, 22.5212327, 24.5418437, 25.5376797, 25.7445367", \
+					  "21.4979401, 21.5352071, 21.7567351, 22.7705511, 24.8001501, 25.7971731, 26.0034701", \
+					  "21.4993966, 21.5426196, 21.7634136, 22.7736646, 24.8019346, 25.7982016, 26.0077406", \
+					  "21.5017689, 21.5355389, 21.7538159, 22.7760519, 24.8101989, 25.7952829, 26.0134229", \
+					  "21.5041465, 21.5435515, 21.7577725, 22.7768445, 24.7989175, 25.8025435, 26.0053505", \
+					  "21.5042540, 21.5357290, 21.7598390, 22.7819040, 24.8050650, 25.8019150, 26.0115960");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.6527485, 85.6406335, 85.7925815, 85.5665125, 86.4764715, 79.1173725, 11.1441265", \
+					  "85.6678851, 85.6887921, 85.7759031, 85.5407231, 86.5573261, 80.5735991, 5.2789679", \
+					  "85.6671317, 85.6297937, 85.7773767, 85.5834207, 86.4688517, 80.5710487, 14.8396177", \
+					  "85.6594651, 85.6870471, 85.7787931, 85.5719171, 86.6732931, 79.6931891, 11.2809091", \
+					  "85.6715741, 85.6439371, 85.7922971, 85.5838461, 86.3888621, 80.6111151, 11.0907301", \
+					  "85.6998995, 85.6653925, 85.8104295, 85.6033525, 86.5808415, 79.0802155, 11.1749525", \
+					  "85.7061108, 85.7459818, 85.8404968, 85.6259598, 86.5360448, 80.7011838, 11.2691288");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("49.2732663, 49.5171613, 51.0560353, 59.6549443, 103.7393343, 160.8526843, 173.2748743", \
+					  "49.1151026, 49.3478996, 50.9024996, 59.3741466, 103.5668806, 160.7531906, 173.1718506", \
+					  "48.9390129, 49.2020589, 50.7141199, 59.1687319, 102.8789369, 160.6158269, 172.7020369", \
+					  "48.9420571, 49.1735461, 50.7135851, 59.3154401, 103.4094841, 160.5162741, 173.0289541", \
+					  "48.9450655, 49.1695635, 50.7236185, 59.3168035, 103.4012795, 160.5889695, 172.9128495", \
+					  "48.9757408, 49.1993258, 50.7429838, 59.3254488, 103.4504268, 160.6023668, 172.8819368", \
+					  "48.9943121, 49.2191461, 50.7631821, 59.3615081, 103.4605941, 160.6097241, 172.8995941");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.4817712, 13.4824182, 13.4820842, 13.4830442, 13.4807202, 13.4730582, 13.4717392", \
+					  "21.0964600, 21.1169590, 21.1260280, 21.0945410, 21.0555200, 21.0247270, 21.0177620", \
+					  "21.4821088, 21.4796748, 21.4481578, 21.3891828, 21.3485868, 21.3257788, 21.2451848", \
+					  "21.4688412, 21.4575912, 21.4496862, 21.4039522, 21.3430632, 21.3107382, 21.3012062", \
+					  "21.4612076, 21.4584216, 21.4482096, 21.3504296, 21.3365656, 21.2931736, 21.2956696", \
+					  "21.4731660, 21.4319440, 21.4662670, 21.4117730, 21.3622740, 21.3224780, 21.3123700", \
+					  "21.4852134, 21.4853214, 21.4586084, 21.4130194, 21.3545994, 21.3241914, 21.3095014");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("59.5162595, 59.4424785, 59.3533485, 59.0621445, 57.2391165, 44.5514945, -147.2193155", \
+					  "59.5780331, 59.5525531, 59.4458121, 59.0760111, 57.1554951, 16.3005211, -139.5395369", \
+					  "59.5331267, 59.5596957, 59.4802457, 59.0237217, 57.1996287, 44.7180437, -143.5665783", \
+					  "59.5218591, 59.5298251, 59.4661741, 59.1094601, 55.0565871, 44.9161741, -143.4876979", \
+					  "59.5163581, 59.5643891, 59.4563291, 58.2992691, 57.3187161, 44.6169321, -141.7677539", \
+					  "59.5732225, 59.5631335, 59.4971445, 59.2282975, 57.2476215, 44.7479255, -142.1558435", \
+					  "59.5382858, 59.5332698, 59.5256778, 59.1053898, 57.3357878, 44.2951638, -143.4696032");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.2959943, 12.2956863, 12.2945863, 12.2953153, 12.2894463, 12.2889613, 12.2886573", \
+					  "14.5639767, 14.5703847, 14.5668957, 14.5747947, 14.5857767, 14.5842957, 14.5876107", \
+					  "14.5653661, 14.5686921, 14.5886201, 14.5918151, 14.6018061, 14.5940171, 14.5983591", \
+					  "14.5730266, 14.5748216, 14.5771736, 14.5908036, 14.6018296, 14.6067006, 14.6081106", \
+					  "14.5776309, 14.5794509, 14.5830069, 14.6002239, 14.6053609, 14.6130329, 14.6052949", \
+					  "14.5779985, 14.5814325, 14.5821735, 14.5971305, 14.6087235, 14.6137875, 14.6155085", \
+					  "14.5767810, 14.5772860, 14.5823170, 14.5974490, 14.6113910, 14.6126050, 14.6133740");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("65.9027912, 66.1963762, 65.9831162, 67.5701592, 64.3274262, 51.0931692, -131.2064118", \
+					  "66.1208310, 65.9455890, 66.0845820, 65.9614450, 64.1221520, 33.0636590, -133.9152170", \
+					  "66.2829848, 66.2373548, 66.8337108, 67.1092818, 62.6922598, 16.7096928, -136.3266222", \
+					  "66.4461582, 66.4472612, 66.3712312, 65.9665972, 64.2238942, 51.5436722, -136.2409898", \
+					  "66.5053326, 66.3404086, 66.3258156, 65.8218096, 64.5718926, 51.8194686, -135.7361734", \
+					  "66.4419430, 66.1787790, 66.2550440, 65.8498890, 66.5029640, 51.6200570, -133.5668310", \
+					  "66.4450914, 66.4567974, 66.3567804, 66.0022164, 64.1898604, 51.6799614, -135.0688186");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.7794953, 32.6449633, 32.7503203, 32.7358073, 32.3169553, 32.6235813, 32.2318943", \
+					  "32.3867516, 32.5943976, 32.6519666, 32.0146466, 33.1731086, 32.7456376, 31.9777596", \
+					  "32.2193659, 32.3803619, 32.3802299, 31.8029629, 32.7217589, 32.2474269, 31.6120569", \
+					  "32.3453161, 32.3860471, 32.3882811, 32.4872991, 32.5443161, 32.1459541, 32.0881471", \
+					  "32.4561785, 32.4766795, 32.5162735, 32.4970975, 32.7092475, 32.5748965, 33.7522705", \
+					  "32.0736778, 31.9655978, 32.1757458, 32.2528858, 31.6190108, 30.6584388, 31.7253458", \
+					  "32.3229151, 32.6414931, 32.4370631, 32.3644961, 32.1040101, 32.2729891, 32.7008241");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8497926, 9.8500849, 9.8533392, 9.8503312, 9.8513816, 9.8501786, 9.8521613", \
+					  "16.0008567, 16.0031047, 16.0148907, 15.9973617, 15.9917307, 15.9691247, 15.9767697", \
+					  "16.7663031, 16.7704011, 16.7483981, 16.6987191, 16.6563531, 16.6208381, 16.6193621", \
+					  "16.8555146, 16.8548046, 16.8339796, 16.7746576, 16.7377976, 16.7066286, 16.6941386", \
+					  "16.8697769, 16.9074999, 16.8522519, 16.8093229, 16.7577669, 16.7171819, 16.7061439", \
+					  "16.8573575, 16.8533965, 16.8541045, 16.7941035, 16.7435105, 16.7046095, 16.6715315", \
+					  "16.8568670, 16.8535280, 16.8415810, 16.7914660, 16.7410620, 16.7025170, 16.6867430");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("44.5864045, 44.8137745, 46.3754975, 54.8474595, 99.0264195, 156.2473645, 168.5276145", \
+					  "44.6046041, 44.8645381, 46.3622991, 54.8212921, 98.6352281, 156.1844531, 168.4976131", \
+					  "44.5902537, 44.8124437, 46.3687127, 54.8691077, 98.5202737, 156.2500017, 168.4461417", \
+					  "44.5797591, 44.8136831, 46.3712291, 54.8590301, 98.8690651, 156.1926321, 168.5332721", \
+					  "44.6453991, 44.8388831, 46.3905831, 54.8382731, 98.5241411, 156.2782361, 168.5251161", \
+					  "44.6180575, 44.8882645, 46.4258475, 54.9001515, 98.5438965, 156.3363165, 168.4921565", \
+					  "44.6603508, 44.8739368, 46.4264928, 54.9368938, 99.1488718, 156.2689968, 168.5370868");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("65.8944092, 66.1264392, 65.6589032, 67.3333692, 64.3265032, 51.0532152, -131.5749618", \
+					  "66.1382820, 66.4975570, 66.2774970, 66.1180620, 64.1739650, 24.7542180, -130.5459870", \
+					  "66.3026078, 66.1325078, 66.3409798, 65.9024558, 59.3017708, 51.9411408, -133.3192422", \
+					  "66.4398292, 66.3207862, 66.3663332, 65.9624202, 64.1829332, 51.5395262, -136.2351498", \
+					  "66.4942506, 66.2918846, 66.3856506, 65.9561186, 64.1245356, 51.6362056, -135.0265034", \
+					  "66.3079250, 66.3901930, 66.3089390, 66.0329020, 66.8245890, 51.6014810, -133.7180810", \
+					  "66.4385274, 66.4831864, 66.3734754, 66.0148174, 64.1665944, 51.5562754, -135.6096586");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.7779263, 32.6481863, 32.7615023, 32.7393773, 32.2399613, 32.6324983, 32.8459763", \
+					  "32.3924556, 32.5481256, 32.6931316, 32.1367226, 32.9644946, 32.7482816, 32.7732376", \
+					  "32.4726669, 32.4333229, 32.3468469, 31.4636579, 32.3280399, 32.2362589, 30.6898219", \
+					  "32.5170051, 32.2001061, 32.3760861, 31.8345611, 31.1834121, 32.1476131, 32.8137191", \
+					  "32.5030655, 32.3190595, 32.5425615, 32.6199335, 32.4968335, 32.5743625, 31.7466875", \
+					  "32.2504118, 32.7033268, 32.2187528, 32.2746318, 35.0344448, 44.8147748, 31.6642588", \
+					  "32.3029521, 32.2438431, 32.4433321, 32.4173011, 31.3881281, 32.2781561, 32.6492231");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("70.1505112, 70.2283872, 70.3674492, 70.7818472, 71.7482192, 68.8790152, -18.0147938", \
+					  "70.1789110, 70.2712540, 70.3906930, 70.8377450, 70.8522890, 69.7557360, -12.0958110", \
+					  "70.1251268, 70.2383558, 70.4153738, 70.9313148, 70.8041048, 70.0541628, -11.4985982", \
+					  "70.1625462, 70.2711952, 70.4349742, 70.9095662, 71.1853742, 65.5796422, -14.7967968", \
+					  "70.1273096, 70.3047856, 70.4141156, 70.8632626, 71.0188676, 69.7752516, -14.1331844", \
+					  "70.1808970, 70.2675910, 70.4248750, 70.8836540, 70.9099600, 68.1563010, -3.8661086", \
+					  "70.1966464, 70.2872754, 70.4643764, 70.8761164, 71.5208854, 66.1989824, -16.2192546");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.4135673, 16.4352533, 16.5692223, 17.1865933, 18.2417453, 18.6891463, 18.7791993", \
+					  "25.7364636, 25.7736576, 25.9922176, 27.0154146, 29.0344126, 30.0212476, 30.2292656", \
+					  "26.0793679, 26.1180499, 26.3330069, 27.3570699, 29.3821909, 30.3710389, 30.5795489", \
+					  "26.0922901, 26.1291011, 26.3476901, 27.3711021, 29.4022981, 30.3890471, 30.5986371", \
+					  "26.1126785, 26.1497965, 26.3680265, 27.3930085, 29.4170645, 30.4048585, 30.6128895", \
+					  "26.1394628, 26.2053528, 26.4111368, 27.4204748, 29.4325128, 30.4209828, 30.6260998", \
+					  "26.1649871, 26.2009801, 26.4193261, 27.4431571, 29.4650411, 30.4556001, 30.6608211");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("95.1838552, 95.2444662, 95.4392342, 95.6663232, 98.1125122, 94.5394262, 30.7056542", \
+					  "95.2173130, 95.3227430, 95.4879860, 95.6587310, 98.2494920, 93.1816710, 14.6262060", \
+					  "95.2360468, 95.3044438, 95.4477668, 95.6969978, 98.3156948, 92.8027638, 6.9622521", \
+					  "95.2544612, 95.2506722, 95.4971072, 95.6682272, 98.4751902, 93.2866462, 23.4147162", \
+					  "95.2627316, 95.2700656, 95.4843806, 95.6514206, 98.5364386, 93.2127116, 18.9441636", \
+					  "95.2683430, 95.2965910, 95.5048410, 95.6892420, 98.4074430, 93.1954870, 20.0772760", \
+					  "95.2650314, 95.3332684, 95.4691384, 95.7451814, 98.4620294, 94.0199294, 14.4833184");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("60.8530623, 61.1729383, 63.0829383, 73.6510753, 102.0525943, 160.6354443, 189.1922943", \
+					  "60.7370496, 61.0318826, 62.9250356, 73.5169236, 101.9110706, 160.4353506, 189.2334206", \
+					  "60.5532499, 60.8285159, 62.7369129, 73.3610329, 101.7354069, 160.2437369, 189.0914469", \
+					  "60.5520621, 60.8213391, 62.7386251, 73.3753461, 101.7270141, 160.2549941, 188.8908241", \
+					  "60.5558125, 60.9053525, 62.7430145, 73.4044585, 101.5863895, 160.2521395, 189.0890895", \
+					  "60.5838238, 60.8614138, 62.7778608, 73.4127348, 101.8033968, 160.3180368, 189.2327668", \
+					  "60.6088721, 60.8832981, 62.7584021, 73.4213241, 101.8006541, 160.3038041, 189.0888941");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8673697, 9.8702632, 9.8709311, 9.8730491, 9.8714844, 9.8714688, 9.8693126", \
+					  "16.5059557, 16.5105777, 16.5096717, 16.4948457, 16.4817617, 16.4498187, 16.4392887", \
+					  "16.7632641, 16.7632541, 16.7353211, 16.6985501, 16.6271841, 16.5862151, 16.5790561", \
+					  "16.7539686, 16.7465516, 16.7275906, 16.6900186, 16.6101236, 16.5846376, 16.5705426", \
+					  "16.7573229, 16.7467689, 16.7390509, 16.6889839, 16.6464879, 16.5913079, 16.5707169", \
+					  "16.7349865, 16.7215625, 16.7133805, 16.6561745, 16.6276395, 16.5871095, 16.5714335", \
+					  "16.7413490, 16.7333690, 16.7153880, 16.6779530, 16.6266750, 16.5849700, 16.5706950");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7359815, 29.8161985, 30.2339125, 31.9333345, 35.9159405, 48.8546595, 71.5672245", \
+					  "29.7008941, 29.7870161, 30.2344951, 31.9010361, 35.9283771, 48.9039111, 71.5785651", \
+					  "29.6702087, 29.8035607, 30.2214527, 31.9182827, 35.9543737, 48.8892177, 71.5615257", \
+					  "29.6863671, 29.8023851, 30.2342971, 31.9469061, 35.9470541, 48.9211441, 71.5951041", \
+					  "29.7033441, 29.8318281, 30.2558231, 31.9225831, 35.9852081, 48.7931371, 71.5833651", \
+					  "29.7079975, 29.8076165, 30.2973005, 31.9384635, 35.9999125, 48.9377585, 71.5882785", \
+					  "29.7418948, 29.8924168, 30.2806828, 31.9512738, 36.0512888, 48.8358018, 71.5836578");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8333673, 9.8330586, 9.8323300, 9.8333587, 9.8332225, 9.8327862, 9.8330386", \
+					  "14.9882637, 14.9891647, 14.9891817, 14.9862207, 14.9863907, 14.9854117, 14.9853947", \
+					  "15.0891801, 15.0884961, 15.0862951, 15.0763431, 15.0757081, 15.0713001, 15.0709321", \
+					  "15.0925866, 15.0922046, 15.0897296, 15.0802456, 15.0756566, 15.0736166, 15.0730256", \
+					  "15.1051949, 15.1043659, 15.1010299, 15.0887779, 15.0859989, 15.0839099, 15.0836269", \
+					  "15.0992185, 15.0984845, 15.0950745, 15.0839995, 15.0784775, 15.0764065, 15.0757475", \
+					  "15.0965950, 15.0962930, 15.0933070, 15.0873920, 15.0771160, 15.0740590, 15.0734210");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.6933695, 26.4840255, 26.7387695, 26.6273235, 25.8244015, 26.3744305, 26.8222775", \
+					  "26.5499871, 26.5933221, 26.4932161, 26.5750161, 26.2319951, 28.9373051, 27.7374821", \
+					  "26.5911857, 26.6036257, 26.4543997, 26.0044017, 25.0726017, 26.7043007, 27.6306947", \
+					  "26.6062091, 26.5008751, 26.5375561, 26.1706331, 26.5825701, 25.3774091, 27.3155601", \
+					  "26.5112001, 26.5206071, 26.5065681, 26.4602631, 27.2320071, 26.4493851, 26.3735771", \
+					  "26.6218815, 26.5417635, 26.5750735, 26.4532425, 25.9447705, 27.9755415, 25.2698465", \
+					  "26.6258528, 26.6150058, 26.5918198, 26.5515268, 26.8365348, 30.8947088, 26.9930818");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("83.7101425, 84.7476325, 91.3416775, 120.9399045, 148.2661445, 156.2207745, 162.1145745", \
+					  "83.7319821, 84.7256181, 91.3378911, 120.6688431, 148.2863631, 156.2696731, 162.0534931", \
+					  "83.6529647, 84.7292847, 91.3595227, 120.6079517, 148.2523017, 156.2012717, 162.1127617", \
+					  "83.6356881, 84.6904341, 91.2712191, 120.9351021, 148.2564821, 156.2275821, 162.0171821", \
+					  "83.6888621, 84.6499061, 91.4121561, 120.6397661, 148.3064861, 156.2704061, 162.1341061", \
+					  "83.6193285, 84.9446225, 91.3076435, 120.9471965, 148.3603165, 156.2007165, 162.4212565", \
+					  "83.7644978, 84.7953328, 91.4388098, 121.0000068, 148.3239268, 156.3452668, 162.3165568");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8170774, 9.8163506, 9.8169048, 9.8163844, 9.8163011, 9.8168771, 9.8177678", \
+					  "15.3762677, 15.3828267, 15.3777717, 15.3782277, 15.3748627, 15.3614617, 15.3575257", \
+					  "16.1436641, 16.1537921, 16.1442511, 16.0874301, 16.0493201, 16.0140041, 15.9916051", \
+					  "16.2392606, 16.2368016, 16.2188816, 16.1739866, 16.1203546, 16.0864766, 16.0770716", \
+					  "16.2633419, 16.2574109, 16.2287559, 16.1937579, 16.1422409, 16.1041269, 16.0959649", \
+					  "16.2695065, 16.2467275, 16.2338335, 16.1784605, 16.1365365, 16.0973055, 16.1023045", \
+					  "16.2729520, 16.2467300, 16.2293100, 16.1878050, 16.1346260, 16.1009060, 16.1064920");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8744074, 9.8750059, 9.8782238, 9.8743788, 9.8753104, 9.8756191, 9.8758247", \
+					  "16.5144837, 16.5156637, 16.5126467, 16.5118767, 16.4945647, 16.4619587, 16.4511497", \
+					  "16.7433321, 16.7819631, 16.7334131, 16.7016831, 16.6302211, 16.6171551, 16.5832731", \
+					  "16.7538706, 16.7314106, 16.7254086, 16.6914256, 16.6158506, 16.5867346, 16.5712126", \
+					  "16.7568199, 16.7675029, 16.7364079, 16.6934799, 16.6352509, 16.5991249, 16.5680559", \
+					  "16.7286595, 16.7398935, 16.7148425, 16.6793985, 16.6278775, 16.5862285, 16.5731715", \
+					  "16.7378440, 16.7419800, 16.7161550, 16.6775450, 16.6194150, 16.5844810, 16.5725240");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.7150425, 29.7429825, 30.2403655, 31.8957635, 35.9558255, 48.8148305, 71.5902825", \
+					  "29.6962901, 29.7589271, 30.2494961, 31.9012171, 35.9898281, 48.8326631, 71.5798141", \
+					  "29.6703967, 29.7691927, 30.3316527, 31.9413057, 35.9667347, 48.9027937, 71.5532197", \
+					  "29.6649021, 29.7601071, 30.2749831, 31.9146061, 35.9450131, 48.9019511, 71.6513021", \
+					  "29.6982761, 29.7822601, 30.2703321, 31.9130911, 35.9775621, 48.9406401, 71.6305151", \
+					  "29.7322735, 29.8016075, 30.2856055, 31.9165815, 35.9818685, 48.9440235, 71.5630135", \
+					  "29.7201898, 29.8283568, 30.3144158, 31.9504968, 36.0313888, 48.9793608, 71.6813848");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.8386851, 9.8359347, 9.8365662, 9.8442163, 9.8445494, 9.8379444, 9.8386409", \
+					  "14.9934457, 14.9950297, 14.9942177, 14.9921427, 14.9909617, 14.9908697, 14.9908957", \
+					  "15.0899901, 15.0895131, 15.0830911, 15.0771771, 15.0728071, 15.0717011, 15.0748871", \
+					  "15.0923156, 15.0931746, 15.0886776, 15.0800646, 15.0743296, 15.0732876, 15.0731396", \
+					  "15.1080819, 15.1049709, 15.1016289, 15.0930619, 15.0863539, 15.0797239, 15.0792609", \
+					  "15.0988125, 15.0993395, 15.0947945, 15.0849815, 15.0776675, 15.0767675, 15.0758705", \
+					  "15.0970510, 15.0968500, 15.0897720, 15.0799340, 15.0758890, 15.0745000, 15.0740820");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.4180815, 26.7133745, 26.4571905, 26.6898345, 26.4987975, 26.3442095, 26.7729465", \
+					  "26.6477301, 26.4927881, 26.4425161, 26.5567351, 26.0317371, 26.6292571, 26.5818801", \
+					  "26.5361937, 26.5354977, 26.3777787, 26.4433567, 27.6229357, 26.6915067, 27.7778877", \
+					  "26.5628401, 26.6025631, 26.6114481, 26.2193401, 28.5807191, 26.3476161, 25.8975071", \
+					  "26.5935021, 26.5944861, 26.5348751, 26.1989311, 27.9149781, 28.7616641, 28.7801781", \
+					  "26.5854345, 26.4720955, 26.5990335, 26.4112515, 26.1452035, 28.7206695, 25.8243355", \
+					  "26.5350368, 26.5973378, 26.5979168, 26.4912868, 27.1327028, 28.5786238, 26.7668248");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.0700822, 92.0997392, 92.1909712, 91.9773162, 92.8730572, 86.2355542, 16.2051942", \
+					  "92.0993700, 92.1408370, 92.2260120, 91.9987840, 92.9708120, 86.4643840, 6.9171409", \
+					  "92.1290458, 92.1446658, 92.2440518, 92.0201468, 93.0746578, 86.5471848, 10.6784068", \
+					  "92.1326732, 92.1635722, 92.2355352, 92.0158642, 92.9182392, 85.4878422, 3.6475226", \
+					  "92.1148956, 92.1439766, 92.2344596, 92.0019026, 92.9137636, 86.2544446, 8.5410235", \
+					  "92.0964290, 92.1697940, 92.2786930, 92.0020180, 92.9349520, 85.3799820, 7.6997985", \
+					  "92.1630474, 92.1204424, 92.2688024, 92.0252124, 93.0424614, 86.2562654, 17.2153424");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.4325403, 16.4517273, 16.5903253, 17.2018773, 18.2596183, 18.7057663, 18.7948253", \
+					  "25.7860646, 25.8234636, 26.0451226, 27.0663526, 29.0878656, 30.0800896, 30.2875866", \
+					  "26.1342079, 26.1717419, 26.3800389, 27.4099009, 29.4277069, 30.4224979, 30.6256099", \
+					  "26.1481881, 26.1835261, 26.3940381, 27.4254531, 29.4443001, 30.4414531, 30.6440551", \
+					  "26.1566795, 26.1940545, 26.4172005, 27.4316805, 29.4635485, 30.4515465, 30.6611005", \
+					  "26.1867828, 26.2263818, 26.4570998, 27.4648718, 29.4793988, 30.4798838, 30.6942568", \
+					  "26.2066081, 26.2489281, 26.4540611, 27.4856331, 29.5224851, 30.4664831, 30.7225731");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("85.6463025, 85.6705745, 85.7892165, 85.5705505, 86.6831885, 80.7107085, 11.2269295", \
+					  "85.6477901, 85.6894161, 85.7784361, 85.5604781, 86.4665311, 79.7313011, 4.1775364", \
+					  "85.6524487, 85.6931667, 85.7919067, 85.5861967, 86.6736807, 80.4580827, 4.3405377", \
+					  "85.6675561, 85.6946381, 85.8107671, 85.5740331, 86.6729871, 80.6031691, 11.1644331", \
+					  "85.6787701, 85.6439441, 85.7939631, 85.5888491, 86.4983231, 80.6454281, 6.3408100", \
+					  "85.6845025, 85.7255045, 85.8042335, 85.5726545, 86.5068935, 80.6425145, 3.3627904", \
+					  "85.6842398, 85.7285998, 85.8257858, 85.6635548, 86.5434968, 80.0024788, 3.2761970");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6548033, 13.6629763, 13.7079473, 13.8623063, 14.0163653, 14.0543263, 14.0656733", \
+					  "21.2489967, 21.2850397, 21.5015537, 22.5160027, 24.5419877, 25.5348367, 25.7443977", \
+					  "21.4977371, 21.5374541, 21.7528881, 22.7705791, 24.8040491, 25.7936431, 26.0058801", \
+					  "21.4992116, 21.5350296, 21.7537696, 22.7734596, 24.8016426, 25.7944096, 26.0080036", \
+					  "21.4993339, 21.5448339, 21.7545479, 22.7783259, 24.8231089, 25.8046229, 26.0121909", \
+					  "21.5039975, 21.5358025, 21.7579995, 22.7774375, 24.7987565, 25.7959045, 26.0122285", \
+					  "21.5042400, 21.5444490, 21.7561250, 22.7789670, 24.8066470, 25.8058910, 26.0135630");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("92.4313412, 92.4769302, 92.5327882, 92.3024382, 93.2669232, 86.5936642, 11.3866062", \
+					  "92.3402810, 92.4564590, 92.5650390, 92.3304350, 93.1996150, 87.4128480, 6.1708342", \
+					  "92.4737358, 92.4870798, 92.5910238, 92.3616028, 93.2714658, 87.3444778, 4.8752878", \
+					  "92.4392192, 92.4858632, 92.5721762, 92.3306882, 93.0580582, 85.8867732, 17.7395462", \
+					  "92.3882386, 92.4833436, 92.5868646, 92.3438576, 93.1189326, 85.9259496, 17.8989186", \
+					  "92.4184350, 92.4598260, 92.5802850, 92.4074920, 93.1104580, 86.0109150, 4.6160447", \
+					  "92.3974424, 92.5085134, 92.5890464, 92.4091004, 92.9593544, 85.9753644, 5.0601297");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("40.3912913, 39.8355073, 41.0807053, 42.1195273, 43.9814443, 43.8516903, 43.1040243", \
+					  "39.8745256, 39.9310326, 40.2278476, 41.4102976, 44.2221796, 46.8444506, 44.5862426", \
+					  "39.7285469, 39.7021129, 39.9642539, 41.1693729, 42.1843739, 43.7927299, 43.1774959", \
+					  "39.5613981, 39.6066151, 40.0648081, 40.6533471, 43.3008061, 43.5937941, 44.1239601", \
+					  "39.6786725, 39.7148415, 39.9287635, 40.8526085, 42.5941075, 43.5169495, 43.5839235", \
+					  "39.9200478, 39.7730848, 39.9945048, 41.6039398, 41.2245148, 41.0083088, 43.8902118", \
+					  "39.6810391, 39.9116921, 39.8930501, 41.2718121, 41.5643181, 43.5524321, 41.9393161");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("73.1672652, 73.3058832, 73.5344502, 74.6493852, 77.8131112, 74.5433312, -6.4623447", \
+					  "73.2348210, 73.2993670, 73.6157320, 74.5272450, 77.5165210, 74.6396970, -7.5404691", \
+					  "73.2697158, 73.3405968, 73.6256878, 74.4758058, 78.4980888, 74.8265538, -2.1829345", \
+					  "73.1910052, 73.3400392, 73.6928472, 74.4642722, 77.6357142, 74.4339692, -7.7728169", \
+					  "73.2815296, 73.3647406, 73.6774566, 74.4523986, 77.8139046, 75.2071356, 1.8756664", \
+					  "73.2562840, 73.3425280, 73.6246280, 74.4874030, 76.5096750, 75.3952950, 0.1606934", \
+					  "73.2642274, 73.3300984, 73.6313504, 74.5001554, 77.4687874, 76.6775244, 4.6948064");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("43.2238973, 43.3856983, 43.9836683, 46.6021673, 52.4948773, 66.1937003, 89.1524673", \
+					  "43.0062396, 43.1268586, 43.8226076, 46.4237836, 52.3425386, 66.0534566, 88.9698196", \
+					  "42.8473299, 42.9828599, 43.6401889, 46.2463229, 52.1622499, 65.8448919, 88.7661679", \
+					  "42.8598841, 42.9577401, 43.6610871, 46.2560911, 52.1697361, 65.8584351, 88.8061691", \
+					  "42.8532575, 43.0155825, 43.6471645, 46.2961355, 52.1393165, 65.7326365, 88.8019405", \
+					  "42.8551788, 43.0078868, 43.6863718, 46.2802968, 52.1801328, 65.9420588, 88.8463128", \
+					  "42.8512781, 43.0425551, 43.6866721, 46.3115831, 52.1807421, 65.9153771, 88.5865201");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("68.9310702, 68.7586942, 68.9669032, 68.7929722, 69.3469742, 57.9809682, -131.3147518", \
+					  "68.7920420, 69.2953400, 68.8803810, 70.1742410, 69.4651700, 62.9328050, -129.4850170", \
+					  "68.9697658, 68.7511838, 69.1407548, 68.0792218, 69.4521928, 57.4373798, -128.8329122", \
+					  "68.7810052, 68.9800132, 68.9517512, 71.0327532, 69.0213552, 58.0221042, -130.4100198", \
+					  "68.9962496, 68.8067276, 68.8647086, 68.2291406, 77.9660746, 58.9028576, -126.6826234", \
+					  "68.9421790, 69.3292310, 68.9936380, 69.1343870, 69.0678360, 54.8847400, -125.7889810", \
+					  "69.0253264, 69.0284004, 69.0107054, 69.1157264, 69.0888654, 59.0047304, -126.0163086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("35.8823873, 35.9670113, 36.4060453, 38.0904863, 42.1147353, 54.9233613, 77.7356833", \
+					  "35.6721786, 35.7691186, 36.2600836, 37.8855686, 41.9364666, 54.7056516, 77.5962366", \
+					  "35.5041339, 35.5966189, 36.0584789, 37.7296599, 41.7792989, 54.5729119, 77.3920999", \
+					  "35.5104821, 35.6335501, 36.0524201, 37.7374961, 41.7676921, 54.6008331, 77.4354321", \
+					  "35.5176645, 35.6212335, 36.0798465, 37.7454135, 41.7708185, 54.7198105, 77.4218145", \
+					  "35.6299898, 35.7105708, 36.0727948, 37.7979398, 41.8446398, 54.8233758, 77.4694278", \
+					  "35.6318621, 35.7189271, 36.1059171, 37.7750361, 41.8588321, 54.6684881, 77.4945171");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("51.9108554, 52.1386278, 53.4091023, 59.6451594, 73.0679105, 93.5428448, 105.5655602", \
+					  "57.3685729, 57.5756511, 58.9625176, 65.6624519, 79.9490504, 101.5052626, 113.8350783", \
+					  "57.5056464, 57.7417932, 59.1441242, 65.7130829, 80.1682349, 101.8404021, 114.0588993", \
+					  "57.5185914, 57.7328759, 59.1329879, 65.6920083, 80.4091049, 102.2311285, 113.8018953", \
+					  "57.5321993, 57.7557238, 59.1459830, 65.7652361, 80.6748636, 101.8182921, 114.1121825", \
+					  "57.5463727, 57.7864848, 59.1623325, 65.7773444, 80.1569885, 102.6404698, 113.5342209", \
+					  "57.5699713, 57.8019041, 59.1938951, 65.8692404, 80.5075331, 102.2609697, 113.8612700");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("78.4704331, 78.4905217, 78.5451539, 78.4943658, 78.1155335, 70.2015693, -49.7229441", \
+					  "84.4100088, 84.4099222, 84.4621985, 84.3799281, 84.1233128, 73.6219117, -44.0276422", \
+					  "84.7062123, 84.6825917, 84.7211320, 84.6274761, 84.2646182, 76.2638495, -44.4074502", \
+					  "84.7036681, 84.5928632, 84.7593734, 84.6538008, 84.0994402, 79.2670530, -44.7840063", \
+					  "84.7200012, 84.7345697, 84.7878145, 84.5808581, 84.6089985, 76.7729139, -45.0401264", \
+					  "84.6630222, 84.7387950, 84.7517343, 84.6879970, 85.1605997, 76.0594391, -44.1793333", \
+					  "84.7244430, 84.7172539, 84.8531256, 84.6916215, 84.5090734, 76.9966101, -43.7297599");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("131.6377906, 131.7508816, 131.7677991, 132.4935269, 133.2066309, 121.0164419, -76.8767612", \
+					  "134.2370607, 134.3971185, 134.5269798, 134.9431903, 135.5612463, 116.7698888, -79.7926223", \
+					  "134.4873004, 134.5484571, 134.8701623, 134.8540951, 134.8205504, 117.8499963, -80.0140096", \
+					  "134.4796676, 134.5631004, 134.7409057, 135.1796399, 135.6625529, 126.1581111, -78.1392165", \
+					  "134.5797994, 134.4962215, 134.7020174, 134.7867935, 137.2180472, 123.8712164, -77.2381147", \
+					  "134.4176618, 134.5793810, 134.7397198, 134.8611392, 136.0977520, 122.9263328, -75.3390900", \
+					  "134.5480526, 134.6201730, 134.7519636, 134.9043088, 135.7363801, 123.7143426, -78.1012893");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("84.9755058, 85.1986484, 87.6466969, 98.5172274, 122.3320296, 157.7416264, 171.9790743", \
+					  "87.6443172, 88.0513175, 90.4358939, 101.3405454, 125.8942820, 161.3680730, 181.2421582", \
+					  "87.5297856, 87.8618928, 90.1873496, 101.0810543, 125.0950905, 160.4463533, 180.4371456", \
+					  "87.5484632, 87.8962324, 90.2198610, 101.0736637, 125.2662187, 160.7142539, 181.0611732", \
+					  "87.5607910, 87.9657592, 90.2897772, 101.4064115, 125.3808042, 161.0634188, 181.1027182", \
+					  "87.5439369, 87.9793601, 90.2578999, 101.4173164, 125.6406218, 163.1781123, 180.5311448", \
+					  "87.5802169, 88.0368660, 90.3575662, 101.3688894, 124.8559282, 160.6548985, 180.6774677");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6047110, 1.6047112, 1.6047113, 1.6098662, 1.6098663, 1.6098664, 1.8624629", \
+					  "1.7430766, 1.7437893, 1.7437894, 1.7437895, 1.7473488, 1.7473489, 1.9193807", \
+					  "1.8555062, 1.8555485, 1.8605383, 1.8605384, 1.8605385, 1.8605386, 2.0163695", \
+					  "1.9541051, 1.9541052, 1.9541054, 1.9541055, 1.9541056, 1.9565281, 2.1079256", \
+					  "2.0327855, 2.0327856, 2.0327858, 2.0327861, 2.0410851, 2.0410852, 2.1905107", \
+					  "2.0824882, 2.1014681, 2.1014683, 2.1014685, 2.1014687, 2.1014690, 2.2426554", \
+					  "2.1585791, 2.1740745, 2.1740746, 2.1755948, 2.1755950, 2.1755952, 2.3224818");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.5044246, 7.6928561, 8.8196410, 15.7965200, 58.6209620, 321.4528600, 1939.2876000", \
+					  "7.6573885, 7.8356068, 8.9887875, 15.9589940, 58.8108580, 321.6054000, 1939.2839000", \
+					  "7.7815088, 7.9693194, 9.0993177, 16.0592530, 58.9181080, 321.6081000, 1939.3735000", \
+					  "7.8637710, 8.0564382, 9.2037569, 16.1812590, 58.9573300, 321.8724200, 1939.4281000", \
+					  "7.9491445, 8.1328935, 9.2827781, 16.2241220, 59.0409000, 321.7190000, 1941.7587000", \
+					  "8.0325240, 8.2213303, 9.3574757, 16.3062310, 59.2819480, 322.1460100, 1940.5666000", \
+					  "8.1010212, 8.2868254, 9.4299724, 16.4010250, 59.2159920, 322.0414700, 1942.4253000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8941470, 12.2363250, 14.4900250, 28.3277600, 113.4273800, 637.1907900, 3872.8253000", \
+					  "11.8753670, 12.2524520, 14.4514700, 28.1968440, 113.3228300, 637.1298600, 3874.2628000", \
+					  "11.8575820, 12.2389310, 14.4523210, 28.2084170, 112.9882400, 637.8384700, 3873.0063000", \
+					  "11.8668360, 12.2368220, 14.4618740, 28.2073370, 113.2484500, 637.9963800, 3873.4324000", \
+					  "11.8788720, 12.2361330, 14.4364960, 28.1891900, 113.2243700, 637.5542500, 3876.4856000", \
+					  "11.8659220, 12.2259430, 14.4393680, 28.1916000, 113.4782600, 637.7010800, 3872.6448000", \
+					  "11.8691940, 12.2168850, 14.4551850, 28.2053370, 113.1685000, 637.1180900, 3875.8790000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.9827029, 1.9916322, 2.0421747, 2.2939854, 3.2699490, 5.9538929, 15.0099810", \
+					  "2.1639959, 2.1729289, 2.2229438, 2.4747524, 3.4512597, 6.1351231, 15.1913060", \
+					  "2.3520590, 2.3609281, 2.4141171, 2.6659364, 3.6392616, 6.3231229, 15.3793490", \
+					  "2.5477951, 2.5566134, 2.6046956, 2.8565006, 3.8324592, 6.5201262, 15.5767430", \
+					  "2.7256595, 2.7344562, 2.7844698, 3.0361713, 4.0121906, 6.6976109, 15.7540650", \
+					  "2.8988056, 2.9076530, 2.9650258, 3.2167471, 4.1921977, 6.8710526, 15.9260270", \
+					  "3.0724818, 3.0813625, 3.1328282, 3.3845069, 4.3596988, 7.0465282, 16.0999000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4435189, 0.4470907, 0.4768678, 0.6547494, 1.4608081, 3.3838843, 13.2063070", \
+					  "0.4434309, 0.4470649, 0.4767775, 0.6547521, 1.4610276, 3.3838630, 13.2026080", \
+					  "0.4434554, 0.4470705, 0.4772015, 0.6546587, 1.4610400, 3.3838619, 13.2050080", \
+					  "0.4447584, 0.4481080, 0.4768281, 0.6547504, 1.4607746, 3.3924701, 13.2102100", \
+					  "0.4448424, 0.4489132, 0.4773789, 0.6544832, 1.4619556, 3.3910144, 13.2117830", \
+					  "0.4438307, 0.4472601, 0.4765499, 0.6546890, 1.4586032, 3.3914838, 13.2173260", \
+					  "0.4442834, 0.4475634, 0.4773851, 0.6544425, 1.4620024, 3.3902150, 13.2180110");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1887637, 3.1912284, 3.1912286, 3.1912288, 3.1912290, 3.1912293, 3.1912295", \
+					  "3.3393395, 3.3409608, 3.3409609, 3.3409611, 3.3409614, 3.3409616, 3.3409619", \
+					  "3.4562828, 3.4586189, 3.4586190, 3.4586193, 3.4586195, 3.4586198, 3.4586200", \
+					  "3.5489331, 3.5507048, 3.5507049, 3.5507051, 3.5507054, 3.5507056, 3.5507058", \
+					  "3.5984653, 3.5997947, 3.5997948, 3.5997950, 3.5997953, 3.5997955, 3.5997957", \
+					  "3.7096752, 3.7117671, 3.7117674, 3.7117676, 3.7117678, 3.7117681, 3.7117683", \
+					  "3.7440717, 3.7440719, 3.7523948, 3.7523951, 3.7523953, 3.7523956, 3.7523958");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9424154, 2.9456046, 2.9456047, 2.9456050, 2.9456052, 2.9456054, 2.9694731", \
+					  "3.0891143, 3.0906682, 3.0906684, 3.0906686, 3.0906688, 3.0906691, 3.0906693", \
+					  "3.1662910, 3.1710212, 3.1710214, 3.1710216, 3.1710219, 3.1710221, 3.1710223", \
+					  "3.3052245, 3.3067915, 3.3067917, 3.3067920, 3.3067922, 3.3067924, 3.3067927", \
+					  "3.3843732, 3.3843734, 3.3843736, 3.3843738, 3.3843741, 3.3843743, 3.3843745", \
+					  "3.4385443, 3.4552108, 3.4552109, 3.4552111, 3.4552113, 3.4552116, 3.4552118", \
+					  "3.4991969, 3.4991972, 3.4991974, 3.4991977, 3.4991979, 3.4991981, 3.4991984");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0858164, 2.1100801, 2.2581679, 3.1486751, 8.2506002, 16.4579410, 35.9318370", \
+					  "2.2335978, 2.2575462, 2.4061029, 3.2972398, 8.3983140, 16.6156970, 36.0811930", \
+					  "2.3527478, 2.3769968, 2.5250844, 3.4151959, 8.5174214, 16.7380070, 36.1820800", \
+					  "2.4469731, 2.4712228, 2.6206615, 3.5109684, 8.6116643, 16.8333770, 36.2762050", \
+					  "2.5276863, 2.5519380, 2.7015887, 3.5928183, 8.6923002, 16.9062040, 36.3604260", \
+					  "2.6037537, 2.6280507, 2.7768565, 3.6670205, 8.7667740, 16.9779530, 36.4403970", \
+					  "2.6705032, 2.6947615, 2.8464975, 3.7368801, 8.8350989, 17.0463590, 36.5208520");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5058611, 1.5494869, 1.8310256, 3.5759178, 7.9248554, 10.2993290, 28.2147550", \
+					  "1.5059101, 1.5498111, 1.8307007, 3.5763763, 7.9243954, 10.2743940, 28.1830360", \
+					  "1.5058558, 1.5494974, 1.8310368, 3.5763945, 7.9247222, 10.2811300, 28.2117230", \
+					  "1.5059326, 1.5495669, 1.8306365, 3.5759791, 7.9242346, 10.2854330, 28.2212140", \
+					  "1.5059198, 1.5495543, 1.8309864, 3.5751901, 7.9242666, 10.2934350, 28.2092710", \
+					  "1.5053652, 1.5508738, 1.8308097, 3.5757952, 7.9187377, 10.2993450, 28.2254490", \
+					  "1.5057394, 1.5512924, 1.8309482, 3.5763215, 7.9239957, 10.2990510, 28.2085860");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.7726678, 7.9597546, 9.1219965, 16.1965770, 59.3641400, 322.8747400, 1945.4033000", \
+					  "7.9548155, 8.1477207, 9.3043555, 16.3758750, 59.3939800, 322.6753300, 1945.6445000", \
+					  "8.1431679, 8.3234273, 9.5014032, 16.5724090, 59.6457280, 322.8563200, 1945.8389000", \
+					  "8.3318881, 8.5269862, 9.6855697, 16.7763140, 59.8264980, 323.1793100, 1946.0422000", \
+					  "8.5190770, 8.7098968, 9.8525277, 16.9442660, 59.9313310, 323.3272700, 1946.1972000", \
+					  "8.6889029, 8.9013801, 10.0286400, 17.1402310, 60.1007170, 323.3935800, 1946.8107000", \
+					  "8.8625409, 9.0495691, 10.1963790, 17.2817300, 60.4586670, 324.3265200, 1946.9387000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7160060, 12.0791410, 14.2904660, 28.0805300, 113.5081200, 640.1092500, 3885.4837000", \
+					  "11.7283050, 12.0962940, 14.3352610, 28.1215940, 113.6354200, 639.7369200, 3887.0489000", \
+					  "11.7112280, 12.0950590, 14.2998800, 28.1235070, 113.5089900, 639.8304900, 3885.5123000", \
+					  "11.7215140, 12.0659600, 14.2936850, 28.0561300, 113.6874600, 639.7891600, 3885.5324000", \
+					  "11.7266960, 12.0879800, 14.2933150, 28.1003040, 113.3596500, 639.7107800, 3885.5219000", \
+					  "11.7091970, 12.0734770, 14.2818780, 28.0900030, 113.4434500, 639.8444700, 3886.3882000", \
+					  "11.7143890, 12.0779690, 14.2826540, 28.0383430, 113.2023800, 639.0790200, 3885.6306000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1912348, 3.1924217, 3.1924218, 3.1924221, 3.1924223, 3.1924226, 3.1924228", \
+					  "3.3401391, 3.3417584, 3.3417587, 3.3417589, 3.3417591, 3.3417594, 3.3417596", \
+					  "3.4583407, 3.4604455, 3.4604456, 3.4604458, 3.4604461, 3.4604463, 3.4604465", \
+					  "3.5499910, 3.5518282, 3.5518283, 3.5518286, 3.5518288, 3.5518290, 3.5518293", \
+					  "3.6130483, 3.6190739, 3.6190740, 3.6190743, 3.6190745, 3.6190748, 3.6190750", \
+					  "3.7107808, 3.7128474, 3.7128476, 3.7128479, 3.7128481, 3.7128484, 3.7128486", \
+					  "3.7347019, 3.7359857, 3.7473100, 3.7473101, 3.7473103, 3.7473106, 3.7473108");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5994440, 1.6071315, 1.6071316, 1.6071317, 1.6071318, 1.6071319, 1.8434615", \
+					  "1.7471650, 1.7471651, 1.7471652, 1.7471653, 1.7471654, 1.7471656, 1.9240634", \
+					  "1.8555274, 1.8566405, 1.8566406, 1.8566407, 1.8566408, 1.8581817, 2.0204647", \
+					  "1.9468562, 1.9468563, 1.9485358, 1.9485359, 1.9485360, 1.9485361, 2.1080822", \
+					  "2.0240667, 2.0288193, 2.0288195, 2.0288197, 2.0288200, 2.0288202, 2.1857960", \
+					  "2.0890563, 2.0941082, 2.0941083, 2.0941085, 2.0941088, 2.0941090, 2.2383078", \
+					  "2.1685331, 2.1758322, 2.1758324, 2.1768198, 2.1768200, 2.1768202, 2.3200826");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2436197, 2.2678848, 2.4189841, 3.3394938, 7.8228641, 27.4411710, 67.7888750", \
+					  "2.4231657, 2.4495952, 2.6003419, 3.5188348, 8.0023970, 27.6220130, 68.0044320", \
+					  "2.6130729, 2.6381439, 2.7927924, 3.7120149, 8.1948102, 27.8117710, 68.1542290", \
+					  "2.8066549, 2.8303762, 2.9821021, 3.9023500, 8.3866905, 28.0062050, 68.3584250", \
+					  "2.9767429, 3.0086384, 3.1596035, 4.0792680, 8.5636218, 28.1797770, 68.5584990", \
+					  "3.1633107, 3.1876917, 3.3388423, 4.2561648, 8.7456709, 28.3601750, 68.7044590", \
+					  "3.3320229, 3.3610552, 3.5125458, 4.4339519, 8.9102050, 28.5275360, 68.9054000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5252111, 1.5707664, 1.8556803, 3.2735366, 8.5645748, 31.0412740, 38.0915920", \
+					  "1.5251738, 1.5716568, 1.8548209, 3.2739138, 8.5687084, 31.0565010, 38.1986420", \
+					  "1.5248967, 1.5714495, 1.8552673, 3.2740148, 8.5714120, 31.0551550, 38.0320170", \
+					  "1.5251845, 1.5707401, 1.8556499, 3.2737204, 8.5679090, 31.0637380, 38.0580860", \
+					  "1.5231185, 1.5708187, 1.8549404, 3.2737633, 8.5692555, 31.0445570, 37.9804130", \
+					  "1.5251204, 1.5710572, 1.8555866, 3.2738894, 8.5730463, 31.0450440, 38.0181540", \
+					  "1.5250829, 1.5711825, 1.8556149, 3.2738354, 8.5758938, 31.0416900, 38.1108440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5974688, 1.5974690, 1.5974691, 1.5974692, 1.5974693, 1.5974694, 1.8482855", \
+					  "1.7407912, 1.7407913, 1.7407914, 1.7407915, 1.7417772, 1.7418648, 1.8938118", \
+					  "1.8565567, 1.8565568, 1.8565569, 1.8565570, 1.8578502, 1.8578503, 2.0093076", \
+					  "1.9477393, 1.9477394, 1.9486552, 1.9486554, 1.9486555, 1.9486556, 2.1029163", \
+					  "2.0274868, 2.0274870, 2.0274872, 2.0349915, 2.0349917, 2.0349919, 2.1782594", \
+					  "2.0893509, 2.1065141, 2.1065144, 2.1065146, 2.1065149, 2.1065151, 2.2386786", \
+					  "2.1684177, 2.1756237, 2.1756238, 2.1769566, 2.1769568, 2.1769571, 2.3180904");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.9676309, 1.9765733, 2.0283719, 2.2829969, 3.3423457, 8.8775227, 36.3696490", \
+					  "2.1481834, 2.1572351, 2.2085496, 2.4633433, 3.5228156, 9.0576595, 36.5499700", \
+					  "2.3397444, 2.3498847, 2.4004105, 2.6545988, 3.7143399, 9.2490540, 36.7306260", \
+					  "2.5327205, 2.5389799, 2.5934557, 2.8477767, 3.9073140, 9.4426058, 36.9319080", \
+					  "2.7075657, 2.7211235, 2.7688449, 3.0233005, 4.0828425, 9.6191819, 37.1111990", \
+					  "2.8913474, 2.8961984, 2.9510561, 3.2030369, 4.2651274, 9.7988773, 37.2873890", \
+					  "3.0570684, 3.0694463, 3.1229002, 3.3752467, 4.4324087, 9.9719077, 37.4656390");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4496217, 0.4531383, 0.4822856, 0.6606837, 1.7577947, 8.6983585, 29.9943570", \
+					  "0.4496184, 0.4541360, 0.4818039, 0.6603296, 1.7586167, 8.7010532, 30.0794550", \
+					  "0.4496199, 0.4541283, 0.4823088, 0.6604855, 1.7609197, 8.7204857, 30.0041910", \
+					  "0.4495568, 0.4531814, 0.4822942, 0.6606748, 1.7580926, 8.7083327, 30.0442870", \
+					  "0.4495579, 0.4532224, 0.4824044, 0.6606965, 1.7589794, 8.7103569, 30.0272450", \
+					  "0.4496198, 0.4532174, 0.4823158, 0.6606676, 1.7585166, 8.7103320, 30.0343570", \
+					  "0.4496036, 0.4532071, 0.4823079, 0.6606644, 1.7586185, 8.7076746, 30.0396220");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3945494, 7.5746334, 8.6893230, 15.5889880, 58.1194830, 321.1212600, 1938.2951000", \
+					  "7.5621944, 7.7552329, 8.8719467, 15.7563830, 58.3024720, 320.9680700, 1938.4800000", \
+					  "7.7477829, 7.9365492, 9.0659737, 15.9572760, 58.4667530, 321.2599000, 1938.5445000", \
+					  "7.9394358, 8.1295752, 9.2569605, 16.1720270, 58.7480890, 321.1187600, 1938.6730000", \
+					  "8.1215141, 8.3001552, 9.4328207, 16.3249150, 58.9481070, 321.7482500, 1938.8526000", \
+					  "8.3034601, 8.4856735, 9.6191881, 16.5093700, 59.0056470, 321.7420200, 1939.1960000", \
+					  "8.4806207, 8.6696685, 9.7805586, 16.6752350, 59.2051100, 321.5825800, 1939.3065000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8674790, 12.2217550, 14.4551100, 28.1763290, 113.4695000, 638.3623400, 3873.2014000", \
+					  "11.8797580, 12.2408570, 14.4626590, 28.1902060, 113.4170100, 638.1631000, 3873.0445000", \
+					  "11.8673000, 12.2381020, 14.4362990, 28.1882380, 113.2034300, 637.8067500, 3873.3679000", \
+					  "11.8777030, 12.2350450, 14.4595090, 28.1821290, 113.4851400, 637.2940200, 3873.2783000", \
+					  "11.8796680, 12.2385780, 14.4640450, 28.1917720, 113.4817400, 637.3815600, 3873.3042000", \
+					  "11.8549970, 12.2449380, 14.4539860, 28.1878610, 113.2303000, 637.6830000, 3873.3479000", \
+					  "11.8587590, 12.2320220, 14.4507100, 28.1903110, 113.0314900, 637.6903300, 3873.3947000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1514275, 2.1602089, 2.2110593, 2.4631923, 3.4388423, 6.1243091, 15.1800030", \
+					  "2.3314379, 2.3413051, 2.3916140, 2.6437046, 3.6199128, 6.3053771, 15.3610870", \
+					  "2.5210301, 2.5302822, 2.5809952, 2.8315261, 3.8067554, 6.4915566, 15.5496450", \
+					  "2.7136084, 2.7224138, 2.7733864, 3.0249736, 3.9953565, 6.6860979, 15.7421490", \
+					  "2.8894502, 2.9026552, 2.9457619, 3.2036509, 4.1816324, 6.8642545, 15.9173010", \
+					  "3.0632232, 3.0809892, 3.1238350, 3.3817178, 4.3580579, 7.0399184, 16.0972370", \
+					  "3.2334031, 3.2501817, 3.3001550, 3.5535364, 4.5237973, 7.2027277, 16.2712790");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4450604, 0.4499720, 0.4778711, 0.6555368, 1.4633348, 3.3911034, 13.2062380", \
+					  "0.4457296, 0.4488598, 0.4782850, 0.6535414, 1.4638486, 3.3914318, 13.2043420", \
+					  "0.4451591, 0.4507656, 0.4789223, 0.6553633, 1.4639234, 3.3911594, 13.2267500", \
+					  "0.4444753, 0.4507469, 0.4778373, 0.6561316, 1.4606603, 3.3856775, 13.2233710", \
+					  "0.4449823, 0.4506508, 0.4779441, 0.6540925, 1.4590444, 3.3913994, 13.2029900", \
+					  "0.4462847, 0.4488785, 0.4765782, 0.6556765, 1.4593838, 3.3911285, 13.2287600", \
+					  "0.4446236, 0.4489562, 0.4780669, 0.6551673, 1.4610846, 3.3916816, 13.2180470");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3350561, 7.4989117, 8.6390353, 15.5250390, 58.0802320, 320.8967600, 1938.6694000", \
+					  "7.4689250, 7.6656193, 8.7863041, 15.7124160, 58.2279970, 320.8125200, 1938.3042000", \
+					  "7.5884623, 7.7863831, 8.9058805, 15.7921000, 58.3380600, 320.9499400, 1938.2821000", \
+					  "7.6830133, 7.8675351, 8.9814991, 15.9235240, 58.4366550, 320.8846000, 1938.4449000", \
+					  "7.7722895, 7.9629956, 9.0630303, 15.9627510, 58.6499280, 321.2430800, 1938.5866000", \
+					  "7.8520674, 8.0379543, 9.1604306, 16.0786020, 58.5533440, 321.2096500, 1941.3815000", \
+					  "7.9195033, 8.0879818, 9.2281640, 16.1524550, 58.6210400, 321.1558500, 1938.4395000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8785180, 12.2304900, 14.4590220, 28.1831070, 113.4785800, 637.9459000, 3872.6098000", \
+					  "11.8779710, 12.2390960, 14.4435530, 28.1962280, 113.0399900, 638.1812900, 3875.1923000", \
+					  "11.8779790, 12.2377740, 14.4339050, 28.1813610, 113.4844700, 637.7715100, 3876.0832000", \
+					  "11.8787680, 12.2354540, 14.4584630, 28.1756800, 113.0091500, 638.2857100, 3873.4552000", \
+					  "11.8819650, 12.2377040, 14.4577350, 28.1801180, 113.1699100, 638.2173900, 3872.9404000", \
+					  "11.8599240, 12.2376460, 14.4624110, 28.1792510, 113.4179500, 637.6093900, 3878.4506000", \
+					  "11.8785350, 12.2329900, 14.4368930, 28.1959900, 113.2379500, 637.6830000, 3873.7262000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.4916917, 7.7001348, 8.7989918, 15.7250210, 58.5283500, 322.1925100, 1945.2257000", \
+					  "7.6722655, 7.8790361, 8.9797156, 15.9069080, 58.6938180, 321.9959400, 1944.7073000", \
+					  "7.8607751, 8.0695299, 9.1711234, 16.0933650, 58.8920630, 322.5559100, 1945.3241000", \
+					  "8.0542160, 8.2533660, 9.3612122, 16.2720700, 59.1507890, 322.8530500, 1945.1434000", \
+					  "8.2540623, 8.4201055, 9.5397699, 16.4523680, 59.2796460, 322.9995400, 1945.4912000", \
+					  "8.4317620, 8.6020062, 9.7204751, 16.6412610, 59.4040020, 322.3976000, 1945.9521000", \
+					  "8.5787724, 8.7644665, 9.8896837, 16.8085510, 59.6762040, 323.3186300, 1946.0776000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7156530, 12.0734190, 14.3076380, 28.0702790, 113.6583700, 639.3151500, 3884.1708000", \
+					  "11.7153560, 12.0781380, 14.3089220, 28.0741460, 113.6960500, 639.8906900, 3884.5174000", \
+					  "11.7155990, 12.0741020, 14.3065260, 28.0693800, 113.6727300, 638.9613500, 3884.4587000", \
+					  "11.7117860, 12.0773800, 14.3082160, 28.0357850, 113.2045400, 639.6949700, 3885.1522000", \
+					  "11.7180890, 12.0658910, 14.3094160, 28.0481400, 113.6406000, 639.9371800, 3886.1617000", \
+					  "11.7160590, 12.0752780, 14.3098450, 28.0531400, 113.7278900, 639.9368400, 3885.6510000", \
+					  "11.7117670, 12.0765560, 14.3058100, 28.0468410, 113.2435400, 639.4316800, 3889.5550000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6422698, 1.6478324, 1.6478325, 1.6625303, 1.6625304, 1.6625305, 1.8064776", \
+					  "1.7993944, 1.7993945, 1.7993946, 1.7993947, 1.7993948, 1.7993950, 1.9471442", \
+					  "1.9109265, 1.9234159, 1.9234160, 1.9236839, 1.9236840, 1.9236841, 2.0500996", \
+					  "2.0128992, 2.0160800, 2.0160801, 2.0184880, 2.0184881, 2.0186695, 2.1288606", \
+					  "2.1016411, 2.1016413, 2.1016416, 2.1016418, 2.1016421, 2.1016423, 2.2328357", \
+					  "2.1560349, 2.1560351, 2.1660826, 2.1660828, 2.1660830, 2.1660833, 2.2941227", \
+					  "2.2083715, 2.2253484, 2.2261772, 2.2261774, 2.2261776, 2.2261779, 2.3427977");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9420015, 2.9442273, 2.9442274, 2.9442276, 2.9442279, 2.9442281, 2.9442283", \
+					  "3.0912604, 3.0928957, 3.0928959, 3.0928962, 3.0928964, 3.0928966, 3.0928969", \
+					  "3.1602436, 3.1616001, 3.1616003, 3.1616005, 3.1616008, 3.1616010, 3.1616012", \
+					  "3.3041831, 3.3056968, 3.3056969, 3.3056971, 3.3056974, 3.3056976, 3.3056978", \
+					  "3.3844223, 3.3844225, 3.3844227, 3.3844229, 3.3844232, 3.3844234, 3.3844237", \
+					  "3.4254837, 3.4486451, 3.4486453, 3.4486455, 3.4486458, 3.4486460, 3.4486462", \
+					  "3.4952290, 3.4966305, 3.4966306, 3.4966308, 3.4966311, 3.4966313, 3.4966316");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1544917, 2.1788245, 2.3268429, 3.2173904, 8.3398310, 16.5786510, 36.0312600", \
+					  "2.3357589, 2.3588276, 2.5080613, 3.3988328, 8.5229495, 16.7589960, 36.2241580", \
+					  "2.5235007, 2.5459030, 2.6951550, 3.5853975, 8.7078833, 16.9432540, 36.4099470", \
+					  "2.7148566, 2.7413070, 2.8865634, 3.7728416, 8.9028482, 17.1411240, 36.5920240", \
+					  "2.8955622, 2.9199136, 3.0675336, 3.9606447, 9.0808685, 17.3200940, 36.7753080", \
+					  "3.0734577, 3.0901481, 3.2371601, 4.1352624, 9.2510417, 17.4967830, 36.9441760", \
+					  "3.2361731, 3.2709946, 3.4123451, 4.2982236, 9.4090140, 17.6548750, 37.1114090");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5046154, 1.5486701, 1.8279646, 3.5758656, 7.9623881, 10.2908540, 28.2221520", \
+					  "1.5014926, 1.5489571, 1.8299458, 3.5729586, 7.9624023, 10.3053840, 28.2181390", \
+					  "1.5033418, 1.5474193, 1.8300256, 3.5747911, 7.9613871, 10.2912360, 28.1903110", \
+					  "1.5040341, 1.5478770, 1.8299944, 3.5728285, 7.9607076, 10.3054000, 28.2355630", \
+					  "1.5043077, 1.5492492, 1.8300326, 3.5720811, 7.9735809, 10.3025410, 28.1874590", \
+					  "1.5037478, 1.5492380, 1.8301044, 3.5733492, 7.9735205, 10.2952270, 28.2059740", \
+					  "1.5042867, 1.5492319, 1.8300256, 3.5745800, 7.9695539, 10.2983740, 28.2270190");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.8517923, 1.8517924, 1.8517926, 1.8654256, 1.8654257, 1.8654258, 1.9781317", \
+					  "2.0107309, 2.0107312, 2.0107314, 2.0107316, 2.0115623, 2.0115625, 2.1054507", \
+					  "2.1292277, 2.1292278, 2.1292280, 2.1292283, 2.1292285, 2.1355520, 2.2199307", \
+					  "2.1983413, 2.2067370, 2.2074644, 2.2136390, 2.2149751, 2.2281759, 2.3108520", \
+					  "2.3022642, 2.3022644, 2.3022646, 2.3022649, 2.3022651, 2.3022653, 2.3967004", \
+					  "2.3503428, 2.3503429, 2.3503432, 2.3728339, 2.3728341, 2.3830553, 2.4674245", \
+					  "2.4099469, 2.4386053, 2.4386055, 2.4386057, 2.4393962, 2.4445665, 2.5688205");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3350601, 7.4987430, 8.6390735, 15.5300820, 58.0180380, 320.8927200, 1938.7012000", \
+					  "7.4691187, 7.6493052, 8.7895620, 15.7057320, 58.2336950, 320.7937000, 1938.4038000", \
+					  "7.5888078, 7.7864586, 8.9088452, 15.8263180, 58.3416870, 320.8256500, 1940.8496000", \
+					  "7.6830826, 7.8806712, 9.0029673, 15.9205110, 58.4372620, 320.8964800, 1938.3799000", \
+					  "7.7777225, 7.9597573, 9.0597156, 15.9992940, 58.5921780, 321.1777700, 1938.4217000", \
+					  "7.8401605, 8.0359683, 9.1478025, 16.0763900, 58.5565300, 321.2088600, 1938.1773000", \
+					  "7.9227220, 8.1004830, 9.2244852, 16.1078810, 58.6032880, 321.3153300, 1938.4233000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8785490, 12.2299890, 14.4596160, 28.1922090, 113.3770700, 637.9885300, 3872.2760000", \
+					  "11.8781690, 12.2383560, 14.4570330, 28.1658040, 113.2432700, 638.2161400, 3873.2323000", \
+					  "11.8782990, 12.2377230, 14.4621290, 28.1739910, 113.4908100, 637.1047300, 3872.9781000", \
+					  "11.8787490, 12.2377520, 14.4609360, 28.1737760, 113.0140700, 638.2716200, 3873.3251000", \
+					  "11.8535120, 12.2293060, 14.4606510, 28.1605250, 113.4725700, 637.5761900, 3872.5102000", \
+					  "11.8779320, 12.2336580, 14.4471250, 28.1696160, 113.4233000, 637.6150200, 3873.1860000", \
+					  "11.8783850, 12.2156520, 14.4365760, 28.1877400, 113.3703700, 637.8401200, 3873.6653000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.4919146, 7.6998949, 8.7989669, 15.7242570, 58.5249430, 322.2684200, 1945.2643000", \
+					  "7.6717006, 7.8780804, 8.9791135, 15.9066540, 58.6921620, 322.0296800, 1944.9346000", \
+					  "7.8581035, 8.0457010, 9.1735215, 16.0939880, 58.7718890, 322.5846400, 1944.8560000", \
+					  "8.0539930, 8.2611793, 9.3612282, 16.2825360, 59.0799300, 322.8534200, 1944.8942000", \
+					  "8.2309839, 8.4169232, 9.5407460, 16.4524820, 59.3254830, 322.9997400, 1945.9389000", \
+					  "8.4124663, 8.6166372, 9.7203356, 16.6250270, 59.4131890, 322.4308500, 1945.4938000", \
+					  "8.5793523, 8.7615231, 9.8897566, 16.7989110, 59.4793480, 323.3280900, 1946.3046000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7155670, 12.0743810, 14.3074530, 28.0675040, 113.6689000, 640.0502500, 3884.5769000", \
+					  "11.7152880, 12.0786220, 14.3090040, 28.0728380, 113.7004100, 639.8651100, 3884.3664000", \
+					  "11.6928720, 12.0780890, 14.2932860, 28.0720780, 113.5161200, 639.1093200, 3885.5178000", \
+					  "11.7156200, 12.0769010, 14.3082190, 28.0410930, 113.6869700, 639.7147000, 3884.6911000", \
+					  "11.7114070, 12.0759370, 14.3096020, 28.0488170, 113.2302600, 639.8947200, 3886.4678000", \
+					  "11.7132870, 12.0797920, 14.3099060, 28.0223330, 113.7274300, 639.9006900, 3885.6911000", \
+					  "11.7112660, 12.0730020, 14.3060160, 28.0250350, 113.7066500, 639.4353700, 3888.2104000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0832329, 2.1075044, 2.2555131, 3.1464107, 8.2704465, 16.5055600, 35.9718870", \
+					  "2.2314921, 2.2560567, 2.4038647, 3.2924514, 8.4186658, 16.6598110, 36.1227810", \
+					  "2.3500022, 2.3742868, 2.5225040, 3.4117289, 8.5368708, 16.7739330, 36.2480890", \
+					  "2.4446414, 2.4667779, 2.6165494, 3.5058469, 8.6291712, 16.8667360, 36.3224220", \
+					  "2.5232336, 2.5456515, 2.6961686, 3.5856456, 8.7101979, 16.9538340, 36.4140400", \
+					  "2.5968243, 2.6248204, 2.7665528, 3.6654679, 8.7819307, 17.0279380, 36.4790150", \
+					  "2.6719691, 2.6958469, 2.8442151, 3.7331320, 8.8591925, 17.0934470, 36.5563050");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5040760, 1.5494959, 1.8296886, 3.5751266, 7.9652054, 10.3037160, 28.2298840", \
+					  "1.5041773, 1.5477434, 1.8298411, 3.5759264, 7.9638891, 10.2974480, 28.2186810", \
+					  "1.5047538, 1.5488286, 1.8298584, 3.5755596, 7.9643341, 10.2984950, 28.2175740", \
+					  "1.5048164, 1.5495016, 1.8300361, 3.5753702, 7.9630578, 10.2981940, 28.1949410", \
+					  "1.5046620, 1.5483822, 1.8298955, 3.5760572, 7.9604918, 10.2966320, 28.2130820", \
+					  "1.5046790, 1.5483538, 1.8300419, 3.5731724, 7.9630427, 10.2941200, 28.2250790", \
+					  "1.5047276, 1.5500877, 1.8298787, 3.5715313, 7.9736173, 10.2843550, 28.1933460");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6436248, 1.6436249, 1.6436250, 1.6436251, 1.6462030, 1.6494568, 1.7745385", \
+					  "1.7894253, 1.7894254, 1.7956917, 1.7956918, 1.7956920, 1.7956921, 1.8981223", \
+					  "1.8962987, 1.8998045, 1.8998046, 1.8998047, 1.9045281, 1.9074744, 2.0078099", \
+					  "2.0002866, 2.0002867, 2.0002870, 2.0002872, 2.0002875, 2.0034496, 2.1240509", \
+					  "2.0821951, 2.0821952, 2.0821955, 2.0821957, 2.0821959, 2.0903119, 2.2041355", \
+					  "2.1501125, 2.1501126, 2.1501128, 2.1501130, 2.1502935, 2.1569297, 2.2549453", \
+					  "2.2195286, 2.2224005, 2.2238795, 2.2256206, 2.2256207, 2.2280049, 2.3227906");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0707267, 2.0839943, 2.1556938, 2.4209448, 3.3439798, 7.9234344, 25.8812920", \
+					  "2.2182316, 2.2318003, 2.3034659, 2.5693470, 3.4920684, 8.0695823, 26.0282160", \
+					  "2.3372804, 2.3511839, 2.4224185, 2.6874758, 3.6117394, 8.1872074, 26.1290260", \
+					  "2.4331153, 2.4465938, 2.5183204, 2.7831366, 3.7060501, 8.2832994, 26.2318950", \
+					  "2.5140927, 2.5274440, 2.5992246, 2.8641118, 3.7871555, 8.3644780, 26.3119680", \
+					  "2.5895981, 2.6030229, 2.6746914, 2.9397187, 3.8624610, 8.4415133, 26.3921150", \
+					  "2.6591274, 2.6725000, 2.7444893, 3.0094505, 3.9299173, 8.5077501, 26.4665230");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7599908, 0.7617700, 0.7714546, 0.7596296, 1.7374876, 7.0822863, 27.3093190", \
+					  "0.7596549, 0.7622215, 0.7717835, 0.7596774, 1.7365261, 7.0807791, 27.3262620", \
+					  "0.7595360, 0.7618603, 0.7714127, 0.7595943, 1.7347581, 7.0723942, 27.2922860", \
+					  "0.7597343, 0.7616800, 0.7720382, 0.7595514, 1.7373175, 7.0811788, 27.3413450", \
+					  "0.7599601, 0.7621120, 0.7720089, 0.7593783, 1.7369463, 7.0809674, 27.3224200", \
+					  "0.7595001, 0.7617411, 0.7715875, 0.7595897, 1.7358293, 7.0776194, 27.3593360", \
+					  "0.7597604, 0.7621212, 0.7727127, 0.7592754, 1.7373729, 7.0808968, 27.3182310");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.9983755, 2.0070201, 2.0582365, 2.3116875, 3.2879768, 5.9640768, 15.0169800", \
+					  "2.1795732, 2.1876931, 2.2396225, 2.4932301, 3.4689407, 6.1448424, 15.1984220", \
+					  "2.3677653, 2.3791333, 2.4274645, 2.6812583, 3.6600047, 6.3360464, 15.3862430", \
+					  "2.5637041, 2.5695726, 2.6234404, 2.8772329, 3.8504964, 6.5265362, 15.5822150", \
+					  "2.7409388, 2.7495404, 2.8006513, 3.0545957, 4.0305053, 6.7062009, 15.7599680", \
+					  "2.9147207, 2.9298661, 2.9744327, 3.2282377, 4.2105563, 6.8868200, 15.9331480", \
+					  "3.0883143, 3.0974459, 3.1479426, 3.4018584, 4.3785966, 7.0546298, 16.1066590");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4246917, 0.4301258, 0.4574992, 0.6422959, 1.4492842, 3.3852909, 13.2003050", \
+					  "0.4245881, 0.4293119, 0.4569062, 0.6414149, 1.4490323, 3.3846166, 13.2150520", \
+					  "0.4246990, 0.4293654, 0.4574785, 0.6421078, 1.4490494, 3.3847982, 13.2145650", \
+					  "0.4247277, 0.4293771, 0.4574208, 0.6421158, 1.4490367, 3.3847742, 13.1994700", \
+					  "0.4247000, 0.4290106, 0.4574437, 0.6420984, 1.4500099, 3.3841843, 13.2182130", \
+					  "0.4247034, 0.4293589, 0.4574227, 0.6421196, 1.4482785, 3.3849406, 13.2045660", \
+					  "0.4247139, 0.4290398, 0.4575767, 0.6420854, 1.4489497, 3.3841996, 13.2310180");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6646380, 1.6755530, 1.6755531, 1.6755532, 1.6755533, 1.6755534, 1.8047950", \
+					  "1.8164781, 1.8164782, 1.8164784, 1.8164785, 1.8164786, 1.8164787, 1.9333490", \
+					  "1.9275466, 1.9275467, 1.9275468, 1.9275470, 1.9296391, 1.9335891, 2.0393782", \
+					  "2.0207074, 2.0207075, 2.0232749, 2.0232751, 2.0232753, 2.0247908, 2.1265278", \
+					  "2.1033961, 2.1033963, 2.1033966, 2.1033968, 2.1033971, 2.1092930, 2.2190345", \
+					  "2.1859867, 2.1889481, 2.1889483, 2.1889486, 2.1889488, 2.1889490, 2.2920907", \
+					  "2.2522766, 2.2531286, 2.2531287, 2.2531289, 2.2531292, 2.2531294, 2.3589051");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6402348, 1.6583176, 1.6583177, 1.6583178, 1.6583179, 1.6583180, 1.8064078", \
+					  "1.7861014, 1.8083921, 1.8083922, 1.8083923, 1.8083924, 1.8083925, 1.8940427", \
+					  "1.9125750, 1.9125751, 1.9125752, 1.9138002, 1.9138003, 1.9222748, 2.0481257", \
+					  "1.9963675, 2.0139272, 2.0139274, 2.0198339, 2.0198340, 2.0198342, 2.1284920", \
+					  "2.0802804, 2.0981739, 2.0981740, 2.0981743, 2.0981745, 2.0981747, 2.2328224", \
+					  "2.1612185, 2.1612186, 2.1612188, 2.1612191, 2.1612193, 2.1616555, 2.2698021", \
+					  "2.2105928, 2.2105929, 2.2255324, 2.2268283, 2.2268285, 2.2268287, 2.3497713");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9423113, 2.9423115, 2.9423117, 2.9423119, 2.9423122, 2.9423124, 2.9423126", \
+					  "3.0912091, 3.0912093, 3.0912096, 3.0912098, 3.0912101, 3.0912103, 3.0912105", \
+					  "3.1590048, 3.1681047, 3.1681048, 3.1681051, 3.1681053, 3.1681055, 3.1681058", \
+					  "3.3043075, 3.3128580, 3.3128583, 3.3128585, 3.3128588, 3.3128590, 3.3128592", \
+					  "3.3845855, 3.3845858, 3.3845860, 3.3845863, 3.3845865, 3.3845867, 3.3845870", \
+					  "3.4235570, 3.4508025, 3.4508027, 3.4508030, 3.4508032, 3.4508034, 3.4508037", \
+					  "3.4952654, 3.4991772, 3.4991774, 3.4991776, 3.4991779, 3.4991781, 3.4991783");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1267497, 2.1409374, 2.2143112, 2.4825808, 3.3999172, 7.9745872, 25.9441750", \
+					  "2.3081004, 2.3218585, 2.3945270, 2.6639813, 3.5805026, 8.1562694, 26.1159560", \
+					  "2.4962017, 2.5086015, 2.5835631, 2.8523071, 3.7712047, 8.3462837, 26.3042650", \
+					  "2.6834461, 2.7004927, 2.7700229, 3.0440033, 3.9579207, 8.5362357, 26.4922980", \
+					  "2.8624929, 2.8780842, 2.9560110, 3.2239047, 4.1393009, 8.7156584, 26.6737900", \
+					  "3.0394793, 3.0535037, 3.1333087, 3.4027938, 4.3137072, 8.8882910, 26.8436310", \
+					  "3.1959135, 3.2188323, 3.2939098, 3.5716572, 4.4787516, 9.0560066, 27.0382790");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7788875, 0.7814427, 0.7945528, 0.7857151, 1.7347332, 7.0878299, 27.3389360", \
+					  "0.7791579, 0.7815071, 0.7945493, 0.7858890, 1.7374371, 7.0874751, 27.3593130", \
+					  "0.7790323, 0.7815044, 0.7945103, 0.7857523, 1.7368766, 7.0874937, 27.3592240", \
+					  "0.7791521, 0.7814522, 0.7945733, 0.7857715, 1.7350587, 7.0881274, 27.3171030", \
+					  "0.7793436, 0.7815196, 0.7945057, 0.7857874, 1.7369174, 7.0874449, 27.3379740", \
+					  "0.7790299, 0.7818160, 0.7935943, 0.7857568, 1.7367905, 7.0829032, 27.3583540", \
+					  "0.7789068, 0.7814954, 0.7945685, 0.7857844, 1.7376813, 7.0873676, 27.3450970");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6412370, 7.8249016, 8.9488008, 15.8661140, 58.6865810, 322.4727400, 1945.0943000", \
+					  "7.8199616, 8.0277980, 9.1286267, 16.0517930, 58.8703560, 322.1024400, 1945.0326000", \
+					  "8.0100238, 8.1899163, 9.3163726, 16.2394400, 59.0627000, 322.7123400, 1945.4146000", \
+					  "8.1947800, 8.3864569, 9.5098445, 16.4270130, 59.2534380, 322.5697500, 1945.7445000", \
+					  "8.3798524, 8.5604706, 9.6865040, 16.6114860, 59.2756810, 323.1667400, 1945.7900000", \
+					  "8.5498320, 8.7372269, 9.8644044, 16.7840760, 59.6120560, 323.0034100, 1945.7068000", \
+					  "8.7301331, 8.9089580, 10.0527460, 16.9680470, 59.8240960, 323.4637800, 1945.7345000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7156250, 12.0788390, 14.3109150, 28.0392220, 113.6499400, 639.0910100, 3886.9048000", \
+					  "11.7114110, 12.0809320, 14.3112010, 28.0792140, 113.6369200, 639.5714000, 3885.8302000", \
+					  "11.7164890, 12.0662510, 14.3087120, 28.0891310, 113.6376900, 639.0497400, 3886.0912000", \
+					  "11.7101370, 12.0787270, 14.3104300, 28.0374320, 113.6375000, 640.4700300, 3885.5642000", \
+					  "11.6985740, 12.0669470, 14.3108360, 28.0629530, 113.7192600, 640.0781000, 3885.4773000", \
+					  "11.7129100, 12.0648020, 14.3078750, 28.0891750, 113.6242100, 640.4351300, 3885.9489000", \
+					  "11.7130010, 12.0788270, 14.3125820, 28.0817980, 113.3112000, 639.4393500, 3886.9262000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1913241, 3.1924370, 3.1924371, 3.1924373, 3.1924376, 3.1924378, 3.1924381", \
+					  "3.3403411, 3.3427812, 3.3427815, 3.3427817, 3.3427820, 3.3427822, 3.3427824", \
+					  "3.4584817, 3.4605394, 3.4605395, 3.4605397, 3.4605400, 3.4605402, 3.4605405", \
+					  "3.5500223, 3.5500225, 3.5500228, 3.5500230, 3.5500232, 3.5500235, 3.5500237", \
+					  "3.6099765, 3.6170924, 3.6170925, 3.6170928, 3.6170930, 3.6170933, 3.6170935", \
+					  "3.7107291, 3.7128318, 3.7128319, 3.7128321, 3.7128324, 3.7128326, 3.7128329", \
+					  "3.7432031, 3.7461159, 3.7461161, 3.7461163, 3.7461166, 3.7461168, 3.7461170");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5983539, 1.5994974, 1.5994975, 1.5994977, 1.5994978, 1.6087355, 1.8429275", \
+					  "1.7459784, 1.7459785, 1.7459786, 1.7459787, 1.7459788, 1.7459789, 1.9208739", \
+					  "1.8550711, 1.8600013, 1.8600014, 1.8600016, 1.8600017, 1.8600018, 2.0191269", \
+					  "1.9465864, 1.9491082, 1.9496598, 1.9501982, 1.9501983, 1.9501984, 2.1094146", \
+					  "2.0276902, 2.0276903, 2.0367235, 2.0367238, 2.0367240, 2.0367243, 2.1678190", \
+					  "2.0920514, 2.1050868, 2.1050870, 2.1064526, 2.1064529, 2.1064531, 2.2595026", \
+					  "2.1661954, 2.1740652, 2.1745536, 2.1745538, 2.1745540, 2.1745543, 2.3175075");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4134978, 2.4380098, 2.5892350, 3.5085792, 7.9929681, 27.6128740, 67.9669580", \
+					  "2.5941527, 2.6174649, 2.7701923, 3.6901691, 8.1741014, 27.7909430, 68.1439690", \
+					  "2.7826852, 2.8080778, 2.9595946, 3.8777110, 8.3644709, 27.9812710, 68.3276440", \
+					  "2.9752352, 2.9998581, 3.1493139, 4.0703334, 8.5506517, 28.1730390, 68.5226170", \
+					  "3.1544964, 3.1799658, 3.3300784, 4.2504404, 8.7353351, 28.3490260, 68.7166020", \
+					  "3.3264156, 3.3477965, 3.4992951, 4.4244861, 8.9126377, 28.5253210, 68.9246280", \
+					  "3.4888767, 3.5239780, 3.6785082, 4.5963673, 9.0796606, 28.6881610, 69.0614360");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5247344, 1.5715492, 1.8545290, 3.2739897, 8.5707956, 31.0557060, 38.0694660", \
+					  "1.5251682, 1.5710934, 1.8552817, 3.2738723, 8.5717948, 31.0433990, 38.0556530", \
+					  "1.5251245, 1.5710929, 1.8555422, 3.2739829, 8.5736076, 31.0420380, 38.0226660", \
+					  "1.5249128, 1.5709105, 1.8550166, 3.2739917, 8.5774907, 31.0752740, 38.0186440", \
+					  "1.5251407, 1.5713811, 1.8555187, 3.2740008, 8.5709333, 31.0485470, 38.0280130", \
+					  "1.5249507, 1.5715748, 1.8547900, 3.2741279, 8.5704874, 31.0809330, 38.1147840", \
+					  "1.5248300, 1.5716252, 1.8552511, 3.2740013, 8.5702707, 31.0441630, 38.0275470");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6386156, 1.6417681, 1.6624214, 1.6624215, 1.6624216, 1.6624217, 1.8039503", \
+					  "1.7987180, 1.7987181, 1.7987182, 1.7987183, 1.7987184, 1.7987186, 1.9476332", \
+					  "1.9014572, 1.9117139, 1.9117141, 1.9117142, 1.9128887, 1.9170316, 2.0347708", \
+					  "1.9954924, 1.9954926, 1.9964761, 2.0156430, 2.0156431, 2.0156433, 2.1198867", \
+					  "2.0742750, 2.0747273, 2.0955437, 2.0955438, 2.0955440, 2.0955443, 2.2247819", \
+					  "2.1529651, 2.1601614, 2.1601617, 2.1610902, 2.1610903, 2.1682796, 2.3082714", \
+					  "2.2073077, 2.2214650, 2.2223210, 2.2284181, 2.2284183, 2.2284185, 2.3499267");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5974542, 1.5984978, 1.6002148, 1.6002149, 1.6002151, 1.6002152, 1.8283920", \
+					  "1.7411130, 1.7412060, 1.7432851, 1.7522225, 1.7522226, 1.7522228, 1.9363970", \
+					  "1.8601947, 1.8614207, 1.8614209, 1.8614210, 1.8614211, 1.8614212, 2.0341766", \
+					  "1.9468200, 1.9506003, 1.9506004, 1.9535638, 1.9535639, 1.9535640, 2.1103448", \
+					  "2.0245046, 2.0353497, 2.0353498, 2.0353500, 2.0353503, 2.0353505, 2.1885345", \
+					  "2.1063167, 2.1063170, 2.1063172, 2.1063175, 2.1063177, 2.1063179, 2.2423872", \
+					  "2.1713853, 2.1713855, 2.1713857, 2.1761637, 2.1761638, 2.1761641, 2.3219733");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("3.1888048, 3.1918188, 3.1918189, 3.1918191, 3.1918194, 3.1918196, 3.1918198", \
+					  "3.3393537, 3.3404730, 3.3404731, 3.3404733, 3.3404736, 3.3404738, 3.3404741", \
+					  "3.4560945, 3.4583374, 3.4583375, 3.4583377, 3.4583380, 3.4583382, 3.4583384", \
+					  "3.5487848, 3.5493575, 3.5493576, 3.5493578, 3.5493581, 3.5493583, 3.5493585", \
+					  "3.5986321, 3.5998744, 3.5998747, 3.5998749, 3.5998751, 3.5998754, 3.5998756", \
+					  "3.7096632, 3.7117456, 3.7117457, 3.7117459, 3.7117461, 3.7117464, 3.7117466", \
+					  "3.7440935, 3.7440936, 3.7527599, 3.7527601, 3.7527603, 3.7527606, 3.7527608");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.9423046, 2.9423048, 2.9423050, 2.9423053, 2.9423055, 2.9423057, 2.9423060", \
+					  "3.0914213, 3.0919503, 3.0919506, 3.0919508, 3.0919511, 3.0919513, 3.0919515", \
+					  "3.1664040, 3.1664042, 3.1674909, 3.1674911, 3.1674914, 3.1674916, 3.1674918", \
+					  "3.3052663, 3.3052665, 3.3052668, 3.3052670, 3.3052673, 3.3052675, 3.3052677", \
+					  "3.3848366, 3.3848368, 3.3848371, 3.3848373, 3.3848376, 3.3848378, 3.3848380", \
+					  "3.4377494, 3.4567609, 3.4567611, 3.4567613, 3.4567615, 3.4567618, 3.4567620", \
+					  "3.4997742, 3.4997744, 3.4997746, 3.4997749, 3.4997751, 3.4997753, 3.4997756");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0558955, 2.0698150, 2.1432847, 2.4114744, 3.3296337, 7.9041760, 25.8571210", \
+					  "2.2022444, 2.2165025, 2.2899067, 2.5592493, 3.4780874, 8.0524618, 26.0163020", \
+					  "2.3219651, 2.3365048, 2.4099205, 2.6776730, 3.5962173, 8.1704306, 26.1274110", \
+					  "2.4182087, 2.4307856, 2.5046978, 2.7740887, 3.6872556, 8.2643954, 26.2295710", \
+					  "2.4966524, 2.5098663, 2.5833646, 2.8533866, 3.7695931, 8.3448226, 26.3044450", \
+					  "2.5721214, 2.5831899, 2.6587679, 2.9273524, 3.8466852, 8.4213703, 26.3798550", \
+					  "2.6459162, 2.6583817, 2.7329119, 2.9982041, 3.9139190, 8.4928731, 26.4543460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7791337, 0.7815063, 0.7946014, 0.7858390, 1.7374491, 7.0867308, 27.3386310", \
+					  "0.7792222, 0.7816030, 0.7946146, 0.7858640, 1.7362138, 7.0872606, 27.3328990", \
+					  "0.7792078, 0.7814340, 0.7946034, 0.7859780, 1.7362860, 7.0871522, 27.3638710", \
+					  "0.7791919, 0.7815836, 0.7945927, 0.7859796, 1.7374557, 7.0875568, 27.3453460", \
+					  "0.7791056, 0.7814733, 0.7933322, 0.7859817, 1.7376250, 7.0866983, 27.3456690", \
+					  "0.7785304, 0.7815580, 0.7938443, 0.7840170, 1.7345979, 7.0887003, 27.3592690", \
+					  "0.7785441, 0.7812533, 0.7935018, 0.7860131, 1.7367953, 7.0874471, 27.3350280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.8828945, 1.8844259, 1.8844260, 1.8844261, 1.8863477, 1.8924620, 2.0453106", \
+					  "2.0325981, 2.0325982, 2.0328303, 2.0328304, 2.0328306, 2.0373319, 2.1522548", \
+					  "2.1640711, 2.1723106, 2.1723108, 2.1723110, 2.1723112, 2.1723115, 2.2371183", \
+					  "2.2439910, 2.2439911, 2.2439913, 2.2500017, 2.2500018, 2.2500021, 2.3578468", \
+					  "2.3206279, 2.3300968, 2.3300969, 2.3300971, 2.3300974, 2.3312521, 2.4348437", \
+					  "2.3891522, 2.3891525, 2.3920799, 2.3920800, 2.3938869, 2.4075442, 2.5116560", \
+					  "2.4429867, 2.4442322, 2.4499309, 2.4693496, 2.4693498, 2.4812263, 2.5861269");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1270339, 2.1409055, 2.2141557, 2.4825679, 3.4006400, 7.9757445, 25.9320830", \
+					  "2.3080347, 2.3223037, 2.3923379, 2.6632987, 3.5811571, 8.1561424, 26.1124620", \
+					  "2.4933331, 2.5112690, 2.5847848, 2.8521729, 3.7697450, 8.3442364, 26.3033950", \
+					  "2.6888169, 2.7003222, 2.7755203, 3.0445353, 3.9621577, 8.5380556, 26.4899050", \
+					  "2.8673082, 2.8771079, 2.9531879, 3.2203356, 4.1422186, 8.7167944, 26.6753710", \
+					  "3.0391487, 3.0537630, 3.1355130, 3.3983871, 4.3107224, 8.8872563, 26.8587850", \
+					  "3.2001277, 3.2192145, 3.3037810, 3.5727721, 4.4799817, 9.0500789, 27.0199220");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7793732, 0.7814613, 0.7935146, 0.7857071, 1.7370233, 7.0860833, 27.3206030", \
+					  "0.7790580, 0.7815346, 0.7945395, 0.7858954, 1.7375151, 7.0874417, 27.3652870", \
+					  "0.7789256, 0.7815668, 0.7936366, 0.7851917, 1.7369254, 7.0900423, 27.3589330", \
+					  "0.7791432, 0.7814996, 0.7938172, 0.7857836, 1.7369053, 7.0882180, 27.3327120", \
+					  "0.7791419, 0.7818209, 0.7944231, 0.7857817, 1.7374717, 7.0874187, 27.3616450", \
+					  "0.7790549, 0.7814834, 0.7944823, 0.7858857, 1.7367034, 7.0876420, 27.3324790", \
+					  "0.7795423, 0.7814617, 0.7935009, 0.7847258, 1.7376630, 7.0881283, 27.3581030");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1513575, 2.1602473, 2.2106958, 2.4631761, 3.4392866, 6.1246341, 15.1801580", \
+					  "2.3324314, 2.3413524, 2.3922142, 2.6442524, 3.6171361, 6.3057057, 15.3584900", \
+					  "2.5192413, 2.5287590, 2.5821800, 2.8316123, 3.8095762, 6.4913213, 15.5474100", \
+					  "2.7102180, 2.7202591, 2.7702014, 3.0201479, 4.0011172, 6.6864656, 15.7370050", \
+					  "2.8918503, 2.9016793, 2.9514609, 3.1994125, 4.1795500, 6.8644490, 15.9192210", \
+					  "3.0628200, 3.0757410, 3.1217808, 3.3762186, 4.3572168, 7.0368000, 16.0928180", \
+					  "3.2229384, 3.2462111, 3.2967664, 3.5407668, 4.5236366, 7.1964159, 16.2575800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4451736, 0.4489209, 0.4784644, 0.6556859, 1.4603098, 3.3913619, 13.2079390", \
+					  "0.4451520, 0.4489128, 0.4780252, 0.6556041, 1.4602555, 3.3915912, 13.2279320", \
+					  "0.4451984, 0.4485703, 0.4769660, 0.6555764, 1.4598138, 3.3839322, 13.2073850", \
+					  "0.4451980, 0.4489624, 0.4782320, 0.6554198, 1.4604726, 3.3912604, 13.2025760", \
+					  "0.4451705, 0.4489228, 0.4780412, 0.6561704, 1.4596212, 3.3853977, 13.2081530", \
+					  "0.4449306, 0.4488967, 0.4764693, 0.6556792, 1.4607029, 3.3900676, 13.2263060", \
+					  "0.4454273, 0.4489224, 0.4766134, 0.6553931, 1.4592816, 3.3907963, 13.2173720");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1544300, 2.1787896, 2.3268241, 3.2168579, 8.3418641, 16.5803480, 36.0247510", \
+					  "2.3323621, 2.3593916, 2.5077007, 3.3983532, 8.5224920, 16.7588220, 36.2155210", \
+					  "2.5251907, 2.5491036, 2.6970102, 3.5850469, 8.7114049, 16.9544770, 36.3979570", \
+					  "2.7161573, 2.7406757, 2.8821894, 3.7781356, 8.9039034, 17.1366600, 36.6078230", \
+					  "2.8936722, 2.9159148, 3.0682357, 3.9579815, 9.0796863, 17.3193370, 36.7839060", \
+					  "3.0671203, 3.0969192, 3.2369831, 4.1264618, 9.2569534, 17.4977930, 36.9494370", \
+					  "3.2259226, 3.2703609, 3.4166530, 4.3037405, 9.4302619, 17.6508960, 37.1195310");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5041971, 1.5487278, 1.8304301, 3.5737351, 7.9614058, 10.2989150, 28.1997150", \
+					  "1.5045677, 1.5475380, 1.8299701, 3.5758874, 7.9622753, 10.3058920, 28.2271970", \
+					  "1.5041811, 1.5491975, 1.8300808, 3.5746077, 7.9620603, 10.2957770, 28.2358300", \
+					  "1.5042012, 1.5500893, 1.8295422, 3.5739440, 7.9625222, 10.3018340, 28.2207890", \
+					  "1.5042211, 1.5493855, 1.8300937, 3.5747270, 7.9690299, 10.2945300, 28.2182490", \
+					  "1.5045643, 1.5492455, 1.8300527, 3.5740155, 7.9607467, 10.2955400, 28.2260630", \
+					  "1.5043816, 1.5493369, 1.8301003, 3.5761836, 7.9731866, 10.2931640, 28.2288540");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4237996, 2.4481965, 2.5994089, 3.5239085, 8.6788212, 16.6495280, 32.1470000", \
+					  "2.6019520, 2.6292513, 2.7795635, 3.7045984, 8.8597361, 16.8267870, 32.3276090", \
+					  "2.7958280, 2.8173506, 2.9671305, 3.8929455, 9.0496082, 17.0173690, 32.5189260", \
+					  "2.9826401, 3.0079614, 3.1584975, 4.0866688, 9.2371097, 17.2116720, 32.7124670", \
+					  "3.1649554, 3.1887899, 3.3401324, 4.2606172, 9.4195078, 17.3874570, 32.8824220", \
+					  "3.3356777, 3.3615724, 3.5191254, 4.4382995, 9.5921964, 17.5626660, 33.0619900", \
+					  "3.4958108, 3.5345755, 3.6809775, 4.6086264, 9.7572084, 17.7298230, 33.2319700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5178945, 1.5639864, 1.8551868, 3.6396262, 8.7180876, 9.4372474, 19.4105250", \
+					  "1.5178544, 1.5647929, 1.8552420, 3.6397447, 8.7168042, 9.4375361, 19.4215360", \
+					  "1.5200213, 1.5649430, 1.8550507, 3.6391536, 8.7182510, 9.4392716, 19.4112900", \
+					  "1.5194620, 1.5636024, 1.8542892, 3.6383609, 8.7166976, 9.4393640, 19.4159500", \
+					  "1.5205890, 1.5652135, 1.8539148, 3.6397154, 8.7160696, 9.4385673, 19.3931540", \
+					  "1.5178530, 1.5638065, 1.8536686, 3.6464034, 8.7156327, 9.4388115, 19.4281390", \
+					  "1.5189369, 1.5661979, 1.8542539, 3.6464096, 8.7194536, 9.4385459, 19.3932750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.6341497, 1.6536343, 1.6597450, 1.6597451, 1.6597452, 1.6597453, 1.8104002", \
+					  "1.7846692, 1.7867788, 1.7935710, 1.7935711, 1.7935712, 1.7947822, 1.9274691", \
+					  "1.9002153, 1.9259163, 1.9259164, 1.9259165, 1.9259167, 1.9259168, 1.9891941", \
+					  "1.9970747, 2.0051256, 2.0165827, 2.0165829, 2.0165831, 2.0165834, 2.0879671", \
+					  "2.0761468, 2.0761470, 2.0868811, 2.0868813, 2.0888111, 2.0915338, 2.2053661", \
+					  "2.1450468, 2.1502629, 2.1620412, 2.1620414, 2.1620416, 2.1620418, 2.2668349", \
+					  "2.2066637, 2.2111608, 2.2279623, 2.2279624, 2.2279627, 2.2279629, 2.3484883");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5987071, 1.5990869, 1.5990870, 1.5990871, 1.6051805, 1.6051806, 1.8408645", \
+					  "1.7413780, 1.7413781, 1.7413782, 1.7413783, 1.7413784, 1.7413785, 1.9300515", \
+					  "1.8566751, 1.8567204, 1.8579442, 1.8584370, 1.8584371, 1.8584372, 2.0189652", \
+					  "1.9491639, 1.9491640, 1.9491641, 1.9491643, 1.9491644, 1.9509772, 2.1124880", \
+					  "2.0268065, 2.0302164, 2.0305690, 2.0307689, 2.0307690, 2.0308291, 2.1787696", \
+					  "2.0943813, 2.0943815, 2.0943817, 2.0943820, 2.0953057, 2.0953059, 2.2537070", \
+					  "2.1731545, 2.1731548, 2.1731550, 2.1763364, 2.1763365, 2.1763367, 2.3249254");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5065472, 1.5065473, 1.5065474, 1.5065476, 1.5065477, 1.5065478, 1.7256604", \
+					  "1.6786492, 1.6786493, 1.6786494, 1.6786495, 1.6786497, 1.6786498, 1.8501078", \
+					  "1.8650861, 1.8650862, 1.8650863, 1.8650864, 1.8650865, 1.8650867, 1.9945585", \
+					  "2.0530750, 2.0541580, 2.0541581, 2.0552309, 2.0552310, 2.0552313, 2.1826541", \
+					  "2.2322295, 2.2326219, 2.2326221, 2.2326223, 2.2326226, 2.2326228, 2.3772999", \
+					  "2.4078883, 2.4082232, 2.4082233, 2.4082236, 2.4082238, 2.4082240, 2.5527207", \
+					  "2.5776872, 2.5776874, 2.5776877, 2.5776879, 2.5776881, 2.5776884, 2.7191096");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1375579, 2.1463766, 2.1983002, 2.4524998, 3.5123047, 9.0473611, 36.5349780", \
+					  "2.3187767, 2.3257809, 2.3788587, 2.6335598, 3.6897894, 9.2286134, 36.7249730", \
+					  "2.5063867, 2.5167828, 2.5681810, 2.8204175, 3.8827266, 9.4150323, 36.9070390", \
+					  "2.6999918, 2.7074722, 2.7602631, 3.0116867, 4.0736303, 9.6074304, 37.0990390", \
+					  "2.8741087, 2.8878029, 2.9355336, 3.1930416, 4.2522204, 9.7888604, 37.2800420", \
+					  "3.0576788, 3.0646898, 3.1154210, 3.3632312, 4.4307136, 9.9640349, 37.4386280", \
+					  "3.2177403, 3.2347702, 3.2858734, 3.5372743, 4.6022888, 10.1347580, 37.5981560");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.4505388, 0.4532967, 0.4830515, 0.6603764, 1.7590478, 8.7152889, 30.0407240", \
+					  "0.4498471, 0.4537234, 0.4826004, 0.6605462, 1.7596933, 8.7023899, 30.0462870", \
+					  "0.4497183, 0.4543836, 0.4824613, 0.6606247, 1.7614655, 8.7087875, 30.0103590", \
+					  "0.4507819, 0.4543871, 0.4830357, 0.6606025, 1.7592120, 8.7137666, 30.0432030", \
+					  "0.4503252, 0.4534512, 0.4830484, 0.6605566, 1.7610157, 8.7150118, 30.0396830", \
+					  "0.4504212, 0.4540892, 0.4818921, 0.6602702, 1.7610250, 8.6953609, 29.9835390", \
+					  "0.4498527, 0.4532784, 0.4823320, 0.6602637, 1.7585275, 8.7149887, 29.9922470");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5204141, 1.5210391, 1.5210392, 1.5210394, 1.5210395, 1.5210396, 1.7615651", \
+					  "1.6932137, 1.6932138, 1.6932139, 1.6934254, 1.6934255, 1.6934256, 1.8648345", \
+					  "1.8790256, 1.8790257, 1.8790258, 1.8790259, 1.8790260, 1.8790262, 2.0175432", \
+					  "2.0679800, 2.0679802, 2.0696223, 2.0696224, 2.0696227, 2.0696229, 2.2057711", \
+					  "2.2455757, 2.2458650, 2.2471367, 2.2471368, 2.2471370, 2.2471372, 2.3849802", \
+					  "2.4217801, 2.4227391, 2.4227392, 2.4227394, 2.4227397, 2.4238542, 2.5591269", \
+					  "2.5914439, 2.5914442, 2.5914444, 2.5914447, 2.5914449, 2.5914451, 2.7306366");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3947355, 7.5723818, 8.7041316, 15.6222640, 58.1195040, 320.6941100, 1938.2237000", \
+					  "7.5591835, 7.7572766, 8.8607610, 15.7653140, 58.2958960, 320.8226100, 1938.3281000", \
+					  "7.7592253, 7.9272615, 9.0483061, 15.9427600, 58.5792530, 321.1740400, 1938.6935000", \
+					  "7.9575688, 8.1392528, 9.2567003, 16.1355690, 58.7705740, 321.4694000, 1938.9599000", \
+					  "8.1357134, 8.3016261, 9.4335757, 16.3258600, 58.8752730, 321.4471200, 1939.0150000", \
+					  "8.3074134, 8.4888550, 9.5940935, 16.5365320, 59.0582370, 321.4550500, 1939.0422000", \
+					  "8.4570049, 8.6443732, 9.7788053, 16.6660020, 59.2217190, 322.0926600, 1939.8342000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8653850, 12.2433730, 14.4632760, 28.2004870, 113.4695400, 638.0528800, 3873.2655000", \
+					  "11.8678190, 12.2315490, 14.4482030, 28.1858950, 113.4691200, 638.1527000, 3873.3215000", \
+					  "11.8647230, 12.2379320, 14.4551000, 28.1943700, 113.4817700, 638.3112900, 3873.2419000", \
+					  "11.8712100, 12.2374790, 14.4402320, 28.1946320, 113.4817700, 638.2530800, 3873.1496000", \
+					  "11.8732640, 12.2401960, 14.4499390, 28.1927250, 113.4756200, 637.3131200, 3873.2996000", \
+					  "11.8796570, 12.2321540, 14.4538050, 28.1763250, 113.2973500, 637.2397400, 3873.3433000", \
+					  "11.8776060, 12.2376660, 14.4564430, 28.1806030, 113.4702400, 638.4434500, 3875.4856000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6404181, 7.8477083, 8.9478940, 15.8765320, 58.6541980, 322.4417100, 1945.0786000", \
+					  "7.8166451, 8.0286604, 9.1291561, 16.0543990, 58.7426530, 322.5434300, 1945.7109000", \
+					  "8.0365794, 8.2124538, 9.3173353, 16.2472150, 58.9181330, 322.4647300, 1945.5665000", \
+					  "8.2000557, 8.3767819, 9.5047357, 16.4285650, 59.2113030, 322.2234900, 1945.8216000", \
+					  "8.3804208, 8.5609724, 9.6834540, 16.6041850, 59.4130010, 323.1377800, 1945.6770000", \
+					  "8.5801224, 8.7331893, 9.8614521, 16.7938210, 59.5395730, 323.0139800, 1945.8869000", \
+					  "8.7498879, 8.8953502, 10.0246340, 16.9494850, 59.7241510, 323.4958400, 1945.8771000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7149760, 12.0796400, 14.3109690, 28.0810560, 113.7224600, 639.9532700, 3886.4910000", \
+					  "11.6982630, 12.0797090, 14.3109670, 28.0812640, 113.6291600, 639.1619000, 3884.7802000", \
+					  "11.7175850, 12.0800090, 14.3107450, 28.0802870, 113.7020900, 640.2930800, 3886.7906000", \
+					  "11.7094810, 12.0676540, 14.3109690, 28.0630720, 113.7061600, 639.6836600, 3884.6570000", \
+					  "11.7159420, 12.0723170, 14.3111570, 28.0730800, 113.6856800, 640.1506900, 3885.7202000", \
+					  "11.7170010, 12.0729720, 14.2994900, 28.0825940, 113.7324300, 640.2845000, 3886.8761000", \
+					  "11.7154670, 12.0708880, 14.2985250, 28.0746340, 113.7169000, 639.3185600, 3886.3814000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.0545758, 2.0682345, 2.1409630, 2.4084845, 3.3284639, 7.9146654, 25.8856670", \
+					  "2.2023141, 2.2160949, 2.2883513, 2.5559004, 3.4765018, 8.0625560, 26.0381640", \
+					  "2.3214395, 2.3350770, 2.4075597, 2.6753293, 3.5951304, 8.1816207, 26.1704330", \
+					  "2.4157083, 2.4293769, 2.5034348, 2.7696694, 3.6907486, 8.2777820, 26.2494670", \
+					  "2.4963398, 2.5100135, 2.5843143, 2.8502651, 3.7715724, 8.3591267, 26.3327490", \
+					  "2.5731666, 2.5859910, 2.6594884, 2.9264977, 3.8475525, 8.4340765, 26.4202760", \
+					  "2.6427964, 2.6528322, 2.7292280, 2.9931673, 3.9164956, 8.5039495, 26.4916920");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7740246, 0.7763885, 0.7894116, 0.7820409, 1.7429994, 7.1004647, 27.3669990", \
+					  "0.7743122, 0.7765016, 0.7881280, 0.7816437, 1.7418543, 7.1007382, 27.3312130", \
+					  "0.7739197, 0.7761623, 0.7893965, 0.7819041, 1.7413806, 7.1019235, 27.3624360", \
+					  "0.7736699, 0.7762950, 0.7893997, 0.7818557, 1.7414332, 7.1018094, 27.3262820", \
+					  "0.7741832, 0.7761567, 0.7893499, 0.7818907, 1.7422428, 7.0991502, 27.3438180", \
+					  "0.7731755, 0.7762066, 0.7879440, 0.7806426, 1.7442172, 7.1021167, 27.3574640", \
+					  "0.7731438, 0.7761233, 0.7893329, 0.7806416, 1.7417003, 7.0982638, 27.3620910");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.7736884, 7.9592644, 9.1326529, 16.2022450, 59.3592480, 322.4182300, 1945.7980000", \
+					  "7.9474889, 8.1431804, 9.2947134, 16.4056410, 59.4761640, 322.8476300, 1945.6500000", \
+					  "8.1442559, 8.3253671, 9.4871098, 16.5664730, 59.5817620, 323.1095300, 1945.8610000", \
+					  "8.3329015, 8.5235170, 9.6793995, 16.7556900, 59.8781400, 323.7525700, 1946.0379000", \
+					  "8.5243430, 8.7019734, 9.8559347, 16.9693080, 59.9691960, 323.3009000, 1946.2429000", \
+					  "8.6961736, 8.8817877, 10.0293680, 17.1255150, 60.1067430, 323.9818500, 1947.4110000", \
+					  "8.8640961, 9.0501615, 10.2153330, 17.2896120, 60.2510180, 324.3242800, 1946.5331000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7083160, 12.0659710, 14.3080240, 28.0943220, 113.3373100, 639.9412800, 3884.8325000", \
+					  "11.7126360, 12.0676620, 14.3152920, 28.1144730, 113.7286500, 639.9236600, 3885.5151000", \
+					  "11.7187030, 12.0809290, 14.3030800, 28.0881470, 113.3425300, 639.8048500, 3885.4828000", \
+					  "11.7015320, 12.0732990, 14.3001640, 28.0552770, 113.7520100, 639.2068000, 3885.5001000", \
+					  "11.7128430, 12.0838120, 14.3158000, 28.1068790, 113.5581700, 639.9301400, 3885.4741000", \
+					  "11.7076710, 12.0651670, 14.2729270, 28.0927350, 113.4696700, 640.1089100, 3884.5719000", \
+					  "11.7105120, 12.0665850, 14.2981150, 28.0915740, 113.3916600, 639.1148900, 3887.2795000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1544850, 2.1788227, 2.3268618, 3.2169460, 8.3423464, 16.5781420, 36.0283470", \
+					  "2.3340667, 2.3596045, 2.5077389, 3.3978649, 8.5209590, 16.7592550, 36.2244880", \
+					  "2.5218483, 2.5455704, 2.6969256, 3.5854488, 8.7074463, 16.9464890, 36.4136280", \
+					  "2.7156599, 2.7410916, 2.8885068, 3.7766634, 8.8979908, 17.1413480, 36.5994240", \
+					  "2.8911948, 2.9189058, 3.0677583, 3.9582484, 9.0793177, 17.3132580, 36.7716630", \
+					  "3.0678682, 3.0878620, 3.2382883, 4.1355688, 9.2521679, 17.4876240, 36.9546740", \
+					  "3.2342766, 3.2657013, 3.3997267, 4.2956176, 9.4115409, 17.6597210, 37.1064600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5044134, 1.5492893, 1.8303062, 3.5741770, 7.9625044, 10.3059710, 28.1943250", \
+					  "1.5019148, 1.5478980, 1.8300320, 3.5727759, 7.9663094, 10.3054440, 28.2183360", \
+					  "1.5045064, 1.5486482, 1.8303206, 3.5746814, 7.9620319, 10.3037250, 28.2090390", \
+					  "1.5040916, 1.5486266, 1.8300180, 3.5727103, 7.9697511, 10.2882340, 28.1993770", \
+					  "1.5042010, 1.5478546, 1.8300206, 3.5747305, 7.9730453, 10.3053810, 28.1960890", \
+					  "1.5022569, 1.5495353, 1.8300150, 3.5711656, 7.9621918, 10.3095130, 28.2070260", \
+					  "1.5041867, 1.5492470, 1.8300786, 3.5757741, 7.9640028, 10.2856020, 28.2322650");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6387785, 7.8247906, 8.9493657, 15.8693450, 58.7300240, 322.3861700, 1945.0674000", \
+					  "7.8226234, 8.0244700, 9.1255803, 16.0559490, 58.8238400, 322.2071700, 1945.1395000", \
+					  "8.0090743, 8.1928961, 9.3178745, 16.2391360, 59.0603620, 322.8071500, 1945.4026000", \
+					  "8.1982803, 8.3812957, 9.5068371, 16.4303840, 59.2981270, 322.6449200, 1945.3521000", \
+					  "8.4099572, 8.5613054, 9.6875175, 16.6117470, 59.4389140, 323.1611500, 1945.7450000", \
+					  "8.5699767, 8.7283514, 9.8545847, 16.7840410, 59.6076010, 322.9537800, 1945.8967000", \
+					  "8.7501055, 8.9058130, 10.0311320, 16.9517020, 59.7557560, 323.1907600, 1945.9858000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7111810, 12.0787960, 14.3070040, 28.0465160, 113.2945300, 639.4408300, 3886.0271000", \
+					  "11.7159540, 12.0811310, 14.3077230, 28.0883760, 113.7413900, 640.0641200, 3886.3677000", \
+					  "11.7140820, 12.0767240, 14.3102100, 28.0827860, 113.6266300, 640.0504700, 3886.9061000", \
+					  "11.7122830, 12.0690250, 14.3073520, 28.0810450, 113.2165000, 640.4789600, 3885.9844000", \
+					  "11.7090560, 12.0673630, 14.3079230, 28.0624130, 113.6434800, 639.9524200, 3886.2754000", \
+					  "11.7171150, 12.0664920, 14.3073900, 28.0873850, 113.6184900, 640.4436000, 3886.5533000", \
+					  "11.7075320, 12.0677010, 14.3075050, 28.0811890, 113.6563800, 639.3922300, 3885.2090000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3972015, 7.5760793, 8.6840783, 15.6223500, 58.0916120, 320.8633100, 1938.2244000", \
+					  "7.5625373, 7.7543962, 8.8697885, 15.7589850, 58.2780440, 320.9586900, 1939.0664000", \
+					  "7.7496791, 7.9389064, 9.0712762, 15.9547420, 58.5804610, 321.2719000, 1938.6521000", \
+					  "7.9560536, 8.1235267, 9.2513393, 16.1440800, 58.7008100, 321.4033800, 1938.8749000", \
+					  "8.1200353, 8.3065306, 9.4379731, 16.3141390, 58.8888160, 321.6742400, 1939.0286000", \
+					  "8.3124743, 8.4788443, 9.6138217, 16.5059200, 59.1180400, 321.7796200, 1939.0320000", \
+					  "8.4703125, 8.6509910, 9.7799928, 16.6675850, 59.1983780, 321.9143100, 1941.5859000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8784890, 12.2234470, 14.4711430, 28.2006280, 113.2438600, 637.9871100, 3873.2737000", \
+					  "11.8800710, 12.2436020, 14.4620150, 28.1955450, 113.4458700, 637.8590500, 3872.4183000", \
+					  "11.8790590, 12.2436080, 14.4590050, 28.1975630, 113.4351100, 637.7985600, 3873.3483000", \
+					  "11.8511880, 12.2246680, 14.4609260, 28.1994290, 113.0465400, 637.9053200, 3873.3956000", \
+					  "11.8715220, 12.2424500, 14.4577420, 28.1987610, 113.1800600, 637.3659900, 3873.3479000", \
+					  "11.8788630, 12.2358720, 14.4587990, 28.1743960, 113.4816700, 637.9968900, 3873.3165000", \
+					  "11.8674910, 12.2390340, 14.4580940, 28.1852160, 113.4588800, 638.0163900, 3872.2437000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.4244549, 2.4488962, 2.6012761, 3.5193382, 8.6793683, 16.6499560, 32.1485350", \
+					  "2.6056117, 2.6283049, 2.7822900, 3.7007621, 8.8599208, 16.8291940, 32.3294460", \
+					  "2.7927194, 2.8195937, 2.9721310, 3.8909382, 9.0498986, 17.0198380, 32.5150930", \
+					  "2.9809570, 3.0070866, 3.1617013, 4.0855679, 9.2410675, 17.2059610, 32.7102540", \
+					  "3.1675225, 3.1820115, 3.3425065, 4.2604067, 9.4207966, 17.3903310, 32.8867600", \
+					  "3.3443839, 3.3607763, 3.5102816, 4.4417612, 9.5805008, 17.5676900, 33.0561600", \
+					  "3.5081518, 3.5244909, 3.6696823, 4.6159649, 9.7699182, 17.7374220, 33.2386850");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5189413, 1.5642322, 1.8507399, 3.6459502, 8.7161540, 9.4361878, 19.4111020", \
+					  "1.5191296, 1.5667377, 1.8505845, 3.6451295, 8.7148724, 9.4386445, 19.4261230", \
+					  "1.5201078, 1.5649211, 1.8534888, 3.6389258, 8.7151975, 9.4393027, 19.4079220", \
+					  "1.5197827, 1.5629915, 1.8503734, 3.6462775, 8.7151379, 9.4393586, 19.4161450", \
+					  "1.5188197, 1.5667353, 1.8508749, 3.6460330, 8.7168273, 9.4381596, 19.4249100", \
+					  "1.5202621, 1.5642355, 1.8530852, 3.6454193, 8.7149958, 9.4388533, 19.4137440", \
+					  "1.5201770, 1.5659696, 1.8538260, 3.6465559, 8.7165501, 9.4435828, 19.4643430");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1270661, 2.1409510, 2.2144684, 2.4828131, 3.3999425, 7.9761930, 25.9316600", \
+					  "2.3083486, 2.3216569, 2.3959754, 2.6639546, 3.5815719, 8.1565510, 26.1156110", \
+					  "2.4975100, 2.5113713, 2.5836659, 2.8540119, 3.7666195, 8.3428127, 26.3029720", \
+					  "2.6845013, 2.6994127, 2.7692959, 3.0403136, 3.9622310, 8.5332710, 26.4910580", \
+					  "2.8682887, 2.8812481, 2.9555425, 3.2234033, 4.1415080, 8.7160261, 26.6747900", \
+					  "3.0350553, 3.0524556, 3.1328016, 3.3976808, 4.3127919, 8.8859728, 26.8520320", \
+					  "3.2115073, 3.2295340, 3.2974001, 3.5746335, 4.4738879, 9.0450518, 27.0201480");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("0.7788225, 0.7817308, 0.7935010, 0.7844062, 1.7337239, 7.0849788, 27.3206220", \
+					  "0.7791501, 0.7815122, 0.7944990, 0.7858744, 1.7358716, 7.0885764, 27.3611710", \
+					  "0.7791535, 0.7818084, 0.7945641, 0.7857950, 1.7376447, 7.0882851, 27.3159270", \
+					  "0.7790302, 0.7814353, 0.7945018, 0.7857709, 1.7368885, 7.0832438, 27.3275320", \
+					  "0.7790602, 0.7818037, 0.7945719, 0.7857628, 1.7370699, 7.0879089, 27.3187800", \
+					  "0.7791041, 0.7818050, 0.7945629, 0.7857713, 1.7359795, 7.0877566, 27.3230720", \
+					  "0.7788902, 0.7814496, 0.7943733, 0.7856676, 1.7376780, 7.0878650, 27.3252620");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.3844788, 7.5723605, 8.7008516, 15.6183070, 58.1237140, 320.5688000, 1938.2542000", \
+					  "7.5736395, 7.7579534, 8.8731174, 15.7658220, 58.2831280, 320.8100500, 1938.7019000", \
+					  "7.7649087, 7.9299562, 9.0524548, 15.9535870, 58.5480930, 321.1238800, 1938.6539000", \
+					  "7.9545242, 8.1405487, 9.2440926, 16.1454480, 58.6864600, 321.2927600, 1940.5286000", \
+					  "8.1204430, 8.3010168, 9.4244772, 16.3230570, 58.8339550, 321.6380500, 1938.8842000", \
+					  "8.2991409, 8.4786898, 9.5869588, 16.4987260, 59.1080500, 321.4616100, 1939.1864000", \
+					  "8.4802325, 8.6458982, 9.7753299, 16.6660430, 59.2740150, 321.6175300, 1939.7226000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8783600, 12.2433390, 14.4669460, 28.1467670, 113.4704200, 637.8671700, 3873.3410000", \
+					  "11.8630370, 12.2126180, 14.4515040, 28.1889180, 113.4393600, 638.1470700, 3873.5516000", \
+					  "11.8734790, 12.2330990, 14.4589490, 28.1856170, 113.4991500, 637.5463500, 3873.3397000", \
+					  "11.8765690, 12.2401270, 14.4510980, 28.1855070, 113.4578700, 638.0454400, 3873.6930000", \
+					  "11.8738200, 12.2310800, 14.4484520, 28.1852040, 113.4496000, 638.2507500, 3873.3178000", \
+					  "11.8729740, 12.2400940, 14.4543180, 28.1854430, 113.4724100, 637.2216600, 3873.2283000", \
+					  "11.8764990, 12.2316830, 14.4502960, 28.1805100, 113.4822700, 637.6252500, 3874.5102000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.6403861, 7.8479179, 8.9480512, 15.8763740, 58.5085010, 322.4388900, 1944.6456000", \
+					  "7.8236360, 8.0197333, 9.1259373, 16.0399910, 58.7340420, 322.5888700, 1945.3560000", \
+					  "8.0123552, 8.1905673, 9.3191996, 16.2358750, 58.8949550, 322.7432000, 1945.4105000", \
+					  "8.2012956, 8.3799758, 9.5105346, 16.4384190, 59.2213640, 322.9974400, 1945.1429000", \
+					  "8.3828340, 8.5622531, 9.6785309, 16.6090500, 59.4006800, 322.8312000, 1945.6679000", \
+					  "8.5511660, 8.7511136, 9.8609627, 16.7876930, 59.5636460, 323.0076700, 1945.7293000", \
+					  "8.7374126, 8.8895673, 10.0227360, 16.9485280, 59.7448770, 323.1738500, 1945.8946000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.7148620, 12.0795130, 14.3109360, 28.0839990, 113.3182800, 639.9848800, 3884.4723000", \
+					  "11.7171520, 12.0775890, 14.3101470, 28.0674900, 113.6903500, 639.2745600, 3884.8398000", \
+					  "11.7163680, 12.0702980, 14.3102980, 28.0854200, 113.3813800, 639.2007200, 3888.7633000", \
+					  "11.7171150, 12.0748710, 14.2884070, 28.0821480, 113.7126900, 639.9870900, 3887.1267000", \
+					  "11.7170940, 12.0747050, 14.3009430, 28.0665520, 113.6961200, 640.2289000, 3885.6451000", \
+					  "11.7117300, 12.0781570, 14.3006630, 28.0834060, 113.7087100, 640.2993300, 3886.0139000", \
+					  "11.7177330, 12.0704170, 14.2967900, 28.0829240, 113.7135800, 640.3040500, 3886.0794000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.1086428, 2.1330904, 2.2829543, 3.1826044, 8.3249843, 16.5357430, 35.9835500", \
+					  "2.2560240, 2.2806019, 2.4303650, 3.3314269, 8.4732275, 16.6816620, 36.1260280", \
+					  "2.3756563, 2.4004194, 2.5501596, 3.4499608, 8.5921776, 16.8060340, 36.2526650", \
+					  "2.4696571, 2.4943270, 2.6441391, 3.5455872, 8.6865368, 16.8969940, 36.3416040", \
+					  "2.5506108, 2.5751896, 2.7249134, 3.6265027, 8.7665555, 16.9813120, 36.4197770", \
+					  "2.6267108, 2.6512892, 2.8010294, 3.7013692, 8.8416900, 17.0582910, 36.5051240", \
+					  "2.6932416, 2.7178306, 2.8675402, 3.7718886, 8.9080361, 17.1281250, 36.5733470");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5032027, 1.5495792, 1.8298775, 3.5803656, 7.9248350, 10.2246630, 28.2075000", \
+					  "1.5046905, 1.5491988, 1.8302042, 3.5781467, 7.9220870, 10.2555680, 28.1977750", \
+					  "1.5032261, 1.5479819, 1.8297373, 3.5714720, 7.9150171, 10.2315930, 28.1875550", \
+					  "1.5052950, 1.5554823, 1.8351634, 3.5788155, 7.9188425, 10.2512360, 28.1970290", \
+					  "1.5075862, 1.5524870, 1.8340637, 3.5757726, 7.9250952, 10.2612360, 28.2156880", \
+					  "1.5036128, 1.5485940, 1.8281178, 3.5726688, 7.9174569, 10.2651700, 28.2217630", \
+					  "1.5022136, 1.5488326, 1.8294887, 3.5718029, 7.9236377, 10.2698610, 28.2174940");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2975952, 2.3235172, 2.4792548, 3.4195811, 8.5986933, 16.5015570, 31.9881580", \
+					  "2.4788576, 2.5051479, 2.6607494, 3.6028620, 8.7811589, 16.6843230, 32.1641520", \
+					  "2.6699682, 2.6927922, 2.8481364, 3.7873544, 8.9688719, 16.8752350, 32.3582730", \
+					  "2.8600649, 2.8881721, 3.0434089, 3.9876591, 9.1645234, 17.0685690, 32.5502220", \
+					  "3.0406710, 3.0658338, 3.2213292, 4.1644292, 9.3421386, 17.2437030, 32.7285580", \
+					  "3.2206569, 3.2398981, 3.3954981, 4.3367976, 9.5149968, 17.4179980, 32.9096000", \
+					  "3.3888594, 3.4132097, 3.5691516, 4.5089434, 9.6888497, 17.5915440, 33.0780350");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5164084, 1.5649024, 1.8512064, 3.6429477, 8.6622096, 9.4103196, 19.3957210", \
+					  "1.5208764, 1.5647911, 1.8525669, 3.6488554, 8.6638305, 9.4129922, 19.3906780", \
+					  "1.5198973, 1.5675853, 1.8557424, 3.6477052, 8.6618277, 9.4173513, 19.4056310", \
+					  "1.5163697, 1.5668584, 1.8545835, 3.6451449, 8.6614174, 9.4114787, 19.4122440", \
+					  "1.5209282, 1.5644775, 1.8522214, 3.6511174, 8.6621661, 9.4161789, 19.3866790", \
+					  "1.5183161, 1.5659013, 1.8517970, 3.6437329, 8.6607352, 9.4130490, 19.4020000", \
+					  "1.5190501, 1.5644731, 1.8518841, 3.6452157, 8.6604217, 9.4129042, 19.4511800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("7.5060971, 7.6879179, 8.8300682, 15.8003390, 58.6505280, 321.4607300, 1939.1450000", \
+					  "7.6556237, 7.8352240, 8.9708525, 15.9592520, 58.8380150, 321.5874400, 1939.2676000", \
+					  "7.7801019, 7.9576123, 9.0866878, 16.0920290, 58.9070480, 321.6372300, 1939.4049000", \
+					  "7.8662801, 8.0517983, 9.1943706, 16.1769960, 59.0900870, 321.7462000, 1939.5102000", \
+					  "7.9545668, 8.1336617, 9.2620356, 16.2688710, 59.1128920, 321.7601500, 1939.6487000", \
+					  "8.0287199, 8.2112752, 9.3576107, 16.3268970, 59.1821770, 322.1952100, 1940.2421000", \
+					  "8.1030400, 8.2911376, 9.4078834, 16.4144540, 59.2224080, 321.8316400, 1941.3917000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("11.8964460, 12.2467840, 14.4560660, 28.1869340, 113.3875700, 637.6880000, 3873.0091000", \
+					  "11.8740940, 12.2409350, 14.5070540, 28.2153860, 113.3340800, 637.9260600, 3873.0091000", \
+					  "11.8670690, 12.2369320, 14.5372760, 28.1709500, 113.4162700, 637.7960600, 3873.0118000", \
+					  "11.8907040, 12.2979800, 14.4621000, 28.2146680, 113.4727400, 637.8185200, 3873.0118000", \
+					  "11.8700680, 12.2345170, 14.5297130, 28.1786330, 113.2855500, 637.8445500, 3872.9413000", \
+					  "11.8748600, 12.2403430, 14.4365750, 28.1697400, 113.2338600, 637.6361100, 3871.9181000", \
+					  "11.8623910, 12.2176980, 14.4550380, 28.1451410, 113.4337900, 637.5477100, 3874.0709000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("2.2579927, 2.2832778, 2.4349347, 3.3531047, 8.5117149, 16.4826200, 31.9786400", \
+					  "2.4392639, 2.4645557, 2.6156886, 3.5342933, 8.6924974, 16.6635190, 32.1594800", \
+					  "2.6274594, 2.6526485, 2.8069183, 3.7229979, 8.8836147, 16.8543190, 32.3471130", \
+					  "2.8229850, 2.8475791, 2.9974481, 3.9229393, 9.0742338, 17.0449250, 32.5422250", \
+					  "3.0001399, 3.0250067, 3.1773189, 4.0972252, 9.2544070, 17.2216550, 32.7192920", \
+					  "3.1745893, 3.1996423, 3.3577889, 4.2703978, 9.4348138, 17.4024940, 32.8949130", \
+					  "3.3482945, 3.3733123, 3.5256744, 4.4468438, 9.6027710, 17.5741860, 33.0674970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.0578, 1.10947, 1.42809, 3.39301, 15.5102, 90.2349, 551.048");
+					values("1.5195627, 1.5672185, 1.8512789, 3.6454246, 8.7126137, 9.4441575, 19.4086630", \
+					  "1.5195164, 1.5671876, 1.8510669, 3.6456949, 8.7126217, 9.4435659, 19.4084460", \
+					  "1.5200471, 1.5672865, 1.8515162, 3.6432199, 8.7124201, 9.4419859, 19.3918020", \
+					  "1.5196868, 1.5642087, 1.8511909, 3.6429182, 8.7126155, 9.4438750, 19.4361790", \
+					  "1.5189806, 1.5647789, 1.8541095, 3.6459966, 8.7129193, 9.4360901, 19.4293880", \
+					  "1.5206674, 1.5671864, 1.8539362, 3.6450707, 8.7132559, 9.4355030, 19.4140380", \
+					  "1.5208964, 1.5664907, 1.8543458, 3.6471091, 8.7137515, 9.4414911, 19.4103130");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.0483957, -9.1231155, -9.1978352, -9.1933477, -9.1890397, -9.1845522, -9.1800647");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("9.3287262, 9.3954133, 9.4621004, 9.4536524, 9.4455423, 9.4370942, 9.4286462");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.140587;
+			capacitance : 0.140697;
+			fall_capacitance : 0.140806;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3625514, -0.3565705, -0.3505895, -0.3510892, -0.3515689, -0.3520686, -0.3525683");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3617596, 0.3560523, 0.3503451, 0.3515794, 0.3527644, 0.3539987, 0.3552330");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.133723;
+			capacitance : 0.133642;
+			fall_capacitance : 0.133561;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3629441, -0.3554140, -0.3478838, -0.3487219, -0.3495264, -0.3503644, -0.3512025");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3611995, 0.3548340, 0.3484683, 0.3500038, 0.3514777, 0.3530131, 0.3545485");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p60v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v40_1v65.lib
new file mode 100644
index 0000000..60e2553
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v40_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ss_100C_1v40_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ss_1p40v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.896210e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.2160000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "106.0240000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "174.1930000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.0390000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.6210000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.6100000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.6120000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.7870000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.0410000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "171.5810000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "186.2080000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.5150000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.8430000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "173.0290000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "171.6740000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "188.9440000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "189.2720000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "188.4580000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006353;
+			capacitance : 0.006185;
+			fall_capacitance : 0.006018;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7267017, 3.2611596, 2.9486320, 2.6825200, 2.4687905, 2.2453304, 2.0663809", \
+					  "4.0707342, 3.6200310, 3.2851571, 3.0546826, 2.8207703, 2.6119445, 2.4212226", \
+					  "4.4434574, 3.9826753, 3.6562249, 3.3975501, 3.1529830, 2.9645755, 2.7863026", \
+					  "4.7274693, 4.2666797, 3.9613324, 3.6743551, 3.4390586, 3.2511583, 3.0566303", \
+					  "4.9609501, 4.4898168, 4.1773774, 3.9024209, 3.6655508, 3.4796728, 3.2799037", \
+					  "5.1640845, 4.7132731, 4.3554896, 4.1102262, 3.9241977, 3.6874608, 3.5235162", \
+					  "5.3337444, 4.8896379, 4.5570137, 4.2986355, 4.1104216, 3.8847914, 3.7282908");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4200050, 2.8762473, 2.3295002, 1.8549086, 1.4327185, 1.0261531, 0.6409500", \
+					  "3.7655561, 3.2159327, 2.6764198, 2.1962321, 1.7867482, 1.3970200, 1.0409014", \
+					  "4.1367774, 3.5871653, 3.0455263, 2.5288088, 2.1343705, 1.7431736, 1.3641680", \
+					  "4.4207924, 3.8763009, 3.3311370, 2.8168921, 2.4339686, 2.0298439, 1.6544072", \
+					  "4.6542768, 4.1103088, 3.5649153, 3.0446026, 2.6509522, 2.2474385, 1.8692148", \
+					  "4.8684184, 4.3264657, 3.7767574, 3.2984247, 2.8793013, 2.4728673, 2.0958469", \
+					  "5.0435943, 4.4905171, 3.9380457, 3.5032295, 3.0667822, 2.6898488, 2.3077498");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0803066, -2.6630187, -2.3750250, -2.1245829, -1.8790598, -1.6782806, -1.5021228", \
+					  "-3.4273621, -3.0135711, -2.7587545, -2.4441337, -2.2536073, -2.0314061, -1.8562971", \
+					  "-3.8017151, -3.3852694, -3.1271056, -2.8432360, -2.5853015, -2.3908333, -2.2214348", \
+					  "-4.0872671, -3.6684533, -3.3851292, -3.0426197, -2.8742346, -2.6599151, -2.4687227", \
+					  "-4.3177153, -3.9223826, -3.6098286, -3.3279543, -3.0884030, -2.9178770, -2.7600299", \
+					  "-4.5333308, -4.1297758, -3.8365242, -3.5345859, -3.2910514, -3.1409070, -2.9664404", \
+					  "-4.7464425, -4.3010379, -4.0068386, -3.7600067, -3.4845093, -3.3328215, -3.1158513");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2634175, -2.7258080, -2.1969441, -1.7240376, -1.2578196, -0.8817718, -0.5408191", \
+					  "-3.6119987, -3.0545994, -2.5635520, -2.0869268, -1.6429436, -1.2430562, -0.9142662", \
+					  "-3.9863519, -3.4332552, -2.9320887, -2.4388444, -1.9993642, -1.6115296, -1.2539543", \
+					  "-4.2703780, -3.7312577, -3.2188023, -2.7141267, -2.2584614, -1.9057697, -1.5063804", \
+					  "-4.5023516, -3.9690915, -3.4436789, -2.9501694, -2.5080972, -2.1274717, -1.7424356", \
+					  "-4.7179675, -4.1801298, -3.6499681, -3.1698252, -2.7442073, -2.3437454, -1.9654911", \
+					  "-4.9036137, -4.3262080, -3.8695204, -3.3599314, -2.9292603, -2.5448789, -2.1148773");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113722, 0.0168485, 0.0223249, 0.0222233, 0.0221206, 0.0220191, 0.0219175");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160932, 0.0217343, 0.0273755, 0.0272983, 0.0272201, 0.0271429, 0.0270657");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004266;
+			capacitance : 0.004403;
+			rise_capacitance : 0.004541;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1398221, -2.6640341, -2.3290824, -2.0467243, -1.7969822, -1.5821501, -1.3815784", \
+					  "-3.4884031, -3.0142941, -2.7004771, -2.3876758, -2.1576989, -1.9559500, -1.7678214", \
+					  "-3.8612320, -3.3877092, -3.0770932, -2.8101407, -2.4952748, -2.2929935, -2.1231230", \
+					  "-4.1467840, -3.6762419, -3.3493070, -3.0332401, -2.7985589, -2.5803490, -2.3901645", \
+					  "-4.3787584, -3.9046639, -3.5548988, -3.2796636, -3.0155471, -2.7992406, -2.6181232", \
+					  "-4.5943731, -4.1218604, -3.7777445, -3.5010165, -3.2267779, -3.0471754, -2.8481295", \
+					  "-4.7586523, -4.2973751, -3.9506098, -3.7293437, -3.4167366, -3.2397429, -3.0330678");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1337055, -2.6062079, -2.0655135, -1.5522171, -1.1174388, -0.7200286, -0.3378772", \
+					  "-3.4822869, -2.9557956, -2.4184401, -1.9354233, -1.4828220, -1.0771001, -0.7027984", \
+					  "-3.8551137, -3.3265468, -2.7863305, -2.2800446, -1.8359255, -1.4432626, -0.9954984", \
+					  "-4.1406662, -3.6098979, -3.0635236, -2.5343538, -2.1323685, -1.7196215, -1.3525981", \
+					  "-4.3711139, -3.8445005, -3.3102440, -2.8040914, -2.3585611, -1.9126937, -1.5674237", \
+					  "-4.5882553, -4.0656729, -3.5141649, -2.9949642, -2.5580499, -2.1431341, -1.7507264", \
+					  "-4.7647461, -4.2428840, -3.7193963, -3.2169007, -2.7811351, -2.3837250, -1.9809900");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6473620, 3.1758978, 2.8381491, 2.5469374, 2.3055212, 2.0942684, 1.8936966", \
+					  "3.9929129, 3.5266057, 3.1812604, 2.8960572, 2.6867404, 2.4761842, 2.2417046", \
+					  "4.3656601, 3.9009629, 3.5540074, 3.2802654, 3.0165477, 2.7842697, 2.6041871", \
+					  "4.6496751, 4.1844952, 3.8282531, 3.5642065, 3.2989536, 3.0909409, 2.9010503", \
+					  "4.8816336, 4.4170901, 4.0616442, 3.7763284, 3.5509596, 3.3231833, 3.1134562", \
+					  "5.0957752, 4.6267761, 4.2859541, 3.9859807, 3.7626633, 3.5434871, 3.3007379", \
+					  "5.2694265, 4.8048848, 4.4531963, 4.1817503, 3.9476292, 3.7433170, 3.5481918");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3421726, 2.7933865, 2.2278619, 1.7358854, 1.2603001, 0.8613641, 0.4609022", \
+					  "3.6866375, 3.1332457, 2.5591385, 2.0929694, 1.6111423, 1.2101612, 0.8235306", \
+					  "4.0573769, 3.5054859, 2.9407170, 2.4408069, 1.9810114, 1.5644413, 1.1496374", \
+					  "4.3413351, 3.7938304, 3.2154098, 2.7233427, 2.2687259, 1.8280701, 1.4763806", \
+					  "4.5673623, 4.0129858, 3.4598127, 2.9633547, 2.4933871, 2.0856954, 1.7020329", \
+					  "4.7595686, 4.2038282, 3.6488542, 3.1704837, 2.6886980, 2.2637908, 1.8923428", \
+					  "4.9511136, 4.4010807, 3.8735626, 3.3821395, 2.9001246, 2.4975513, 2.1070406");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0107010, 0.0187398, 0.0267786, 0.0266965, 0.0266134, 0.0265312, 0.0264491");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163016, 0.0242009, 0.0321003, 0.0320197, 0.0319381, 0.0318575, 0.0317769");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005904;
+			capacitance : 0.006073;
+			rise_capacitance : 0.006243;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7526416, 3.2885441, 2.9746506, 2.7056964, 2.4899241, 2.2697444, 2.0920608", \
+					  "4.0981941, 3.6407684, 3.3348822, 3.0684291, 2.8561023, 2.6339784, 2.4696206", \
+					  "4.4709363, 4.0106543, 3.7046164, 3.4247596, 3.1980583, 2.9918168, 2.8107166", \
+					  "4.7549509, 4.2957174, 3.9743336, 3.7046442, 3.4433601, 3.2893051, 3.0807399", \
+					  "4.9768587, 4.5183233, 4.2168769, 3.9266952, 3.7251711, 3.4856818, 3.3052921", \
+					  "5.1656628, 4.7422708, 4.4053306, 4.1588065, 3.9582291, 3.7194377, 3.5479304", \
+					  "5.3658507, 4.9171416, 4.5836635, 4.3475266, 4.1400339, 3.9127908, 3.7572828");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3879406, 2.8354215, 2.3021152, 1.8294217, 1.3822455, 0.9849657, 0.6287543", \
+					  "3.7559198, 3.2033985, 2.6715626, 2.1614424, 1.7421600, 1.3576005, 0.9938001", \
+					  "4.1091173, 3.5581247, 3.0243657, 2.5043832, 2.0688953, 1.6763049, 1.3437605", \
+					  "4.3840614, 3.8479338, 3.3084864, 2.7818426, 2.4049769, 2.0004072, 1.6452520", \
+					  "4.6189597, 4.0715869, 3.5174226, 3.0614542, 2.6103791, 2.2352316, 1.8310722", \
+					  "4.8076790, 4.2657449, 3.7171491, 3.2400199, 2.8296157, 2.4179357, 2.0555837", \
+					  "5.0064999, 4.4582394, 3.9249264, 3.4807282, 3.0155412, 2.6547538, 2.2996594");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2161100, -2.7776110, -2.4824065, -2.1779500, -2.0048782, -1.7530486, -1.5799427", \
+					  "-3.5631644, -3.1199365, -2.8228230, -2.5433151, -2.3541206, -2.1395414, -1.9844406", \
+					  "-3.9375207, -3.4912413, -3.2027742, -2.8734210, -2.7177975, -2.5091355, -2.3216579", \
+					  "-4.2215477, -3.7815179, -3.4900478, -3.1797560, -2.9758677, -2.7860456, -2.5941620", \
+					  "-4.4535222, -4.0148051, -3.7069614, -3.4163489, -3.2017515, -3.0140074, -2.8622637", \
+					  "-4.6691359, -4.2318177, -3.9239342, -3.6384491, -3.4674738, -3.2424880, -3.0693820", \
+					  "-4.8471433, -4.4046080, -4.1011099, -3.8345306, -3.6446918, -3.4168654, -3.2207507");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2222187, -2.6706481, -2.1313726, -1.7003737, -1.2410349, -0.8710906, -0.5087757", \
+					  "-3.5692742, -3.0362867, -2.4942374, -2.0589150, -1.5979426, -1.2295654, -0.8735508", \
+					  "-3.9436269, -3.4057894, -2.8754845, -2.4071138, -1.9679284, -1.5622810, -1.2171728", \
+					  "-4.2276535, -3.6745568, -3.1454527, -2.6738726, -2.2462252, -1.8843715, -1.5063810", \
+					  "-4.4596271, -3.9263679, -3.3780698, -2.9228577, -2.4760538, -2.0984800, -1.7404863", \
+					  "-4.6752426, -4.1438663, -3.6006939, -3.1371965, -2.6801203, -2.3330641, -1.9277430", \
+					  "-4.8563111, -4.3126677, -3.8058647, -3.3429854, -2.9065985, -2.5276687, -2.1031960");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113908, 0.0168648, 0.0223388, 0.0222376, 0.0221351, 0.0220338, 0.0219325");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161054, 0.0216928, 0.0272801, 0.0272130, 0.0271451, 0.0270780, 0.0270109");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.027148;
+			capacitance : 0.027280;
+			fall_capacitance : 0.027413;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0034174, -0.0041465, -0.0048757, -0.0049260, -0.0049769, -0.0050272, -0.0050775");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0266361, 0.0267269, 0.0268176, 0.0267826, 0.0267471, 0.0267120, 0.0266770");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032833;
+			capacitance : 0.032531;
+			fall_capacitance : 0.032229;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0321683, 0.0483580, 0.0645478, 0.0647416, 0.0649378, 0.0651317, 0.0653256");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0374336, 0.0563576, 0.0752816, 0.0753636, 0.0754466, 0.0755286, 0.0756106");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.051927;
+			capacitance : 0.051565;
+			fall_capacitance : 0.051204;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0094980, -0.0097862, -0.0100744, -0.0100805, -0.0100868, -0.0100930, -0.0100991");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0097348, 0.0098721, 0.0100094, 0.0100382, 0.0100673, 0.0100960, 0.0101248");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016754;
+			capacitance : 0.016681;
+			fall_capacitance : 0.016609;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0139561, 0.0135035, 0.0130508, 0.0131076, 0.0131651, 0.0132219, 0.0132787");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0163554, 0.0160486, 0.0157418, 0.0158129, 0.0158849, 0.0159560, 0.0160271");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.036869;
+			capacitance : 0.036298;
+			fall_capacitance : 0.035727;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.7623728, -3.3495939, -3.0575397, -2.8055329, -2.6004454, -2.4244619, -2.2574329", \
+					  "-4.1079022, -3.6953209, -3.4164442, -3.1674003, -2.9533653, -2.7932176, -2.6180893", \
+					  "-4.4822552, -4.0707315, -3.7789480, -3.5280203, -3.2924132, -3.1324105, -2.9775351", \
+					  "-4.7678072, -4.3557146, -4.0569359, -3.8125695, -3.5834506, -3.4189466, -3.2563287", \
+					  "-4.9997812, -4.5868755, -4.3009358, -4.0394427, -3.7722662, -3.6435068, -3.4756670", \
+					  "-5.2138708, -4.7889552, -4.5075541, -4.2687913, -4.0624816, -3.8517080, -3.7071994", \
+					  "-5.3842581, -4.9797452, -4.6870545, -4.4809376, -4.2658154, -4.0434684, -3.9165517");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.5523501, -2.1229134, -1.8185261, -2.3531432, -1.2837596, -1.6279265, -1.3129138", \
+					  "-2.8978797, -2.4810065, -3.1250118, -1.8907486, -2.3438560, -1.9913888, -1.6655481", \
+					  "-3.2752844, -2.8532716, -2.5078910, -3.0755817, -2.0351891, -2.3450570, -2.0086821", \
+					  "-3.5520064, -3.1349569, -2.8029236, -2.5260560, -2.3139594, -2.6336997, -2.2974002", \
+					  "-3.7752190, -3.3508924, -3.0358289, -2.7950448, -3.1988223, -2.8661382, -2.5461062", \
+					  "-3.9671206, -3.5530467, -3.1965370, -3.0058844, -2.7733497, -3.0899001, -2.7672579", \
+					  "-4.1627756, -3.7422418, -3.3985228, -3.1675089, -2.9370461, -3.2863536, -2.9811644");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("4.1203763, 3.6790047, 3.3802552, 3.1249428, 2.9159238, 2.7320857, 2.5605056", \
+					  "4.4659310, 4.0266544, 3.7214947, 3.4755428, 3.2569006, 3.1001908, 2.9300900", \
+					  "4.8386671, 4.3847595, 4.0967845, 3.8452293, 3.6174295, 3.4332115, 3.2693175", \
+					  "5.1257325, 4.6718529, 4.3799962, 4.1196338, 3.9090729, 3.7076245, 3.5602299", \
+					  "5.3576892, 4.9068370, 4.6118849, 4.3607747, 4.0879960, 3.9610007, 3.8077313", \
+					  "5.5687866, 5.1164053, 4.8225351, 4.5748790, 4.3404931, 4.1818516, 4.0011166", \
+					  "5.7333119, 5.2758245, 4.9991964, 4.7516109, 4.5353182, 4.3805229, 4.2180790");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.8731785, 3.3013379, 2.8219734, 2.4069969, 2.0268045, 1.6733012, 1.3443871", \
+					  "4.2253705, 3.6570301, 3.1612722, 2.7486966, 2.3900984, 2.0304239, 1.7098303", \
+					  "4.5960984, 4.0274085, 3.5335424, 3.1139118, 2.7414351, 2.3872040, 2.0242874", \
+					  "4.8728784, 4.3057898, 3.8211255, 3.3970251, 3.0138261, 2.6746799, 2.3279383", \
+					  "5.0986396, 4.5325857, 4.0446711, 3.6225565, 3.2403711, 2.9066183, 2.5812419", \
+					  "5.2915555, 4.7207010, 4.2340227, 3.8125155, 3.4538655, 3.1274694, 2.7743097", \
+					  "5.4836551, 4.9173210, 4.4232949, 4.0139437, 3.6503530, 3.3199017, 2.9729808");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0730221, 0.1352389, 0.1974557, 0.2046669, 0.2119648, 0.2191759, 0.2263871");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0940817, 0.1589458, 0.2238099, 0.2238237, 0.2238377, 0.2238516, 0.2238654");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010999;
+			capacitance : 0.010902;
+			fall_capacitance : 0.010805;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0055950, 0.5164229, 1.0384407, 1.1505440, 1.2639979, 1.3761012, 1.4882045");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0400297, 0.4044785, 0.7689273, 0.9941612, 1.2221089, 1.4473428, 1.6725768");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006647;
+			capacitance : 0.006475;
+			fall_capacitance : 0.006302;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.9098070, 3.4552592, 3.1332557, 2.8457068, 2.6382137, 2.4345384, 2.2354936", \
+					  "4.2553657, 3.8022987, 3.4986505, 3.2141534, 2.9944534, 2.7747731, 2.6172864", \
+					  "4.6280884, 4.1720829, 3.8500112, 3.5888195, 3.3216832, 3.1287811, 2.9394898", \
+					  "4.9121003, 4.4559945, 4.1370749, 3.8604571, 3.6282062, 3.4245898, 3.2307539", \
+					  "5.1455811, 4.6795415, 4.3717832, 4.1089463, 3.8156077, 3.6341111, 3.4719563", \
+					  "5.3456628, 4.8731701, 4.5843700, 4.2922437, 4.0862765, 3.8758639, 3.7050958", \
+					  "5.5183740, 5.0638887, 4.7411469, 4.4924209, 4.2864537, 4.0721487, 3.8948061");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3727024, 2.8241838, 2.2932126, 1.8184763, 1.3701578, 0.9895324, 0.6104327", \
+					  "3.7167447, 3.1722379, 2.6368475, 2.1736646, 1.7511645, 1.3603976, 0.9726378", \
+					  "4.0894379, 3.5449357, 3.0090895, 2.5279086, 2.0952016, 1.6736294, 1.3324713", \
+					  "4.3734459, 3.8235000, 3.2993708, 2.7955756, 2.3973477, 1.9955302, 1.5907777", \
+					  "4.6069227, 4.0584796, 3.5251906, 3.0415508, 2.6311158, 2.1970846, 1.8499798", \
+					  "4.7901641, 4.2373858, 3.7402706, 3.2438817, 2.8401701, 2.4347205, 2.0484441", \
+					  "4.9949021, 4.4364644, 3.9335142, 3.4451133, 3.0032062, 2.6471243, 2.2723812");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2389981, -2.7893170, -2.4863524, -2.2008381, -2.0050283, -1.7515228, -1.5753650", \
+					  "-3.5860534, -3.1321443, -2.8319766, -2.5433157, -2.3597814, -2.1395538, -1.9767265", \
+					  "-3.9604064, -3.5034457, -3.2043110, -2.9237723, -2.7178170, -2.4986273, -2.3192265", \
+					  "-4.2459584, -3.7936069, -3.4900413, -3.1931186, -2.9652773, -2.7668356, -2.5888227", \
+					  "-4.4764065, -4.0284986, -3.7290999, -3.4146328, -3.1907470, -3.0109557, -2.8439533", \
+					  "-4.6920221, -4.2438934, -3.9316001, -3.6427052, -3.4613703, -3.2318068, -3.0541231", \
+					  "-4.8685129, -4.4139685, -4.1117982, -3.8374448, -3.6517628, -3.4121674, -3.2161731");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2374776, -2.6981139, -2.1313721, -1.7024061, -1.2395091, -0.8710906, -0.5240345", \
+					  "-3.5845331, -3.0500200, -2.5104300, -2.0589150, -1.6001952, -1.2295798, -0.8811244", \
+					  "-3.9588858, -3.4195224, -2.8775477, -2.4105020, -1.9755537, -1.5622810, -1.2232870", \
+					  "-4.2429120, -3.6821863, -3.1627058, -2.6799402, -2.2401891, -1.8713191, -1.5063799", \
+					  "-4.4748860, -3.9373062, -3.3945579, -2.9243747, -2.4699502, -2.0984800, -1.7191733", \
+					  "-4.6905015, -4.1526637, -3.6066072, -3.1455555, -2.6724909, -2.3315383, -1.8839228", \
+					  "-4.8578370, -4.2825953, -3.8089049, -3.3491696, -2.9081086, -2.5292250, -2.1031960");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113893, 0.0168858, 0.0223823, 0.0222806, 0.0221777, 0.0220761, 0.0219744");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161015, 0.0217067, 0.0273118, 0.0272442, 0.0271759, 0.0271084, 0.0270409");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017528;
+			capacitance : 0.017598;
+			rise_capacitance : 0.017667;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0387638, 0.0982544, 0.1577451, 0.1650272, 0.1723970, 0.1796791, 0.1869612");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0431945, 0.1054517, 0.1677089, 0.1678542, 0.1680013, 0.1681466, 0.1682919");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005217;
+			capacitance : 0.005078;
+			fall_capacitance : 0.004939;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1505029, -2.6889588, -2.3786748, -2.0959403, -1.8272802, -1.6019866, -1.4181995", \
+					  "-3.4990841, -3.0535820, -2.7349986, -2.4227713, -2.2020909, -1.9910864, -1.8045295", \
+					  "-3.8719114, -3.4088412, -3.1176858, -2.8088547, -2.5441029, -2.3481088, -2.1612698", \
+					  "-4.1574634, -3.6944032, -3.3557507, -3.0295579, -2.8136759, -2.6159592, -2.4240434", \
+					  "-4.3894374, -3.9314545, -3.5945697, -3.3126401, -3.0489304, -2.8635034, -2.6613065", \
+					  "-4.6050530, -4.1557155, -3.8085434, -3.5254308, -3.2556668, -3.0914260, -2.8939060", \
+					  "-4.7754402, -4.3309211, -3.9868630, -3.7420859, -3.4546439, -3.2794158, -3.0666371");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1779603, -2.6137099, -2.0794512, -1.5780837, -1.1540641, -0.7352874, -0.3439808", \
+					  "-3.5250171, -2.9634961, -2.4463446, -1.9522377, -1.5021834, -1.1125457, -0.7287328", \
+					  "-3.8993637, -3.3358473, -2.8069582, -2.2941026, -1.8788197, -1.4594062, -1.0449809", \
+					  "-4.1833889, -3.6193956, -3.1062423, -2.5820940, -2.1512875, -1.7483342, -1.3613746", \
+					  "-4.4153611, -3.8421308, -3.3390819, -2.8132465, -2.3951822, -1.9409074, -1.5779672", \
+					  "-4.6309807, -4.0673231, -3.5401013, -3.0492588, -2.5748345, -2.1460375, -1.8181612", \
+					  "-4.8029116, -4.2478174, -3.7511822, -3.2630174, -2.8207163, -2.3913544, -1.9807295");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7938459, 3.3257189, 2.9937415, 2.7031837, 2.4687903, 2.2392268, 2.0441382", \
+					  "4.1394011, 3.6769086, 3.3426307, 3.0732570, 2.8192513, 2.6134433, 2.4125664", \
+					  "4.5121345, 4.0483175, 3.7138408, 3.4263377, 3.1349743, 2.9661611, 2.7634098", \
+					  "4.7961477, 4.3344238, 3.9873325, 3.6967472, 3.4349271, 3.2450547, 3.0552492", \
+					  "5.0165172, 4.5556175, 4.2216500, 3.9024426, 3.6732025, 3.4770607, 3.2843546", \
+					  "5.2068423, 4.7423654, 4.3845090, 4.1187136, 3.9111916, 3.6797403, 3.4711519", \
+					  "5.4009218, 4.9391565, 4.5914799, 4.3062987, 4.1125386, 3.8788802, 3.7115063");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3299686, 2.7891232, 2.2085705, 1.7336890, 1.2587742, 0.8689935, 0.4807387", \
+					  "3.6744335, 3.1335872, 2.5682941, 2.0869864, 1.6446830, 1.2179396, 0.8410104", \
+					  "4.0451729, 3.5043269, 2.9329299, 2.4317586, 1.9972606, 1.5391782, 1.2222767", \
+					  "4.3291311, 3.7943469, 3.2276926, 2.7133218, 2.2784539, 1.8596061, 1.5014227", \
+					  "4.5551583, 4.0202635, 3.4490269, 2.9496424, 2.5149059, 2.0811178, 1.7076865", \
+					  "4.7473645, 4.2095983, 3.6430299, 3.1632459, 2.6978531, 2.3157019, 1.8924942", \
+					  "4.9541680, 4.4082780, 3.8708488, 3.3729320, 2.9306034, 2.4884328, 2.1071203");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0106997, 0.0186421, 0.0265845, 0.0264726, 0.0263592, 0.0262472, 0.0261353");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0162937, 0.0236075, 0.0309213, 0.0308524, 0.0307826, 0.0307137, 0.0306448");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005169;
+			capacitance : 0.004954;
+			fall_capacitance : 0.004739;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0081049, -0.0079302, -0.0077555, -0.0077302, -0.0077046, -0.0076793, -0.0076540");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0081157, 0.0081058, 0.0080960, 0.0080891, 0.0080821, 0.0080752, 0.0080684");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7267017, 4.0707342, 4.4434574, 4.7274693, 4.9609501, 5.1640845, 5.3337444", \
+					  "3.2611596, 3.6200310, 3.9826753, 4.2666797, 4.4898168, 4.7132731, 4.8896379", \
+					  "2.9486320, 3.2851571, 3.6562249, 3.9613324, 4.1773774, 4.3554896, 4.5570137", \
+					  "2.6825200, 3.0546826, 3.3975501, 3.6743551, 3.9024209, 4.1102262, 4.2986355", \
+					  "2.4687905, 2.8207703, 3.1529830, 3.4390586, 3.6655508, 3.9241977, 4.1104216", \
+					  "2.2453304, 2.6119445, 2.9645755, 3.2511583, 3.4796728, 3.6874608, 3.8847914", \
+					  "2.0663809, 2.4212226, 2.7863026, 3.0566303, 3.2799037, 3.5235162, 3.7282908");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0803066, -3.4273621, -3.8017151, -4.0872671, -4.3177153, -4.5333308, -4.7464425", \
+					  "-2.6630187, -3.0135711, -3.3852694, -3.6684533, -3.9223826, -4.1297758, -4.3010379", \
+					  "-2.3750250, -2.7587545, -3.1271056, -3.3851292, -3.6098286, -3.8365242, -4.0068386", \
+					  "-2.1245829, -2.4441337, -2.8432360, -3.0426197, -3.3279543, -3.5345859, -3.7600067", \
+					  "-1.8790598, -2.2536073, -2.5853015, -2.8742346, -3.0884030, -3.2910514, -3.4845093", \
+					  "-1.6782806, -2.0314061, -2.3908333, -2.6599151, -2.9178770, -3.1409070, -3.3328215", \
+					  "-1.5021228, -1.8562971, -2.2214348, -2.4687227, -2.7600299, -2.9664404, -3.1158513");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7623728, -4.1079022, -4.4822552, -4.7678072, -4.9997812, -5.2138708, -5.3842581", \
+					  "-3.3495939, -3.6953209, -4.0707315, -4.3557146, -4.5868755, -4.7889552, -4.9797452", \
+					  "-3.0575397, -3.4164442, -3.7789480, -4.0569359, -4.3009358, -4.5075541, -4.6870545", \
+					  "-2.8055329, -3.1674003, -3.5280203, -3.8125695, -4.0394427, -4.2687913, -4.4809376", \
+					  "-2.6004454, -2.9533653, -3.2924132, -3.5834506, -3.7722662, -4.0624816, -4.2658154", \
+					  "-2.4244619, -2.7932176, -3.1324105, -3.4189466, -3.6435068, -3.8517080, -4.0434684", \
+					  "-2.2574329, -2.6180893, -2.9775351, -3.2563287, -3.4756670, -3.7071994, -3.9165517");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1203763, 4.4659310, 4.8386671, 5.1257325, 5.3576892, 5.5687866, 5.7333119", \
+					  "3.6790047, 4.0266544, 4.3847595, 4.6718529, 4.9068370, 5.1164053, 5.2758245", \
+					  "3.3802552, 3.7214947, 4.0967845, 4.3799962, 4.6118849, 4.8225351, 4.9991964", \
+					  "3.1249428, 3.4755428, 3.8452293, 4.1196338, 4.3607747, 4.5748790, 4.7516109", \
+					  "2.9159238, 3.2569006, 3.6174295, 3.9090729, 4.0879960, 4.3404931, 4.5353182", \
+					  "2.7320857, 3.1001908, 3.4332115, 3.7076245, 3.9610007, 4.1818516, 4.3805229", \
+					  "2.5605056, 2.9300900, 3.2693175, 3.5602299, 3.8077313, 4.0011166, 4.2180790");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9098070, 4.2553657, 4.6280884, 4.9121003, 5.1455811, 5.3456628, 5.5183740", \
+					  "3.4552592, 3.8022987, 4.1720829, 4.4559945, 4.6795415, 4.8731701, 5.0638887", \
+					  "3.1332557, 3.4986505, 3.8500112, 4.1370749, 4.3717832, 4.5843700, 4.7411469", \
+					  "2.8457068, 3.2141534, 3.5888195, 3.8604571, 4.1089463, 4.2922437, 4.4924209", \
+					  "2.6382137, 2.9944534, 3.3216832, 3.6282062, 3.8156077, 4.0862765, 4.2864537", \
+					  "2.4345384, 2.7747731, 3.1287811, 3.4245898, 3.6341111, 3.8758639, 4.0721487", \
+					  "2.2354936, 2.6172864, 2.9394898, 3.2307539, 3.4719563, 3.7050958, 3.8948061");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2389981, -3.5860534, -3.9604064, -4.2459584, -4.4764065, -4.6920221, -4.8685129", \
+					  "-2.7893170, -3.1321443, -3.5034457, -3.7936069, -4.0284986, -4.2438934, -4.4139685", \
+					  "-2.4863524, -2.8319766, -3.2043110, -3.4900413, -3.7290999, -3.9316001, -4.1117982", \
+					  "-2.2008381, -2.5433157, -2.9237723, -3.1931186, -3.4146328, -3.6427052, -3.8374448", \
+					  "-2.0050283, -2.3597814, -2.7178170, -2.9652773, -3.1907470, -3.4613703, -3.6517628", \
+					  "-1.7515228, -2.1395538, -2.4986273, -2.7668356, -3.0109557, -3.2318068, -3.4121674", \
+					  "-1.5753650, -1.9767265, -2.3192265, -2.5888227, -2.8439533, -3.0541231, -3.2161731");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1505029, -3.4990841, -3.8719114, -4.1574634, -4.3894374, -4.6050530, -4.7754402", \
+					  "-2.6889588, -3.0535820, -3.4088412, -3.6944032, -3.9314545, -4.1557155, -4.3309211", \
+					  "-2.3786748, -2.7349986, -3.1176858, -3.3557507, -3.5945697, -3.8085434, -3.9868630", \
+					  "-2.0959403, -2.4227713, -2.8088547, -3.0295579, -3.3126401, -3.5254308, -3.7420859", \
+					  "-1.8272802, -2.2020909, -2.5441029, -2.8136759, -3.0489304, -3.2556668, -3.4546439", \
+					  "-1.6019866, -1.9910864, -2.3481088, -2.6159592, -2.8635034, -3.0914260, -3.2794158", \
+					  "-1.4181995, -1.8045295, -2.1612698, -2.4240434, -2.6613065, -2.8939060, -3.0666371");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7938459, 4.1394011, 4.5121345, 4.7961477, 5.0165172, 5.2068423, 5.4009218", \
+					  "3.3257189, 3.6769086, 4.0483175, 4.3344238, 4.5556175, 4.7423654, 4.9391565", \
+					  "2.9937415, 3.3426307, 3.7138408, 3.9873325, 4.2216500, 4.3845090, 4.5914799", \
+					  "2.7031837, 3.0732570, 3.4263377, 3.6967472, 3.9024426, 4.1187136, 4.3062987", \
+					  "2.4687903, 2.8192513, 3.1349743, 3.4349271, 3.6732025, 3.9111916, 4.1125386", \
+					  "2.2392268, 2.6134433, 2.9661611, 3.2450547, 3.4770607, 3.6797403, 3.8788802", \
+					  "2.0441382, 2.4125664, 2.7634098, 3.0552492, 3.2843546, 3.4711519, 3.7115063");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1398221, -3.4884031, -3.8612320, -4.1467840, -4.3787584, -4.5943731, -4.7586523", \
+					  "-2.6640341, -3.0142941, -3.3877092, -3.6762419, -3.9046639, -4.1218604, -4.2973751", \
+					  "-2.3290824, -2.7004771, -3.0770932, -3.3493070, -3.5548988, -3.7777445, -3.9506098", \
+					  "-2.0467243, -2.3876758, -2.8101407, -3.0332401, -3.2796636, -3.5010165, -3.7293437", \
+					  "-1.7969822, -2.1576989, -2.4952748, -2.7985589, -3.0155471, -3.2267779, -3.4167366", \
+					  "-1.5821501, -1.9559500, -2.2929935, -2.5803490, -2.7992406, -3.0471754, -3.2397429", \
+					  "-1.3815784, -1.7678214, -2.1231230, -2.3901645, -2.6181232, -2.8481295, -3.0330678");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6473620, 3.9929129, 4.3656601, 4.6496751, 4.8816336, 5.0957752, 5.2694265", \
+					  "3.1758978, 3.5266057, 3.9009629, 4.1844952, 4.4170901, 4.6267761, 4.8048848", \
+					  "2.8381491, 3.1812604, 3.5540074, 3.8282531, 4.0616442, 4.2859541, 4.4531963", \
+					  "2.5469374, 2.8960572, 3.2802654, 3.5642065, 3.7763284, 3.9859807, 4.1817503", \
+					  "2.3055212, 2.6867404, 3.0165477, 3.2989536, 3.5509596, 3.7626633, 3.9476292", \
+					  "2.0942684, 2.4761842, 2.7842697, 3.0909409, 3.3231833, 3.5434871, 3.7433170", \
+					  "1.8936966, 2.2417046, 2.6041871, 2.9010503, 3.1134562, 3.3007379, 3.5481918");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7913852, -4.1384404, -4.5112675, -4.7968196, -5.0287936, -5.2428832, -5.4132705", \
+					  "-3.3455929, -3.6910417, -4.0680128, -4.3506209, -4.5841142, -4.7937132, -4.9705370", \
+					  "-3.0453326, -3.4223559, -3.7560068, -4.0538192, -4.3071375, -4.5105637, -4.6795694", \
+					  "-2.7967739, -3.1628226, -3.5158134, -3.7983640, -4.0302874, -4.2474288, -4.4626273", \
+					  "-2.5966600, -2.9485065, -3.2854381, -3.5414711, -3.7913616, -4.0335750, -4.2551342", \
+					  "-2.4213835, -2.7725615, -3.1293587, -3.3857772, -3.6283403, -3.8349894, -4.0361867", \
+					  "-2.2391224, -2.6064290, -2.9722364, -3.2205478, -3.4588003, -3.7026217, -3.9043446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1799060, 4.5239344, 4.8981968, 5.1852627, 5.4156935, 5.6283163, 5.8035210", \
+					  "3.7338726, 4.0671060, 4.4435702, 4.7346001, 4.9701934, 5.1713370, 5.3434899", \
+					  "3.4335981, 3.7684236, 4.1512814, 4.4349593, 4.6792146, 4.8780502, 5.0621598", \
+					  "3.1997108, 3.5256811, 3.9073205, 4.2050672, 4.4141360, 4.6435436, 4.8019635", \
+					  "2.9791619, 3.3302299, 3.6876205, 3.9703696, 4.1935325, 4.3657556, 4.5004159", \
+					  "2.7809140, 3.1429162, 3.5026333, 3.7590600, 4.0083028, 4.2383091, 4.4079885", \
+					  "2.6108598, 2.9815031, 3.3374779, 3.6121098, 3.8489299, 4.0568565, 4.2438371");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2460479, 3.5916026, 3.9643376, 4.2483513, 4.4803079, 4.6944580, 4.8592324", \
+					  "2.7921406, 3.1376953, 3.5089043, 3.8054051, 4.0318649, 4.2333437, 4.4077327", \
+					  "2.4989453, 2.8206782, 3.2111876, 3.4881249, 3.7303394, 3.9355597, 4.1144801", \
+					  "2.2033118, 2.5488665, 2.9063428, 3.1970582, 3.4174466, 3.6466672, 3.8305735", \
+					  "2.0094111, 2.3654124, 2.7208554, 2.9709835, 3.1950614, 3.4205295, 3.6557475", \
+					  "1.7692562, 2.1454123, 2.5024914, 2.7693179, 3.0149557, 3.2373327, 3.4379273", \
+					  "1.5884119, 1.9807436, 2.3243117, 2.5882450, 2.8510050, 3.0581231, 3.2186472");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0955633, -3.4426188, -3.8169718, -4.1009979, -4.3329719, -4.5485875, -4.7342336", \
+					  "-2.6462341, -2.9966413, -3.3740397, -3.6501428, -3.8901111, -4.1245480, -4.2903047", \
+					  "-2.3527146, -2.7528990, -3.1221316, -3.3557356, -3.5732075, -3.7993890, -3.9880017", \
+					  "-2.1248247, -2.4395561, -2.8316771, -3.0296980, -3.3048632, -3.5223790, -3.7552105", \
+					  "-1.8717569, -2.2272996, -2.5791981, -2.8666575, -3.0868843, -3.2860452, -3.4751637", \
+					  "-1.6660736, -1.9999362, -2.3679451, -2.6599100, -2.9117734, -3.1188918, -3.3068816", \
+					  "-1.4975453, -1.8440867, -2.2174531, -2.4526836, -2.7106024, -2.9372868, -3.1127867");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7526416, 4.0981941, 4.4709363, 4.7549509, 4.9768587, 5.1656628, 5.3658507", \
+					  "3.2885441, 3.6407684, 4.0106543, 4.2957174, 4.5183233, 4.7422708, 4.9171416", \
+					  "2.9746506, 3.3348822, 3.7046164, 3.9743336, 4.2168769, 4.4053306, 4.5836635", \
+					  "2.7056964, 3.0684291, 3.4247596, 3.7046442, 3.9266952, 4.1588065, 4.3475266", \
+					  "2.4899241, 2.8561023, 3.1980583, 3.4433601, 3.7251711, 3.9582291, 4.1400339", \
+					  "2.2697444, 2.6339784, 2.9918168, 3.2893051, 3.4856818, 3.7194377, 3.9127908", \
+					  "2.0920608, 2.4696206, 2.8107166, 3.0807399, 3.3052921, 3.5479304, 3.7572828");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2161100, -3.5631644, -3.9375207, -4.2215477, -4.4535222, -4.6691359, -4.8471433", \
+					  "-2.7776110, -3.1199365, -3.4912413, -3.7815179, -4.0148051, -4.2318177, -4.4046080", \
+					  "-2.4824065, -2.8228230, -3.2027742, -3.4900478, -3.7069614, -3.9239342, -4.1011099", \
+					  "-2.1779500, -2.5433151, -2.8734210, -3.1797560, -3.4163489, -3.6384491, -3.8345306", \
+					  "-2.0048782, -2.3541206, -2.7177975, -2.9758677, -3.2017515, -3.4674738, -3.6446918", \
+					  "-1.7530486, -2.1395414, -2.5091355, -2.7860456, -3.0140074, -3.2424880, -3.4168654", \
+					  "-1.5799427, -1.9844406, -2.3216579, -2.5941620, -2.8622637, -3.0693820, -3.2207507");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.3169333, 0.0570051, 0.3764082, 0.6644836, 0.8392419, 1.0991860, 1.2883429", \
+					  "-0.7794996, -0.4088438, -0.0635708, 0.2042993, 0.4397666, 0.6535113, 0.8249368", \
+					  "-1.0684561, -0.7235070, -0.3817695, -0.1073564, 0.1151741, 0.3364497, 0.5449436", \
+					  "-1.3325643, -0.9722092, -0.6846732, -0.3897466, -0.1551655, 0.0778926, 0.2819887", \
+					  "-1.5422307, -1.1758599, -0.9010199, -0.6102982, -0.3465127, -0.1296004, 0.0446565", \
+					  "-1.7411517, -1.3610479, -1.0536312, -0.8121473, -0.5748302, -0.3288404, -0.1534357", \
+					  "-1.9049839, -1.5218284, -1.2172731, -1.0236508, -0.7689270, -0.4980954, -0.3030812");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.8153197, 0.4476090, 0.1195479, -0.1430619, -0.3430501, -0.5118310, -0.7434688", \
+					  "1.2704304, 0.9059567, 0.5790969, 0.3185883, 0.0823096, -0.1213738, -0.3127300", \
+					  "1.5593726, 1.2229967, 0.8773133, 0.6183337, 0.3752813, 0.1773573, -0.0288772", \
+					  "1.8189317, 1.4250376, 1.1413692, 0.8808806, 0.6367350, 0.4505721, 0.2695250", \
+					  "2.0271627, 1.6695572, 1.3456207, 1.1045467, 0.8717776, 0.6655190, 0.4770412", \
+					  "2.2539095, 1.8730973, 1.5287340, 1.3136939, 1.0615470, 0.8026406, 0.6391312", \
+					  "2.3505447, 2.0592812, 1.6749975, 1.5127398, 1.2818036, 1.0781252, 0.8432949");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2328932, -3.5814744, -3.9558274, -4.2398535, -4.4718275, -4.6874431, -4.8608821", \
+					  "-2.7858384, -3.1306184, -3.5003939, -3.7906444, -4.0202723, -4.2390790, -4.4114585", \
+					  "-2.4839386, -2.8160382, -3.2026179, -3.4915765, -3.7068548, -3.9285550, -4.1072205", \
+					  "-2.1855792, -2.5433157, -2.9100395, -3.1853080, -3.4151497, -3.6384277, -3.8330438", \
+					  "-2.0050279, -2.3433262, -2.6991446, -2.9607210, -3.1892593, -3.4613703, -3.6464202", \
+					  "-1.7499969, -2.1395683, -2.5016435, -2.7668403, -3.0094298, -3.2318068, -3.4121674", \
+					  "-1.5738391, -1.9798156, -2.3216610, -2.5918132, -2.8470050, -3.0587008, -3.2161731");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.8075723, 4.1515998, 4.5214648, 4.8083531, 5.0418361, 5.2480503, 5.4192444", \
+					  "3.3405106, 3.6967674, 4.0686919, 4.3510926, 4.5846898, 4.7941369, 4.9576048", \
+					  "3.0374645, 3.3923187, 3.7633290, 4.0440098, 4.2843411, 4.4348790, 4.6489384", \
+					  "2.7473277, 3.1074765, 3.4825023, 3.7396764, 3.9905713, 4.2076971, 4.4177948", \
+					  "2.5529732, 2.9065579, 3.2635080, 3.5477051, 3.7831545, 4.0009954, 4.2025839", \
+					  "2.3277278, 2.6791398, 3.0341765, 3.3296673, 3.5519887, 3.7633233, 3.9729716", \
+					  "2.1469924, 2.5185481, 2.8641223, 3.1389525, 3.3425920, 3.6089654, 3.8029254");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023655;
+			capacitance : 0.024437;
+			rise_capacitance : 0.024710;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.7913852, -3.3455929, -3.0453326, -2.7967739, -2.5966600, -2.4213835, -2.2391224", \
+					  "-4.1384404, -3.6910417, -3.4223559, -3.1628226, -2.9485065, -2.7725615, -2.6064290", \
+					  "-4.5112675, -4.0680128, -3.7560068, -3.5158134, -3.2854381, -3.1293587, -2.9722364", \
+					  "-4.7968196, -4.3506209, -4.0538192, -3.7983640, -3.5414711, -3.3857772, -3.2205478", \
+					  "-5.0287936, -4.5841142, -4.3071375, -4.0302874, -3.7913616, -3.6283403, -3.4588003", \
+					  "-5.2428832, -4.7937132, -4.5105637, -4.2474288, -4.0335750, -3.8349894, -3.7026217", \
+					  "-5.4132705, -4.9705370, -4.6795694, -4.4626273, -4.2551342, -4.0361867, -3.9043446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.4852187, -2.0473458, -1.6863256, -1.4524355, -1.3493723, -0.9672209, -1.3358021", \
+					  "-2.8414288, -2.3939854, -3.1198355, -1.8090041, -2.3778044, -1.2550622, -1.7023400", \
+					  "-3.2203613, -2.7455449, -2.4167770, -3.0933939, -2.0271531, -2.3771005, -2.0212886", \
+					  "-4.8410575, -3.0662761, -2.7406226, -2.4337292, -2.2024571, -1.9516870, -2.3223245", \
+					  "-3.7546723, -3.2961899, -3.0547122, -2.6957538, -3.2027609, -2.8980407, -2.5571835", \
+					  "-3.9320367, -3.5010245, -3.1428182, -2.8725097, -3.4065939, -3.1082105, -2.7840427", \
+					  "-4.1078565, -3.6674421, -3.3919893, -3.1040261, -2.8744056, -3.3038147, -2.9978823");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("4.1799060, 3.7338726, 3.4335981, 3.1997108, 2.9791619, 2.7809140, 2.6108598", \
+					  "4.5239344, 4.0671060, 3.7684236, 3.5256811, 3.3302299, 3.1429162, 2.9815031", \
+					  "4.8981968, 4.4435702, 4.1512814, 3.9073205, 3.6876205, 3.5026333, 3.3374779", \
+					  "5.1852627, 4.7346001, 4.4349593, 4.2050672, 3.9703696, 3.7590600, 3.6121098", \
+					  "5.4156935, 4.9701934, 4.6792146, 4.4141360, 4.1935325, 4.0083028, 3.8489299", \
+					  "5.6283163, 5.1713370, 4.8780502, 4.6435436, 4.3657556, 4.2383091, 4.0568565", \
+					  "5.8035210, 5.3434899, 5.0621598, 4.8019635, 4.5004159, 4.4079885, 4.2438371");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.8869235, 3.3163535, 2.8245537, 2.4093619, 2.0369664, 1.6811373, 1.3657426", \
+					  "4.2340036, 3.6725647, 3.1782117, 2.7641243, 2.4050460, 2.0566047, 1.7097784", \
+					  "4.6036879, 4.0498265, 3.5494221, 3.1350560, 2.7519363, 2.4100922, 2.0497422", \
+					  "4.8892275, 4.3267317, 3.8341364, 3.4179873, 3.0011656, 2.6856142, 2.3629152", \
+					  "5.1202822, 4.5621398, 4.0615767, 3.6610577, 3.2385457, 2.9234029, 2.5931315", \
+					  "5.3338079, 4.7753502, 4.2814941, 3.8005370, 3.4805390, 3.1365913, 2.8216119", \
+					  "5.4985859, 4.9453324, 4.4229578, 4.0073254, 3.6595545, 3.3322439, 3.0096019");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006215;
+			capacitance : 0.006379;
+			rise_capacitance : 0.006543;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2460479, 2.7921406, 2.4989453, 2.2033118, 2.0094111, 1.7692562, 1.5884119", \
+					  "3.5916026, 3.1376953, 2.8206782, 2.5488665, 2.3654124, 2.1454123, 1.9807436", \
+					  "3.9643376, 3.5089043, 3.2111876, 2.9063428, 2.7208554, 2.5024914, 2.3243117", \
+					  "4.2483513, 3.8054051, 3.4881249, 3.1970582, 2.9709835, 2.7693179, 2.5882450", \
+					  "4.4803079, 4.0318649, 3.7303394, 3.4174466, 3.1950614, 3.0149557, 2.8510050", \
+					  "4.6944580, 4.2333437, 3.9355597, 3.6466672, 3.4205295, 3.2373327, 3.0581231", \
+					  "4.8592324, 4.4077327, 4.1144801, 3.8305735, 3.6557475, 3.4379273, 3.2186472");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("4.0211930, 3.4782311, 2.9120005, 2.4424510, 2.0049289, 1.5815789, 1.2207898", \
+					  "4.3671824, 3.8227837, 3.2579899, 2.7766028, 2.3781670, 1.9583033, 1.5818937", \
+					  "4.7379219, 4.1937088, 3.6291348, 3.1393499, 2.7001619, 2.3231171, 1.9425777", \
+					  "5.0218801, 4.4755216, 3.9148882, 3.4255084, 2.9743737, 2.6111322, 2.2212543", \
+					  "5.2463805, 4.7034939, 4.1429378, 3.6468415, 3.2138805, 2.8419092, 2.4396030", \
+					  "5.4401095, 4.8963738, 4.3331905, 3.7958488, 3.4296926, 3.0603307, 2.6690242", \
+					  "5.6347223, 5.0859328, 4.5367974, 4.0100916, 3.6230781, 3.2630001, 2.8753245");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0955633, -2.6462341, -2.3527146, -2.1248247, -1.8717569, -1.6660736, -1.4975453", \
+					  "-3.4426188, -2.9966413, -2.7528990, -2.4395561, -2.2272996, -1.9999362, -1.8440867", \
+					  "-3.8169718, -3.3740397, -3.1221316, -2.8316771, -2.5791981, -2.3679451, -2.2174531", \
+					  "-4.1009979, -3.6501428, -3.3557356, -3.0296980, -2.8666575, -2.6599100, -2.4526836", \
+					  "-4.3329719, -3.8901111, -3.5732075, -3.3048632, -3.0868843, -2.9117734, -2.7106024", \
+					  "-4.5485875, -4.1245480, -3.7993890, -3.5223790, -3.2860452, -3.1188918, -2.9372868", \
+					  "-4.7342336, -4.2903047, -3.9880017, -3.7552105, -3.4751637, -3.3068816, -3.1127867");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.3732803, -2.8249287, -2.2686603, -1.8054128, -1.3768382, -0.9763763, -0.5896473", \
+					  "-3.7203373, -3.1724800, -2.6192112, -2.1433159, -1.7444953, -1.3504458, -0.9640912", \
+					  "-4.0946830, -3.5438017, -2.9885374, -2.4820667, -2.0879800, -1.6767221, -1.3097827", \
+					  "-4.3787082, -3.8217493, -3.2782487, -2.7839461, -2.3658819, -1.9796615, -1.5559712", \
+					  "-4.6106805, -4.0576109, -3.5065823, -3.0299214, -2.6179605, -2.1915587, -1.7990184", \
+					  "-4.8263004, -4.2753896, -3.7210976, -3.2487070, -2.8315247, -2.4185133, -2.0395423", \
+					  "-4.9699509, -4.4238521, -3.8788392, -3.4330818, -2.9995002, -2.5820576, -2.2558164");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113367, 0.0167634, 0.0221902, 0.0220828, 0.0219742, 0.0218668, 0.0217594");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161935, 0.0218492, 0.0275049, 0.0274350, 0.0273643, 0.0272944, 0.0272246");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.015670;
+			capacitance : 0.016284;
+			rise_capacitance : 0.016898;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0131539, 0.0228487, 0.0588514, 0.0583531, 0.0578489, 0.0573506, 0.0568523");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0503184, 1.0017967, 1.9532750, 2.3390899, 2.7295531, 3.1153680, 3.5011829");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031955;
+			capacitance : 0.031999;
+			fall_capacitance : 0.032044;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0047584, -0.0052319, -0.0057054, -0.0058353, -0.0059668, -0.0060968, -0.0062267");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0601890, 0.0804438, 0.1006985, 0.1005843, 0.1004688, 0.1003546, 0.1002404");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006786;
+			capacitance : 0.006626;
+			fall_capacitance : 0.006465;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.3169333, -0.7794996, -1.0684561, -1.3325643, -1.5422307, -1.7411517, -1.9049839", \
+					  "0.0570051, -0.4088438, -0.7235070, -0.9722092, -1.1758599, -1.3610479, -1.5218284", \
+					  "0.3764082, -0.0635708, -0.3817695, -0.6846732, -0.9010199, -1.0536312, -1.2172731", \
+					  "0.6644836, 0.2042993, -0.1073564, -0.3897466, -0.6102982, -0.8121473, -1.0236508", \
+					  "0.8392419, 0.4397666, 0.1151741, -0.1551655, -0.3465127, -0.5748302, -0.7689270", \
+					  "1.0991860, 0.6535113, 0.3364497, 0.0778926, -0.1296004, -0.3288404, -0.4980954", \
+					  "1.2883429, 0.8249368, 0.5449436, 0.2819887, 0.0446565, -0.1534357, -0.3030812");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5885276, -1.1297957, -1.6885726, -2.1569035, -2.5770701, -2.9672526, -3.3315854", \
+					  "-0.2313103, -0.7744590, -1.2777713, -1.7541915, -2.2303956, -2.6154951, -2.9734890", \
+					  "0.0874009, -0.4630753, -1.0095897, -1.4435092, -1.8398674, -2.1671069, -2.5449196", \
+					  "0.3361693, -0.1857185, -0.7451781, -1.2234951, -1.6453014, -2.0240378, -2.3598800", \
+					  "0.5316485, 0.0530457, -0.4977772, -0.9801423, -1.4127998, -1.8334929, -2.1793797", \
+					  "0.7444747, 0.2535627, -0.2951528, -0.7574327, -1.2036425, -1.5917465, -2.0062616", \
+					  "0.9484520, 0.4574052, -0.1005916, -0.5709639, -0.9953618, -1.3874932, -1.7897333");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.8153197, 1.2704304, 1.5593726, 1.8189317, 2.0271627, 2.2539095, 2.3505447", \
+					  "0.4476090, 0.9059567, 1.2229967, 1.4250376, 1.6695572, 1.8730973, 2.0592812", \
+					  "0.1195479, 0.5790969, 0.8773133, 1.1413692, 1.3456207, 1.5287340, 1.6749975", \
+					  "-0.1430619, 0.3185883, 0.6183337, 0.8808806, 1.1045467, 1.3136939, 1.5127398", \
+					  "-0.3430501, 0.0823096, 0.3752813, 0.6367350, 0.8717776, 1.0615470, 1.2818036", \
+					  "-0.5118310, -0.1213738, 0.1773573, 0.4505721, 0.6655190, 0.8026406, 1.0781252", \
+					  "-0.7434688, -0.3127300, -0.0288772, 0.2695250, 0.4770412, 0.6391312, 0.8432949");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.6871328, 1.2145472, 1.7795482, 2.2356584, 2.6678318, 3.0259331, 3.3928205", \
+					  "0.3190298, 0.8556730, 1.4014323, 1.8590441, 2.3042024, 2.7082454, 3.0634145", \
+					  "0.0051001, 0.5523400, 1.1099989, 1.5229906, 1.9008611, 2.2258706, 2.6318574", \
+					  "-0.2477610, 0.2832712, 0.8406377, 1.3003514, 1.7229892, 2.0925122, 2.4322331", \
+					  "-0.4509404, 0.0443362, 0.5935290, 1.0544160, 1.4901353, 1.9142224, 2.2588154", \
+					  "-0.6196173, -0.1679545, 0.3736927, 0.8460285, 1.3083763, 1.6621024, 2.0852582", \
+					  "-0.8555445, -0.3605231, 0.1894968, 0.6661609, 1.0919106, 1.4812150, 1.8672913");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0114318, 0.0168497, 0.0222676, 0.0221602, 0.0220514, 0.0219439, 0.0218365");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0160787, 0.0216369, 0.0271952, 0.0271233, 0.0270506, 0.0269787, 0.0269068");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013948;
+			capacitance : 0.013856;
+			fall_capacitance : 0.013764;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2328932, -2.7858384, -2.4839386, -2.1855792, -2.0050279, -1.7499969, -1.5738391", \
+					  "-3.5814744, -3.1306184, -2.8160382, -2.5433157, -2.3433262, -2.1395683, -1.9798156", \
+					  "-3.9558274, -3.5003939, -3.2026179, -2.9100395, -2.6991446, -2.5016435, -2.3216610", \
+					  "-4.2398535, -3.7906444, -3.4915765, -3.1853080, -2.9607210, -2.7668403, -2.5918132", \
+					  "-4.4718275, -4.0202723, -3.7068548, -3.4151497, -3.1892593, -3.0094298, -2.8470050", \
+					  "-4.6874431, -4.2390790, -3.9285550, -3.6384277, -3.4613703, -3.2318068, -3.0587008", \
+					  "-4.8608821, -4.4114585, -4.1072205, -3.8330438, -3.6464202, -3.4121674, -3.2161731");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2542619, -2.7103210, -2.1603230, -1.7039152, -1.2410349, -0.8710906, -0.5316639", \
+					  "-3.6028433, -3.0485807, -2.5119559, -2.0589152, -1.6311123, -1.2286122, -0.8750194", \
+					  "-3.9771961, -3.4317293, -2.8954643, -2.4104354, -1.9853609, -1.5622810, -1.2234930", \
+					  "-4.2612222, -3.6929235, -3.1810163, -2.6784232, -2.2507959, -1.8843822, -1.5064552", \
+					  "-4.4916703, -3.9542589, -3.4011837, -2.9228575, -2.4943644, -2.1000059, -1.7424913", \
+					  "-4.7072859, -4.1633450, -3.6148728, -3.1420990, -2.7213189, -2.3345900, -1.8839401", \
+					  "-4.8624146, -4.2810486, -3.8264607, -3.3491662, -2.9232154, -2.5292223, -2.1031909");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.8075723, 3.3405106, 3.0374645, 2.7473277, 2.5529732, 2.3277278, 2.1469924", \
+					  "4.1515998, 3.6967674, 3.3923187, 3.1074765, 2.9065579, 2.6791398, 2.5185481", \
+					  "4.5214648, 4.0686919, 3.7633290, 3.4825023, 3.2635080, 3.0341765, 2.8641223", \
+					  "4.8083531, 4.3510926, 4.0440098, 3.7396764, 3.5477051, 3.3296673, 3.1389525", \
+					  "5.0418361, 4.5846898, 4.2843411, 3.9905713, 3.7831545, 3.5519887, 3.3425920", \
+					  "5.2480503, 4.7941369, 4.4348790, 4.2076971, 4.0009954, 3.7633233, 3.6089654", \
+					  "5.4192444, 4.9576048, 4.6489384, 4.4177948, 4.2025839, 3.9729716, 3.8029254");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3925314, 2.8471894, 2.3243605, 1.8263743, 1.3863447, 1.0063222, 0.6348519", \
+					  "3.7369528, 3.1896299, 2.6609217, 2.1716451, 1.7790059, 1.3657461, 1.0172169", \
+					  "4.1183841, 3.5634289, 3.0313514, 2.5045919, 2.1183951, 1.6888194, 1.3473751", \
+					  "4.3901356, 3.8460313, 3.3132086, 2.7912519, 2.3897182, 1.9734312, 1.6528813", \
+					  "4.6161300, 4.0734689, 3.5263473, 3.0553436, 2.6126046, 2.2382834, 1.8489375", \
+					  "4.8097609, 4.2650153, 3.7242732, 3.2512864, 2.8057563, 2.4728673, 2.0769054", \
+					  "5.0170990, 4.4618019, 3.9271784, 3.4795440, 3.0025123, 2.6013478, 2.2936864");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0113617, 0.0168651, 0.0223685, 0.0222659, 0.0221621, 0.0220595, 0.0219570");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0161029, 0.0217231, 0.0273433, 0.0272752, 0.0272063, 0.0271382, 0.0270701");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 2.248390;
+			max_transition : 5.031327;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02467172, 0.06086938, 0.1501752, 0.3705081, 0.9141073, 2.25526");
+					values("0.4127691, 0.4215454, 0.4401011, 0.4790183, 0.5788732, 0.7955712, 0.7737177", \
+					  "0.4698133, 0.4781370, 0.4968755, 0.5366772, 0.6370744, 0.8541727, 0.8323977", \
+					  "-0.4493278, -0.4446156, -0.4365534, -0.4103981, -0.3145023, -0.0969783, -0.1186076", \
+					  "-0.3035764, -0.3031429, -0.3024190, -0.3013759, -0.2574566, -0.0750711, -0.0859865", \
+					  "-0.0018749, -0.0021398, -0.0010963, 4.2535655e-05, 0.0004605, -0.0457616, -0.0743488", \
+					  "0.0243269, 0.0225852, 0.0250423, 0.0259295, 0.0257865, 0.0204722, -0.0528673", \
+					  "0.0403882, 0.0317639, 0.0409990, 0.0411456, 0.0360886, 0.0361765, -0.0493811");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02467172, 0.06086938, 0.1501752, 0.3705081, 0.9141073, 2.25526");
+					values("0.5269423, 0.5346949, 0.5502839, 0.5814928, 0.6539329, 0.8014768, 0.8100897", \
+					  "0.4214704, 0.4311594, 0.4443876, 0.4750857, 0.5484495, 0.6946219, 0.7015408", \
+					  "1.3844155, 1.4048464, 1.4180014, 1.4642288, 1.5544430, 1.7048692, 1.7123068", \
+					  "1.5497004, 1.5594936, 1.5515876, 1.5532622, 1.5548006, 1.6957861, 1.7097146", \
+					  "1.6449743, 1.6512920, 1.6466103, 1.6485840, 1.6505051, 1.6516021, 1.7070885", \
+					  "1.6464607, 1.6544561, 1.6486377, 1.6507603, 1.6524623, 1.6533842, 1.6902472", \
+					  "1.6485895, 1.6602863, 1.6505226, 1.6530568, 1.6546626, 1.6561522, 1.6547138");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.5830668, 0.6030496, 0.6620158, 0.7809715, 0.8077535, 0.8025314, 0.7932666", \
+					  "0.8198445, 0.8390845, 0.8978844, 1.0194530, 1.0423794, 1.0378304, 1.0293025", \
+					  "-0.1362393, -0.1243903, -0.0741797, 0.0210935, 0.0408915, 0.0380757, 0.0139550", \
+					  "0.2683328, 0.2749713, 0.2757921, 0.2771467, 0.2724340, 0.1940914, 0.1735397", \
+					  "0.4195465, 0.4198745, 0.4274277, 0.4298891, 0.4295988, 0.4003095, 0.3296694", \
+					  "0.5666859, 0.5678700, 0.5757098, 0.5745156, 0.5717584, 0.5619708, 0.4651252", \
+					  "0.7045171, 0.7035146, 0.7019755, 0.7178918, 0.7084108, 0.7043648, 0.6236592");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.9582254, 0.9681628, 0.9856831, 1.0127855, 1.0396035, 1.0506255, 1.0544998", \
+					  "0.7867740, 0.7964808, 0.8139939, 0.8407765, 0.8678350, 0.8787627, 0.8833352", \
+					  "1.9934434, 2.0040432, 2.0226219, 2.0512665, 2.0797528, 2.0917048, 2.0962292", \
+					  "1.9878526, 1.9879664, 1.9898371, 1.9913706, 2.0300185, 2.0858254, 2.0923077", \
+					  "2.0113577, 2.0144080, 2.0134680, 2.0158519, 2.0174533, 2.0240480, 2.0893805", \
+					  "2.0169825, 2.0160533, 2.0217428, 2.0175652, 2.0252593, 2.0208236, 2.0792359", \
+					  "2.0296694, 2.0279275, 2.0149110, 2.0231681, 2.0241612, 2.0202724, 2.0229909");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.5259758, 0.5457416, 0.6056786, 0.7257299, 0.7492119, 0.7438785, 0.7266989", \
+					  "0.5984692, 0.6193262, 0.6776882, 0.8014530, 0.8233420, 0.8158858, 0.7949408", \
+					  "-0.3814230, -0.3810093, -0.3806989, -0.3253172, -0.3509929, -0.3576669, -0.3843081", \
+					  "-0.2652712, -0.2653584, -0.2640075, -0.2626293, -0.2630261, -0.3488114, -0.3571700", \
+					  "-0.2557976, -0.2560375, -0.2551712, -0.2555318, -0.2540606, -0.2574213, -0.3435197", \
+					  "-0.2448359, -0.2452514, -0.2441000, -0.2438882, -0.2421420, -0.2443707, -0.3466116", \
+					  "-0.2344644, -0.2341155, -0.2335505, -0.2414425, -0.2330444, -0.2342487, -0.2649019");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.8976667, 0.9080423, 0.9274428, 0.9574788, 0.9891016, 1.0042051, 1.0101171", \
+					  "0.7243319, 0.7365678, 0.7537077, 0.7830625, 0.8126229, 0.8292608, 0.8361594", \
+					  "1.9475463, 1.9637846, 1.9780531, 2.0077126, 2.0403262, 2.0556122, 2.0619895", \
+					  "1.9162755, 1.9427793, 1.9574923, 1.9971076, 2.0333947, 2.0526272, 2.0601051", \
+					  "1.9487253, 1.9624883, 1.9632182, 1.9522873, 1.9859357, 2.0487149, 2.0611709", \
+					  "1.9795782, 1.9953598, 1.9942828, 1.9837688, 1.9882093, 1.9906756, 2.0619697", \
+					  "1.9862811, 2.0018757, 2.0003393, 1.9906750, 1.9936815, 1.9932957, 2.0455519");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("1.5043107, 1.5011328, 1.5101887, 1.5098460, 1.5135288, 1.5234549, 1.5089771", \
+					  "1.4974083, 1.5005735, 1.5018756, 1.5039353, 1.5077929, 1.5131427, 1.5000031", \
+					  "1.4915016, 1.4949354, 1.4947542, 1.4974948, 1.5020865, 1.5047485, 1.4972404", \
+					  "1.4909174, 1.4918714, 1.4952670, 1.4944532, 1.5024978, 1.5064358, 1.4882341", \
+					  "1.4907978, 1.4917734, 1.4937166, 1.4968220, 1.5010969, 1.5092120, 1.4961748", \
+					  "1.4892233, 1.4904229, 1.4927148, 1.4959423, 1.5025367, 1.5055530, 1.4861486", \
+					  "1.4895360, 1.4921306, 1.4928111, 1.4959254, 1.5004158, 1.5043964, 1.4967625");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02465918, 0.06080751, 0.1499463, 0.3697553, 0.9117863, 2.24839");
+					values("0.7461183, 0.7516305, 0.7641305, 0.7874127, 0.8324690, 0.9966070, 1.1003467", \
+					  "0.7394305, 0.7456538, 0.7579338, 0.7808135, 0.8252152, 0.9895625, 1.0930255", \
+					  "0.7340297, 0.7398356, 0.7525210, 0.7758688, 0.8204243, 0.9865224, 1.0885544", \
+					  "0.7364872, 0.7334863, 0.7527342, 0.7750152, 0.8197869, 0.9838545, 1.0869775", \
+					  "0.7322042, 0.7379894, 0.7525548, 0.7745869, 0.8192055, 0.9839383, 1.0873977", \
+					  "0.7328828, 0.7371274, 0.7512933, 0.7743912, 0.8166009, 0.9837736, 1.0866508", \
+					  "0.7332364, 0.7394867, 0.7517477, 0.7746125, 0.8189099, 0.9841514, 1.0872814");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("3.3313334, 3.3587926, 3.4214556, 3.5691667, 3.9253387, 4.7915916, 6.9265922", \
+					  "5.9438365, 5.9710010, 6.0341989, 6.1820393, 6.5369785, 7.4037869, 9.5383852", \
+					  "8.1418650, 8.1766922, 8.2319351, 8.3798879, 8.7345242, 9.6091455, 11.7439880", \
+					  "8.6218197, 8.6489660, 8.7120169, 8.8598728, 9.2150634, 10.0834720, 12.2162360", \
+					  "11.4178440, 11.4449520, 11.5080280, 11.6542200, 12.0110450, 12.8722780, 15.0123420", \
+					  "13.6357560, 13.6628310, 13.7259270, 13.8771810, 14.2282210, 15.0978290, 17.2300610", \
+					  "15.2794330, 15.3066590, 15.3696810, 15.5195840, 15.8769710, 16.7431170, 18.8795380", \
+					  "17.5677590, 17.5940220, 17.6570650, 17.8046880, 18.1608840, 19.0265850, 21.1587170", \
+					  "46.7039280, 46.7298060, 46.7930550, 46.8798260, 47.2189400, 48.2161620, 50.3218870", \
+					  "64.6821900, 64.7090630, 64.7726070, 64.8020940, 65.1785400, 66.0932290, 67.9956360", \
+					  "135.5650600, 135.5650712, 135.5650864, 135.5651017, 135.8671700, 137.5065600, 139.2270800", \
+					  "359.8601900, 359.9503500, 359.9503632, 360.2088400, 360.4395100, 361.3219200, 363.4574200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("0.1658679, 0.1959630, 0.2701435, 0.4569153, 0.9312494, 2.1022324, 5.0131481", \
+					  "0.1661207, 0.1961966, 0.2717425, 0.4603483, 0.9300841, 2.1005262, 4.9999995", \
+					  "0.1665478, 0.1965367, 0.2714632, 0.4604966, 0.9327820, 2.1015236, 5.0151927", \
+					  "0.1668633, 0.1966201, 0.2716735, 0.4595886, 0.9302405, 2.1021218, 5.0021192", \
+					  "0.1668598, 0.1966245, 0.2715562, 0.4604983, 0.9302246, 2.1022761, 5.0011022", \
+					  "0.1667168, 0.1966660, 0.2718712, 0.4604710, 0.9300867, 2.1019753, 5.0044280", \
+					  "0.1668393, 0.1964506, 0.2711950, 0.4597715, 0.9305602, 2.1017903, 4.9997642", \
+					  "0.1668019, 0.1965794, 0.2708416, 0.4589356, 0.9299755, 2.1016240, 4.9965037", \
+					  "0.1664784, 0.1966807, 0.2719303, 0.4604848, 0.9301359, 2.1022561, 5.0048983", \
+					  "0.1664765, 0.1966339, 0.2718443, 0.4601481, 0.9302517, 2.1018767, 5.0075859", \
+					  "0.1667030, 0.1961869, 0.2716387, 0.4597040, 0.9299363, 2.1022828, 4.9991562", \
+					  "0.1662802, 0.1968265, 0.2715959, 0.4599934, 0.9305798, 2.1020019, 4.9999729");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("2.9592644, 2.9965732, 3.0770753, 3.2318206, 3.5179772, 4.0943964, 5.4138440", \
+					  "5.5512901, 5.5886691, 5.6684342, 5.8237415, 6.1110033, 6.6878658, 8.0062286", \
+					  "7.7681124, 7.8056912, 7.8754292, 8.0318188, 8.3272029, 8.9035836, 10.2147550", \
+					  "8.2365910, 8.2739380, 8.3850118, 8.5083496, 8.7968219, 9.3730419, 10.6899090", \
+					  "10.9475100, 10.9848770, 11.0960690, 11.1973870, 11.5119020, 12.0881730, 13.3872660", \
+					  "13.0411650, 13.0788720, 13.1349790, 13.3494070, 13.6003100, 14.1767640, 15.5353950", \
+					  "14.5847410, 14.5904640, 14.6747600, 14.8246430, 15.1131340, 15.6928780, 17.0278830", \
+					  "16.6357740, 16.6616960, 16.7327430, 16.9059740, 17.1823340, 17.8349960, 19.0896210", \
+					  "39.7939810, 39.8092620, 39.9007160, 40.0467750, 40.3402360, 40.9303490, 42.2243040", \
+					  "51.8610830, 51.8879690, 52.0070350, 52.1319410, 52.4156190, 53.0264370, 54.3247190", \
+					  "94.7677140, 94.7867280, 94.9443970, 95.0440220, 95.3853250, 95.9042770, 97.2866090", \
+					  "213.7729800, 213.7902200, 213.8472200, 214.0010600, 214.3249300, 214.8754700, 216.2109500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246745, 0.060883, 0.150226, 0.370675, 0.914621, 2.25678");
+					values("0.2206144, 0.2495039, 0.3103302, 0.4292823, 0.6911987, 1.3452125, 3.0592582", \
+					  "0.2220477, 0.2510240, 0.3131344, 0.4303399, 0.6910711, 1.3431761, 3.0547409", \
+					  "0.2221855, 0.2511570, 0.3129063, 0.4346607, 0.6936187, 1.3438344, 3.0533500", \
+					  "0.2219948, 0.2509456, 0.3129766, 0.4346375, 0.6936977, 1.3434734, 3.0582514", \
+					  "0.2220083, 0.2510103, 0.3130537, 0.4338729, 0.6936899, 1.3444460, 3.0584095", \
+					  "0.2221148, 0.2515665, 0.3124033, 0.4337624, 0.6932734, 1.3443409, 3.0584888", \
+					  "0.2221286, 0.2517632, 0.3113708, 0.4339777, 0.6933836, 1.3443741, 3.0569127", \
+					  "0.2220390, 0.2511114, 0.3120692, 0.4332025, 0.6934130, 1.3433984, 3.0578111", \
+					  "0.2220362, 0.2511722, 0.3129377, 0.4345752, 0.6930788, 1.3443857, 3.0567116", \
+					  "0.2221104, 0.2522685, 0.3128209, 0.4330782, 0.6934425, 1.3444986, 3.0588154", \
+					  "0.2220919, 0.2513488, 0.3128593, 0.4340877, 0.6902777, 1.3435425, 3.0580254", \
+					  "0.2223366, 0.2514605, 0.3125951, 0.4344440, 0.6932243, 1.3435993, 3.0589415");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("4.3615458, 4.3865085, 4.4500070, 4.5965938, 4.9499933, 5.8122347, 7.9379125", \
+					  "6.4427357, 6.4692474, 6.5315944, 6.6783490, 7.0307862, 7.8936910, 10.0202190", \
+					  "8.0132514, 8.0418010, 8.1043048, 8.2509324, 8.6034637, 9.4661869, 11.5920010", \
+					  "8.3585983, 8.3791143, 8.4416198, 8.5882803, 8.9405301, 9.8035020, 11.9287900", \
+					  "10.3590530, 10.3834190, 10.4481180, 10.5920300, 10.9449270, 11.8077330, 13.9343160", \
+					  "11.9838530, 12.0005710, 12.0687580, 12.2084850, 12.5691170, 13.4278630, 15.5506080", \
+					  "13.1969790, 13.2154110, 13.2818850, 13.4255020, 13.7906460, 14.6479570, 16.7725120", \
+					  "14.9464880, 14.9754320, 15.0505370, 15.1965760, 15.5475860, 16.4007070, 18.5233730", \
+					  "42.6606270, 42.6606274, 42.6824580, 42.9238720, 43.1332050, 43.9938790, 45.9611050", \
+					  "61.2955300, 61.2955304, 61.3443090, 61.3692280, 61.8723630, 62.7363120, 64.7089710", \
+					  "131.6832500, 132.2655000, 132.5538500, 132.5538559, 132.5538712, 133.0669200, 135.8166100", \
+					  "374.2922800, 374.4907800, 374.6248200, 374.9419800, 375.2953400, 376.5167100, 377.8868600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.1633013, 0.1927463, 0.2674351, 0.4545377, 0.9268021, 2.0961755, 4.9864366", \
+					  "0.1638334, 0.1937130, 0.2689205, 0.4567041, 0.9259259, 2.0970943, 5.0012865", \
+					  "0.1636081, 0.1938923, 0.2689442, 0.4577364, 0.9262879, 2.0964650, 4.9958753", \
+					  "0.1634235, 0.1937902, 0.2686787, 0.4575954, 0.9263234, 2.0958026, 4.9873332", \
+					  "0.1639732, 0.1940607, 0.2680314, 0.4568160, 0.9259261, 2.0969564, 4.9890985", \
+					  "0.1635418, 0.1941434, 0.2678114, 0.4559669, 0.9261632, 2.0959672, 5.0029403", \
+					  "0.1635397, 0.1938761, 0.2688934, 0.4569448, 0.9265219, 2.0967024, 4.9924571", \
+					  "0.1638896, 0.1937039, 0.2683767, 0.4569434, 0.9265189, 2.0967459, 5.0050049", \
+					  "0.1635430, 0.1938616, 0.2685995, 0.4577117, 0.9263811, 2.0965423, 5.0011559", \
+					  "0.1635340, 0.1937624, 0.2683365, 0.4568057, 0.9264132, 2.0966215, 4.9912914", \
+					  "0.1634227, 0.1938442, 0.2689684, 0.4568499, 0.9267942, 2.0966839, 5.0033577", \
+					  "0.1638116, 0.1937403, 0.2689907, 0.4568541, 0.9262964, 2.0969448, 4.9901741");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("4.6674926, 4.7016337, 4.7775206, 4.9204107, 5.1950999, 5.7597020, 7.0694126", \
+					  "6.8924195, 6.9263861, 7.0002213, 7.1455113, 7.4206854, 7.9859088, 9.2953165", \
+					  "8.9984740, 9.0323580, 9.1060413, 9.2517256, 9.5265751, 10.0918350, 11.4020330", \
+					  "9.4311394, 9.4653281, 9.5391330, 9.6844177, 9.9594377, 10.5236910, 11.8349020", \
+					  "11.9224440, 11.9303610, 12.0042040, 12.1106920, 12.4230080, 12.9886090, 14.2983590", \
+					  "13.7498770, 13.7938790, 13.9021660, 14.0202530, 14.2913470, 14.8361420, 16.1622700", \
+					  "15.1073940, 15.1375200, 15.1882770, 15.3575320, 15.6672830, 16.1687210, 17.5067820", \
+					  "16.8904660, 16.9428440, 17.0115640, 17.1437020, 17.4382770, 17.9730190, 19.3112580", \
+					  "37.1163790, 37.1335180, 37.2108530, 37.3165710, 37.6328440, 38.1905000, 39.4973550", \
+					  "48.0848430, 48.0988900, 48.1973930, 48.3405530, 48.6081260, 49.1772990, 50.4682060", \
+					  "90.1571650, 90.2059370, 90.2696800, 90.4095430, 90.6874080, 91.2567300, 92.5237130", \
+					  "227.1774100, 227.1774216, 227.2412300, 227.4363700, 227.7168700, 228.2725000, 229.5389700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.2022367, 0.2295318, 0.2881807, 0.4060760, 0.6686969, 1.3301942, 3.0476079", \
+					  "0.2037516, 0.2309844, 0.2902451, 0.4083469, 0.6697791, 1.3330567, 3.0502907", \
+					  "0.2032372, 0.2314117, 0.2900892, 0.4090161, 0.6696278, 1.3299070, 3.0440623", \
+					  "0.2039206, 0.2309496, 0.2906379, 0.4094272, 0.6681360, 1.3314699, 3.0504004", \
+					  "0.2039841, 0.2312705, 0.2902377, 0.4096632, 0.6694921, 1.3326475, 3.0481335", \
+					  "0.2039529, 0.2309641, 0.2905422, 0.4088665, 0.6697839, 1.3324413, 3.0488168", \
+					  "0.2037954, 0.2312986, 0.2896873, 0.4094719, 0.6695077, 1.3336273, 3.0515370", \
+					  "0.2039483, 0.2311547, 0.2902950, 0.4096886, 0.6698622, 1.3292902, 3.0464786", \
+					  "0.2040483, 0.2310065, 0.2905211, 0.4088925, 0.6697994, 1.3329495, 3.0520610", \
+					  "0.2042487, 0.2311615, 0.2897473, 0.4097438, 0.6691679, 1.3295223, 3.0520073", \
+					  "0.2040029, 0.2323043, 0.2900479, 0.4090968, 0.6698770, 1.3338335, 3.0516987", \
+					  "0.2041606, 0.2320908, 0.2902296, 0.4098242, 0.6696018, 1.3337224, 3.0513427");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("4.3339536, 4.3606461, 4.4235517, 4.5705613, 4.9225966, 5.7860565, 7.9124813", \
+					  "6.1028937, 6.1292988, 6.1918271, 6.3385537, 6.6907080, 7.5537443, 9.6800381", \
+					  "7.1431550, 7.1694850, 7.2318067, 7.3785156, 7.7313738, 8.5937639, 10.7212130", \
+					  "7.3514328, 7.3781679, 7.4396596, 7.5869009, 7.9398026, 8.8033696, 10.9273510", \
+					  "8.4365483, 8.4649470, 8.5259284, 8.6727905, 9.0241805, 9.8879385, 12.0138820", \
+					  "9.2064658, 9.2332222, 9.2944141, 9.4413872, 9.7949551, 10.6619340, 12.7818770", \
+					  "9.7376827, 9.7615009, 9.8257837, 9.9712167, 10.3228700, 11.1852490, 13.3146660", \
+					  "10.4199520, 10.4462660, 10.5088540, 10.6566240, 11.0115280, 11.8717670, 13.9979690", \
+					  "18.7272260, 18.7749940, 18.8312410, 18.9848460, 19.3434100, 20.1924220, 22.3379470", \
+					  "25.6822600, 25.6918200, 25.7382670, 25.8202580, 26.2368940, 27.0601250, 29.1631960", \
+					  "55.1244600, 55.1512190, 55.1512204, 55.3600650, 55.5682330, 56.3479540, 58.7016200", \
+					  "134.4483300, 134.4483414, 134.4483567, 134.9408500, 135.0413000, 136.0851800, 138.1959700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.1631835, 0.1932820, 0.2672485, 0.4552156, 0.9256882, 2.1000257, 4.9965023", \
+					  "0.1637268, 0.1932641, 0.2688256, 0.4566925, 0.9258380, 2.0966811, 5.0025100", \
+					  "0.1640598, 0.1937039, 0.2689718, 0.4569406, 0.9261831, 2.0949802, 4.9895728", \
+					  "0.1641761, 0.1937392, 0.2685781, 0.4565552, 0.9266022, 2.0954711, 4.9943840", \
+					  "0.1640139, 0.1938672, 0.2688087, 0.4576289, 0.9258319, 2.0965802, 5.0021081", \
+					  "0.1639935, 0.1939254, 0.2689670, 0.4574550, 0.9271097, 2.0970752, 5.0061830", \
+					  "0.1638171, 0.1937020, 0.2691647, 0.4566750, 0.9263738, 2.0970119, 5.0054227", \
+					  "0.1638903, 0.1937027, 0.2689699, 0.4576701, 0.9261107, 2.0965489, 5.0033391", \
+					  "0.1638877, 0.1937019, 0.2685947, 0.4575030, 0.9271161, 2.0965965, 5.0006110", \
+					  "0.1640350, 0.1938432, 0.2691961, 0.4568891, 0.9265430, 2.0965201, 5.0024096", \
+					  "0.1635261, 0.1941268, 0.2689836, 0.4566707, 0.9264347, 2.0966433, 5.0004778", \
+					  "0.1638906, 0.1937038, 0.2689687, 0.4569057, 0.9264604, 2.0967990, 5.0018563");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("6.2860805, 6.3219314, 6.3902084, 6.5395698, 6.8144224, 7.3799082, 8.6889989", \
+					  "8.1661220, 8.1998861, 8.2738723, 8.4189615, 8.6943377, 9.2596775, 10.5692440", \
+					  "10.6242470, 10.6581770, 10.7321380, 10.8773510, 11.1525020, 11.7173690, 13.0330600", \
+					  "11.1536210, 11.1877560, 11.2618180, 11.4071610, 11.6823700, 12.2475210, 13.5486100", \
+					  "14.0747410, 14.1085900, 14.1824280, 14.3201770, 14.6029920, 15.1685650, 16.4714060", \
+					  "16.2832060, 16.3063390, 16.3911480, 16.5202230, 16.8115390, 17.3682280, 18.6779890", \
+					  "17.8777010, 17.9518140, 17.9765860, 18.1216660, 18.3987940, 18.9590580, 20.2647300", \
+					  "20.0396410, 20.0684060, 20.1456950, 20.2813770, 20.5666470, 21.1219750, 22.4394160", \
+					  "44.5062080, 44.5574950, 44.6185380, 44.7710350, 45.0356550, 45.6038980, 46.9265660", \
+					  "58.0315070, 58.0555370, 58.1621760, 58.2764170, 58.5644920, 59.1137950, 60.4587310", \
+					  "110.2678800, 110.3084100, 110.4105800, 110.5595300, 110.8012400, 111.3691400, 112.6752900", \
+					  "278.4615800, 278.5035100, 278.5751300, 278.7177800, 278.9816700, 279.5584100, 280.8728300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0246578, 0.0608007, 0.149921, 0.369672, 0.911529, 2.24763");
+					values("0.2023019, 0.2292439, 0.2881470, 0.4062510, 0.6687296, 1.3291104, 3.0498524", \
+					  "0.2039169, 0.2310508, 0.2903148, 0.4086594, 0.6698344, 1.3337932, 3.0512675", \
+					  "0.2041307, 0.2311382, 0.2896598, 0.4090590, 0.6698370, 1.3295062, 3.0508316", \
+					  "0.2048816, 0.2310794, 0.2896098, 0.4097444, 0.6694567, 1.3306654, 3.0503688", \
+					  "0.2039895, 0.2311152, 0.2897193, 0.4090200, 0.6698428, 1.3337644, 3.0431093", \
+					  "0.2039842, 0.2312493, 0.2907188, 0.4095599, 0.6691955, 1.3306011, 3.0502547", \
+					  "0.2039259, 0.2311047, 0.2907902, 0.4089068, 0.6698573, 1.3326287, 3.0512668", \
+					  "0.2036586, 0.2309634, 0.2903006, 0.4095244, 0.6698103, 1.3337774, 3.0512493", \
+					  "0.2039550, 0.2313611, 0.2903336, 0.4097456, 0.6698404, 1.3326932, 3.0496403", \
+					  "0.2040555, 0.2311768, 0.2897946, 0.4091646, 0.6696080, 1.3321730, 3.0483012", \
+					  "0.2040943, 0.2309804, 0.2907890, 0.4088235, 0.6694804, 1.3338323, 3.0491749", \
+					  "0.2047562, 0.2312963, 0.2904552, 0.4090857, 0.6699745, 1.3327150, 3.0514069");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("23.7960550, 23.8227930, 23.8851430, 24.0315630, 24.3858040, 25.2481540, 27.3819230", \
+					  "24.3566770, 24.3772770, 24.4395770, 24.5924380, 24.9402920, 25.8129780, 27.9363960", \
+					  "24.9004200, 24.9313890, 24.9936940, 25.1358970, 25.4944080, 26.3524880, 28.4905100", \
+					  "25.3821590, 25.4077680, 25.4703120, 25.6178310, 25.9710030, 26.8352080, 28.9700320", \
+					  "25.8182260, 25.8380520, 25.9003570, 26.0468340, 26.4010720, 27.2634180, 29.3971870", \
+					  "26.2090540, 26.2446120, 26.3069260, 26.4533250, 26.8077060, 27.6698100, 29.8050940", \
+					  "26.5790870, 26.6104770, 26.6727670, 26.8191940, 27.1735080, 28.0355050, 30.1681840");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("0.1639940, 0.1941037, 0.2681045, 0.4573236, 0.9340209, 2.1174948, 5.0285220", \
+					  "0.1638576, 0.1941051, 0.2681046, 0.4576438, 0.9340023, 2.1148521, 5.0285736", \
+					  "0.1639916, 0.1941060, 0.2681074, 0.4573261, 0.9339988, 2.1174920, 5.0285891", \
+					  "0.1638615, 0.1941038, 0.2681046, 0.4573235, 0.9351788, 2.1159741, 5.0215356", \
+					  "0.1638872, 0.1941051, 0.2681046, 0.4573222, 0.9340030, 2.1174948, 5.0285727", \
+					  "0.1638583, 0.1937842, 0.2682598, 0.4565041, 0.9345999, 2.1182776, 5.0215623", \
+					  "0.1638515, 0.1941870, 0.2685124, 0.4576462, 0.9344272, 2.1177233, 5.0313274");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("14.4659780, 14.5019670, 14.5712130, 14.7174010, 14.9909350, 15.5517270, 16.8523860", \
+					  "14.9220640, 14.9558800, 15.0292100, 15.1732900, 15.4461600, 16.0083820, 17.3077910", \
+					  "15.2463220, 15.2692860, 15.3512600, 15.4957450, 15.7687780, 16.3308740, 17.6309170", \
+					  "15.4972590, 15.5309240, 15.6040870, 15.7368000, 16.0199640, 16.5724910, 17.8719000", \
+					  "15.7159920, 15.7499840, 15.8228680, 15.9670800, 16.2403460, 16.8027030, 18.1065940", \
+					  "15.9061790, 15.9401590, 16.0130540, 16.1571950, 16.4305480, 16.9928870, 18.3018490", \
+					  "16.0978820, 16.1316840, 16.2050120, 16.3490950, 16.6219680, 17.1841760, 18.4835970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0246592, 0.0608075, 0.149946, 0.369755, 0.911786, 2.24839");
+					values("0.2012231, 0.2287158, 0.2880593, 0.4075048, 0.6642792, 1.3263061, 2.9980005", \
+					  "0.2018088, 0.2285855, 0.2865030, 0.4062702, 0.6639295, 1.3239437, 2.9979106", \
+					  "0.2016095, 0.2293119, 0.2873629, 0.4070913, 0.6649951, 1.3230860, 2.9978566", \
+					  "0.2020351, 0.2292410, 0.2867033, 0.4069568, 0.6666442, 1.3254374, 2.9980900", \
+					  "0.2032221, 0.2293177, 0.2876849, 0.4075419, 0.6638795, 1.3256700, 2.9980869", \
+					  "0.2031077, 0.2292081, 0.2875919, 0.4070198, 0.6637887, 1.3254285, 2.9977214", \
+					  "0.2018086, 0.2285808, 0.2865002, 0.4062483, 0.6639479, 1.3239766, 2.9979270");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.492320;
+			max_transition : 3.765246;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.7886748, 0.7898162, 0.7922965, 0.7956843, 0.8034231, 0.8053295, 0.7940524", \
+					  "0.8426245, 0.8446468, 0.8499300, 0.8549801, 0.8615010, 0.8641432, 0.8498585", \
+					  "-0.1066826, -0.1043114, -0.1006352, -0.0958507, -0.0905308, -0.0885130, -0.0999919", \
+					  "-0.0820786, -0.0801046, -0.0766600, -0.0740634, -0.0706851, -0.0673585, -0.0801591", \
+					  "-0.0021136, -0.0012834, -0.0033133, -0.0093622, -0.0281398, -0.0425807, -0.0653023", \
+					  "0.0249826, 0.0225179, 0.0261619, 0.0272154, 0.0303356, -0.0044735, -0.0281153", \
+					  "0.0388469, 0.0383590, 0.0400907, 0.0453836, 0.0476785, 0.0507216, -0.0273913");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.7626503, 0.7689100, 0.7761657, 0.7904319, 0.8049170, 0.8152440, 0.8196181", \
+					  "0.6575997, 0.6624157, 0.6692899, 0.6833721, 0.6981737, 0.7081902, 0.7124052", \
+					  "1.6674375, 1.6710481, 1.6788758, 1.6934381, 1.7083690, 1.7187742, 1.7236589", \
+					  "1.6295002, 1.6365063, 1.6476449, 1.6700690, 1.7025921, 1.7153583, 1.7215268", \
+					  "1.6452674, 1.6486102, 1.6475223, 1.6523009, 1.6545906, 1.6823539, 1.7198088", \
+					  "1.6469481, 1.6477141, 1.6496603, 1.6552468, 1.6574665, 1.6616481, 1.6979985", \
+					  "1.6494337, 1.6498419, 1.6524695, 1.6563959, 1.6587437, 1.6625787, 1.6672832");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.7414985, 0.7418770, 0.7452204, 0.7500715, 0.7521478, 0.7529704, 0.7454990", \
+					  "0.8128401, 0.8148610, 0.8174589, 0.8220273, 0.8285371, 0.8283112, 0.8192126", \
+					  "-0.3338910, -0.3368588, -0.3454081, -0.3489279, -0.3477640, -0.3451709, -0.3671196", \
+					  "-0.2645975, -0.2650690, -0.2633503, -0.2610364, -0.2571466, -0.3312836, -0.3453204", \
+					  "-0.2537438, -0.2550970, -0.2537917, -0.2521362, -0.2477774, -0.2461171, -0.3394172", \
+					  "-0.2443300, -0.2548790, -0.2422095, -0.2404887, -0.2353070, -0.2358307, -0.2609578", \
+					  "-0.2338000, -0.2431161, -0.2320888, -0.2289199, -0.2246142, -0.2345613, -0.2309932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.9348296, 0.9408125, 0.9522317, 0.9723352, 0.9940910, 1.0106800, 1.0193692", \
+					  "0.7611013, 0.7725974, 0.7784464, 0.7988455, 0.8198374, 0.8367190, 0.8523732", \
+					  "1.9854019, 2.0033734, 2.0029813, 2.0231419, 2.0473725, 2.0745775, 2.0826236", \
+					  "1.9654315, 1.9875321, 1.9917460, 2.0144914, 2.0528123, 2.0730498, 2.0852146", \
+					  "1.9486086, 1.9685145, 1.9509214, 1.9529046, 2.0014322, 2.0593598, 2.0850448", \
+					  "1.9797482, 1.9898866, 1.9882017, 1.9858499, 2.0041531, 2.0077848, 2.0772785", \
+					  "1.9867324, 2.0005564, 1.9914656, 1.9928458, 2.0067121, 2.0172763, 2.0281008");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.7957300, 0.7996349, 0.8018258, 0.8074629, 0.8118184, 0.8111633, 0.8015404", \
+					  "1.0341405, 1.0359437, 1.0387840, 1.0438979, 1.0513949, 1.0518207, 1.0385583", \
+					  "0.0338795, 0.0344826, 0.0370848, 0.0412863, 0.0445613, 0.0477240, 0.0296372", \
+					  "0.2753864, 0.2762693, 0.2764781, 0.2784275, 0.2441468, 0.2053275, 0.1917320", \
+					  "0.4294961, 0.4295274, 0.4297727, 0.4301631, 0.4364123, 0.4149472, 0.3560579", \
+					  "0.5731230, 0.5708718, 0.5756393, 0.5686875, 0.5829981, 0.5811422, 0.4897035", \
+					  "0.7078578, 0.7122478, 0.7071459, 0.7081256, 0.7197779, 0.7210379, 0.6939922");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("0.9925608, 0.9980883, 1.0081187, 1.0252619, 1.0443362, 1.0579191, 1.0644540", \
+					  "0.8204003, 0.8239578, 0.8367609, 0.8544882, 0.8721664, 0.8802625, 0.8927534", \
+					  "2.0306842, 2.0355514, 2.0461224, 2.0637877, 2.0845489, 2.1110557, 2.1061193", \
+					  "1.9855014, 1.9885565, 1.9897950, 1.9963790, 2.0395578, 2.1045717, 2.1016411", \
+					  "2.0250264, 2.0128374, 2.0209574, 2.0183176, 2.0213750, 2.0424619, 2.0969656", \
+					  "2.0275084, 2.0154850, 2.0211740, 2.0218434, 2.0372892, 2.0432675, 2.0396397", \
+					  "2.0298910, 2.0172531, 2.0211380, 2.0240747, 2.0417927, 2.0405472, 2.0365320");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.1858763, 1.1892497, 1.2040433, 1.2395417, 1.3723669, 1.5176817, 1.5107475", \
+					  "1.1791851, 1.1846314, 1.1971306, 1.2317284, 1.3653095, 1.5131858, 1.5070136", \
+					  "1.1736225, 1.1777973, 1.1906747, 1.2258374, 1.3609860, 1.4967936, 1.4978499", \
+					  "1.1712513, 1.1845008, 1.1888728, 1.2257715, 1.3586428, 1.5021164, 1.4977290", \
+					  "1.1745066, 1.1770493, 1.1894559, 1.2256025, 1.3585748, 1.5020632, 1.4967878", \
+					  "1.1696873, 1.1760423, 1.1887682, 1.2250169, 1.3666443, 1.5011942, 1.4918966", \
+					  "1.1701212, 1.1763589, 1.1900251, 1.2298886, 1.3562658, 1.5018781, 1.4966469");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02303087, 0.05304209, 0.1221606, 0.2813464, 0.6479651, 1.49232");
+					values("1.0125756, 1.0239494, 1.0348025, 1.0547164, 1.0751419, 1.0981029, 1.1099947", \
+					  "1.0109464, 1.0177331, 1.0295720, 1.0470640, 1.0688169, 1.0916375, 1.1034111", \
+					  "1.0049962, 1.0119539, 1.0248012, 1.0411711, 1.0629547, 1.0858493, 1.0975389", \
+					  "1.0046603, 1.0120738, 1.0238224, 1.0412969, 1.0629478, 1.0856866, 1.0973418", \
+					  "1.0041801, 1.0151325, 1.0239078, 1.0407085, 1.0625905, 1.0836970, 1.0985760", \
+					  "1.0041581, 1.0114196, 1.0237546, 1.0401941, 1.0626169, 1.0825664, 1.0984387", \
+					  "1.0047638, 1.0115797, 1.0234738, 1.0408424, 1.0625831, 1.0845623, 1.0976955");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("6.1906156, 6.2322352, 6.3198535, 6.4989880, 6.8413941, 7.5058235, 8.9267447", \
+					  "8.7983647, 8.8441441, 8.9346033, 9.1141867, 9.4529051, 10.1175740, 11.5397300", \
+					  "10.9998870, 11.0488540, 11.1311820, 11.3181090, 11.6492940, 12.3238890, 13.7424860", \
+					  "11.4799570, 11.5230530, 11.6131720, 11.7890980, 12.1288450, 12.7955780, 14.2195270", \
+					  "14.2742000, 14.3173920, 14.4074970, 14.5868810, 14.9259880, 15.5914890, 17.0085440", \
+					  "16.4943720, 16.5373990, 16.6273660, 16.8028520, 17.1431260, 17.8095490, 19.2341040", \
+					  "18.1392500, 18.1796710, 18.2734910, 18.4485720, 18.7883720, 19.4568950, 20.8813700", \
+					  "20.4227670, 20.4691730, 20.5601190, 20.7346940, 21.0743480, 21.7421900, 23.1582840", \
+					  "49.4884920, 49.6048220, 49.6183080, 49.7634860, 50.2114050, 50.7993720, 52.3247610", \
+					  "67.4250340, 67.5829740, 67.5829812, 67.6956160, 68.1889740, 68.8456580, 70.1754890", \
+					  "138.1274500, 138.1987300, 139.0182500, 139.0182572, 139.0182724, 140.1976700, 140.8973100", \
+					  "362.2263600, 362.7456500, 362.8388200, 363.1294900, 363.4029600, 364.0149400, 365.3413200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("0.4912309, 0.5185645, 0.5908627, 0.7441238, 1.0772840, 1.8607160, 3.7570187", \
+					  "0.4855086, 0.5173538, 0.5953892, 0.7453762, 1.0794913, 1.8586629, 3.7511829", \
+					  "0.4841908, 0.5248836, 0.5946865, 0.7451119, 1.0747505, 1.8597083, 3.7501438", \
+					  "0.4900370, 0.5222667, 0.5921902, 0.7449989, 1.0780765, 1.8546844, 3.7582124", \
+					  "0.4881055, 0.5247781, 0.5941093, 0.7458859, 1.0802048, 1.8564216, 3.7415386", \
+					  "0.4888864, 0.5217750, 0.5928109, 0.7468016, 1.0810388, 1.8582145, 3.7589096", \
+					  "0.4846864, 0.5182843, 0.5945083, 0.7458943, 1.0799667, 1.8588213, 3.7584837", \
+					  "0.4907049, 0.5209470, 0.5906962, 0.7468423, 1.0812121, 1.8544132, 3.7560266", \
+					  "0.4911815, 0.5212868, 0.5930363, 0.7471189, 1.0808761, 1.8554791, 3.7538879", \
+					  "0.4857701, 0.5222717, 0.5924890, 0.7472127, 1.0771799, 1.8607113, 3.7591366", \
+					  "0.4853183, 0.5184118, 0.5917990, 0.7467727, 1.0796818, 1.8556726, 3.7584895", \
+					  "0.4895867, 0.5176447, 0.5945097, 0.7466069, 1.0797551, 1.8613481, 3.7526173");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("5.2211404, 5.2539826, 5.3290869, 5.4785785, 5.7504685, 6.2290648, 7.1477806", \
+					  "7.8133207, 7.8480138, 7.9221678, 8.0786577, 8.3431644, 8.8212095, 9.7388897", \
+					  "10.0298290, 10.0644220, 10.1386860, 10.3080800, 10.5824460, 11.0381410, 11.9548310", \
+					  "10.4976210, 10.5325390, 10.6072210, 10.7797310, 11.0531840, 11.5067090, 12.4243450", \
+					  "13.2139060, 13.2445400, 13.3230240, 13.4516260, 13.7350320, 14.2218100, 15.1412540", \
+					  "15.3013670, 15.3376190, 15.4116900, 15.5595770, 15.8237480, 16.3123700, 17.2258330", \
+					  "16.8255420, 16.8484990, 16.9230830, 17.0788310, 17.3557720, 17.8247110, 18.7417260", \
+					  "18.8884370, 18.9206500, 18.9726070, 19.1544490, 19.4036540, 19.9093100, 20.8058920", \
+					  "42.0263400, 42.0464690, 42.1161810, 42.2579550, 42.5424780, 43.0397120, 43.9607710", \
+					  "54.1333630, 54.1608570, 54.2356200, 54.3950560, 54.6587380, 55.1046850, 56.0407010", \
+					  "97.0136040, 97.0172070, 97.1454380, 97.3196990, 97.5433350, 98.0754460, 98.9910590", \
+					  "215.9847300, 215.9847336, 216.0666400, 216.1888500, 216.4336200, 216.9905100, 217.8702400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230264, 0.0530217, 0.12209, 0.28113, 0.647343, 1.4906");
+					values("0.3817310, 0.4133584, 0.4731193, 0.5956277, 0.8211978, 1.2798937, 2.3536539", \
+					  "0.3845788, 0.4116905, 0.4729032, 0.5942444, 0.8202065, 1.2796046, 2.3556468", \
+					  "0.3848562, 0.4123646, 0.4744850, 0.5942618, 0.8217969, 1.2806528, 2.3565314", \
+					  "0.3838146, 0.4118696, 0.4744911, 0.5941582, 0.8197398, 1.2801692, 2.3478792", \
+					  "0.3831956, 0.4117832, 0.4722194, 0.5956366, 0.8209950, 1.2767386, 2.3481230", \
+					  "0.3849700, 0.4134330, 0.4744484, 0.5953580, 0.8212354, 1.2789773, 2.3495279", \
+					  "0.3829123, 0.4132925, 0.4754118, 0.5953417, 0.8205877, 1.2795919, 2.3542501", \
+					  "0.3842562, 0.4138197, 0.4729276, 0.5948537, 0.8210552, 1.2762988, 2.3540536", \
+					  "0.3853778, 0.4138515, 0.4760850, 0.5953261, 0.8190970, 1.2794026, 2.3514846", \
+					  "0.3855173, 0.4137851, 0.4761159, 0.5953729, 0.8191029, 1.2781366, 2.3525679", \
+					  "0.3838113, 0.4119064, 0.4721909, 0.5943978, 0.8207290, 1.2786084, 2.3551141", \
+					  "0.3828399, 0.4117351, 0.4743731, 0.5956791, 0.8213964, 1.2774695, 2.3518445");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0575233, 5.0999787, 5.1883857, 5.3664810, 5.7031344, 6.3672729, 7.7853226", \
+					  "6.8252906, 6.8680674, 6.9582105, 7.1338291, 7.4721402, 8.1351654, 9.5551282", \
+					  "7.8674445, 7.9094971, 7.9980911, 8.1742861, 8.5132186, 9.1760031, 10.5934280", \
+					  "8.0753138, 8.1174880, 8.2039477, 8.3812797, 8.7205763, 9.3837409, 10.7992430", \
+					  "9.1583177, 9.2007362, 9.2904466, 9.4659329, 9.8006998, 10.4715720, 11.8864960", \
+					  "9.9298516, 9.9729469, 10.0612450, 10.2362470, 10.5761280, 11.2382330, 12.6571670", \
+					  "10.4576320, 10.5003530, 10.5867630, 10.7660180, 11.1038880, 11.7681560, 13.1877590", \
+					  "11.1437770, 11.1889160, 11.2754650, 11.4565900, 11.7899050, 12.4591240, 13.8734030", \
+					  "19.4768080, 19.5201740, 19.5963960, 19.7414370, 20.1177230, 20.7415380, 22.2016950", \
+					  "26.3647020, 26.4087440, 26.4150180, 26.7272460, 27.0347900, 27.7253470, 29.1162990", \
+					  "55.6581060, 55.7065970, 55.7514250, 56.1559150, 56.4942300, 56.9320910, 58.5764750", \
+					  "135.3807700, 135.3807755, 135.4540000, 135.4540024, 135.9242100, 136.1034200, 138.0561100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4806654, 0.5143414, 0.5864680, 0.7390611, 1.0808116, 1.8579928, 3.7493875", \
+					  "0.4837366, 0.5169608, 0.5847606, 0.7360350, 1.0740148, 1.8567551, 3.7506820", \
+					  "0.4828299, 0.5154437, 0.5837021, 0.7380460, 1.0706805, 1.8519348, 3.7254826", \
+					  "0.4810995, 0.5156062, 0.5858962, 0.7357468, 1.0720937, 1.8496259, 3.7321652", \
+					  "0.4810619, 0.5140656, 0.5830183, 0.7388315, 1.0737595, 1.8582874, 3.7436441", \
+					  "0.4845281, 0.5129437, 0.5865617, 0.7378779, 1.0736485, 1.8511510, 3.7423833", \
+					  "0.4836653, 0.5170146, 0.5844311, 0.7353791, 1.0741993, 1.8571801, 3.7421124", \
+					  "0.4836775, 0.5167799, 0.5827582, 0.7358422, 1.0726918, 1.8531641, 3.7498440", \
+					  "0.4836690, 0.5170064, 0.5854771, 0.7385266, 1.0732468, 1.8539061, 3.7500389", \
+					  "0.4836881, 0.5170345, 0.5852984, 0.7378816, 1.0729573, 1.8568986, 3.7537209", \
+					  "0.4837142, 0.5170359, 0.5867476, 0.7372114, 1.0741481, 1.8569256, 3.7461674", \
+					  "0.4836692, 0.5170079, 0.5845447, 0.7358058, 1.0741158, 1.8568626, 3.7498560");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3266059, 6.3629355, 6.4410242, 6.5993562, 6.8843287, 7.3794735, 8.3142142", \
+					  "8.2080085, 8.2445828, 8.3231688, 8.4814475, 8.7661354, 9.2614707, 10.1945630", \
+					  "10.6650570, 10.7102980, 10.7802090, 10.9447860, 11.2229120, 11.7179880, 12.6517790", \
+					  "11.1946520, 11.2320160, 11.3096630, 11.4585590, 11.7526910, 12.2478630, 13.1789430", \
+					  "14.1149210, 14.1426510, 14.2300540, 14.3804440, 14.6729320, 15.1876540, 16.1011670", \
+					  "16.3246320, 16.3485470, 16.4232540, 16.5868420, 16.8748710, 17.3592250, 18.3105230", \
+					  "17.9179940, 17.9473700, 18.0303080, 18.1864480, 18.5273490, 18.9610350, 19.9042150", \
+					  "20.0783750, 20.0783759, 20.1951290, 20.2893330, 20.6186890, 21.1203840, 22.0639810", \
+					  "44.5498220, 44.6081750, 44.6683830, 44.7192860, 45.0672180, 45.6117880, 46.5328260", \
+					  "58.0756850, 58.1142320, 58.1787740, 58.3561960, 58.6101590, 59.1176530, 60.0496720", \
+					  "110.3387500, 110.3542900, 110.4275000, 110.6232100, 110.8693800, 111.3920000, 112.3289300", \
+					  "278.4995300, 278.5128600, 278.6193500, 278.7727700, 279.0576700, 279.5597400, 280.4916900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3933454, 0.4245291, 0.4920546, 0.6204611, 0.8534070, 1.3113177, 2.3726616", \
+					  "0.3936225, 0.4254299, 0.4931499, 0.6206726, 0.8518198, 1.3111194, 2.3786093", \
+					  "0.3934968, 0.4252967, 0.4929485, 0.6204882, 0.8518232, 1.3050906, 2.3764901", \
+					  "0.3939265, 0.4249398, 0.4921719, 0.6208038, 0.8514519, 1.3107594, 2.3747719", \
+					  "0.3932815, 0.4250859, 0.4928114, 0.6203774, 0.8510638, 1.3106919, 2.3691569", \
+					  "0.3933059, 0.4252223, 0.4922433, 0.6204125, 0.8515946, 1.3085661, 2.3784001", \
+					  "0.3932340, 0.4249740, 0.4917735, 0.6204075, 0.8515891, 1.3106796, 2.3768696", \
+					  "0.3941511, 0.4248887, 0.4916932, 0.6202515, 0.8502930, 1.3106847, 2.3782951", \
+					  "0.3934821, 0.4251482, 0.4919054, 0.6206184, 0.8508160, 1.3108735, 2.3752176", \
+					  "0.3937484, 0.4252307, 0.4922223, 0.6208047, 0.8513844, 1.3108192, 2.3764160", \
+					  "0.3935342, 0.4253595, 0.4932010, 0.6207281, 0.8520056, 1.3111551, 2.3767508", \
+					  "0.3941392, 0.4262223, 0.4927133, 0.6213257, 0.8537634, 1.3098188, 2.3785343");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0836246, 5.1259530, 5.2159743, 5.3923337, 5.7295733, 6.3935359, 7.8095699", \
+					  "7.1648532, 7.2075150, 7.2977029, 7.4731945, 7.8116758, 8.4755580, 9.8946638", \
+					  "8.7383354, 8.7740917, 8.8708356, 9.0461674, 9.3831956, 10.0484730, 11.4678130", \
+					  "9.0753556, 9.1209706, 9.2083150, 9.3835375, 9.7220330, 10.3848890, 11.8051000", \
+					  "11.0805210, 11.1253360, 11.2124520, 11.3884600, 11.7269150, 12.3901100, 13.8085150", \
+					  "12.6969620, 12.7499230, 12.8373220, 13.0059430, 13.3443310, 14.0070400, 15.4323190", \
+					  "13.9127310, 13.9628260, 14.0563370, 14.2194440, 14.5781440, 15.2246360, 16.6529280", \
+					  "15.6711960, 15.7123540, 15.8171430, 15.9865540, 16.3311340, 16.9957360, 18.3984220", \
+					  "43.2286060, 43.4341350, 43.4542290, 43.7451040, 43.7671520, 44.6991210, 46.1952220", \
+					  "62.0184510, 62.0270410, 62.0270443, 62.2897010, 62.5093360, 63.1710440, 64.5958310", \
+					  "132.9534600, 133.1955100, 133.3289400, 133.3289414, 133.3289567, 133.8234300, 135.0732200", \
+					  "375.1199500, 375.6229900, 375.6230011, 375.6230317, 375.6734900, 376.7189000, 377.8745600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4821467, 0.5166532, 0.5876000, 0.7374846, 1.0724643, 1.8579195, 3.7502614", \
+					  "0.4836428, 0.5169894, 0.5845640, 0.7358939, 1.0740553, 1.8568158, 3.7504435", \
+					  "0.4845057, 0.5120242, 0.5813474, 0.7338301, 1.0713737, 1.8589851, 3.7341321", \
+					  "0.4843097, 0.5170005, 0.5856511, 0.7368076, 1.0726130, 1.8520069, 3.7414543", \
+					  "0.4832908, 0.5156149, 0.5842158, 0.7380707, 1.0741819, 1.8562117, 3.7475520", \
+					  "0.4845043, 0.5155163, 0.5857408, 0.7378577, 1.0731951, 1.8512565, 3.7498471", \
+					  "0.4846962, 0.5163029, 0.5859002, 0.7338798, 1.0726031, 1.8587604, 3.7527310", \
+					  "0.4836751, 0.5170057, 0.5838602, 0.7358056, 1.0741154, 1.8519866, 3.7463868", \
+					  "0.4837046, 0.5162839, 0.5856140, 0.7373648, 1.0741139, 1.8534996, 3.7535903", \
+					  "0.4844789, 0.5155588, 0.5854008, 0.7354446, 1.0725058, 1.8537127, 3.7492929", \
+					  "0.4816338, 0.5160205, 0.5845794, 0.7368286, 1.0735229, 1.8538571, 3.7531227", \
+					  "0.4823439, 0.5170358, 0.5841924, 0.7386259, 1.0724580, 1.8571611, 3.7473771");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7086899, 4.7476125, 4.8237458, 4.9844147, 5.2660489, 5.7639866, 6.6941568", \
+					  "6.9343429, 6.9708501, 7.0495529, 7.2073396, 7.4922974, 7.9873610, 8.9193692", \
+					  "9.0371142, 9.0757188, 9.1542312, 9.3125010, 9.5971933, 10.0806230, 11.0249290", \
+					  "9.4550829, 9.5095327, 9.5880477, 9.7465884, 10.0309800, 10.5203060, 11.4585030", \
+					  "11.9239540, 11.9739710, 12.0519260, 12.2102380, 12.4947670, 12.9785950, 13.9214450", \
+					  "13.7842770, 13.8379020, 13.9164090, 14.0750900, 14.3590460, 14.8389490, 15.7872900", \
+					  "15.1264000, 15.1812320, 15.2597030, 15.4179500, 15.6903340, 16.1799160, 17.1264460", \
+					  "16.9070060, 16.9866270, 17.0651300, 17.2223780, 17.5062600, 17.9601580, 18.9256020", \
+					  "37.1116330, 37.1746580, 37.2513430, 37.4099440, 37.6967970, 38.1957510, 39.1187030", \
+					  "48.1282640, 48.1427200, 48.2208300, 48.3923480, 48.6794040, 49.1849550, 50.1103730", \
+					  "90.1794270, 90.1794320, 90.2803240, 90.5072350, 90.7584910, 91.2097700, 92.2107260", \
+					  "226.8226400, 227.2667100, 227.2667161, 227.3721500, 227.7324300, 228.2182100, 229.1963500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3930889, 0.4243687, 0.4912689, 0.6198378, 0.8518797, 1.3100326, 2.3735280", \
+					  "0.3942564, 0.4250892, 0.4913642, 0.6206637, 0.8525899, 1.3097377, 2.3762952", \
+					  "0.3937703, 0.4250859, 0.4917283, 0.6204917, 0.8522464, 1.3105937, 2.3662272", \
+					  "0.3934338, 0.4251987, 0.4928570, 0.6204237, 0.8499152, 1.3068844, 2.3746727", \
+					  "0.3939360, 0.4253139, 0.4920242, 0.6204717, 0.8522593, 1.3100390, 2.3726574", \
+					  "0.3933496, 0.4248846, 0.4928852, 0.6186871, 0.8516126, 1.3105634, 2.3783833", \
+					  "0.3941579, 0.4250024, 0.4918917, 0.6204842, 0.8526730, 1.3095314, 2.3753406", \
+					  "0.3935549, 0.4251952, 0.4927120, 0.6202887, 0.8526027, 1.3076481, 2.3766875", \
+					  "0.3934361, 0.4254890, 0.4929235, 0.6203624, 0.8520012, 1.3105725, 2.3775384", \
+					  "0.3940211, 0.4255668, 0.4923625, 0.6205478, 0.8521075, 1.3107646, 2.3740430", \
+					  "0.3941468, 0.4257504, 0.4924426, 0.6208851, 0.8524925, 1.3108912, 2.3779276", \
+					  "0.3942921, 0.4256233, 0.4936358, 0.6212267, 0.8532333, 1.3107467, 2.3773534");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("21.0609170, 21.1042150, 21.1942820, 21.3731450, 21.7117520, 22.3766000, 23.7974170", \
+					  "21.6153440, 21.6667290, 21.7487010, 21.9275600, 22.2661840, 22.9310280, 24.3518410", \
+					  "22.1694330, 22.2084590, 22.3024510, 22.4816520, 22.8202720, 23.4851160, 24.9059390", \
+					  "22.6452830, 22.6921720, 22.7810520, 22.9581390, 23.2988810, 23.9637410, 25.3836880", \
+					  "23.0761700, 23.1194490, 23.2122160, 23.3883630, 23.7300530, 24.3949220, 25.8126640", \
+					  "23.4803590, 23.5262940, 23.6047890, 23.7954030, 24.1226470, 24.7874860, 26.2197640", \
+					  "23.8477880, 23.8877980, 23.9799750, 24.1613470, 24.4952020, 25.1601350, 26.5849690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.4934719, 0.5253959, 0.5943467, 0.7469999, 1.0758784, 1.8533522, 3.7407424", \
+					  "0.4934736, 0.5223235, 0.5943568, 0.7469996, 1.0758797, 1.8533498, 3.7407646", \
+					  "0.4934752, 0.5254167, 0.5957485, 0.7469917, 1.0758818, 1.8534277, 3.7407895", \
+					  "0.4870107, 0.5224475, 0.5957579, 0.7470094, 1.0758879, 1.8534215, 3.7360506", \
+					  "0.4934742, 0.5253987, 0.5957828, 0.7469911, 1.0759077, 1.8533985, 3.7407912", \
+					  "0.4885409, 0.5260887, 0.5957803, 0.7469260, 1.0759080, 1.8534002, 3.7366314", \
+					  "0.4871691, 0.5253987, 0.5960711, 0.7475063, 1.0734863, 1.8525383, 3.7366203");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("17.7102470, 17.7588950, 17.8636790, 18.0840530, 18.4750740, 19.1153480, 20.2151100", \
+					  "18.1660140, 18.2149620, 18.3212240, 18.5369530, 18.9329890, 19.5746620, 20.6715750", \
+					  "18.4822010, 18.5370400, 18.6430440, 18.8591450, 19.2450140, 19.8873240, 20.9926640", \
+					  "18.7417020, 18.7815750, 18.8950560, 19.1110260, 19.5045600, 20.1468850, 21.2356600", \
+					  "18.9628630, 19.0165520, 19.1173210, 19.3303950, 19.7256580, 20.3734650, 21.4703600", \
+					  "19.1533470, 19.2088480, 19.3079850, 19.5211830, 19.9158810, 20.5674590, 21.6655880", \
+					  "19.3412630, 19.3908000, 19.4970370, 19.7127590, 20.1082010, 20.7497150, 21.8468750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0230309, 0.0530421, 0.122161, 0.281346, 0.647965, 1.49232");
+					values("0.5865063, 0.6275711, 0.7149319, 0.8887391, 1.1755946, 1.6746529, 2.7250664", \
+					  "0.5887770, 0.6290227, 0.7149327, 0.8877593, 1.1760656, 1.6707087, 2.7183968", \
+					  "0.5860161, 0.6276158, 0.7148496, 0.8869691, 1.1770198, 1.6746790, 2.7250637", \
+					  "0.5865045, 0.6266088, 0.7153466, 0.8865246, 1.1770668, 1.6746567, 2.7179339", \
+					  "0.5860159, 0.6269155, 0.7153688, 0.8887423, 1.1770116, 1.6734178, 2.7189049", \
+					  "0.5851719, 0.6293274, 0.7152462, 0.8883492, 1.1771517, 1.6746826, 2.7185592", \
+					  "0.5887342, 0.6288122, 0.7149080, 0.8877148, 1.1760228, 1.6699453, 2.7204652");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.201710;
+			max_capacitance : 551.202000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6274479, 6.6291636, 6.6292495, 6.6424147, 6.6122431, 5.5574861, -11.3568321", \
+					  "6.5612048, 6.5722442, 6.5652186, 6.5833993, 6.5543371, 5.4722036, -11.6005599", \
+					  "6.4970040, 6.4924347, 6.5024974, 6.5161228, 6.4776861, 5.3799622, -11.3796547", \
+					  "6.4860765, 6.4889414, 6.4947515, 6.4994873, 6.4804972, 5.4506067, -11.5688339", \
+					  "6.4829463, 6.4855298, 6.4892438, 6.5033471, 6.4740997, 5.4229058, -11.5876238", \
+					  "6.4827049, 6.4716378, 6.4617139, 6.4829057, 6.4706043, 5.4026439, -11.4095249", \
+					  "6.4673821, 6.4701551, 6.4717450, 6.4900693, 6.4572535, 5.3476762, -11.6366491");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.0007196, 3.9933119, 4.0269391, 4.1608081, 4.6898745, 5.5315060, 6.0106127", \
+					  "3.9329264, 3.9289067, 3.9674925, 4.0925366, 4.6176292, 5.4768564, 5.9488638", \
+					  "3.8688521, 3.8718926, 3.8907460, 4.0256455, 4.5559270, 5.4110212, 5.8818902", \
+					  "3.8636762, 3.8723598, 3.8906290, 4.0269037, 4.5565135, 5.4125817, 5.8856369", \
+					  "3.8630672, 3.8718848, 3.8881209, 4.0227133, 4.5516934, 5.4076311, 5.8920717", \
+					  "3.8664624, 3.8573720, 3.8867240, 4.0233413, 4.5542478, 5.4088150, 5.8776575", \
+					  "3.8624922, 3.8686890, 3.8928359, 4.0226292, 4.5521436, 5.4074568, 5.8780465");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924444, 0.0925455, 0.0925016, 0.0925553, 0.0926395, 0.0924470, 0.0925526", \
+					  "0.2779856, 0.2779270, 0.2778949, 0.2779157, 0.2779777, 0.2779825, 0.2779833", \
+					  "0.5419292, 0.5419466, 0.5425246, 0.5417306, 0.5406668, 0.5406701, 0.5407001", \
+					  "1.2751357, 1.2771447, 1.2884231, 1.3341720, 1.3961982, 1.4176575, 1.4203267", \
+					  "1.7515481, 1.7550687, 1.7736673, 1.8470041, 1.9385361, 1.9693470, 1.9754378", \
+					  "1.7831171, 1.7867121, 1.8074373, 1.8808143, 1.9737090, 2.0056899, 2.0116786", \
+					  "1.7841795, 1.7883986, 1.8073868, 1.8825318, 1.9754113, 2.0076108, 2.0136424");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6966760, 5.6975221, 5.6953485, 5.6866332, 5.6491658, 5.3881849, -0.3618620", \
+					  "5.6947988, 5.6953652, 5.6935580, 5.6923199, 5.6495468, 5.4403626, -0.2916224", \
+					  "5.6914086, 5.6922782, 5.6905278, 5.6878915, 5.6498178, 5.4157220, -0.3081298", \
+					  "5.6899656, 5.6910694, 5.6887184, 5.6867525, 5.6411501, 5.3263758, -0.2989242", \
+					  "5.6892624, 5.6901093, 5.6879284, 5.6869439, 5.6428789, 5.3371296, -0.3126438", \
+					  "5.6884460, 5.6896209, 5.6877092, 5.6850542, 5.6421428, 5.4418967, -0.3275595", \
+					  "5.6885614, 5.6902029, 5.6866250, 5.6856275, 5.6394023, 5.4046201, -0.3373335");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925119, 0.0926104, 0.0924629, 0.0925042, 0.0925054, 0.0925283, 0.0924963", \
+					  "0.2622138, 0.2622649, 0.2622134, 0.2622766, 0.2622727, 0.2622139, 0.2622642", \
+					  "0.4781330, 0.4778557, 0.4781290, 0.4767382, 0.4778388, 0.4779411, 0.4778365", \
+					  "1.0351844, 1.0353978, 1.0351695, 1.0347414, 1.0353148, 1.0350882, 1.0353027", \
+					  "1.1723141, 1.1722769, 1.1715367, 1.1696361, 1.1678146, 1.1672412, 1.1671831", \
+					  "1.1830289, 1.1838322, 1.1829823, 1.1802883, 1.1779195, 1.1772517, 1.1770877", \
+					  "1.1857991, 1.1858201, 1.1850497, 1.1819690, 1.1794564, 1.1787713, 1.1785866");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5668588, 2.5786935, 2.5814604, 2.5605798, 2.5681258, 2.6351288, 2.5705236", \
+					  "2.5596552, 2.5578951, 2.5562846, 2.5590979, 2.5248859, 2.5811538, 2.5648223", \
+					  "2.5502280, 2.5544288, 2.5558814, 2.5605888, 2.5661469, 2.5664561, 2.5510266", \
+					  "2.5525521, 2.5497742, 2.5548949, 2.5542136, 2.5619823, 2.5574972, 2.5820570", \
+					  "2.5504030, 2.5511075, 2.5447508, 2.5655994, 2.5609453, 2.5577530, 2.5781659", \
+					  "2.5536769, 2.5513179, 2.5554246, 2.5539833, 2.5606572, 2.5661743, 2.5586827", \
+					  "2.5474924, 2.5508965, 2.5534865, 2.5535917, 2.5611741, 2.5701420, 2.5627258");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4518605, 6.4528016, 6.4499046, 6.4492324, 6.4067135, 6.1937389, 0.4143330", \
+					  "6.3864028, 6.3876163, 6.3853412, 6.3853780, 6.3387981, 6.0445326, 0.3337646", \
+					  "6.3225467, 6.3217817, 6.3215779, 6.3236821, 6.2807056, 6.0435217, 0.2824714", \
+					  "6.3154465, 6.3148763, 6.3131675, 6.3158108, 6.2680517, 5.9880188, 0.2859490", \
+					  "6.3070536, 6.3060644, 6.3053098, 6.3066251, 6.2637909, 6.0914032, 0.2842739", \
+					  "6.3012298, 6.2995190, 6.2985779, 6.3014718, 6.2473615, 6.0485483, 0.2919535", \
+					  "6.2931617, 6.2927905, 6.2923047, 6.2950057, 6.2458202, 5.9854868, 0.2357849");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9148343, 3.9024224, 3.9300943, 3.9797767, 4.0407709, 4.0770722, 4.0811193", \
+					  "3.8315566, 3.8413122, 3.8468616, 3.8962136, 3.9608199, 3.9934202, 3.9972699", \
+					  "3.7666756, 3.7668742, 3.7830644, 3.8300797, 3.9318048, 3.9444049, 3.9361663", \
+					  "3.7643095, 3.7667455, 3.7790230, 3.8308660, 3.8964299, 3.9268435, 3.9350848", \
+					  "3.7649321, 3.7680650, 3.7806557, 3.8286528, 3.9020009, 3.9594887, 3.9561923", \
+					  "3.7624246, 3.7630430, 3.7738121, 3.8270807, 3.8934014, 3.9230573, 3.9222268", \
+					  "3.7640865, 3.7668629, 3.7733590, 3.8302822, 3.8958322, 3.9276263, 3.9394540");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3085923, 3.3070009, 3.3200675, 3.3917655, 3.8535192, 5.1907174, 5.3939858", \
+					  "3.2388072, 3.2408245, 3.2499168, 3.3246697, 3.7862044, 5.1208174, 5.3613307", \
+					  "3.1726362, 3.1732572, 3.1846496, 3.2572966, 3.7240300, 5.0637023, 5.2888278", \
+					  "3.1717696, 3.1727126, 3.1846447, 3.2551055, 3.7151548, 5.0528235, 5.2740025", \
+					  "3.1697773, 3.1731182, 3.1836612, 3.2546010, 3.7124576, 5.0623765, 5.2922781", \
+					  "3.1685177, 3.1711638, 3.1822216, 3.2507971, 3.7308456, 5.0516728, 5.2535642", \
+					  "3.1691030, 3.1705303, 3.1821388, 3.2534446, 3.7156227, 5.0574326, 5.2834475");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1203455, 0.1203475, 0.1203406, 0.1203388, 0.1203541, 0.1203519, 0.1203605", \
+					  "0.2602370, 0.2602227, 0.2601895, 0.2599187, 0.2603163, 0.2602347, 0.2604446", \
+					  "0.7712711, 0.7710309, 0.7705144, 0.7711674, 0.7705198, 0.7706532, 0.7705985", \
+					  "1.0506692, 1.0505723, 1.0506760, 1.0502917, 1.0507309, 1.0504240, 1.0506416", \
+					  "1.4691270, 1.4688473, 1.4690158, 1.4683476, 1.4667312, 1.4653942, 1.4648949", \
+					  "1.6380917, 1.6374681, 1.6329675, 1.6183167, 1.6023555, 1.5958433, 1.5942991", \
+					  "1.6473194, 1.6475980, 1.6412771, 1.6251837, 1.6072551, 1.6001176, 1.5985431");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925254, 0.0924821, 0.0925158, 0.0925391, 0.0926048, 0.0925361, 0.0925662", \
+					  "0.2622142, 0.2622643, 0.2622139, 0.2622131, 0.2622050, 0.2623511, 0.2622504", \
+					  "0.4781294, 0.4778462, 0.4781246, 0.4776712, 0.4778329, 0.4776884, 0.4781065", \
+					  "1.0333363, 1.0335498, 1.0333164, 1.0331994, 1.0334629, 1.0334512, 1.0332214", \
+					  "1.1715969, 1.1714413, 1.1708033, 1.1686905, 1.1661749, 1.1665489, 1.1663619", \
+					  "1.1840092, 1.1831014, 1.1829051, 1.1797621, 1.1778559, 1.1771974, 1.1770614", \
+					  "1.1855664, 1.1865444, 1.1846739, 1.1819245, 1.1794370, 1.1787769, 1.1787847");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5488469, 2.5605834, 2.5549454, 2.5487405, 2.5747355, 2.4915839, 2.5679820", \
+					  "2.5602545, 2.5594951, 2.5550879, 2.5600466, 2.5718648, 2.5524121, 2.6104689", \
+					  "2.5541660, 2.5542352, 2.5529467, 2.5567900, 2.5484575, 2.5578826, 2.5663932", \
+					  "2.5526610, 2.5546520, 2.5523457, 2.5628796, 2.5670948, 2.5576039, 2.5754238", \
+					  "2.5576374, 2.5521774, 2.5518888, 2.5545214, 2.5489358, 2.5556971, 2.5734171", \
+					  "2.5436487, 2.5515174, 2.5507617, 2.5542112, 2.5289215, 2.5543083, 2.5830926", \
+					  "2.5507064, 2.5408651, 2.5494149, 2.5553554, 2.5598208, 2.5651779, 2.5772667");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6425877, 3.6312326, 3.6488808, 3.7157540, 3.8083349, 5.0290034, 5.9088291", \
+					  "3.5682386, 3.5628930, 3.5808856, 3.6396424, 3.7481927, 4.9358980, 5.7991654", \
+					  "3.4986031, 3.5013643, 3.5177302, 3.5716250, 3.6751472, 4.8709628, 5.7333060", \
+					  "3.5010379, 3.4947113, 3.5135799, 3.5731109, 3.6817592, 4.8705361, 5.7145407", \
+					  "3.4993971, 3.4945538, 3.5119145, 3.5719710, 3.6700358, 4.8647581, 5.7158949", \
+					  "3.4969611, 3.5017654, 3.5077186, 3.5698303, 3.6749563, 4.8670769, 5.7048916", \
+					  "3.4979556, 3.4928464, 3.5110628, 3.5675913, 3.6742096, 4.8670970, 5.7295195");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1203269, 0.1203296, 0.1203527, 0.1203297, 0.1203369, 0.1203444, 0.1203541", \
+					  "0.2601310, 0.2601321, 0.2601288, 0.2595976, 0.2601292, 0.2605042, 0.2602050", \
+					  "0.7958579, 0.7958655, 0.7957916, 0.7956269, 0.7947670, 0.7940711, 0.7945274", \
+					  "1.0964865, 1.0966957, 1.0965016, 1.0964801, 1.0970470, 1.0968495, 1.0964118", \
+					  "1.5146151, 1.5145895, 1.5143956, 1.5137758, 1.5120386, 1.5116769, 1.5108952", \
+					  "1.6837952, 1.6848726, 1.6782818, 1.6636420, 1.6475980, 1.6413471, 1.6397206", \
+					  "1.6924307, 1.6910834, 1.6865486, 1.6699124, 1.6529579, 1.6451037, 1.6440429");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5453695, 5.5417959, 5.5307523, 5.5159334, 5.3296111, 4.2023938, -12.9970219", \
+					  "5.5359723, 5.5386225, 5.5295195, 5.4949322, 5.3201003, 4.1355070, -12.8339456", \
+					  "5.5308266, 5.5296279, 5.5254515, 5.5022535, 5.3471293, 4.1298474, -12.7254872", \
+					  "5.5317684, 5.5272078, 5.5250090, 5.4980701, 5.3411808, 4.1278012, -12.8270361", \
+					  "5.5306857, 5.5273121, 5.5243366, 5.4981401, 5.3365094, 4.1234242, -12.7341634", \
+					  "5.5295675, 5.5279364, 5.5246955, 5.4941991, 5.3321677, 4.1393549, -12.8019623", \
+					  "5.5266770, 5.5279095, 5.5226897, 5.4922974, 5.3390428, 4.1187108, -12.8795831");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924684, 0.0924967, 0.0924314, 0.0925111, 0.0924415, 0.0925547, 0.0925250", \
+					  "0.2780286, 0.2779264, 0.2779298, 0.2779390, 0.2779260, 0.2779484, 0.2780221", \
+					  "0.5405348, 0.5403125, 0.5402877, 0.5399514, 0.5407697, 0.5401434, 0.5402275", \
+					  "1.0185411, 1.0188077, 1.0191194, 1.0195582, 1.0204734, 1.0203619, 1.0205440", \
+					  "1.0837014, 1.0839128, 1.0850216, 1.0882275, 1.0902049, 1.0919296, 1.0922179", \
+					  "1.0847444, 1.0849798, 1.0862094, 1.0897808, 1.0926337, 1.0936908, 1.0939843", \
+					  "1.0856794, 1.0859181, 1.0871298, 1.0904649, 1.0938732, 1.0948238, 1.0949986");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2991628, 6.2902255, 6.2885051, 6.2730491, 6.0860143, 4.9597633, -12.3935231", \
+					  "6.2262089, 6.2288232, 6.2242617, 6.1975951, 6.0280285, 4.8083127, -12.3631899", \
+					  "6.1663774, 6.1640134, 6.1599507, 6.1330633, 5.9920338, 4.7842408, -12.4950177", \
+					  "6.1560415, 6.1592533, 6.1460126, 6.1320043, 5.9702708, 4.7623118, -12.2711199", \
+					  "6.1499969, 6.1514749, 6.1434119, 6.1180568, 5.9687574, 4.7791378, -12.3749898", \
+					  "6.1431584, 6.1415754, 6.1342883, 6.1091712, 5.9598523, 4.7530416, -12.4092539", \
+					  "6.1288916, 6.1328967, 6.1298891, 6.1063576, 5.9610489, 4.7057115, -12.5849591");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1340880, 3.1285336, 3.1210945, 3.1324081, 3.1276055, 3.1245225, 3.1471949", \
+					  "3.0556453, 3.0548133, 3.0574182, 3.0568961, 3.0670342, 3.0607476, 3.0749077", \
+					  "2.9938092, 2.9934685, 2.9899228, 2.9958011, 2.9983676, 3.0114823, 3.0187252", \
+					  "2.9859052, 2.9895720, 2.9906902, 2.9929909, 3.0028153, 3.0111402, 3.0263063", \
+					  "2.9875381, 2.9880581, 2.9843152, 2.9911689, 3.0336106, 3.0109096, 3.0060648", \
+					  "2.9972040, 2.9919805, 2.9865901, 2.9865890, 2.9930938, 2.9703559, 3.0062553", \
+					  "2.9885174, 2.9895500, 2.9866270, 2.9913140, 2.9976688, 3.0092970, 3.0271447");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2968938, 6.3034771, 6.2875866, 6.2659918, 6.1698938, 4.8241521, -12.2856901", \
+					  "6.2262133, 6.2288153, 6.2242118, 6.1983736, 6.0621913, 4.7965518, -12.3147199", \
+					  "6.1652992, 6.1639943, 6.1600608, 6.1350882, 5.9921877, 4.7380247, -12.4760587", \
+					  "6.1572758, 6.1618355, 6.1502870, 6.1234361, 6.0786993, 4.8452320, -12.5885689", \
+					  "6.1499653, 6.1478116, 6.1445924, 6.1143636, 5.9616558, 4.7641754, -12.5384758", \
+					  "6.1432295, 6.1411769, 6.1341959, 6.1111388, 5.9610705, 4.7399648, -12.4504569", \
+					  "6.1307972, 6.1330597, 6.1305257, 6.0970165, 5.9618716, 4.7395551, -12.5059041");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1397258, 3.1760494, 3.1352635, 3.0031305, 3.1279779, 3.0811739, 3.1481965", \
+					  "3.0517559, 3.0548114, 3.0574247, 3.0572255, 3.0670479, 3.0595062, 3.0764919", \
+					  "2.9937598, 3.0005083, 2.9903332, 3.0020225, 2.9680561, 3.0034274, 2.9882954", \
+					  "2.9851101, 2.9895672, 2.9906035, 2.9916248, 3.0012731, 2.9933923, 3.0027288", \
+					  "2.9877862, 2.9881056, 2.9904856, 2.9904594, 2.9966383, 3.0172427, 3.0041199", \
+					  "2.9936944, 2.9919901, 2.9864422, 2.9844314, 2.9934236, 2.9795855, 3.0045614", \
+					  "2.9919973, 2.9905479, 2.9865613, 2.9915081, 2.9974170, 3.0092320, 3.0141662");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924670, 0.0924947, 0.0924381, 0.0925470, 0.0924534, 0.0925088, 0.0924620", \
+					  "0.2778753, 0.2779274, 0.2779339, 0.2780043, 0.2779350, 0.2779813, 0.2779376", \
+					  "0.5419230, 0.5418905, 0.5417025, 0.5411789, 0.5412805, 0.5406750, 0.5413007", \
+					  "1.2759468, 1.2779538, 1.2894345, 1.3358778, 1.3969851, 1.4184362, 1.4224441", \
+					  "1.7550284, 1.7580087, 1.7780244, 1.8505273, 1.9415610, 1.9729367, 1.9788051", \
+					  "1.7869365, 1.7905333, 1.8106164, 1.8843864, 1.9772604, 2.0092862, 2.0151017", \
+					  "1.7881681, 1.7910982, 1.8117122, 1.8856584, 1.9788365, 2.0109376, 2.0169516");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8684049, 6.8747969, 6.8974559, 7.0235664, 7.0663937, 6.1427562, -0.0061996", \
+					  "6.8630917, 6.8704049, 6.8952925, 7.0182900, 7.0688433, 6.1251642, -0.2947989", \
+					  "6.8609922, 6.8669388, 6.8889177, 7.0170175, 7.0556585, 6.1978269, -0.0626291", \
+					  "6.8595765, 6.8659069, 6.8901180, 7.0106397, 7.0568540, 6.1717309, -0.2993361", \
+					  "6.8606721, 6.8669735, 6.8907002, 7.0053099, 7.0623797, 6.1681193, -0.0638681", \
+					  "6.8607852, 6.8640001, 6.8886596, 7.0140480, 7.0608695, 6.1231166, -0.0365937", \
+					  "6.8604911, 6.8625116, 6.8882619, 7.0120617, 7.0643176, 6.2040639, -0.0348431");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3914011, 6.3872894, 6.3865668, 6.3859059, 6.3331356, 6.0924050, 0.3813962", \
+					  "6.3208485, 6.3207765, 6.3218217, 6.3197916, 6.2761135, 6.0000235, 0.3016704", \
+					  "6.2586074, 6.2562517, 6.2553639, 6.2581009, 6.2116112, 5.9412042, 0.2521117", \
+					  "6.2495782, 6.2501472, 6.2487759, 6.2463087, 6.2036809, 5.8587255, 0.1851641", \
+					  "6.2418536, 6.2422534, 6.2412243, 6.2405061, 6.2064106, 6.0046067, 0.2329749", \
+					  "6.2339677, 6.2372550, 6.2336498, 6.2322026, 6.1882889, 5.8745516, 0.2198724", \
+					  "6.2266650, 6.2267031, 6.2262230, 6.2279604, 6.1785598, 6.0024350, 0.2216373");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1534194, 0.1532470, 0.1534200, 0.1533715, 0.1531174, 0.1531977, 0.1531915", \
+					  "0.3107034, 0.3109392, 0.3110066, 0.3110485, 0.3103495, 0.3097933, 0.3096112", \
+					  "0.6112212, 0.6111071, 0.6102429, 0.6066927, 0.6004628, 0.5968118, 0.5960185", \
+					  "1.3614874, 1.3643809, 1.3798540, 1.4402284, 1.5202172, 1.5477388, 1.5525998", \
+					  "1.7797547, 1.7815172, 1.8030587, 1.8760824, 1.9681110, 1.9996879, 2.0053821", \
+					  "2.0617940, 2.0653806, 2.0852813, 2.1590285, 2.2521342, 2.2839583, 2.2898265", \
+					  "2.1093782, 2.1127739, 2.1329692, 2.2067335, 2.3005276, 2.3325244, 2.3383381");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9654974, 7.9721813, 8.0011659, 8.1586311, 8.3309212, 7.5107084, 1.4135773", \
+					  "7.8980114, 7.9058896, 7.9352420, 8.0912613, 8.2665274, 7.4632984, 1.3366479", \
+					  "7.8318151, 7.8382596, 7.8743254, 8.0276481, 8.2004022, 7.3839208, 1.0448436", \
+					  "7.8262380, 7.8340712, 7.8635754, 8.0258848, 8.1952415, 7.3842635, 1.0774026", \
+					  "7.8171404, 7.8226065, 7.8585829, 8.0118449, 8.1862409, 7.3776456, 1.0227071", \
+					  "7.8130110, 7.8142817, 7.8515288, 8.0046216, 8.1773671, 7.3634617, 1.2609266", \
+					  "7.8022931, 7.8066611, 7.8401968, 8.0068083, 8.1717033, 7.4323650, 1.2398575");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7876114, 4.7942246, 4.8304240, 5.0019257, 5.5954886, 6.4661138, 6.9275377", \
+					  "4.7224936, 4.7121811, 4.7610153, 4.9351998, 5.5344188, 6.4015494, 6.8717488", \
+					  "4.6519080, 4.6615556, 4.6891885, 4.8704827, 5.4554549, 6.3338339, 6.8151164", \
+					  "4.6340270, 4.6569578, 4.6886616, 4.8662761, 5.4589279, 6.3308047, 6.8070877", \
+					  "4.6470104, 4.6523256, 4.6956069, 4.8680363, 5.4555498, 6.3303730, 6.7956033", \
+					  "4.6473794, 4.6510709, 4.6938999, 4.8628234, 5.4570594, 6.3308353, 6.8033441", \
+					  "4.6509014, 4.6457124, 4.6913586, 4.8608173, 5.4577066, 6.3310349, 6.8127515");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925413, 0.0925000, 0.0925086, 0.0924864, 0.0925054, 0.0924946, 0.0925881", \
+					  "0.2622713, 0.2620627, 0.2622145, 0.2622601, 0.2622591, 0.2622646, 0.2622067", \
+					  "0.4777212, 0.4779651, 0.4779863, 0.4777008, 0.4776926, 0.4776878, 0.4779615", \
+					  "1.0161071, 1.0161030, 1.0160726, 1.0155860, 1.0149893, 1.0140823, 1.0136189", \
+					  "1.1832079, 1.1832092, 1.1831992, 1.1831634, 1.1835506, 1.1832419, 1.1831119", \
+					  "1.2547300, 1.2545190, 1.2540838, 1.2530223, 1.2502425, 1.2481804, 1.2474490", \
+					  "1.3268199, 1.3259200, 1.3211196, 1.3058249, 1.2903294, 1.2823783, 1.2806416");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2265420, 3.2216939, 3.2417601, 3.2992953, 3.4040606, 4.5963907, 5.4303846", \
+					  "3.2235516, 3.2175701, 3.2365009, 3.2958879, 3.4005457, 4.5912688, 5.4536341", \
+					  "3.2137632, 3.2233260, 3.2337715, 3.2923756, 3.3933513, 4.5885560, 5.4438137", \
+					  "3.2147494, 3.2131335, 3.2319826, 3.2914103, 3.3945171, 4.5913158, 5.4488508", \
+					  "3.2174022, 3.2182490, 3.2350964, 3.2918203, 3.3929742, 4.5840657, 5.4485088", \
+					  "3.2156799, 3.2110380, 3.2320045, 3.2893619, 3.3915976, 4.5917834, 5.4605095", \
+					  "3.2162747, 3.2108784, 3.2297491, 3.2858232, 3.3994237, 4.5858827, 5.4481209");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0926069, 0.0925069, 0.0925083, 0.0926010, 0.0925415, 0.0925115, 0.0926963", \
+					  "0.2622803, 0.2622050, 0.2624471, 0.2622686, 0.2622179, 0.2622658, 0.2622856", \
+					  "0.4777762, 0.4780106, 0.4777575, 0.4777443, 0.4780088, 0.4772452, 0.4779233", \
+					  "1.0743608, 1.0741502, 1.0743281, 1.0741252, 1.0731126, 1.0726031, 1.0722302", \
+					  "1.2745452, 1.2744181, 1.2731132, 1.2689017, 1.2626697, 1.2585200, 1.2571105", \
+					  "1.3328392, 1.3319056, 1.3268500, 1.3108344, 1.2933976, 1.2855903, 1.2836313", \
+					  "1.3371227, 1.3361660, 1.3310551, 1.3150060, 1.2973016, 1.2894562, 1.2875560");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5802346, 2.5776542, 2.5838897, 2.6172516, 2.8397937, 3.8846757, 4.5238307", \
+					  "2.5762580, 2.5767580, 2.5800733, 2.6179777, 2.8311407, 3.8566793, 4.4699925", \
+					  "2.5726161, 2.5737352, 2.5783493, 2.6138340, 2.8274845, 3.8687950, 4.4618254", \
+					  "2.5715174, 2.5718319, 2.5774988, 2.6126904, 2.8241686, 3.8702975, 4.4661035", \
+					  "2.5705442, 2.5701070, 2.5741784, 2.6113063, 2.8251277, 3.8501584, 4.4794823", \
+					  "2.5703767, 2.5704365, 2.5754633, 2.6101838, 2.8252080, 3.8689332, 4.5092261", \
+					  "2.5691400, 2.5699395, 2.5752534, 2.6108868, 2.8238366, 3.8226009, 4.4679345");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8984677, 2.8989174, 2.9089959, 2.9844829, 3.4271260, 4.7784760, 4.9604060", \
+					  "2.8934073, 2.8951132, 2.9069021, 2.9790686, 3.4306785, 4.7753264, 5.0120554", \
+					  "2.8899399, 2.8911382, 2.9045754, 2.9747107, 3.4202080, 4.7742816, 5.0057982", \
+					  "2.8882437, 2.8920807, 2.9038532, 2.9713783, 3.4224069, 4.7723082, 4.9783292", \
+					  "2.8897049, 2.8917317, 2.8997496, 2.9708941, 3.4181323, 4.7735010, 5.0672070", \
+					  "2.8890209, 2.8908607, 2.9009655, 2.9786898, 3.4259225, 4.7785380, 4.9975521", \
+					  "2.8867700, 2.8911190, 2.9052949, 2.9710327, 3.4344706, 4.7649517, 4.9800491");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0926309, 0.0925037, 0.0925188, 0.0925300, 0.0925338, 0.0925930, 0.0925265", \
+					  "0.2622228, 0.2622214, 0.2622698, 0.2622649, 0.2622086, 0.2622573, 0.2622605", \
+					  "0.4781292, 0.4775578, 0.4778470, 0.4783947, 0.4781060, 0.4778257, 0.4778287", \
+					  "0.9867325, 0.9867299, 0.9865696, 0.9880877, 0.9863563, 0.9863142, 0.9862556", \
+					  "1.1375364, 1.1375864, 1.1375217, 1.1374898, 1.1376066, 1.1375795, 1.1374710", \
+					  "1.2089040, 1.2092931, 1.2086360, 1.2072296, 1.2048865, 1.2028220, 1.2021809", \
+					  "1.2817597, 1.2808584, 1.2760732, 1.2608462, 1.2440259, 1.2371968, 1.2354937");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925495, 0.0925102, 0.0925495, 0.0925300, 0.0925540, 0.0925297, 0.0925128", \
+					  "0.2622165, 0.2622667, 0.2622156, 0.2623203, 0.2622152, 0.2622685, 0.2622714", \
+					  "0.4781357, 0.4778181, 0.4781314, 0.4781212, 0.4774745, 0.4775821, 0.4778377", \
+					  "1.0332430, 1.0335639, 1.0325420, 1.0332729, 1.0332447, 1.0334609, 1.0334592", \
+					  "1.1716128, 1.1715347, 1.1705558, 1.1687889, 1.1670582, 1.1661763, 1.1661478", \
+					  "1.1840051, 1.1838319, 1.1823614, 1.1797226, 1.1768087, 1.1771890, 1.1770498", \
+					  "1.1871037, 1.1858230, 1.1848682, 1.1821393, 1.1794503, 1.1787746, 1.1785655");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5566278, 2.5658830, 2.5666003, 2.5668601, 2.4988648, 2.6925313, 2.5133025", \
+					  "2.5574974, 2.5441114, 2.5530565, 2.5713492, 2.5528332, 2.5905035, 2.6230686", \
+					  "2.5539123, 2.5549206, 2.5530306, 2.5516958, 2.5678562, 2.5860760, 2.5766667", \
+					  "2.5543716, 2.5528874, 2.5498915, 2.5573070, 2.5687913, 2.5631246, 2.5689303", \
+					  "2.5524684, 2.5523558, 2.5478564, 2.5531692, 2.5539255, 2.5809606, 2.5747791", \
+					  "2.5547637, 2.5380640, 2.5502448, 2.5518535, 2.5296649, 2.5654526, 2.5649979", \
+					  "2.5524261, 2.5502369, 2.5498679, 2.5532237, 2.5606090, 2.5535223, 2.5679777");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5582069, 7.5707628, 7.5861966, 7.7059281, 7.7600741, 6.9071877, 0.6453798", \
+					  "7.4906202, 7.4961280, 7.5226025, 7.6416071, 7.6923660, 6.8254214, 0.5522545", \
+					  "7.4270374, 7.4379887, 7.4576335, 7.5787623, 7.6288707, 6.7672036, 0.5536874", \
+					  "7.4213458, 7.4230675, 7.4464507, 7.5702700, 7.6214522, 6.7542292, 0.5131218", \
+					  "7.4143445, 7.4189806, 7.4416629, 7.5588869, 7.6138143, 6.7547688, 0.5182802", \
+					  "7.4031921, 7.4088239, 7.4337154, 7.5544080, 7.6066882, 6.7405789, 0.5173880", \
+					  "7.3971493, 7.4031991, 7.4274245, 7.5466260, 7.6008110, 6.7431255, 0.4656969");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1535370, 0.1535474, 0.1535106, 0.1534062, 0.1532486, 0.1538041, 0.1531949", \
+					  "0.3109397, 0.3109726, 0.3106914, 0.3111322, 0.3105539, 0.3101808, 0.3097828", \
+					  "0.6118273, 0.6108648, 0.6104465, 0.6069993, 0.6005598, 0.5969798, 0.5961807", \
+					  "1.3631301, 1.3662514, 1.3814588, 1.4421393, 1.5214516, 1.5492465, 1.5540648", \
+					  "1.7838454, 1.7878999, 1.8070575, 1.8799343, 1.9720676, 2.0046468, 2.0091903", \
+					  "2.0655764, 2.0694784, 2.0889277, 2.1625732, 2.2558311, 2.2876312, 2.2925710", \
+					  "2.1136383, 2.1164487, 2.1366218, 2.2106562, 2.3041016, 2.3361018, 2.3419062");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8754709, 6.8713457, 6.8989577, 7.0231327, 7.0743244, 6.1505546, -0.0205452", \
+					  "6.8687126, 6.8699690, 6.8931571, 7.0185030, 7.0616013, 6.1886850, -0.0308541", \
+					  "6.8649764, 6.8657700, 6.8892877, 7.0131053, 7.0570922, 6.1941432, -0.0480539", \
+					  "6.8600314, 6.8652941, 6.8922682, 7.0162424, 7.0626367, 6.1964507, -0.0569884", \
+					  "6.8583584, 6.8650640, 6.8889889, 7.0131777, 7.0636487, 6.1787445, -0.0333620", \
+					  "6.8584576, 6.8656489, 6.8862696, 7.0109901, 7.0553587, 6.1810351, -0.0376018", \
+					  "6.8570629, 6.8653657, 6.8879995, 7.0123718, 7.0648376, 6.1320885, -0.0342993");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924432, 0.0924830, 0.0925151, 0.0925070, 0.0929328, 0.0924219, 0.0924824", \
+					  "0.2779207, 0.2777556, 0.2779285, 0.2779151, 0.2779740, 0.2779780, 0.2779948", \
+					  "0.5418811, 0.5425610, 0.5417013, 0.5417340, 0.5406581, 0.5406738, 0.5412802", \
+					  "1.2758980, 1.2777027, 1.2893935, 1.3350964, 1.3969708, 1.4183865, 1.4221352", \
+					  "1.7550007, 1.7585571, 1.7779794, 1.8499472, 1.9408426, 1.9734821, 1.9788862", \
+					  "1.7865744, 1.7906430, 1.8105784, 1.8843920, 1.9771581, 2.0092797, 2.0153519", \
+					  "1.7881336, 1.7912502, 1.8116812, 1.8852809, 1.9788098, 2.0109367, 2.0169618");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0925009, 0.0924094, 0.0924863, 0.0924830, 0.0925026, 0.0924688, 0.0924672", \
+					  "0.2780392, 0.2778606, 0.2778868, 0.2779575, 0.2779773, 0.2779379, 0.2778601", \
+					  "0.5419319, 0.5418943, 0.5423649, 0.5410889, 0.5406688, 0.5413070, 0.5413235", \
+					  "1.2740936, 1.2771033, 1.2884397, 1.3345340, 1.3950650, 1.4173702, 1.4214250", \
+					  "1.7519284, 1.7544127, 1.7736244, 1.8464158, 1.9387178, 1.9696102, 1.9754306", \
+					  "1.7835835, 1.7864192, 1.8065842, 1.8807898, 1.9737055, 2.0056437, 2.0115936", \
+					  "1.7845399, 1.7883300, 1.8080749, 1.8819676, 1.9754273, 2.0076110, 2.0135804");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.6988257, 5.6985815, 5.6984662, 5.6929051, 5.6470416, 5.3970953, -0.3436184", \
+					  "5.6927870, 5.6952004, 5.6942910, 5.6917878, 5.6515938, 5.4485791, -0.3418895", \
+					  "5.6914069, 5.6915010, 5.6907416, 5.6890420, 5.6367848, 5.3832493, -0.2998617", \
+					  "5.6902167, 5.6909471, 5.6907827, 5.6870604, 5.6435436, 5.4106170, -0.3247046", \
+					  "5.6892056, 5.6900304, 5.6878512, 5.6873130, 5.6429058, 5.4151336, -0.2933182", \
+					  "5.6880319, 5.6875848, 5.6888220, 5.6910113, 5.6447327, 5.4375556, -0.3241943", \
+					  "5.6885353, 5.6868431, 5.6855083, 5.6851609, 5.6294025, 5.3863339, -0.3282996");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0924827, 0.0925221, 0.0925197, 0.0925406, 0.0925305, 0.0927004, 0.0926030", \
+					  "0.2620924, 0.2622621, 0.2622146, 0.2622126, 0.2622149, 0.2622124, 0.2622646", \
+					  "0.4778526, 0.4778615, 0.4780171, 0.4771235, 0.4781165, 0.4781132, 0.4778379", \
+					  "1.0353826, 1.0353770, 1.0351514, 1.0350993, 1.0350839, 1.0345895, 1.0352825", \
+					  "1.1723993, 1.1722662, 1.1715318, 1.1696163, 1.1677738, 1.1672792, 1.1671781", \
+					  "1.1840587, 1.1838782, 1.1822872, 1.1795824, 1.1779303, 1.1772441, 1.1765210", \
+					  "1.1859847, 1.1858145, 1.1853711, 1.1819159, 1.1794526, 1.1787690, 1.1785876");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5734815, 2.5530489, 2.5644052, 2.6308256, 2.5646746, 2.5388703, 2.5566116", \
+					  "2.5587683, 2.5622117, 2.5596487, 2.5590120, 2.5669172, 2.5893547, 2.5418311", \
+					  "2.5528071, 2.5547953, 2.5517157, 2.5585817, 2.5922805, 2.5725016, 2.5688619", \
+					  "2.5525975, 2.5519077, 2.5525203, 2.5586707, 2.5619719, 2.5835915, 2.5779448", \
+					  "2.5540164, 2.5532943, 2.5451181, 2.5578959, 2.5609436, 2.5879244, 2.5763659", \
+					  "2.5534434, 2.5550139, 2.5501582, 2.5574892, 2.5601769, 2.5663684, 2.5801670", \
+					  "2.5552572, 2.5507812, 2.5593037, 2.5461744, 2.5884401, 2.5604223, 2.5567937");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6236016, 7.6286835, 7.6540495, 7.7745252, 7.8125650, 6.9634673, 0.6970516", \
+					  "7.5539429, 7.5623519, 7.5870362, 7.7112112, 7.7594495, 6.8922603, 0.6324239", \
+					  "7.4930210, 7.4980821, 7.5228999, 7.6393085, 7.6909218, 6.7608771, 0.5694660", \
+					  "7.4854577, 7.4904650, 7.5164034, 7.6321667, 7.6876779, 6.8282373, 0.3311332", \
+					  "7.4775327, 7.4824584, 7.5075122, 7.6239668, 7.6796176, 6.7497069, 0.5463342", \
+					  "7.4713529, 7.4729219, 7.4980902, 7.6247336, 7.6753980, 6.7364208, 0.3439016", \
+					  "7.4624859, 7.4690700, 7.4911661, 7.6179223, 7.6585686, 6.7347958, 0.3012744");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9237200, 3.9043544, 3.9153378, 3.9700228, 4.0214873, 4.0298782, 4.0566727", \
+					  "3.8363765, 3.8383931, 3.8468820, 3.9051917, 3.9713436, 3.9938274, 4.0454146", \
+					  "3.7652461, 3.7679588, 3.7763458, 3.8335053, 3.9001248, 3.9310467, 3.9604216", \
+					  "3.7611623, 3.7694655, 3.7821268, 3.8334694, 3.8942546, 3.9273670, 3.9283003", \
+					  "3.7673959, 3.7695872, 3.7824164, 3.8295154, 3.8791580, 3.9174911, 3.9633823", \
+					  "3.7637807, 3.7694289, 3.7746236, 3.8310437, 3.8999886, 3.9343278, 3.9657792", \
+					  "3.7666266, 3.7693683, 3.7802485, 3.8306340, 3.8992579, 3.9252774, 3.9441569");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7842921, 6.7883618, 6.7946098, 6.8304856, 6.9057570, 6.7963541, 1.1043738", \
+					  "6.7191510, 6.7187949, 6.7288967, 6.7632286, 6.8395924, 6.7245811, 1.0523837", \
+					  "6.6551552, 6.6527388, 6.6637915, 6.7016987, 6.7770386, 6.6218269, 0.9975402", \
+					  "6.6478677, 6.6456659, 6.6564051, 6.6970194, 6.7684566, 6.6570322, 1.0621927", \
+					  "6.6394804, 6.6394891, 6.6487360, 6.6851162, 6.7601300, 6.7166214, 0.9804689", \
+					  "6.6354217, 6.6325135, 6.6407109, 6.6737465, 6.7475074, 6.5510721, 0.9894739", \
+					  "6.6236638, 6.6251800, 6.6321028, 6.6793745, 6.7448264, 6.6198934, 0.9729714");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9200975, 3.9223821, 3.9410182, 4.0226877, 4.2938111, 5.4115295, 5.9976262", \
+					  "3.8516837, 3.8544107, 3.8720329, 3.9534057, 4.2292281, 5.2786980, 5.9086581", \
+					  "3.7850584, 3.7867641, 3.8057203, 3.8870797, 4.1606777, 5.2138452, 5.8276107", \
+					  "3.7831186, 3.7857706, 3.8041604, 3.8850003, 4.1557576, 5.2153033, 5.8285450", \
+					  "3.7824871, 3.7821367, 3.8031125, 3.8860097, 4.1605883, 5.2252458, 5.8366707", \
+					  "3.7820352, 3.7849018, 3.8008816, 3.8838023, 4.1578092, 5.2015516, 5.8177553", \
+					  "3.7808309, 3.7834503, 3.8008340, 3.8853298, 4.1578692, 5.1980068, 5.8475210");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6207315, 6.6219640, 6.6315870, 6.6415677, 6.6203911, 5.5703369, -11.4973141", \
+					  "6.5547525, 6.5598370, 6.5595916, 6.5784267, 6.5453443, 5.4084069, -11.5515699", \
+					  "6.4955537, 6.4910057, 6.5002687, 6.5096453, 6.4909003, 5.4649081, -11.4805087", \
+					  "6.4909641, 6.4877770, 6.4921546, 6.5057140, 6.4644810, 5.4273796, -11.6505619", \
+					  "6.4766610, 6.4708015, 6.4720388, 6.5017173, 6.4683196, 5.4119571, -11.5773228", \
+					  "6.4735837, 6.4721708, 6.4769096, 6.4928621, 6.4641633, 5.4344536, -11.3153519", \
+					  "6.4624629, 6.4645441, 6.4692465, 6.4788972, 6.4532003, 5.4358336, -11.5394931");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1442092, 3.1471127, 3.1549127, 3.1868999, 3.3836833, 4.4533155, 5.0476630", \
+					  "3.0763345, 3.0760240, 3.0836123, 3.1204774, 3.3254846, 4.3545996, 4.9643874", \
+					  "3.0090993, 3.0085994, 3.0160954, 3.0496499, 3.2623244, 4.2941317, 4.8977764", \
+					  "3.0077025, 3.0090447, 3.0134739, 3.0497498, 3.2622315, 4.3043874, 4.9056998", \
+					  "3.0068994, 3.0079946, 3.0127580, 3.0504472, 3.2642790, 4.2855651, 4.9066612", \
+					  "3.0052737, 3.0049931, 3.0131814, 3.0455798, 3.2588415, 4.2847277, 4.9255410", \
+					  "3.0055186, 3.0058252, 3.0117621, 3.0478038, 3.2559728, 4.3071425, 4.8955920");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9333778, 2.9340355, 2.9434030, 2.9943517, 3.1897982, 3.8384804, 4.1438501", \
+					  "3.1156622, 3.1120631, 3.1224130, 3.1728198, 3.3731464, 4.0134853, 4.3433497", \
+					  "3.3732327, 3.3759746, 3.3838698, 3.4308300, 3.6374391, 4.2712042, 4.5988727", \
+					  "4.0043990, 4.0054109, 4.0216121, 4.0987660, 4.3406539, 4.9882711, 5.3205016", \
+					  "4.3176780, 4.3194638, 4.3377757, 4.4332420, 4.6917523, 5.3479539, 5.6889237", \
+					  "4.3345432, 4.3356305, 4.3587785, 4.4537134, 4.7100312, 5.3704202, 5.7097668", \
+					  "4.3360552, 4.3370567, 4.3606016, 4.4525640, 4.7228802, 5.3632753, 5.6979373");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0804838, 6.0798137, 6.0837615, 6.1128739, 6.0545117, 5.2180969, -5.1616111", \
+					  "6.2444171, 6.2457360, 6.2502119, 6.2740961, 6.2121839, 5.3921799, -5.0491574", \
+					  "6.4567560, 6.4570475, 6.4623334, 6.4885598, 6.4254816, 5.6035017, -4.7628490", \
+					  "7.0220917, 7.0228844, 7.0281809, 7.0533419, 6.9896302, 6.1678207, -4.1950923", \
+					  "7.1890006, 7.1900942, 7.1937770, 7.2168861, 7.1524262, 6.3256786, -4.0105395", \
+					  "7.2301105, 7.2307122, 7.2334814, 7.2512613, 7.1800061, 6.3523426, -3.9867929", \
+					  "7.2469468, 7.2481394, 7.2488922, 7.2637284, 7.1927604, 6.3505051, -3.9801679");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.4766280, 11.4809313, 11.4916858, 11.5614000, 11.5463999, 10.2693827, -7.1060888", \
+					  "11.4096207, 11.4169386, 11.4290904, 11.4982981, 11.4805323, 10.1593885, -7.1833742", \
+					  "11.4799243, 11.4805745, 11.4974460, 11.5649857, 11.5512741, 10.2417357, -7.0943323", \
+					  "11.5639079, 11.5672280, 11.5791935, 11.6491457, 11.6477145, 10.3505517, -7.0795113", \
+					  "11.6901195, 11.6918178, 11.7059544, 11.7744257, 11.7602678, 10.5083333, -6.9195971", \
+					  "11.7371231, 11.7357028, 11.7457717, 11.8115368, 11.7913758, 10.4803213, -6.7878420", \
+					  "11.7224505, 11.7271568, 11.7397750, 11.8068557, 11.7823128, 10.4986832, -6.9311995");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2038570, 6.2022365, 6.2218272, 6.3119928, 6.7081532, 7.7836390, 8.3376374", \
+					  "6.1312436, 6.1310803, 6.1575400, 6.2672732, 6.6547178, 7.7159824, 8.2779387", \
+					  "6.1214494, 6.1257025, 6.1440809, 6.2561467, 6.6388228, 7.7119417, 8.2567225", \
+					  "6.3637394, 6.3729232, 6.3998176, 6.5312442, 6.9444644, 8.0236942, 8.5690996", \
+					  "6.5066485, 6.5108745, 6.5405272, 6.6749320, 7.0943651, 8.1809027, 8.7305853", \
+					  "6.6018506, 6.6037614, 6.6300507, 6.7644868, 7.1869388, 8.2539326, 8.8106623", \
+					  "6.6168410, 6.6187675, 6.6477298, 6.7831240, 7.2013883, 8.2847049, 8.8420073");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7850180, 7.7926732, 7.7926734, 7.7926739, 7.7926744, 7.7926748, 7.8869018", \
+					  "8.2333358, 8.2396472, 8.2396474, 8.2396484, 8.2396493, 8.2396503, 8.3263130", \
+					  "8.5272340, 8.5417460, 8.5417467, 8.5417476, 8.5417486, 8.5417495, 8.6639567", \
+					  "8.7716652, 8.7790708, 8.7790714, 8.7790723, 8.7790733, 8.7790742, 8.8696552", \
+					  "8.9840553, 8.9917878, 8.9917884, 8.9917894, 8.9917903, 8.9917913, 9.1239869", \
+					  "9.1783097, 9.1818402, 9.1818405, 9.1818414, 9.1818424, 9.1818433, 9.2386490", \
+					  "9.3044559, 9.3250847, 9.3250852, 9.3250862, 9.3250871, 9.3250881, 9.5117505");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.6539726, 7.6600859, 7.6970714, 7.9125684, 9.3415879, 18.8615190, 78.5075470", \
+					  "8.1054496, 8.1115674, 8.1487652, 8.3644025, 9.7935580, 19.3076970, 78.9589550", \
+					  "8.4081231, 8.4142444, 8.4514840, 8.6670759, 10.0963050, 19.6110450, 79.2607010", \
+					  "8.6518952, 8.6580156, 8.6952054, 8.9108037, 10.3397970, 19.8545660, 79.5053910", \
+					  "8.8609973, 8.8671301, 8.9038741, 9.1193746, 10.5492260, 20.0642220, 79.7159880", \
+					  "9.0459187, 9.0520302, 9.0889554, 9.3047241, 10.7338920, 20.2501390, 79.9001260", \
+					  "9.2113517, 9.2174846, 9.2548014, 9.4702886, 10.8995250, 20.4137350, 80.0646300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.4971037, 1.5070514, 1.5792019, 2.1364610, 5.9937801, 31.6856020, 191.7332500", \
+					  "1.4946531, 1.5078471, 1.5850358, 2.1327498, 5.9871192, 31.7152420, 191.7101700", \
+					  "1.4946013, 1.5079135, 1.5852873, 2.1320332, 5.9881606, 31.6474170, 191.7671000", \
+					  "1.4952578, 1.5084423, 1.5857899, 2.1321853, 5.9883365, 31.6581820, 191.3879100", \
+					  "1.4928733, 1.5056016, 1.5815554, 2.1344222, 5.9866658, 31.6397220, 191.7858400", \
+					  "1.4956707, 1.5076216, 1.5893524, 2.1332158, 5.9857688, 31.7216760, 191.5856800", \
+					  "1.4945141, 1.5074705, 1.5841291, 2.1331319, 5.9874248, 31.7113770, 191.7448300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("132.9406700, 132.9529900, 133.0134000, 133.3220800, 135.3616000, 145.9530200, 193.4098700", \
+					  "133.5248900, 133.5337700, 133.5888100, 133.9056400, 135.9431000, 146.5449600, 194.0159100", \
+					  "134.0111700, 134.0196400, 134.0539600, 134.4001100, 136.4222600, 147.0301600, 194.4347600", \
+					  "134.4208900, 134.4289400, 134.4849100, 134.8017900, 136.8392300, 147.4401600, 194.9139500", \
+					  "134.7935800, 134.8033200, 134.8575200, 135.1745600, 137.2050900, 147.8146300, 195.2885100", \
+					  "135.1335900, 135.1428300, 135.1920000, 135.5168300, 137.5473900, 148.1487600, 195.6451000", \
+					  "135.4675600, 135.4756700, 135.5315200, 135.8483200, 137.8858300, 148.4868600, 195.9604800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("50.8444200, 50.8457060, 50.8357480, 50.6030130, 50.3751560, 55.0639890, 176.7372900", \
+					  "50.8476390, 50.8430920, 50.8410200, 50.6007360, 50.3527350, 55.2224900, 176.3946100", \
+					  "50.6731870, 50.6751900, 50.8197500, 50.8071100, 50.4464490, 55.2675380, 176.0011800", \
+					  "50.7107640, 50.8405830, 50.8350770, 50.6007040, 50.3481270, 55.2216800, 176.3162100", \
+					  "50.7099430, 50.7067490, 50.8329130, 50.6009560, 50.3192760, 55.2227450, 176.3146700", \
+					  "50.6931880, 50.6860650, 50.6572950, 50.8234090, 50.2077100, 55.5861420, 176.0365600", \
+					  "50.7111330, 50.8409880, 50.8356240, 50.6005550, 50.3493070, 55.2212570, 176.3311400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.6274258, 7.6337203, 7.6704119, 7.8843083, 9.2948849, 19.1540990, 82.3639540", \
+					  "8.0801250, 8.0852685, 8.1229699, 8.3359399, 9.7474517, 19.5974080, 82.8192550", \
+					  "8.3821101, 8.3883549, 8.4256104, 8.6391845, 10.0499540, 19.9041410, 83.1164120", \
+					  "8.6259604, 8.6315053, 8.6693328, 8.8823802, 10.2939880, 20.1408330, 83.3606460", \
+					  "8.8346166, 8.8401828, 8.8776337, 9.0910390, 10.5023030, 20.3496490, 83.5687860", \
+					  "9.0186827, 9.0256318, 9.0630667, 9.2764179, 10.6871650, 20.5478780, 83.7566670", \
+					  "9.1846353, 9.1913410, 9.2288683, 9.4422736, 10.8530130, 20.6999380, 83.9206960");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.5722961, 1.5852037, 1.6667118, 2.2134554, 6.0469416, 31.7239390, 191.3581700", \
+					  "1.5663822, 1.5791121, 1.6612746, 2.2105036, 6.0497714, 31.7195940, 191.8141400", \
+					  "1.5728224, 1.5853525, 1.6622550, 2.2086029, 6.0456555, 31.7227130, 191.5909600", \
+					  "1.5757752, 1.5802932, 1.6619185, 2.2118842, 6.0495839, 31.7036000, 191.7081800", \
+					  "1.5667440, 1.5787406, 1.6563568, 2.2118354, 6.0467857, 31.6535460, 191.7584500", \
+					  "1.5738452, 1.5867282, 1.6637576, 2.2077555, 6.0462342, 31.6625410, 191.7563600", \
+					  "1.5770283, 1.5892192, 1.6660517, 2.2050290, 6.0507861, 31.6644310, 191.6894900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("113.1835500, 113.2014900, 113.2517200, 113.5171800, 115.0936100, 120.8798500, 154.4043200", \
+					  "113.7659900, 113.7769200, 113.8278700, 114.0923700, 115.7003700, 121.4494600, 154.9804000", \
+					  "114.2502600, 114.2615200, 114.3090500, 114.5884000, 116.1875500, 121.9316200, 155.4631000", \
+					  "114.6614300, 114.6733500, 114.7239400, 114.9877500, 116.5768200, 122.3459400, 155.8744900", \
+					  "115.0354900, 115.0457400, 115.0964500, 115.3620700, 116.9510500, 122.7185900, 156.2468100", \
+					  "115.3784700, 115.3892500, 115.4399500, 115.7640600, 117.2871100, 123.0611800, 156.5892400", \
+					  "115.6831600, 115.7200600, 115.7701400, 116.0344700, 117.6239600, 123.3925800, 156.9214000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("115.2713300, 115.2725800, 115.1364400, 115.0256900, 114.1466900, 111.7971100, 111.0899500", \
+					  "115.2791800, 115.2752300, 115.1424100, 115.0278600, 114.1594700, 111.8394100, 111.1100500", \
+					  "115.2197900, 115.2203100, 115.1790600, 114.9453800, 114.1411500, 111.8331000, 111.1201200", \
+					  "115.2759700, 115.2718200, 115.1386000, 115.0247000, 114.1501900, 111.8336700, 111.0555800", \
+					  "115.2737500, 115.2696800, 115.1366200, 115.0225700, 114.1474800, 111.8313400, 111.0371000", \
+					  "115.1681400, 115.1672000, 115.1581800, 115.0179500, 114.0819100, 111.8384200, 111.0543500", \
+					  "115.2474200, 115.2726100, 115.1371800, 115.0255300, 114.1525300, 111.8346400, 111.0683900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4402400, 18.6545780, 20.0303860, 28.3680390, 79.3312580, 391.6789800, 2315.9087000", \
+					  "18.8734380, 19.1007730, 20.4604640, 28.8124210, 79.7629270, 392.1433900, 2315.9846000", \
+					  "19.1759690, 19.3910990, 20.7540400, 29.1013790, 80.0705070, 392.4414500, 2316.6840000", \
+					  "19.4156460, 19.6422060, 20.9914680, 29.3476020, 80.2986690, 392.6796800, 2316.4519000", \
+					  "19.6240340, 19.8443500, 21.2124400, 29.5436420, 80.5182050, 392.8867600, 2316.6585000", \
+					  "19.8066560, 20.0198360, 21.3840910, 29.7324010, 80.6984910, 393.0472300, 2316.9912000", \
+					  "19.9706790, 20.1878030, 21.5540760, 29.8919570, 80.8537880, 393.2302100, 2317.1226000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4800120, 16.9054510, 19.5565790, 35.8376050, 136.8141900, 760.0551200, 4599.8959000", \
+					  "16.4569940, 16.8843930, 19.5565180, 35.8107460, 137.0420800, 759.8650300, 4600.8940000", \
+					  "16.4542620, 16.9051300, 19.5618490, 35.8353490, 136.9770500, 758.9841900, 4601.2656000", \
+					  "16.4762550, 16.9094210, 19.5576910, 35.8152480, 137.0357400, 759.7626000, 4600.4807000", \
+					  "16.4550380, 16.8890790, 19.5569180, 35.8245130, 136.8741100, 759.8055700, 4600.4789000", \
+					  "16.4550840, 16.9053860, 19.5610890, 35.8379030, 137.0570000, 760.0417600, 4601.6830000", \
+					  "16.4753440, 16.8832450, 19.5567370, 35.8367880, 137.0464300, 758.7493100, 4599.5621000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.9570290, 13.0179400, 13.3946940, 15.3962870, 20.1935380, 41.0602080, 82.3734610", \
+					  "13.5299500, 13.5925740, 13.9692150, 15.9703770, 20.7654600, 41.6542430, 82.9519140", \
+					  "14.0161770, 14.0771470, 14.4535840, 16.4557740, 21.2526250, 42.1192470, 83.4372640", \
+					  "14.4296090, 14.4911090, 14.8662500, 16.8698410, 21.6671230, 42.5411670, 83.8504520", \
+					  "14.8062400, 14.8677270, 15.2428770, 17.2467070, 22.0418790, 42.9192080, 84.2270320", \
+					  "15.1493660, 15.2102600, 15.5869400, 17.5887620, 22.3855330, 43.2531520, 84.5653930", \
+					  "15.4747750, 15.5366390, 15.9142300, 17.9141630, 22.7139940, 43.5843820, 84.8984810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6878092, 3.7467713, 4.0264263, 4.7894240, 7.9216553, 25.6529410, 56.9298710", \
+					  "3.6903345, 3.7466217, 4.0276449, 4.8032036, 7.9239184, 25.6720510, 56.9835560", \
+					  "3.6874264, 3.7462797, 4.0265897, 4.7909374, 7.9215852, 25.6528100, 56.9231560", \
+					  "3.6866130, 3.7439798, 4.0297663, 4.7957092, 7.9257045, 25.6774600, 56.9669960", \
+					  "3.6865593, 3.7439869, 4.0296437, 4.7955804, 7.9258848, 25.6801800, 56.9720130", \
+					  "3.6876855, 3.7465790, 4.0264689, 4.7900697, 7.9216491, 25.6531880, 56.9176810", \
+					  "3.6901371, 3.7497885, 4.0264223, 4.7953597, 7.9184925, 25.6543940, 56.9336720");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.9006011, 8.9019858, 8.9089722, 8.9089723, 8.9089733, 8.9089742, 8.9089752", \
+					  "9.3387440, 9.3394830, 9.3394838, 9.3394847, 9.3394857, 9.3394866, 9.3394876", \
+					  "9.6454862, 9.6500852, 9.6500860, 9.6500869, 9.6500879, 9.6500888, 9.6500898", \
+					  "9.9130144, 9.9130149, 9.9130159, 9.9130168, 9.9130178, 9.9130188, 9.9130197", \
+					  "10.1866010, 10.1880030, 10.1880031, 10.1880041, 10.1880050, 10.1880060, 10.1880069", \
+					  "10.4291730, 10.4291740, 10.4291750, 10.4291759, 10.4291769, 10.4291778, 10.4291788", \
+					  "10.8345620, 10.8345628, 10.8345638, 10.8345647, 10.8345657, 10.8345666, 10.8345676");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7168698, 7.7307964, 7.7473592, 7.7473596, 7.7473600, 7.7473605, 7.7473610", \
+					  "8.1766380, 8.1782359, 8.1782365, 8.1782375, 8.1782384, 8.1782394, 8.1782403", \
+					  "8.4767535, 8.4767538, 8.4767547, 8.4767557, 8.4767566, 8.4767576, 8.4767585", \
+					  "8.7247001, 8.7247005, 8.7247015, 8.7247024, 8.7247034, 8.7247043, 8.7247053", \
+					  "8.9366647, 8.9366651, 8.9366661, 8.9366670, 8.9366680, 8.9366689, 8.9366699", \
+					  "9.1160208, 9.1160217, 9.1160226, 9.1160236, 9.1160245, 9.1160255, 9.1160265", \
+					  "9.2806269, 9.2859667, 9.2859674, 9.2859684, 9.2859693, 9.2859703, 9.2859712");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7841270, 10.8324820, 11.1256880, 12.8849870, 23.5958170, 89.5374510, 419.3862300", \
+					  "11.2210530, 11.2722760, 11.5653520, 13.3229250, 24.0371300, 89.9742570, 419.8066400", \
+					  "11.5158520, 11.5641530, 11.8568300, 13.6181400, 24.3303160, 90.2802030, 420.0875300", \
+					  "11.7601320, 11.8057080, 12.0996540, 13.8625370, 24.5759080, 90.5108170, 420.3255900", \
+					  "11.9663310, 12.0128450, 12.3064590, 14.0685700, 24.7801190, 90.7195390, 420.5551800", \
+					  "12.1455120, 12.1998810, 12.4907570, 14.2476230, 24.9591710, 90.9018140, 420.7430200", \
+					  "12.3167790, 12.3651520, 12.6570700, 14.4238340, 25.1385170, 91.0784910, 420.9545400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1727201, 3.2521794, 3.7427652, 6.7288988, 25.4776340, 140.9857800, 385.9522900", \
+					  "3.1723377, 3.2522536, 3.7427577, 6.7288815, 25.4810320, 141.2326600, 385.9022100", \
+					  "3.1728937, 3.2525393, 3.7427377, 6.7288828, 25.4883080, 141.5425700, 385.8969000", \
+					  "3.1729286, 3.2532690, 3.7426773, 6.7288783, 25.4881400, 141.4089600, 385.9101700", \
+					  "3.1728715, 3.2526071, 3.7427403, 6.7288881, 25.4835490, 141.1420700, 385.9384200", \
+					  "3.1728533, 3.2530589, 3.7422638, 6.7288854, 25.4775930, 141.5187300, 385.9493900", \
+					  "3.1730352, 3.2532388, 3.7417260, 6.7287105, 25.4329610, 141.4528000, 385.9946400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.8140990, 21.0302250, 22.3803860, 30.5585670, 80.5261990, 388.4570700, 2285.5031000", \
+					  "21.3903210, 21.6031690, 22.9562320, 31.1440650, 81.1084320, 388.9872500, 2285.2209000", \
+					  "21.8728250, 22.0908640, 23.4389360, 31.6290350, 81.5913310, 389.4813000, 2286.4663000", \
+					  "22.2850860, 22.5064460, 23.8531740, 32.0374200, 82.0175070, 389.6894000, 2287.1695000", \
+					  "22.6622650, 22.8772080, 24.2283210, 32.4166010, 82.3746830, 390.3052700, 2287.5013000", \
+					  "23.0067110, 23.2232650, 24.5736680, 32.7668380, 82.7817600, 390.7711600, 2288.2266000", \
+					  "23.3122360, 23.5416720, 24.8689000, 33.0821910, 83.1156730, 391.1025600, 2287.1741000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6614900, 16.0914820, 18.6851260, 34.8136380, 134.5585800, 749.2863600, 4536.9979000", \
+					  "15.6632010, 16.0913910, 18.6853520, 34.7778300, 134.6153900, 749.2806200, 4536.2735000", \
+					  "15.6615400, 16.0914320, 18.6865810, 34.7779550, 134.6095400, 749.2910200, 4538.3076000", \
+					  "15.6606140, 16.0704130, 18.6824030, 34.7772160, 134.1730800, 749.4941800, 4537.4509000", \
+					  "15.6632890, 16.0914690, 18.6849840, 34.7783490, 134.5583200, 749.3068800, 4539.0643000", \
+					  "15.6611810, 16.0906750, 18.6871920, 34.7637260, 134.2680900, 749.0371000, 4537.2385000", \
+					  "15.6469890, 16.0846660, 18.6860910, 34.8838010, 134.3849200, 748.9184700, 4536.0121000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.8528020, 8.8733794, 8.8788221, 8.8788229, 8.8788238, 8.8788248, 8.8788257", \
+					  "9.3307380, 9.3307386, 9.3307395, 9.3307405, 9.3307415, 9.3307424, 9.3307434", \
+					  "9.6441539, 9.6441541, 9.6441551, 9.6441560, 9.6441570, 9.6441579, 9.6441589", \
+					  "9.9059827, 9.9059835, 9.9059845, 9.9059854, 9.9059864, 9.9059873, 9.9059883", \
+					  "10.1781530, 10.1781536, 10.1781545, 10.1781555, 10.1781564, 10.1781574, 10.1781583", \
+					  "10.4312790, 10.4312797, 10.4312807, 10.4312816, 10.4312826, 10.4312835, 10.4312845", \
+					  "10.7576210, 10.7576213, 10.7576223, 10.7576232, 10.7576242, 10.7576252, 10.7576261");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7652800, 7.7652801, 7.7922513, 7.7922514, 7.7922519, 7.7922524, 7.9100424", \
+					  "8.2206038, 8.2292209, 8.2292219, 8.2292228, 8.2292238, 8.2292247, 8.3286364", \
+					  "8.5273912, 8.5273920, 8.5273929, 8.5273939, 8.5273948, 8.5273958, 8.6400469", \
+					  "8.7781107, 8.7912140, 8.7912145, 8.7912155, 8.7912164, 8.7912174, 8.8895069", \
+					  "8.9929166, 8.9929176, 8.9929185, 8.9929195, 8.9929204, 8.9929214, 9.0964036", \
+					  "9.1504795, 9.1566008, 9.1566015, 9.1566024, 9.1566034, 9.1566043, 9.2666532", \
+					  "9.3522541, 9.3522544, 9.3522554, 9.3549639, 9.3549648, 9.3549657, 9.4483923");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8558000, 12.9186970, 13.3025000, 15.6065630, 29.5908490, 103.6755500, 176.5322300", \
+					  "13.4300700, 13.4908960, 13.8760540, 16.1800620, 30.1636480, 104.2526100, 177.1102700", \
+					  "13.9136770, 13.9767820, 14.3611900, 16.6632020, 30.5863070, 104.7344500, 177.5851900", \
+					  "14.3297420, 14.3919220, 14.7762740, 17.0796460, 31.0644240, 105.1485700, 178.0092200", \
+					  "14.7055850, 14.7671170, 15.1519790, 17.4556140, 31.4390400, 105.5261500, 178.3826800", \
+					  "15.0473180, 15.1108460, 15.4939870, 17.7982550, 31.7186920, 105.8677500, 178.7200000", \
+					  "15.3746150, 15.4367580, 15.8182230, 18.1252880, 32.1094510, 106.1967000, 179.0550600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8168388, 3.9094110, 4.4708472, 8.0115061, 30.3254990, 85.3638800, 73.4375760", \
+					  "3.8177319, 3.9094727, 4.4709179, 8.0116145, 30.3255020, 85.3865530, 73.4478930", \
+					  "3.8182160, 3.9057184, 4.4655986, 8.0142017, 30.3408890, 85.3704020, 73.6679130", \
+					  "3.8177559, 3.9094545, 4.4708877, 8.0117077, 30.3256480, 85.3788010, 73.4956980", \
+					  "3.8177266, 3.9094670, 4.4709569, 8.0114875, 30.3450490, 85.3815440, 73.5108330", \
+					  "3.8148205, 3.9078256, 4.4707038, 8.0106792, 30.4579080, 85.3773300, 73.6501060", \
+					  "3.8177963, 3.9094408, 4.4708584, 8.0117868, 30.3258570, 85.3840800, 73.5066550");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7664684, 7.7664688, 7.7891880, 7.7927735, 7.7927740, 7.7927745, 7.7988043", \
+					  "8.2300362, 8.2306286, 8.2306295, 8.2310159, 8.2310167, 8.2310176, 8.3520080", \
+					  "8.5299190, 8.5299192, 8.5299202, 8.5299211, 8.5299221, 8.5299230, 8.6260830", \
+					  "8.7816536, 8.7932692, 8.7932697, 8.7932706, 8.7932716, 8.7932725, 8.8940508", \
+					  "8.9946468, 8.9946476, 8.9946485, 8.9946495, 8.9946504, 8.9946514, 9.0987626", \
+					  "9.1537915, 9.1574863, 9.1574865, 9.1574874, 9.1574884, 9.1574893, 9.2696091", \
+					  "9.3551149, 9.3551155, 9.3551164, 9.3572474, 9.3572479, 9.3572488, 9.4448769");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8230630, 12.8841270, 13.2599420, 15.2713630, 20.1340370, 43.5600000, 138.7488300", \
+					  "13.3963740, 13.4569180, 13.8330310, 15.8433250, 20.7077000, 44.1326020, 139.3115000", \
+					  "13.8827460, 13.9427680, 14.3179400, 16.3302630, 21.1935480, 44.6128430, 139.7944800", \
+					  "14.2968690, 14.3575990, 14.7325680, 16.7440500, 21.6079720, 45.0327920, 140.2090200", \
+					  "14.6725800, 14.7332790, 15.1085220, 17.1172530, 21.9838490, 45.4081320, 140.5830100", \
+					  "15.0156300, 15.0766460, 15.4505160, 17.4673000, 22.3273350, 45.7492070, 140.9430700", \
+					  "15.3415930, 15.4021240, 15.7774540, 17.7893450, 22.6538180, 46.0779040, 141.2551000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6959458, 3.7560968, 4.0387214, 4.8326974, 7.9666975, 39.7694570, 89.0603720", \
+					  "3.6963057, 3.7563503, 4.0387698, 4.8327298, 7.9628553, 39.7695990, 89.0180730", \
+					  "3.6984302, 3.7572212, 4.0389883, 4.8431130, 7.9652143, 39.7636340, 89.0179950", \
+					  "3.6962875, 3.7563601, 4.0387560, 4.8326938, 7.9628499, 39.7693900, 89.0118200", \
+					  "3.6962586, 3.7562984, 4.0387214, 4.8326063, 7.9628437, 39.7693330, 89.0091480", \
+					  "3.6942829, 3.7520915, 4.0404791, 4.8346447, 7.9669498, 39.7594170, 89.0640170", \
+					  "3.6963241, 3.7563654, 4.0387698, 4.8327453, 7.9628464, 39.7694140, 89.0127230");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4534240, 18.6629450, 20.0096100, 28.2669180, 78.9582230, 391.0385000, 2314.7206000", \
+					  "19.0257230, 19.2360720, 20.5837520, 28.8397080, 79.5254140, 391.6071900, 2315.4546000", \
+					  "19.5125200, 19.7191450, 21.0736690, 29.3249960, 80.0094430, 392.0877100, 2316.5940000", \
+					  "19.9208260, 20.1298750, 21.4832970, 29.7304870, 80.4197310, 392.4904800, 2316.9825000", \
+					  "20.3076450, 20.5117670, 21.8454610, 30.1129500, 80.7780860, 392.8842000, 2316.7270000", \
+					  "20.6409680, 20.8498910, 22.1976140, 30.4517370, 81.1363920, 393.2200300, 2317.2149000", \
+					  "20.9806770, 21.1860380, 22.5150250, 30.7830670, 81.4594690, 393.5489000, 2317.8768000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4785680, 16.9202070, 19.5637000, 35.8542390, 136.9921800, 760.0517100, 4601.4088000", \
+					  "16.4771270, 16.9202410, 19.5633090, 35.8535570, 137.1431500, 759.5430700, 4599.2992000", \
+					  "16.4544790, 16.9202820, 19.5624640, 35.8538550, 137.0080300, 759.5997400, 4602.7153000", \
+					  "16.4774080, 16.9201830, 19.5199930, 35.8542460, 137.1157600, 760.7378600, 4600.3870000", \
+					  "16.4688740, 16.9202470, 19.5637070, 35.9371450, 136.5484800, 761.2443900, 4600.7640000", \
+					  "16.4705550, 16.9202110, 19.5637550, 35.8540150, 137.1108200, 760.0754100, 4600.2397000", \
+					  "16.4711180, 16.8948780, 19.5645700, 35.8356440, 137.1187600, 759.5492700, 4600.8668000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3406840, 14.4022010, 14.7776480, 16.7919470, 21.5980740, 42.4669190, 83.7887200", \
+					  "14.9114800, 14.9737380, 15.3505600, 17.3617440, 22.1705290, 43.0605990, 84.3710950", \
+					  "15.3942760, 15.4562140, 15.8357720, 17.8439010, 22.6547560, 43.5216130, 84.8515360", \
+					  "15.8096080, 15.8648290, 16.2502670, 18.2532510, 23.0668480, 43.9367080, 85.2628900", \
+					  "16.1753210, 16.2459730, 16.6193600, 18.6308340, 23.4403250, 44.3268430, 85.6416590", \
+					  "16.5238680, 16.5831530, 16.9634830, 18.9617500, 23.7846900, 44.6491800, 85.9752090", \
+					  "16.8491460, 16.9081030, 17.2929070, 19.2937680, 24.1116020, 44.9743600, 86.3121630");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6991508, 3.7584682, 4.0444132, 4.8229403, 7.9251459, 25.6539250, 56.9542530", \
+					  "3.7021002, 3.7582328, 4.0480139, 4.8120965, 7.9259070, 25.6745170, 56.9514960", \
+					  "3.6994681, 3.7602028, 4.0449746, 4.8161626, 7.9255527, 25.6535380, 56.9405150", \
+					  "3.6991989, 3.7613632, 4.0467798, 4.8150253, 7.9276203, 25.6561810, 56.9406000", \
+					  "3.7014127, 3.7575885, 4.0430286, 4.8216307, 7.9256388, 25.6552400, 56.9710000", \
+					  "3.6991703, 3.7593537, 4.0444568, 4.8153521, 7.9242470, 25.6534670, 56.9552090", \
+					  "3.6996015, 3.7575694, 4.0445602, 4.8162416, 7.9254177, 25.6563370, 56.8788680");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.9759600, 18.1945920, 19.5335430, 27.7789740, 78.4725100, 390.5467500, 2314.7275000", \
+					  "18.4117150, 18.6234510, 19.9718160, 28.2174300, 78.9018980, 390.9818500, 2314.5335000", \
+					  "18.7110430, 18.9231670, 20.2669150, 28.5203650, 79.2101390, 391.2798800, 2315.7975000", \
+					  "18.9508280, 19.1651070, 20.5084960, 28.7529730, 79.4389120, 391.5176600, 2315.1335000", \
+					  "19.1587600, 19.3768010, 20.7165610, 28.9700050, 79.6598770, 391.7296600, 2315.7409000", \
+					  "19.3403250, 19.5532180, 20.8962610, 29.1414860, 79.8365960, 391.9100800, 2315.7309000", \
+					  "19.5028830, 19.7242240, 21.0713850, 29.3146790, 80.0076380, 392.0534900, 2316.2586000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4729460, 16.8835610, 19.5598080, 35.8398890, 136.9502300, 759.8260400, 4599.3697000", \
+					  "16.4543280, 16.9087060, 19.5599060, 35.8378290, 136.5514400, 759.8897000, 4600.8386000", \
+					  "16.4735440, 16.8935500, 19.5597780, 35.8830730, 136.8715100, 759.3418400, 4600.6671000", \
+					  "16.4763120, 16.8913720, 19.5459060, 35.8411750, 136.5185500, 759.9746300, 4600.5757000", \
+					  "16.4672420, 16.9055880, 19.5597780, 35.8829590, 136.8726400, 759.8660000, 4599.3324000", \
+					  "16.4711360, 16.8938270, 19.5594920, 35.8374020, 136.9760300, 759.9546200, 4599.0496000", \
+					  "16.4806320, 16.9058310, 19.5545000, 35.9153170, 136.8412100, 759.8811700, 4600.7613000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.2154700, 20.4227250, 21.7457570, 29.8341230, 79.6632590, 387.1756700, 2283.3824000", \
+					  "20.7915340, 21.0017140, 22.3238090, 30.4015480, 80.2178380, 387.8810200, 2285.8976000", \
+					  "21.2753890, 21.4837290, 22.8062690, 30.9318760, 80.7198790, 388.2579400, 2286.4640000", \
+					  "21.6802490, 21.9006270, 23.2130630, 31.3133520, 81.1620140, 389.1032600, 2285.3853000", \
+					  "22.0532780, 22.2745640, 23.5856670, 31.6889230, 81.5150970, 389.4532200, 2286.1398000", \
+					  "22.4080950, 22.6161100, 23.9391190, 32.0624170, 81.8592910, 389.3471500, 2286.6921000", \
+					  "22.7356690, 22.9464590, 24.2670930, 32.3386900, 82.2446250, 390.1304800, 2286.4740000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6634440, 16.0755300, 18.7002500, 34.8877440, 134.2146200, 749.3168300, 4539.2603000", \
+					  "15.6728390, 16.0831810, 18.7059040, 34.7431100, 134.4713900, 749.5658000, 4537.9843000", \
+					  "15.6660210, 16.0660840, 18.7024740, 34.8640010, 134.2283300, 749.3786700, 4538.6569000", \
+					  "15.6712780, 16.0897930, 18.6968700, 34.7751340, 134.4359600, 749.4188000, 4537.6200000", \
+					  "15.6733380, 16.0871120, 18.6884360, 34.7707320, 134.5610600, 749.3904400, 4537.9575000", \
+					  "15.6642840, 16.0702860, 18.7015580, 34.8579300, 134.1956900, 749.3482000, 4538.1162000", \
+					  "15.6750470, 16.0894920, 18.7049750, 34.7284120, 134.4167900, 748.6210100, 4538.5914000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.5011331, 8.5011335, 8.5058431, 8.5058437, 8.5058446, 8.5058456, 8.5058466", \
+					  "8.9208170, 8.9208179, 8.9481560, 8.9481569, 8.9481578, 8.9481588, 8.9956664", \
+					  "9.1880654, 9.2120498, 9.2451966, 9.2451969, 9.2451978, 9.2451988, 9.2788612", \
+					  "9.4471710, 9.4505452, 9.4505458, 9.4505468, 9.4505477, 9.4505487, 9.5108135", \
+					  "9.6632782, 9.6753103, 9.6753107, 9.6753116, 9.6753126, 9.6753135, 9.7381383", \
+					  "9.8440065, 9.8440071, 9.8445483, 9.8456372, 9.8456378, 9.8456388, 9.9026396", \
+					  "10.0029320, 10.0280480, 10.0362850, 10.0362859, 10.0362869, 10.0362878, 10.0931490");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7288176, 7.7288178, 7.7509332, 7.7509335, 7.7509339, 7.7509344, 7.7509349", \
+					  "8.1709439, 8.1783478, 8.1783481, 8.1783491, 8.1783500, 8.1783510, 8.1783519", \
+					  "8.4738776, 8.4778815, 8.4778820, 8.4778829, 8.4778839, 8.4778848, 8.4778858", \
+					  "8.7223153, 8.7223154, 8.7223163, 8.7223173, 8.7223182, 8.7223192, 8.7223201", \
+					  "8.9333101, 8.9336085, 8.9336086, 8.9336095, 8.9336105, 8.9336114, 8.9336124", \
+					  "9.1133980, 9.1145935, 9.1145940, 9.1145950, 9.1145959, 9.1145969, 9.1145978", \
+					  "9.2803898, 9.2900567, 9.2900568, 9.2900577, 9.2900587, 9.2900596, 9.2900606");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3348760, 11.3799790, 11.6690340, 13.4369190, 24.1461460, 90.0913120, 420.0556100", \
+					  "11.9084360, 11.9502400, 12.2418810, 14.0067660, 24.7225300, 90.6562220, 420.5651600", \
+					  "12.3859250, 12.4352120, 12.7259120, 14.4927350, 25.2088770, 91.1424820, 421.1146600", \
+					  "12.7970590, 12.8442090, 13.1389560, 14.8998280, 25.6142080, 91.5454520, 421.4781800", \
+					  "13.1772060, 13.2180650, 13.5179710, 15.2737800, 25.9959800, 91.9337350, 421.9047300", \
+					  "13.5134880, 13.5563440, 13.8557980, 15.6192020, 26.3339860, 92.2815600, 422.1742500", \
+					  "13.8385200, 13.8900830, 14.1901090, 15.9400790, 26.6557980, 92.5888270, 422.5085200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1757637, 3.2544321, 3.7435393, 6.7293282, 25.4887060, 141.4719900, 386.0353400", \
+					  "3.1757468, 3.2559979, 3.7434962, 6.7292119, 25.4290280, 141.1906800, 386.0132000", \
+					  "3.1760052, 3.2560958, 3.7435197, 6.7293526, 25.4551950, 141.1878700, 386.0777200", \
+					  "3.1759770, 3.2559999, 3.7438541, 6.7292869, 25.4654800, 141.4991600, 386.0136800", \
+					  "3.1758587, 3.2560064, 3.7436889, 6.7292629, 25.4653520, 141.5827000, 386.0770300", \
+					  "3.1759804, 3.2559997, 3.7437879, 6.7274497, 25.4889800, 141.1876000, 385.9587400", \
+					  "3.1740985, 3.2560583, 3.7448071, 6.7291914, 25.4900080, 141.3480000, 385.9931600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6507340, 10.6929350, 10.6929355, 10.6929365, 10.6929374, 10.6929384, 10.7412430", \
+					  "11.1192550, 11.1192556, 11.1192565, 11.1192575, 11.1192585, 11.1192594, 11.1946620", \
+					  "11.4424830, 11.4424835, 11.4424844, 11.4424854, 11.4424863, 11.4424873, 11.4597620", \
+					  "11.6449830, 11.6767270, 11.6767278, 11.6767288, 11.6767297, 11.6767307, 11.7043970", \
+					  "11.9054300, 11.9054304, 11.9054313, 11.9054323, 11.9054332, 11.9054342, 11.9597660", \
+					  "12.0669310, 12.0669313, 12.0840180, 12.0901920, 12.0901924, 12.0901933, 12.1451470", \
+					  "12.2529280, 12.2529283, 12.2529293, 12.2592830, 12.2592836, 12.2592845, 12.3113210");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.9747380, 18.1941980, 19.5336070, 27.7867760, 78.4753240, 390.5465500, 2314.3311000", \
+					  "18.4117290, 18.6234850, 19.9717970, 28.2192210, 78.9035680, 390.9818800, 2314.6745000", \
+					  "18.7101750, 18.9231710, 20.2669350, 28.5233450, 79.2115390, 391.2798800, 2315.5844000", \
+					  "18.9509310, 19.1693450, 20.5085090, 28.7627180, 79.4503800, 391.5176600, 2315.1670000", \
+					  "19.1587870, 19.3768020, 20.7165450, 28.9673000, 79.6577170, 391.7296300, 2315.2647000", \
+					  "19.3403070, 19.5532530, 20.8990780, 29.1525360, 79.8407310, 391.9092800, 2315.7063000", \
+					  "19.5029340, 19.7242510, 21.0713950, 29.3160980, 80.0075170, 392.0537800, 2316.1156000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4724200, 16.9126700, 19.5598470, 35.8355190, 136.8745300, 759.8087600, 4598.8836000", \
+					  "16.4544110, 16.9086900, 19.5599250, 35.8371750, 137.0026400, 759.8904400, 4599.7117000", \
+					  "16.4860820, 16.8935070, 19.5597940, 35.8158270, 136.8727100, 759.8716300, 4598.4229000", \
+					  "16.4678780, 16.9140990, 19.5459650, 35.8288300, 136.8751600, 760.0367600, 4596.8695000", \
+					  "16.4672610, 16.9067180, 19.5597960, 35.9308530, 136.5049400, 759.8444500, 4598.6935000", \
+					  "16.4723910, 16.8938230, 19.5536800, 35.8082670, 136.8750700, 759.8289400, 4599.4420000", \
+					  "16.4807740, 16.9061580, 19.5545220, 35.8955920, 136.8468800, 759.8756000, 4600.0873000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.2151150, 20.4239260, 21.7470260, 29.8729930, 79.6702930, 387.3093100, 2284.0939000", \
+					  "20.7915040, 21.0017030, 22.3231420, 30.4030690, 80.2177380, 387.9135300, 2285.6755000", \
+					  "21.2753300, 21.4836400, 22.8065460, 30.9323450, 80.7190900, 388.2495300, 2284.7198000", \
+					  "21.6800320, 21.9006060, 23.2131470, 31.3143320, 81.1621560, 389.1048800, 2285.1693000", \
+					  "22.0532850, 22.2745880, 23.5865250, 31.6892650, 81.5308850, 389.4454000, 2286.0229000", \
+					  "22.4080540, 22.6160940, 23.9390450, 32.0618130, 81.8598450, 389.3434000, 2286.2143000", \
+					  "22.7355680, 22.9467960, 24.2676920, 32.3418550, 82.2445900, 390.1289500, 2286.1859000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6611120, 16.0668420, 18.6991440, 34.8655400, 134.1784800, 749.5640400, 4537.2881000", \
+					  "15.6728280, 16.0831860, 18.7057750, 34.7484990, 134.4733700, 749.6170200, 4536.8160000", \
+					  "15.6659570, 16.0662060, 18.7023710, 34.8646840, 134.2323100, 749.3721300, 4537.9761000", \
+					  "15.6713110, 16.0898300, 18.6968660, 34.7751550, 134.4366000, 749.3716800, 4536.3804000", \
+					  "15.6733360, 16.0868990, 18.6934360, 34.7708530, 134.5001100, 749.4178400, 4537.2121000", \
+					  "15.6641690, 16.0703810, 18.7015100, 34.8570170, 134.1957200, 749.3245600, 4536.5036000", \
+					  "15.6749960, 16.0881030, 18.7055350, 34.7325370, 134.4154000, 748.3820900, 4540.3558000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7614850, 10.8090320, 11.1017910, 12.8645320, 23.5790800, 89.5173640, 419.4307300", \
+					  "11.1995790, 11.2488220, 11.5374660, 13.3045200, 24.0167390, 89.9570200, 419.9252100", \
+					  "11.4960250, 11.5452250, 11.8373440, 13.5993190, 24.3129090, 90.2536780, 420.1794200", \
+					  "11.7376770, 11.7901710, 12.0749040, 13.8359010, 24.5553230, 90.4888890, 420.4171100", \
+					  "11.9440470, 11.9908480, 12.2856750, 14.0491000, 24.7624390, 90.6977890, 420.6173100", \
+					  "12.1255030, 12.1774400, 12.4716210, 14.2291960, 24.9395850, 90.8880150, 420.7865300", \
+					  "12.2968810, 12.3406540, 12.6350470, 14.3994950, 25.1101630, 91.0516750, 421.0407400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1745246, 3.2547378, 3.7447259, 6.7295320, 25.4848920, 141.0031600, 386.0209300", \
+					  "3.1745158, 3.2554881, 3.7451482, 6.7295565, 25.4794760, 141.0006500, 386.0735900", \
+					  "3.1757528, 3.2551950, 3.7446646, 6.7295476, 25.4898360, 140.9962800, 386.0234300", \
+					  "3.1746028, 3.2553025, 3.7451882, 6.7291701, 25.4670580, 141.2800500, 385.9188400", \
+					  "3.1757257, 3.2557836, 3.7437524, 6.7294001, 25.4488860, 140.9936600, 386.0129700", \
+					  "3.1757315, 3.2555310, 3.7437218, 6.7295503, 25.4785990, 141.4971400, 385.9738100", \
+					  "3.1756187, 3.2557856, 3.7438723, 6.7294517, 25.4819810, 141.1399500, 386.0997100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.2364862, 9.2364870, 9.2364879, 9.2366097, 9.2366100, 9.2366109, 9.2366119", \
+					  "9.6815054, 9.6815057, 9.6821333, 9.6821342, 9.6821352, 9.6821361, 9.7645749", \
+					  "9.9815205, 9.9824868, 9.9824873, 9.9863087, 9.9863096, 9.9863105, 10.0418820", \
+					  "10.2265710, 10.2298410, 10.2303080, 10.2303081, 10.2303091, 10.2303100, 10.2894220", \
+					  "10.4341740, 10.4347820, 10.4357720, 10.4388450, 10.4388452, 10.4388462, 10.4983190", \
+					  "10.6287090, 10.6287094, 10.6287103, 10.6287113, 10.6287122, 10.6287132, 10.6878500", \
+					  "10.7956310, 10.7956319, 10.7956329, 10.7956338, 10.7956348, 10.7956358, 10.8399010");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.9190430, 10.9686120, 11.2608420, 13.0691700, 19.7100240, 36.4762440, 132.9352800", \
+					  "11.3576080, 11.4027260, 11.6974350, 13.5045100, 20.1472050, 36.9107620, 133.3717000", \
+					  "11.6542430, 11.6995680, 11.9945780, 13.8022620, 20.4438690, 37.2101820, 133.6708900", \
+					  "11.8934630, 11.9394350, 12.2338400, 14.0402410, 20.6834870, 37.4514000, 133.9091800", \
+					  "12.1006800, 12.1484640, 12.4425450, 14.2513100, 20.8929990, 37.6557810, 134.1200400", \
+					  "12.2839990, 12.3302430, 12.6260400, 14.4325560, 21.0742000, 37.8415380, 134.3007200", \
+					  "12.4450210, 12.4965580, 12.7865070, 14.5937610, 21.2399430, 38.0002020, 134.4608900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2146417, 3.3000325, 3.8223167, 6.1406018, 9.0146974, 27.8636920, 171.1169400", \
+					  "3.2151828, 3.3000676, 3.8224575, 6.1404242, 9.0139816, 27.8478320, 171.1194100", \
+					  "3.2151282, 3.3005676, 3.8223238, 6.1401626, 9.0146868, 27.8636880, 171.4459300", \
+					  "3.2151792, 3.3000751, 3.8224535, 6.1403704, 9.0135455, 27.8248460, 171.4181500", \
+					  "3.2151672, 3.3005851, 3.8223007, 6.1401981, 9.0147712, 27.8475520, 171.4451200", \
+					  "3.2147358, 3.3006673, 3.8223074, 6.1401599, 9.0146859, 27.8636940, 171.1184400", \
+					  "3.2147605, 3.3006688, 3.8224632, 6.1405103, 9.0139709, 27.8583590, 171.1155700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.1834630, 13.2470230, 13.6296960, 15.5707770, 20.2856580, 41.1545180, 82.4025010", \
+					  "13.7566400, 13.8192280, 14.1993790, 16.1415060, 20.8592950, 41.7306620, 82.9748640", \
+					  "14.2425780, 14.3063070, 14.6888730, 16.6298020, 21.3428420, 42.2007460, 83.4659420", \
+					  "14.6566310, 14.7186080, 15.0988590, 17.0414420, 21.7575170, 42.6124560, 83.8741700", \
+					  "15.0307410, 15.0924250, 15.4743450, 17.4159980, 22.1342780, 42.9752910, 84.2536000", \
+					  "15.3758390, 15.4378090, 15.8181910, 17.7598470, 22.4788580, 43.3204940, 84.5981920", \
+					  "15.7016500, 15.7642330, 16.1460850, 18.0855120, 22.8039930, 43.6591710, 84.9240390");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.5911571, 3.6426533, 3.8968797, 4.6120823, 7.8861797, 25.6226630, 56.9143810", \
+					  "3.5929231, 3.6472287, 3.8954826, 4.6093351, 7.8724911, 25.6329060, 56.8813190", \
+					  "3.5908114, 3.6423735, 3.8977808, 4.6112238, 7.8801961, 25.6059000, 56.9537380", \
+					  "3.5948251, 3.6458885, 3.8952375, 4.6173416, 7.8743376, 25.6167780, 56.9587150", \
+					  "3.5948253, 3.6451502, 3.8961936, 4.6113069, 7.8705833, 25.6009350, 56.9491330", \
+					  "3.5945200, 3.6452408, 3.8962651, 4.6233790, 7.8722868, 25.6008550, 56.9239800", \
+					  "3.5930068, 3.6425858, 3.8993484, 4.6242388, 7.8780742, 25.5854250, 56.9267120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.3115453, 9.3129957, 9.3156505, 9.3156515, 9.3156524, 9.3156534, 9.3631707", \
+					  "9.7658086, 9.7658096, 9.7658105, 9.7658115, 9.7658124, 9.7658134, 9.8219299", \
+					  "10.0714430, 10.0714431, 10.0714441, 10.0714450, 10.0714460, 10.0714469, 10.1295560", \
+					  "10.3123100, 10.3129860, 10.3141250, 10.3141256, 10.3141265, 10.3141275, 10.3843760", \
+					  "10.5241120, 10.5241123, 10.5241132, 10.5241142, 10.5241151, 10.5241161, 10.5908850", \
+					  "10.7116480, 10.7117080, 10.7129600, 10.7129608, 10.7129617, 10.7129627, 10.7703290", \
+					  "10.8820690, 10.8820692, 10.8836190, 10.8836198, 10.8836208, 10.8836217, 10.9465970");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.4636200, 8.5105913, 8.5105920, 8.5230552, 8.5230556, 8.5230566, 8.5509617", \
+					  "8.9653431, 8.9653440, 8.9653450, 8.9653459, 8.9653469, 8.9653478, 8.9672119", \
+					  "9.1968584, 9.2317833, 9.2629238, 9.2629247, 9.2629257, 9.2629266, 9.2773682", \
+					  "9.4624992, 9.4700354, 9.4700361, 9.4700370, 9.4700380, 9.4700389, 9.4986801", \
+					  "9.7190025, 9.7190033, 9.7190042, 9.7190052, 9.7190061, 9.7190071, 9.7590602", \
+					  "9.8637534, 9.8637538, 9.8637548, 9.8637558, 9.8637567, 9.8637577, 9.8946389", \
+					  "10.0663300, 10.0663305, 10.0701460, 10.0701461, 10.0701471, 10.0701480, 10.0701490");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7265643, 7.7265647, 7.7265652, 7.7265656, 7.7265661, 7.7265666, 7.7265671", \
+					  "8.1709146, 8.1784082, 8.1784091, 8.1784101, 8.1784111, 8.1784120, 8.1784130", \
+					  "8.4739904, 8.4779019, 8.4779020, 8.4779029, 8.4779039, 8.4779048, 8.4779058", \
+					  "8.7222620, 8.7222629, 8.7222639, 8.7222648, 8.7222658, 8.7222667, 8.7222677", \
+					  "8.9332479, 8.9341050, 8.9341054, 8.9341064, 8.9341074, 8.9341083, 8.9341093", \
+					  "9.1134238, 9.1142125, 9.1142126, 9.1142135, 9.1142145, 9.1142154, 9.1142164", \
+					  "9.2799572, 9.2903205, 9.2903209, 9.2903219, 9.2903228, 9.2903238, 9.2903247");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3277350, 11.3766730, 11.6660330, 13.4476760, 20.1733330, 36.9483110, 133.4041300", \
+					  "11.8995270, 11.9509460, 12.2415200, 14.0193210, 20.7434660, 37.5205960, 133.9803600", \
+					  "12.3858910, 12.4335340, 12.7214800, 14.5077940, 21.2290910, 38.0074530, 134.4660800", \
+					  "12.7958430, 12.8470270, 13.1311880, 14.9228360, 21.6402820, 38.4175860, 134.8762700", \
+					  "13.1799260, 13.2069360, 13.5070030, 15.2974240, 22.0250450, 38.8008880, 135.2395500", \
+					  "13.5122240, 13.5634820, 13.8538720, 15.6420650, 22.3553960, 39.1364740, 135.5914800", \
+					  "13.8423180, 13.8857330, 14.1655890, 15.9485380, 22.6826240, 39.4645810, 135.9159500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2218745, 3.3067185, 3.8268180, 6.2239405, 9.1855803, 27.8562060, 171.0938700", \
+					  "3.2219074, 3.3074496, 3.8266958, 6.2239374, 9.1845909, 27.8694350, 171.4009300", \
+					  "3.2227252, 3.3075223, 3.8272079, 6.2237433, 9.1856966, 27.8666280, 171.2502100", \
+					  "3.2218708, 3.3066128, 3.8268304, 6.2237793, 9.1855972, 27.8740910, 170.9029900", \
+					  "3.2227991, 3.3073539, 3.8272079, 6.2237504, 9.1855705, 27.8668110, 170.9385200", \
+					  "3.2218541, 3.3067045, 3.8271741, 6.2244045, 9.1850971, 27.8658750, 171.0943100", \
+					  "3.2219811, 3.3067629, 3.8267043, 6.2240995, 9.1857082, 27.8680400, 171.1082300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5426590, 21.7559040, 23.0725070, 31.1705580, 81.0426070, 388.6997000, 2285.8333000", \
+					  "22.1200690, 22.3272690, 23.6477700, 31.7381040, 81.6265880, 389.0705800, 2286.7755000", \
+					  "22.5809260, 22.8034890, 24.1256630, 32.2228320, 82.0444870, 390.0563300, 2287.1482000", \
+					  "22.9971010, 23.2204390, 24.5349750, 32.6209280, 82.5187810, 390.4380600, 2287.3214000", \
+					  "23.3796730, 23.5939690, 24.9083300, 33.0111330, 82.8253590, 390.7616100, 2286.8946000", \
+					  "23.7090770, 23.9302980, 25.2531650, 33.3560380, 83.1992110, 391.1637200, 2288.0063000", \
+					  "24.0447470, 24.2654470, 25.5805790, 33.6836800, 83.4932410, 391.5524200, 2287.6993000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6541450, 16.0722510, 18.6961580, 34.7975370, 134.4598700, 748.8019400, 4536.6251000", \
+					  "15.6700550, 16.0737110, 18.7029470, 34.7903680, 134.3143600, 749.0841100, 4539.2130000", \
+					  "15.6799940, 16.0921940, 18.7035810, 34.7758370, 134.5910600, 748.9214200, 4537.5623000", \
+					  "15.6800370, 16.0744080, 18.7035860, 34.7647350, 134.3157700, 749.0435200, 4538.6782000", \
+					  "15.6705760, 16.0922210, 18.6790800, 34.7727680, 134.5978100, 749.4750800, 4536.1940000", \
+					  "15.6712880, 16.0961840, 18.6911710, 34.7835500, 134.5278900, 749.5833600, 4537.6328000", \
+					  "15.6673000, 16.1010410, 18.6741060, 34.7852090, 134.5068300, 749.1002000, 4537.5123000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.8527710, 8.8766745, 8.8766752, 8.8835934, 8.8835941, 8.8835950, 8.8835960", \
+					  "9.3308037, 9.3308044, 9.3308054, 9.3308063, 9.3308073, 9.3308082, 9.3308092", \
+					  "9.6443511, 9.6443515, 9.6443525, 9.6443534, 9.6443544, 9.6443553, 9.6443563", \
+					  "9.9061319, 9.9061323, 9.9061332, 9.9061342, 9.9061351, 9.9061361, 9.9061370", \
+					  "10.1778420, 10.1778427, 10.1778436, 10.1778446, 10.1778455, 10.1778465, 10.1778474", \
+					  "10.4315290, 10.4315296, 10.4315305, 10.4315315, 10.4315324, 10.4315334, 10.4315343", \
+					  "10.8719180, 10.8719183, 10.8719192, 10.8719202, 10.8719211, 10.8719221, 10.8719230");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7756459, 7.7834903, 7.7834905, 7.7834909, 7.7834914, 7.7834919, 7.7834924", \
+					  "8.2109439, 8.2374827, 8.2374836, 8.2374845, 8.2374855, 8.2374864, 8.3237817", \
+					  "8.5383363, 8.5397085, 8.5397087, 8.5397096, 8.5397106, 8.5397115, 8.6301677", \
+					  "8.7670164, 8.7768841, 8.7768846, 8.7768856, 8.7768865, 8.7768875, 8.8668672", \
+					  "8.9802032, 8.9896801, 8.9896808, 8.9896818, 8.9896827, 8.9896837, 9.1049372", \
+					  "9.1739381, 9.1792955, 9.1792961, 9.1792970, 9.1792980, 9.1792989, 9.2402903", \
+					  "9.2990993, 9.3198773, 9.3198782, 9.3198791, 9.3198801, 9.3198810, 9.5141255");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2661810, 14.3282710, 14.7140350, 17.0163240, 31.0017290, 105.0886900, 177.9473800", \
+					  "14.8393350, 14.9009910, 15.2859770, 17.5872350, 31.5738800, 105.6628500, 178.5161200", \
+					  "15.3231760, 15.3843250, 15.7688760, 18.0742890, 32.0400990, 106.1454000, 179.0137000", \
+					  "15.7346010, 15.7982440, 16.1753140, 18.4866110, 32.4154000, 106.5614400, 179.4266600", \
+					  "16.1051300, 16.1682170, 16.5598500, 18.8593050, 32.7808640, 106.9299100, 179.7937400", \
+					  "16.4505870, 16.5177310, 16.9000000, 19.2034180, 33.1842960, 107.2828900, 180.1401300", \
+					  "16.7835450, 16.8323990, 17.2236540, 19.5258230, 33.5094480, 107.6083200, 180.4531700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8178434, 3.9094301, 4.4673221, 8.0118348, 30.3456070, 85.3863470, 73.4515170", \
+					  "3.8178452, 3.9094687, 4.4708743, 8.0116820, 30.3456710, 85.3894450, 73.4520570", \
+					  "3.8178469, 3.9054471, 4.4688853, 8.0125719, 30.3571600, 85.3846130, 73.5799690", \
+					  "3.8178949, 3.9094719, 4.4713078, 8.0115328, 30.3464520, 85.3894730, 73.6084830", \
+					  "3.8178194, 3.9054484, 4.4657549, 8.0142240, 30.3408960, 85.3874550, 73.4703600", \
+					  "3.8173398, 3.9064347, 4.4689052, 8.0116980, 30.3455780, 85.3898710, 73.5855540", \
+					  "3.8177035, 3.9064663, 4.4694053, 8.0116997, 30.3255380, 85.3867230, 73.4781690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.4546175, 8.4961664, 8.4961668, 8.5072989, 8.5072990, 8.5073000, 8.5073009", \
+					  "8.9483443, 8.9483448, 8.9483457, 8.9483467, 8.9483476, 8.9483486, 8.9823002", \
+					  "9.1712185, 9.2185912, 9.2185913, 9.2410026, 9.2410036, 9.2410045, 9.2555910", \
+					  "9.4525969, 9.4555004, 9.4555011, 9.4555021, 9.4555030, 9.4555040, 9.4882244", \
+					  "9.7020365, 9.7020374, 9.7020383, 9.7020393, 9.7020402, 9.7020412, 9.7211883", \
+					  "9.8518766, 9.8518768, 9.8518777, 9.8518787, 9.8518796, 9.8518806, 9.8845065", \
+					  "9.9913038, 10.0545390, 10.0545393, 10.0545402, 10.0545412, 10.0545421, 10.0657440");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7801516, 7.7820532, 7.7820533, 7.7902866, 7.7902868, 7.7902873, 7.8751041", \
+					  "8.2338723, 8.2397840, 8.2397848, 8.2397857, 8.2397867, 8.2397876, 8.3271621", \
+					  "8.5285166, 8.5427505, 8.5427509, 8.5427518, 8.5427528, 8.5427537, 8.6392120", \
+					  "8.7728944, 8.7804075, 8.7804084, 8.7804094, 8.7804103, 8.7804113, 8.8701047", \
+					  "8.9853573, 8.9935401, 8.9935403, 8.9935413, 8.9935422, 8.9935432, 9.1180326", \
+					  "9.1791605, 9.1825463, 9.1825471, 9.1825481, 9.1825490, 9.1825500, 9.2387209", \
+					  "9.3078114, 9.3279819, 9.3279825, 9.3279834, 9.3279844, 9.3279853, 9.4988142");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.9040650, 8.9041174, 8.9099839, 8.9099842, 8.9099851, 8.9099861, 8.9099870", \
+					  "9.3386570, 9.3386579, 9.3386589, 9.3386598, 9.3386608, 9.3386617, 9.3386627", \
+					  "9.6543582, 9.6543584, 9.6543594, 9.6543603, 9.6543613, 9.6543623, 9.6543632", \
+					  "9.9143413, 9.9143415, 9.9143425, 9.9143434, 9.9143444, 9.9143453, 9.9143463", \
+					  "10.1861220, 10.1876600, 10.1876607, 10.1876617, 10.1876627, 10.1876636, 10.1876646", \
+					  "10.4292110, 10.4292112, 10.4292121, 10.4292131, 10.4292141, 10.4292150, 10.4292160", \
+					  "10.9078520, 10.9078527, 10.9078537, 10.9078546, 10.9078556, 10.9078565, 10.9078575");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7188682, 7.7290396, 7.7465581, 7.7625657, 7.7625659, 7.7625664, 7.7625669", \
+					  "8.1761709, 8.1819111, 8.1819120, 8.1819129, 8.1819139, 8.1819149, 8.1819158", \
+					  "8.4768876, 8.4808889, 8.4808898, 8.4808908, 8.4808918, 8.4808927, 8.4808937", \
+					  "8.7245553, 8.7250527, 8.7250534, 8.7250543, 8.7250553, 8.7250562, 8.7250572", \
+					  "8.9366745, 8.9370271, 8.9370275, 8.9370285, 8.9370294, 8.9370304, 8.9370313", \
+					  "9.1161558, 9.1181089, 9.1181093, 9.1181102, 9.1181112, 9.1181121, 9.1181131", \
+					  "9.2807513, 9.2934140, 9.2934146, 9.2934156, 9.2934166, 9.2934175, 9.2934185");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7613380, 10.8086520, 11.0999180, 12.8833980, 19.6080020, 36.3872740, 132.8365900", \
+					  "11.1988310, 11.2472820, 11.5390830, 13.3253750, 20.0456060, 36.8296430, 133.2754400", \
+					  "11.4955570, 11.5447860, 11.8333950, 13.6174600, 20.3427320, 37.1179920, 133.5745300", \
+					  "11.7317260, 11.7854540, 12.0711960, 13.8588360, 20.5797850, 37.3638860, 133.8109000", \
+					  "11.9448020, 11.9926980, 12.2758410, 14.0647540, 20.7878820, 37.5670520, 134.0205200", \
+					  "12.1259370, 12.1751000, 12.4629530, 14.2489820, 20.9721610, 37.7533310, 134.2036300", \
+					  "12.2958750, 12.3466800, 12.6311070, 14.4182230, 21.1421960, 37.9242770, 134.3763100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2219662, 3.3071534, 3.8268051, 6.2239311, 9.1858903, 27.8572150, 171.0921400", \
+					  "3.2219567, 3.3066470, 3.8268482, 6.2240777, 9.1855492, 27.8702250, 171.0986600", \
+					  "3.2225396, 3.3071579, 3.8267940, 6.2239400, 9.1859969, 27.8667920, 171.0709900", \
+					  "3.2218750, 3.3072478, 3.8271657, 6.2239489, 9.1854817, 27.8698720, 171.0861300", \
+					  "3.2225598, 3.3072147, 3.8267860, 6.2239534, 9.1855252, 27.8656990, 171.0976400", \
+					  "3.2224698, 3.3071141, 3.8267891, 6.2239240, 9.1856887, 27.8699090, 171.0973500", \
+					  "3.2223568, 3.3070959, 3.8268317, 6.2240608, 9.1861221, 27.8641480, 170.9008300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7506660, 10.7506662, 10.7530110, 10.7628390, 10.7628398, 10.7628408, 10.8847520", \
+					  "11.2186180, 11.2186189, 11.2186199, 11.2186208, 11.2186218, 11.2186227, 11.2779070", \
+					  "11.5174630, 11.5174633, 11.5221290, 11.5221296, 11.5221305, 11.5221315, 11.5739380", \
+					  "11.7718830, 11.7718835, 11.7718845, 11.7718854, 11.7718864, 11.7718874, 11.8233600", \
+					  "11.9740540, 11.9920340, 11.9920345, 11.9920354, 11.9920364, 11.9920373, 12.0332510", \
+					  "12.1845310, 12.1847390, 12.1847396, 12.1847406, 12.1847415, 12.1847425, 12.2213100", \
+					  "12.3483790, 12.3483797, 12.3483806, 12.3483816, 12.3483825, 12.3483835, 12.4033950");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3267770, 11.3759720, 11.6656340, 13.4474240, 20.1770530, 36.9483930, 133.4095600", \
+					  "11.9031830, 11.9466220, 12.2359920, 14.0210440, 20.7446470, 37.5277870, 133.9738400", \
+					  "12.3890490, 12.4317290, 12.7251220, 14.5045420, 21.2320970, 38.0087930, 134.4713600", \
+					  "12.7999940, 12.8473690, 13.1363860, 14.9196830, 21.6465580, 38.4203920, 134.8729100", \
+					  "13.1635960, 13.2197350, 13.5029210, 15.2945640, 22.0155720, 38.8039040, 135.2525100", \
+					  "13.5116700, 13.5586970, 13.8558550, 15.6359410, 22.3607570, 39.1371200, 135.5873600", \
+					  "13.8381000, 13.8733400, 14.1720700, 15.9571220, 22.6969230, 39.4562360, 135.9172000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2225478, 3.3071592, 3.8275711, 6.2241550, 9.1859622, 27.8212210, 170.9415900", \
+					  "3.2233760, 3.3072465, 3.8273948, 6.2241545, 9.1856567, 27.8727640, 171.0937700", \
+					  "3.2234073, 3.3071303, 3.8272248, 6.2239414, 9.1861061, 27.8697830, 171.2307400", \
+					  "3.2220309, 3.3078467, 3.8271342, 6.2246239, 9.1859684, 27.8710810, 170.9411400", \
+					  "3.2223357, 3.3079939, 3.8275680, 6.2240346, 9.1858414, 27.8733570, 171.0943400", \
+					  "3.2231011, 3.3071941, 3.8271892, 6.2239240, 9.1856514, 27.8725650, 171.0969300", \
+					  "3.2225416, 3.3080370, 3.8274512, 6.2242758, 9.1859578, 27.8209460, 171.0991500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3388350, 14.4002940, 14.7764380, 16.7897500, 21.5988470, 42.4680450, 83.7921870", \
+					  "14.9099030, 14.9707380, 15.3490570, 17.3590420, 22.1698890, 43.0439010, 84.3639820", \
+					  "15.3952730, 15.4539920, 15.8322120, 17.8438600, 22.6532640, 43.5186930, 84.8477060", \
+					  "15.8070000, 15.8665370, 16.2492600, 18.2578890, 23.0581620, 43.9306160, 85.2627550", \
+					  "16.1738550, 16.2382940, 16.6192550, 18.6413590, 23.4345020, 44.3117010, 85.6362310", \
+					  "16.5259430, 16.5791970, 16.9611280, 18.9755610, 23.7818090, 44.6446400, 85.9796220", \
+					  "16.8383390, 16.9065050, 17.2972410, 19.2956160, 24.1112480, 44.9788150, 86.2972700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7016104, 3.7608348, 4.0467656, 4.8104187, 7.9263325, 25.6560210, 56.9499080", \
+					  "3.7024472, 3.7623868, 4.0453507, 4.8140194, 7.9257516, 25.6565150, 56.9700090", \
+					  "3.7013101, 3.7618064, 4.0470338, 4.8096829, 7.9243963, 25.6534070, 56.9709610", \
+					  "3.7014209, 3.7639478, 4.0470010, 4.8142894, 7.9250091, 25.6500080, 56.9318670", \
+					  "3.7024448, 3.7591659, 4.0447152, 4.8157953, 7.9248847, 25.6565920, 56.9679700", \
+					  "3.7027328, 3.7608294, 4.0472750, 4.8162772, 7.9263422, 25.6490690, 56.9650350", \
+					  "3.6998855, 3.7617554, 4.0445389, 4.8121542, 7.9256681, 25.6562720, 56.9663070");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3358020, 11.3750150, 11.6694900, 13.4297500, 24.1507680, 90.0914050, 419.9941900", \
+					  "11.9003670, 11.9520500, 12.2411950, 13.9998520, 24.7148560, 90.6554900, 420.5648700", \
+					  "12.3893530, 12.4319550, 12.7235570, 14.4876820, 25.2078590, 91.1406350, 421.1108000", \
+					  "12.8000370, 12.8420470, 13.1367650, 14.8974740, 25.6201690, 91.5542980, 421.5292800", \
+					  "13.1772580, 13.2221740, 13.5159390, 15.2717410, 25.9824300, 91.9308220, 421.8504400", \
+					  "13.5166790, 13.5603670, 13.8495270, 15.6211990, 26.3211280, 92.2605780, 422.2385700", \
+					  "13.8389260, 13.8789750, 14.1761690, 15.9403690, 26.6512950, 92.5921670, 422.5007300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1755152, 3.2564531, 3.7443497, 6.7292487, 25.4647240, 141.4257900, 386.0129700", \
+					  "3.1763510, 3.2565501, 3.7440229, 6.7293811, 25.4906120, 141.1810000, 385.9929100", \
+					  "3.1762843, 3.2566008, 3.7443804, 6.7294623, 25.4812900, 141.4692900, 386.0745000", \
+					  "3.1763083, 3.2565524, 3.7443182, 6.7293700, 25.4648270, 141.5766500, 386.0348800", \
+					  "3.1763829, 3.2566549, 3.7442933, 6.7293562, 25.4650130, 141.5785100, 386.0200200", \
+					  "3.1763092, 3.2562391, 3.7443684, 6.7294810, 25.4892660, 141.1811500, 386.0576800", \
+					  "3.1747409, 3.2563690, 3.7453103, 6.7293389, 25.4259160, 141.4436800, 386.0122000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3533440, 14.4167720, 14.7988460, 17.0932410, 31.0639830, 116.8919400, 489.9528700", \
+					  "14.9247410, 14.9881420, 15.3697500, 17.6690570, 31.6167000, 117.4849400, 490.5268200", \
+					  "15.4080870, 15.4669010, 15.8590720, 18.1557720, 32.0861560, 117.9720300, 491.0090200", \
+					  "15.8168000, 15.8830480, 16.2697450, 18.5658670, 32.4977880, 118.4019700, 491.4228400", \
+					  "16.1952690, 16.2578450, 16.6426910, 18.9451030, 32.9000970, 118.7850200, 491.7849900", \
+					  "16.5368310, 16.6132420, 16.9752280, 19.2990460, 33.2355480, 119.0711000, 492.1421400", \
+					  "16.8640200, 16.9261160, 17.3038930, 19.6145250, 33.5751300, 119.4786400, 492.4264700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8061363, 3.8994967, 4.4562900, 8.0001357, 30.4759310, 168.7791200, 348.8441700", \
+					  "3.8089665, 3.8982062, 4.4551456, 8.0007103, 30.4347980, 168.7306900, 348.8632400", \
+					  "3.8088404, 3.9008743, 4.4578399, 8.0006970, 30.3720250, 168.8610200, 348.8529500", \
+					  "3.8024326, 3.9010528, 4.4575095, 8.0007192, 30.3783810, 168.9363200, 348.8557400", \
+					  "3.8021297, 3.9009440, 4.4578266, 7.9967588, 30.4756680, 168.9436300, 348.6979400", \
+					  "3.8085957, 3.8978292, 4.4566457, 7.9986950, 30.3437910, 168.7571300, 348.8517600", \
+					  "3.8090873, 3.8989945, 4.4539523, 8.0007156, 30.4745140, 168.8867100, 348.6901800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.4348750, 8.4440774, 8.4440780, 8.4680103, 8.4680105, 8.4680114, 8.5471950", \
+					  "8.9148839, 8.9149061, 8.9149070, 8.9159036, 8.9159046, 8.9159055, 8.9469507", \
+					  "9.2007850, 9.2008232, 9.2222869, 9.2222877, 9.2222887, 9.2222896, 9.2470023", \
+					  "9.4372270, 9.4391694, 9.4396801, 9.4409112, 9.4409118, 9.4409128, 9.4785584", \
+					  "9.6640438, 9.6654018, 9.6690256, 9.6690259, 9.6690269, 9.6690279, 9.7106323", \
+					  "9.8366950, 9.8366952, 9.8369908, 9.8375503, 9.8375507, 9.8375516, 9.8719459", \
+					  "9.9876134, 9.9903819, 9.9903827, 9.9903837, 10.0094470, 10.0094476, 10.0610760");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.7764994, 7.7820479, 7.7820480, 7.7820485, 7.7820490, 7.7820495, 7.7837043", \
+					  "8.2340765, 8.2342027, 8.2342029, 8.2342039, 8.2342048, 8.2342058, 8.3482385", \
+					  "8.5378238, 8.5378242, 8.5378252, 8.5378261, 8.5378271, 8.5378280, 8.6557055", \
+					  "8.7822016, 8.7928598, 8.7928606, 8.7928615, 8.7928625, 8.7928634, 8.8932923", \
+					  "8.9965031, 8.9970928, 8.9970937, 8.9970947, 8.9970956, 8.9970966, 9.1101873", \
+					  "9.1606527, 9.1606536, 9.1606546, 9.1606555, 9.1606565, 9.1606575, 9.2700807", \
+					  "9.3565804, 9.3565813, 9.3565822, 9.3565832, 9.3565841, 9.3565851, 9.4444905");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.8261863, 6.8340742, 6.8340743, 6.8340748, 6.8340753, 6.8340757, 6.8369639", \
+					  "7.3959718, 7.3959721, 7.3959725, 7.3959730, 7.3959735, 7.3959740, 7.4391826", \
+					  "7.8846245, 7.8847950, 7.8847955, 7.8847960, 7.8847964, 7.8847969, 7.9512805", \
+					  "8.3095522, 8.3112415, 8.3112417, 8.3112426, 8.3112436, 8.3112445, 8.3705816", \
+					  "8.6925525, 8.6939744, 8.6939750, 8.6939760, 8.6939769, 8.6939779, 8.7664613", \
+					  "9.0504271, 9.0504280, 9.0504289, 9.0504299, 9.0504308, 9.0504318, 9.0910710", \
+					  "9.3595025, 9.3611243, 9.3611246, 9.3611255, 9.3611265, 9.3611274, 9.3611284");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2338430, 14.2956360, 14.6720180, 16.6819570, 21.5461910, 44.9698310, 140.1475600", \
+					  "14.8070580, 14.8662080, 15.2414770, 17.2540680, 22.1189290, 45.5414550, 140.7232600", \
+					  "15.2883640, 15.3528030, 15.7267000, 17.7409980, 22.6065230, 46.0256120, 141.1848300", \
+					  "15.7079290, 15.7653160, 16.1353240, 18.1467520, 23.0124990, 46.4415490, 141.6055800", \
+					  "16.0775140, 16.1362510, 16.5087530, 18.5264390, 23.3815220, 46.8002670, 141.9872300", \
+					  "16.4133240, 16.4744400, 16.8541070, 18.8807960, 23.7295590, 47.1648460, 142.3553900", \
+					  "16.7415180, 16.8029380, 17.1835290, 19.1891640, 24.0558720, 47.4786010, 142.6557600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6963883, 3.7564782, 4.0389248, 4.8329722, 7.9628952, 39.7694960, 89.0136680", \
+					  "3.6963108, 3.7564969, 4.0388795, 4.8330633, 7.9629112, 39.7696600, 89.0177820", \
+					  "3.6980674, 3.7531862, 4.0389438, 4.8326618, 7.9671798, 39.7552210, 89.0706670", \
+					  "3.6981582, 3.7564898, 4.0388217, 4.8434035, 7.9628864, 39.7696520, 89.0114930", \
+					  "3.6963395, 3.7572963, 4.0401122, 4.8339452, 7.9628881, 39.7796280, 89.0166450", \
+					  "3.6964081, 3.7565155, 4.0389798, 4.8335873, 7.9629618, 39.7556970, 89.0637610", \
+					  "3.6963013, 3.7563606, 4.0389332, 4.8327164, 7.9629201, 39.7696670, 89.0172130");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.8319026, 6.8319028, 6.8319033, 6.8319037, 6.8319042, 6.8319047, 6.8921544", \
+					  "7.3998669, 7.4007627, 7.4007628, 7.4007633, 7.4007638, 7.4007643, 7.4318871", \
+					  "7.8886933, 7.8886936, 7.8886941, 7.8886946, 7.8886951, 7.8886955, 7.9229103", \
+					  "8.3054337, 8.3054338, 8.3054348, 8.3054357, 8.3054367, 8.3054376, 8.3727167", \
+					  "8.6896712, 8.6922345, 8.6922346, 8.6922355, 8.6922365, 8.6922374, 8.7597307", \
+					  "9.0492671, 9.0492673, 9.0492683, 9.0492692, 9.0492702, 9.0492712, 9.1038785", \
+					  "9.3750137, 9.3750139, 9.3750148, 9.3750158, 9.3750167, 9.3750177, 9.3775752");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4586800, 18.6637300, 20.0169550, 28.2616030, 78.9566240, 391.0362800, 2315.2309000", \
+					  "19.0258210, 19.2365390, 20.5827050, 28.8397840, 79.5213350, 391.6093200, 2315.5876000", \
+					  "19.5150900, 19.7188560, 21.0556990, 29.3174090, 80.0068490, 392.0855500, 2316.3848000", \
+					  "19.9213690, 20.1416090, 21.4780990, 29.7379850, 80.4135570, 392.4909000, 2316.5549000", \
+					  "20.2966020, 20.5171580, 21.8645790, 30.1018230, 80.7947200, 392.8773000, 2317.1956000", \
+					  "20.6359160, 20.8480470, 22.1948020, 30.4592230, 81.1338410, 393.2202300, 2317.6992000", \
+					  "20.9605740, 21.1806710, 22.5122500, 30.7793120, 81.4581040, 393.5367400, 2317.3964000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4858050, 16.9193640, 19.5626770, 35.8450580, 136.8454900, 760.0642700, 4601.5766000", \
+					  "16.4762270, 16.9193370, 19.5626790, 35.8525090, 137.0993500, 760.1033800, 4600.0368000", \
+					  "16.4700060, 16.9194490, 19.5641480, 35.8438150, 137.1152100, 759.5965000, 4600.7203000", \
+					  "16.4759160, 16.9155750, 19.5628240, 35.8529140, 137.0763400, 759.8601400, 4602.2992000", \
+					  "16.4777440, 16.9154450, 19.5627250, 35.8427560, 137.1179500, 760.0374400, 4601.8431000", \
+					  "16.4776670, 16.9193510, 19.5628050, 35.8437720, 137.1099400, 759.9724700, 4601.4502000", \
+					  "16.4636780, 16.9155110, 19.5636700, 35.8339420, 137.1120500, 759.7783500, 4600.5275000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5479870, 21.7603450, 23.0800530, 31.1623510, 80.9652930, 388.7118700, 2285.5986000", \
+					  "22.1046200, 22.3312910, 23.6536980, 31.7238320, 81.6102810, 389.5740400, 2285.2241000", \
+					  "22.5882940, 22.8182490, 24.1392280, 32.2189950, 82.0708270, 389.7101500, 2286.9212000", \
+					  "23.0110810, 23.2260180, 24.5337460, 32.6277250, 82.4426250, 390.0541700, 2286.6557000", \
+					  "23.3719940, 23.5990780, 24.9188620, 33.0491580, 82.8086750, 390.5243500, 2287.6864000", \
+					  "23.7303150, 23.9345890, 25.2597500, 33.3642200, 83.1572250, 391.1855800, 2287.6552000", \
+					  "24.0509940, 24.2449010, 25.5766130, 33.6763120, 83.5669880, 391.4920800, 2287.3544000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6717200, 16.0919690, 18.7075670, 34.9107300, 134.4156100, 749.6799400, 4538.2490000", \
+					  "15.6745640, 16.1040270, 18.7058950, 34.7282980, 134.1796900, 748.5957100, 4537.4518000", \
+					  "15.6763370, 16.0877120, 18.7091120, 34.8990810, 134.2336200, 749.5838800, 4538.8720000", \
+					  "15.6702970, 16.0765160, 18.7007880, 34.7788000, 134.3189000, 749.4968500, 4540.3053000", \
+					  "15.6762990, 16.0691100, 18.7103010, 34.8770790, 134.4251900, 749.6598200, 4538.0857000", \
+					  "15.6724180, 16.0895490, 18.6977810, 34.7776560, 134.4559300, 749.2005200, 4536.6542000", \
+					  "15.6760630, 16.0911110, 18.6978810, 34.7852880, 134.4108500, 749.2985200, 4536.9075000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7825470, 10.8322300, 11.1212490, 12.9054550, 19.6148380, 36.3960560, 132.8398000", \
+					  "11.2205480, 11.2717450, 11.5591880, 13.3428740, 20.0554490, 36.8354020, 133.2776900", \
+					  "11.5153900, 11.5650330, 11.8537260, 13.6379590, 20.3501840, 37.1253430, 133.5719000", \
+					  "11.7597350, 11.8065180, 12.0980230, 13.8822890, 20.5885820, 37.3685190, 133.8184300", \
+					  "11.9656220, 12.0136900, 12.3038180, 14.0883780, 20.7978220, 37.5753300, 134.0226000", \
+					  "12.1483300, 12.1949030, 12.4856650, 14.2692250, 20.9801100, 37.7572640, 134.2057900", \
+					  "12.3160220, 12.3673090, 12.6533330, 14.4369650, 21.1479970, 37.9256130, 134.3743000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2201335, 3.3052987, 3.8258663, 6.2131980, 9.1705203, 27.8653080, 171.0681800", \
+					  "3.2203753, 3.3053296, 3.8259524, 6.2134249, 9.1712336, 27.8707390, 171.0897500", \
+					  "3.2210217, 3.3058773, 3.8258410, 6.2130194, 9.1712486, 27.8555440, 171.0645400", \
+					  "3.2210485, 3.3056198, 3.8258641, 6.2132743, 9.1710382, 27.8661010, 171.4248200", \
+					  "3.2209644, 3.3056702, 3.8259524, 6.2131558, 9.1712566, 27.8678500, 171.0636300", \
+					  "3.2200849, 3.3057546, 3.8259071, 6.2132823, 9.1707886, 27.8694840, 171.4131900", \
+					  "3.2204819, 3.3053116, 3.8259360, 6.2135666, 9.1717558, 27.8684630, 171.4354000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.8020300, 21.0248780, 22.3809650, 30.5749060, 80.5610090, 388.4221900, 2285.5415000", \
+					  "21.3868960, 21.6022930, 22.9543120, 31.1384730, 81.1686010, 389.1564100, 2285.3285000", \
+					  "21.8726940, 22.0871290, 23.4409680, 31.6332520, 81.6456800, 389.6318200, 2286.1700000", \
+					  "22.2842140, 22.5021440, 23.8515390, 32.0455660, 82.0473860, 389.9467900, 2287.0688000", \
+					  "22.6602930, 22.8758970, 24.2270060, 32.4154210, 82.4168320, 390.3966200, 2287.0620000", \
+					  "22.9974370, 23.2212990, 24.5711040, 32.7581380, 82.7906630, 390.4145300, 2288.0326000", \
+					  "23.3318240, 23.5471320, 24.8965910, 33.0842590, 83.0329160, 390.9785800, 2288.4133000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6573780, 16.0881850, 18.6796070, 34.7532280, 134.5111700, 749.3000600, 4536.7401000", \
+					  "15.6639150, 16.0913580, 18.6874660, 34.7772580, 134.3101600, 748.3877200, 4538.3886000", \
+					  "15.6688530, 16.0909280, 18.6872600, 34.7696410, 134.2538800, 749.3399000, 4535.3672000", \
+					  "15.6607150, 16.0912170, 18.6828440, 34.7583930, 134.4744500, 749.3292700, 4534.8611000", \
+					  "15.6625680, 16.0914220, 18.6862030, 34.7783240, 134.3672800, 748.2709100, 4536.7465000", \
+					  "15.6606530, 16.0841920, 18.6855460, 34.7781290, 134.3865700, 749.5020200, 4537.1753000", \
+					  "15.6638840, 16.0913610, 18.6836610, 34.7783380, 134.5762700, 749.3245600, 4537.2481000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3348260, 11.3781620, 11.6699540, 13.4368310, 24.1509090, 90.0915110, 419.9951300", \
+					  "11.9020400, 11.9481430, 12.2422560, 14.0074600, 24.7214940, 90.6604280, 420.6287400", \
+					  "12.3911730, 12.4341680, 12.7252400, 14.4910700, 25.2076370, 91.1398890, 421.1126500", \
+					  "12.8025440, 12.8451860, 13.1306970, 14.9000490, 25.6190930, 91.5530980, 421.5200400", \
+					  "13.1678530, 13.2213320, 13.5152580, 15.2808650, 25.9860880, 91.9281580, 421.8306300", \
+					  "13.5206620, 13.5628020, 13.8535650, 15.6212700, 26.3338930, 92.2793150, 422.2510800", \
+					  "13.8406340, 13.8837140, 14.1812560, 15.9396020, 26.6391190, 92.5923940, 422.5631800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1757972, 3.2542500, 3.7435850, 6.7293784, 25.4297530, 141.4391700, 386.0131400", \
+					  "3.1742617, 3.2559235, 3.7435339, 6.7293362, 25.4527810, 141.2110700, 386.0687100", \
+					  "3.1758058, 3.2560310, 3.7439452, 6.7292674, 25.4618100, 141.2858000, 386.0688500", \
+					  "3.1750349, 3.2560761, 3.7439292, 6.7291395, 25.4651200, 141.5458800, 386.0670900", \
+					  "3.1757867, 3.2560743, 3.7438417, 6.7292150, 25.4650290, 141.5543800, 385.9904900", \
+					  "3.1758032, 3.2549607, 3.7439070, 6.7293189, 25.4633290, 141.1879700, 386.0695900", \
+					  "3.1743883, 3.2552279, 3.7444083, 6.7293073, 25.4914540, 140.9899700, 386.0778600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5470290, 21.7485600, 23.0796130, 31.1686140, 80.9793690, 388.9406900, 2286.2343000", \
+					  "22.1089080, 22.3282300, 23.6461840, 31.7625750, 81.6233050, 389.5445400, 2285.7166000", \
+					  "22.5983590, 22.8032440, 24.1258940, 32.2219090, 82.1100700, 389.9855000, 2286.2091000", \
+					  "22.9972090, 23.2137030, 24.5435690, 32.6384680, 82.4598060, 389.9575600, 2287.0674000", \
+					  "23.3685500, 23.5986800, 24.9057250, 33.0085610, 82.8627900, 390.7818200, 2286.6047000", \
+					  "23.7223410, 23.9385030, 25.2595350, 33.3533520, 83.2022310, 391.1970100, 2286.9276000", \
+					  "24.0277110, 24.2637180, 25.5783930, 33.6649780, 83.5021370, 391.5166400, 2288.3603000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6719120, 16.0979440, 18.7066490, 34.7757950, 134.6038200, 749.4643300, 4538.2012000", \
+					  "15.6670680, 16.1040110, 18.7034250, 34.8370900, 134.3018600, 749.0430700, 4539.1698000", \
+					  "15.6541320, 16.0981980, 18.7036820, 34.7840580, 134.3224800, 749.4551300, 4538.1221000", \
+					  "15.6800300, 16.0934750, 18.7039860, 34.7935400, 134.2973400, 749.0466500, 4538.2840000", \
+					  "15.6799910, 16.0926380, 18.6817710, 34.7753430, 134.6283100, 749.4529100, 4539.6050000", \
+					  "15.6779510, 16.0985410, 18.6985150, 34.7986530, 134.5094800, 748.8718600, 4537.6551000", \
+					  "15.6706100, 16.1037480, 18.7035310, 34.7497360, 134.5241900, 748.9590500, 4538.9011000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4536780, 18.6746490, 20.0117290, 28.2672570, 78.9583510, 391.0383600, 2314.9578000", \
+					  "19.0215010, 19.2346440, 20.5814410, 28.8366910, 79.5233600, 391.6090300, 2315.4703000", \
+					  "19.5097220, 19.7189660, 21.0660560, 29.3268090, 80.0033890, 392.0942800, 2315.9585000", \
+					  "19.9266170, 20.1275170, 21.4805120, 29.7411130, 80.4208040, 392.5069900, 2316.3600000", \
+					  "20.2973660, 20.5129440, 21.8448580, 30.1027720, 80.7979600, 392.8763600, 2317.1576000", \
+					  "20.6380280, 20.8443730, 22.1999400, 30.4457860, 81.1345090, 393.2234400, 2317.5762000", \
+					  "20.9638960, 21.1781420, 22.5102230, 30.7798760, 81.4797400, 393.5396400, 2317.8143000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4785200, 16.8886190, 19.5635400, 35.8518940, 137.0185900, 760.0632400, 4600.8190000", \
+					  "16.4831700, 16.9202060, 19.5635330, 35.8369830, 137.1171800, 759.4852600, 4597.5744000", \
+					  "16.4785220, 16.9201910, 19.5635770, 35.8537310, 137.1214600, 760.0506800, 4601.0987000", \
+					  "16.4510560, 16.9202700, 19.5635310, 35.8571590, 137.1167700, 760.0803500, 4600.3533000", \
+					  "16.4657030, 16.9109790, 19.5635900, 35.9314530, 136.9641500, 759.8673100, 4600.8936000", \
+					  "16.4772360, 16.9202070, 19.5635210, 35.9319650, 137.1212100, 760.0692700, 4601.1442000", \
+					  "16.4645490, 16.9141590, 19.5635740, 35.8453110, 136.9475900, 759.6702300, 4601.2683000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.3529760, 14.4151830, 14.8034430, 17.0936990, 31.0637670, 116.9412100, 490.0052800", \
+					  "14.9267370, 14.9892810, 15.3709400, 17.6713650, 31.6391570, 117.5362400, 490.6294700", \
+					  "15.4055380, 15.4735900, 15.8592820, 18.1498740, 32.1171820, 117.9920900, 491.0147600", \
+					  "15.8178250, 15.8794720, 16.2696420, 18.5618130, 32.5082790, 118.4142100, 491.4510900", \
+					  "16.1940590, 16.2557910, 16.6387650, 18.9414100, 32.9005050, 118.7847600, 491.8399000", \
+					  "16.5418130, 16.6021540, 16.9890410, 19.2796910, 33.2321760, 119.1039100, 492.1712400", \
+					  "16.8590650, 16.9320400, 17.3168040, 19.6066200, 33.5654880, 119.4273800, 492.4571600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8093368, 3.9005572, 4.4565316, 7.9968396, 30.4748400, 168.9433700, 348.7457700", \
+					  "3.8073873, 3.8973700, 4.4540274, 7.9998435, 30.4756790, 168.8729600, 348.8231100", \
+					  "3.8036814, 3.8969623, 4.4555266, 7.9979818, 30.4608850, 168.6337800, 348.8030100", \
+					  "3.8035615, 3.9001962, 4.4548067, 7.9966824, 30.3581620, 168.7365800, 348.8933900", \
+					  "3.8035179, 3.8973327, 4.4533186, 7.9867934, 30.4622920, 168.6668300, 348.8533500", \
+					  "3.8087897, 3.9002832, 4.4542601, 7.9852311, 30.4604910, 168.7948600, 348.8957200", \
+					  "3.8000443, 3.8969601, 4.4547490, 7.9989011, 30.4607970, 168.8258600, 348.7269000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.3262460, 11.3771670, 11.6638260, 13.4488430, 20.1733560, 36.9477000, 133.4029900", \
+					  "11.8983340, 11.9479350, 12.2359230, 14.0202720, 20.7492440, 37.5230980, 133.9817900", \
+					  "12.3851660, 12.4351220, 12.7204950, 14.5060580, 21.2331240, 38.0083910, 134.4634800", \
+					  "12.7955820, 12.8427140, 13.1393700, 14.9146920, 21.6463470, 38.4209360, 134.8753600", \
+					  "13.1742390, 13.2268680, 13.5148130, 15.2935390, 22.0266840, 38.8006040, 135.2485800", \
+					  "13.5173590, 13.5642250, 13.8512190, 15.6351960, 22.3675300, 39.1385630, 135.6020600", \
+					  "13.8357980, 13.8837920, 14.1804890, 15.9578290, 22.6828920, 39.4628370, 135.9159000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2217973, 3.3066099, 3.8271435, 6.2239849, 9.1856194, 27.8211910, 171.0910900", \
+					  "3.2224512, 3.3065635, 3.8267358, 6.2239618, 9.1856469, 27.8702430, 171.4251100", \
+					  "3.2225154, 3.3065815, 3.8270973, 6.2239440, 9.1860608, 27.8665840, 171.2535200", \
+					  "3.2225231, 3.3073970, 3.8266030, 6.2238894, 9.1861150, 27.8741080, 171.4254600", \
+					  "3.2226233, 3.3078087, 3.8265791, 6.2241514, 9.1859249, 27.8739960, 171.2475200", \
+					  "3.2228085, 3.3066008, 3.8270604, 6.2239800, 9.1849230, 27.8551670, 171.0833600", \
+					  "3.2224250, 3.3073018, 3.8270787, 6.2240795, 9.1856647, 27.8603170, 171.0618000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4511130, 18.6628850, 20.0109830, 28.2665620, 78.9509760, 391.0377900, 2315.0876000", \
+					  "19.0216230, 19.2324820, 20.5820870, 28.8388100, 79.5208730, 391.6082700, 2315.3459000", \
+					  "19.5074590, 19.7325570, 21.0736250, 29.3196190, 80.0043050, 392.0918300, 2315.9764000", \
+					  "19.9315250, 20.1311410, 21.4809010, 29.7267920, 80.4173580, 392.5038100, 2316.3529000", \
+					  "20.2953050, 20.5157350, 21.8629860, 30.1104030, 80.7924320, 392.8815300, 2317.4480000", \
+					  "20.6321400, 20.8596020, 22.1941560, 30.4512790, 81.1414790, 393.2210000, 2317.1037000", \
+					  "20.9612720, 21.1876190, 22.5250820, 30.7782460, 81.4714550, 393.5384100, 2317.8168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4778520, 16.9194420, 19.5628620, 35.8522850, 137.1140600, 760.0828000, 4600.9477000", \
+					  "16.4776890, 16.9194350, 19.5628540, 35.8527860, 137.0976400, 760.0436900, 4599.7804000", \
+					  "16.4778250, 16.8884710, 19.5626950, 35.9331120, 137.1178700, 759.8651500, 4600.3584000", \
+					  "16.4696980, 16.9194440, 19.5628600, 35.8430260, 137.1162900, 760.0746700, 4600.9122000", \
+					  "16.4837330, 16.8784600, 19.5618310, 35.8372990, 136.9674700, 759.9180100, 4603.2387000", \
+					  "16.4778220, 16.8960920, 19.5628720, 35.8353950, 137.1133300, 758.7227600, 4600.8672000", \
+					  "16.4774900, 16.8870380, 19.5444920, 35.8335970, 136.8918300, 759.7036000, 4602.5039000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.5474110, 21.7591880, 23.0799750, 31.1605990, 80.9654570, 388.6487400, 2285.2605000", \
+					  "22.0983710, 22.3309620, 23.6390590, 31.7252500, 81.5602870, 389.2719200, 2287.0286000", \
+					  "22.6014730, 22.8185260, 24.1332150, 32.2130020, 82.0208610, 390.0191800, 2286.6411000", \
+					  "22.9957210, 23.2232580, 24.5479780, 32.6255500, 82.4397260, 390.0654200, 2286.6100000", \
+					  "23.3886460, 23.6082260, 24.9202600, 33.0062560, 82.7969940, 390.4225100, 2287.2393000", \
+					  "23.7311150, 23.9420870, 25.2625390, 33.3480760, 83.1552070, 391.1780500, 2286.7919000", \
+					  "24.0610020, 24.2616270, 25.5740190, 33.6787770, 83.4961970, 391.5037300, 2287.8537000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6720860, 16.1040350, 18.7057300, 34.7520450, 134.4069700, 749.5853000, 4536.3913000", \
+					  "15.6791700, 16.0916950, 18.7009540, 34.7346540, 134.5113400, 749.6675500, 4536.8442000", \
+					  "15.6663380, 16.0896430, 18.7091140, 34.7455680, 134.4048600, 749.2766400, 4541.8597000", \
+					  "15.6762510, 16.0831330, 18.7098890, 34.9009820, 134.4163000, 749.5070200, 4538.6601000", \
+					  "15.6729070, 16.0892950, 18.7103060, 34.9006800, 134.3940900, 749.4510900, 4538.3017000", \
+					  "15.6792500, 16.0920520, 18.7090180, 34.9054050, 134.4151900, 749.1853500, 4542.0761000", \
+					  "15.6703980, 16.0911980, 18.7004300, 34.7812270, 134.4909000, 748.8915800, 4537.1235000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.9168180, 10.9671450, 11.2574600, 13.0406400, 23.8198100, 89.8302870, 419.6119500", \
+					  "11.3545490, 11.4041000, 11.6995570, 13.4796730, 24.2633400, 90.2685500, 420.0428800", \
+					  "11.6544440, 11.7024880, 12.0005670, 13.7813050, 24.5642330, 90.5703960, 420.4095500", \
+					  "11.8976020, 11.9416410, 12.2397450, 14.0269540, 24.8064730, 90.8174580, 420.6390300", \
+					  "12.1018840, 12.1520930, 12.4446350, 14.2269280, 25.0042230, 91.0167870, 420.8357900", \
+					  "12.2840880, 12.3348220, 12.6289130, 14.4151900, 25.1939550, 91.1998650, 420.9969400", \
+					  "12.4501160, 12.4942290, 12.7932200, 14.5796390, 25.3591550, 91.3697490, 421.1639500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1602028, 3.2412477, 3.7320400, 6.7258807, 25.4762500, 140.9890700, 385.7957200", \
+					  "3.1610548, 3.2407608, 3.7319379, 6.7255508, 25.4521170, 141.4706900, 385.7910300", \
+					  "3.1603686, 3.2408374, 3.7324708, 6.7257311, 25.4563930, 141.5226600, 385.8741900", \
+					  "3.1598297, 3.2403962, 3.7323971, 6.7252830, 25.4272780, 141.4259400, 385.8621100", \
+					  "3.1601461, 3.2408314, 3.7326973, 6.7258337, 25.4844520, 141.1379300, 385.8543500", \
+					  "3.1601710, 3.2405452, 3.7319765, 6.7252195, 25.4294270, 141.4839200, 385.8177400", \
+					  "3.1598317, 3.2404757, 3.7324051, 6.7252826, 25.4848530, 141.2790600, 385.8420100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.1983850, 13.2651770, 13.6596910, 15.9847810, 29.9951850, 115.9044600, 488.6159700", \
+					  "13.7714860, 13.8370770, 14.2332600, 16.5573460, 30.5690440, 116.4982700, 489.2106600", \
+					  "14.2566480, 14.3227280, 14.7191540, 17.0428600, 31.0545830, 116.9833700, 489.7968900", \
+					  "14.6743030, 14.7402690, 15.1292290, 17.4573970, 31.4570800, 117.3468400, 490.0845700", \
+					  "15.0468370, 15.1137860, 15.5076310, 17.8332090, 31.8433670, 117.7724300, 490.4603700", \
+					  "15.3979110, 15.4619980, 15.8443140, 18.1914430, 32.1947300, 117.9456700, 490.9125000", \
+					  "15.7197650, 15.7823460, 16.1779030, 18.5030040, 32.4829760, 118.4426000, 491.1287300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7626582, 3.8532195, 4.4212238, 7.9821891, 30.4745280, 168.9383100, 348.4632900", \
+					  "3.7627301, 3.8537316, 4.4217745, 7.9840623, 30.4751500, 168.9872000, 348.5603200", \
+					  "3.7627355, 3.8536667, 4.4222008, 7.9838109, 30.4752770, 168.9844600, 348.4232400", \
+					  "3.7594057, 3.8547050, 4.4262598, 7.9744638, 30.4681080, 168.4959700, 348.3958200", \
+					  "3.7626688, 3.8527190, 4.4210524, 7.9821527, 30.4743680, 168.8334500, 348.4168800", \
+					  "3.7668930, 3.8580028, 4.4234048, 7.9813605, 30.3676270, 168.6835300, 348.4091700", \
+					  "3.7633678, 3.8566070, 4.4231152, 7.9819706, 30.3774390, 168.9455600, 348.4773300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.4410260, 18.6569940, 20.0265440, 28.3586290, 79.3318550, 391.7018300, 2315.5028000", \
+					  "18.8791150, 19.0945060, 20.4634820, 28.7934390, 79.7613920, 392.1368500, 2316.5103000", \
+					  "19.1714220, 19.3981760, 20.7499510, 29.1047290, 80.0564880, 392.4352800, 2316.2236000", \
+					  "19.4186230, 19.6309460, 20.9983460, 29.3389380, 80.3097890, 392.6789700, 2317.0669000", \
+					  "19.6255300, 19.8402560, 21.2015330, 29.5466870, 80.5129120, 392.8817000, 2316.9503000", \
+					  "19.7932440, 20.0152870, 21.3824690, 29.7330590, 80.6978800, 393.0671300, 2317.1692000", \
+					  "19.9739760, 20.1902120, 21.5583730, 29.8886500, 80.8638630, 393.2326500, 2317.3200000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4609530, 16.8894920, 19.5567190, 35.8160580, 136.5176100, 759.7136600, 4600.3734000", \
+					  "16.4660800, 16.8851850, 19.5568240, 35.8498440, 137.0538000, 759.9400100, 4599.4566000", \
+					  "16.4754240, 16.9020370, 19.5500200, 35.8232450, 136.9948600, 760.0438000, 4600.7790000", \
+					  "16.4504750, 16.9048120, 19.5099010, 35.8049660, 137.0038400, 759.9094800, 4600.5689000", \
+					  "16.4748730, 16.8868850, 19.5611850, 35.8350750, 136.5143900, 759.8961200, 4600.8504000", \
+					  "16.4803510, 16.9113450, 19.5584850, 35.8304990, 136.7837400, 759.8767400, 4601.5803000", \
+					  "16.4675940, 16.8886190, 19.5570690, 35.8387560, 136.8720900, 759.8498500, 4601.2974000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.9691070, 13.0351800, 13.4200450, 15.7117960, 29.6710980, 115.5665600, 488.5632200", \
+					  "13.5445570, 13.6060570, 13.9937880, 16.2834830, 30.2520820, 116.1444000, 489.0909500", \
+					  "14.0284540, 14.0896240, 14.4794250, 16.7713080, 30.7318760, 116.6322500, 489.5685500", \
+					  "14.4450600, 14.5077320, 14.8900360, 17.1906220, 31.1456460, 117.0035800, 490.0091400", \
+					  "14.8205850, 14.8844970, 15.2598800, 17.5711780, 31.5105670, 117.3890500, 490.3629900", \
+					  "15.1614770, 15.2193740, 15.6122300, 17.9045670, 31.8643390, 117.7624100, 490.7079200", \
+					  "15.4905080, 15.5523750, 15.9392550, 18.2290470, 32.1990190, 118.0597500, 491.0713200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.21171, 1.26338, 1.58201, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7981209, 3.8944368, 4.4507016, 7.9898044, 30.4684950, 168.7416400, 348.8690100", \
+					  "3.7975059, 3.8939052, 4.4526103, 7.9943936, 30.4732200, 168.9144000, 348.7507500", \
+					  "3.7981249, 3.8912495, 4.4514987, 7.9900246, 30.4695220, 168.8069800, 348.7440100", \
+					  "3.7945749, 3.8907988, 4.4485180, 7.9964231, 30.4606470, 168.8225000, 348.8995300", \
+					  "3.8009595, 3.8929922, 4.4532822, 7.9945615, 30.3387860, 168.7891700, 348.7562600", \
+					  "3.7980841, 3.8909924, 4.4511288, 7.9888487, 30.4690990, 168.7739700, 348.8277100", \
+					  "3.8025743, 3.8946930, 4.4517892, 7.9976470, 30.4751420, 168.9081300, 348.8671000");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.1243581, -1.1561158, -1.1878736, -1.1864257, -1.1849603, -1.1835124, -1.1820645");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1269200, 1.1770428, 1.2271656, 1.2271146, 1.2270631, 1.2270121, 1.2269611");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.160944;
+			capacitance : 0.160326;
+			fall_capacitance : 0.159707;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0626003, -0.0553644, -0.0481286, -0.0480745, -0.0480197, -0.0479656, -0.0479115");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0546200, 0.0513826, 0.0481452, 0.0480642, 0.0479822, 0.0479011, 0.0478201");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.154003;
+			capacitance : 0.153207;
+			fall_capacitance : 0.152411;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0636124, -0.0558468, -0.0480811, -0.0480921, -0.0481031, -0.0481140, -0.0481250");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0551003, 0.0515927, 0.0480851, 0.0479963, 0.0479065, 0.0478177, 0.0477290");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p40v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_1v65.lib
new file mode 100644
index 0000000..da35a98
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.189140e+02;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.5090000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "134.3160000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.8860000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.3310000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.9140000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.3030000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.3050000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.4810000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.3330000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "199.8850000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "214.7980000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.2080000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "202.5370000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "201.7220000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "199.9780000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "217.7500000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.5640000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "218.2360000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006414;
+			capacitance : 0.006270;
+			fall_capacitance : 0.006126;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9860922, 3.8495196, 3.7285817, 3.6363843, 3.5694712, 3.4865775, 3.4332877", \
+					  "4.3316486, 4.1934880, 4.0781416, 3.9835560, 3.9338540, 3.8539623, 3.7830959", \
+					  "4.7043780, 4.5663698, 4.4469071, 4.3577233, 4.2892839, 4.2150743, 4.1498194", \
+					  "4.9883909, 4.8499571, 4.7294025, 4.6388158, 4.5702440, 4.5021882, 4.4550117", \
+					  "5.2203462, 5.0837115, 4.9628349, 4.8706384, 4.8067770, 4.7202933, 4.6669569", \
+					  "5.4265430, 5.2609169, 5.1690850, 5.0909524, 5.0055536, 4.9589779, 4.8753830", \
+					  "5.5946805, 5.4544967, 5.3340659, 5.2500580, 5.1751259, 5.0933265, 5.0603462");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6565142, 3.5171886, 3.3613628, 3.1997689, 3.0717365, 2.9423115, 2.8358667", \
+					  "4.0020780, 3.8612263, 3.7077901, 3.5491441, 3.4057950, 3.2951020, 3.1875338", \
+					  "4.3747852, 4.2297302, 4.0960811, 3.9210966, 3.7799553, 3.6634880, 3.5541375", \
+					  "4.6587949, 4.5194695, 4.3686564, 4.2050930, 4.0815793, 3.9473876, 3.8472113", \
+					  "4.8922735, 4.7514217, 4.6013600, 4.4376461, 4.3030169, 4.1772615, 4.0812547", \
+					  "5.0831715, 4.9595819, 4.8135738, 4.6325986, 4.5097897, 4.3855713, 4.2892941", \
+					  "5.2680809, 5.1351122, 4.9767375, 4.8192288, 4.6833970, 4.5466509, 4.4661673");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.3854727, -3.2168230, -3.1454665, -3.0415845, -2.9945415, -2.9557354, -2.8690412", \
+					  "-3.7325282, -3.5638785, -3.4826133, -3.3944063, -3.3285387, -3.3122287, -3.1865521", \
+					  "-4.1068808, -3.9411396, -3.8653029, -3.7672875, -3.6998513, -3.6772616, -3.5918381", \
+					  "-4.3924333, -4.2237833, -4.1394601, -4.0514522, -3.9951857, -3.9612213, -3.8738987", \
+					  "-4.6228809, -4.4542496, -4.3828964, -4.2660863, -4.2258865, -4.1751431, -4.1064500", \
+					  "-4.8384969, -4.6725043, -4.5903656, -4.4781087, -4.4417134, -4.3572641, -4.3163519", \
+					  "-4.9653807, -4.8562400, -4.7581136, -4.6429564, -4.6147172, -4.5511867, -4.4509411");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4755121, -3.3500671, -3.2379967, -3.0541143, -2.9592250, -2.8427040, -2.6846109", \
+					  "-3.8240937, -3.6970082, -3.5879090, -3.4082876, -3.2741180, -3.1489975, -3.0389038", \
+					  "-4.1969203, -4.0713612, -3.9582233, -3.7998633, -3.6441958, -3.5126690, -3.4239924", \
+					  "-4.4824723, -4.3552315, -4.2482255, -4.0693926, -3.9657062, -3.7966951, -3.6867018", \
+					  "-4.7129205, -4.5868031, -4.4781536, -4.2960548, -4.1635315, -4.0362986, -3.9084671", \
+					  "-4.9300619, -4.7814163, -4.6878680, -4.5009971, -4.3736363, -4.2653101, -4.1369376", \
+					  "-5.1111304, -4.9764759, -4.8462112, -4.6963959, -4.5456320, -4.4349068, -4.3049441");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234647, 0.0266357, 0.0298067, 0.0296599, 0.0295191, 0.0293723, 0.0292256");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296223, 0.0331678, 0.0367133, 0.0366078, 0.0365066, 0.0364012, 0.0362957");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004593;
+			capacitance : 0.004489;
+			fall_capacitance : 0.004386;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4465292, -3.3107232, -3.1874296, -3.0815423, -3.0136713, -2.9602753, -2.8960056", \
+					  "-3.7951102, -3.6595169, -3.5314236, -3.4393894, -3.3726737, -3.3180682, -3.2460609", \
+					  "-4.1679386, -4.0339551, -3.9247380, -3.8027174, -3.7454610, -3.6802767, -3.6173637", \
+					  "-4.4534911, -4.3178978, -4.1943824, -4.0854326, -4.0251089, -3.9642544, -3.8882273", \
+					  "-4.6839392, -4.5498718, -4.4378032, -4.3216414, -4.2689618, -4.1730274, -4.1292047", \
+					  "-4.9010802, -4.7624639, -4.6475154, -4.5311245, -4.4798611, -4.3831407, -4.3306684", \
+					  "-5.0729884, -4.9395614, -4.8154087, -4.7100228, -4.6574697, -4.5596866, -4.5127628");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.3946518, -3.2801703, -3.1251177, -2.9518532, -2.8071096, -2.6845525, -2.5931927", \
+					  "-3.7417076, -3.6272258, -3.4728602, -3.3004434, -3.1572171, -3.0384592, -2.9540288", \
+					  "-4.1160601, -4.0012000, -3.8480567, -3.6702676, -3.5411182, -3.4225558, -3.3013158", \
+					  "-4.4016121, -4.2871308, -4.1357990, -3.9622165, -3.8110183, -3.7091527, -3.5903420", \
+					  "-4.6320602, -4.5175785, -4.3640702, -4.2004402, -4.0682108, -3.9297749, -3.8177858", \
+					  "-4.8476758, -4.7264106, -4.5706898, -4.3885839, -4.2775301, -4.1670429, -4.0475514", \
+					  "-5.0325430, -4.8921216, -4.7298705, -4.5618293, -4.4438330, -4.3007564, -4.1711285");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9479649, 3.8107888, 3.6975041, 3.5864685, 3.5247756, 3.4486394, 3.3786285", \
+					  "4.2919906, 4.1685471, 4.0314110, 3.9350974, 3.8687478, 3.7911135, 3.7093724", \
+					  "4.6647369, 4.5281643, 4.4041022, 4.3044340, 4.2413316, 4.1607915, 4.0924982", \
+					  "4.9487519, 4.8141844, 4.6897324, 4.5825084, 4.5217837, 4.4507862, 4.3774613", \
+					  "5.1822362, 5.0365403, 4.9264084, 4.8219160, 4.7521478, 4.6725173, 4.6210851", \
+					  "5.3838852, 5.2222949, 5.1034144, 5.0088931, 4.9788438, 4.8449669, 4.8180134", \
+					  "5.5669787, 5.4300314, 5.2929233, 5.2164302, 5.1421656, 5.0619094, 5.0026088");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6061541, 3.4655892, 3.2831753, 3.1460961, 3.0215677, 2.8943290, 2.7382079", \
+					  "3.9506192, 3.8113551, 3.6595312, 3.4951074, 3.3632009, 3.2236461, 3.0811469", \
+					  "4.3213586, 4.1820945, 4.0137190, 3.8664949, 3.7324555, 3.5943855, 3.4549382", \
+					  "4.6053168, 4.4648132, 4.3142181, 4.1503728, 4.0400943, 3.8768178, 3.7552648", \
+					  "4.8328704, 4.6920803, 4.5027282, 4.3765749, 4.2638287, 4.1069950, 3.9679405", \
+					  "5.0250775, 4.8842875, 4.7298452, 4.5587354, 4.4467046, 4.3019635, 4.1617530", \
+					  "5.2227216, 5.0849825, 4.9324987, 4.7618734, 4.6379658, 4.4983997, 4.3518654");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0230659, 0.0293982, 0.0357304, 0.0355834, 0.0354422, 0.0352952, 0.0351481");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0308233, 0.0368600, 0.0428967, 0.0427924, 0.0426923, 0.0425881, 0.0424838");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006305;
+			capacitance : 0.006158;
+			fall_capacitance : 0.006012;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0135578, 3.8770199, 3.7530088, 3.6625570, 3.5877819, 3.5152608, 3.4799686", \
+					  "4.3591031, 4.2209541, 4.1000650, 4.0081550, 3.9379049, 3.8658346, 3.8192008", \
+					  "4.7318689, 4.5937199, 4.4683326, 4.3836883, 4.3106712, 4.2284281, 4.2004514", \
+					  "5.0158871, 4.8786702, 4.7629130, 4.6801836, 4.5931630, 4.5157361, 4.4761584", \
+					  "5.2478486, 5.1096996, 4.9857805, 4.8969573, 4.8312281, 4.7526847, 4.7000158", \
+					  "5.4619769, 5.3240330, 5.2000114, 5.1125737, 5.0484082, 4.9586875, 4.9151756", \
+					  "5.6340504, 5.4986791, 5.3765786, 5.2744505, 5.2206970, 5.1454648, 5.0943714");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6259947, 3.4863910, 3.3356855, 3.1901036, 3.0399723, 2.9187542, 2.8275354", \
+					  "3.9939703, 3.8533610, 3.7030695, 3.5537518, 3.4095049, 3.2822505, 3.1840022", \
+					  "4.3486861, 4.2042354, 4.0523179, 3.9128730, 3.7626589, 3.6397765, 3.5417696", \
+					  "4.6284228, 4.4857192, 4.3329556, 4.1941684, 4.0488491, 3.9194299, 3.7962689", \
+					  "4.8569946, 4.7143838, 4.5573801, 4.4169471, 4.2760118, 4.1571131, 4.0566850", \
+					  "5.0472362, 4.9006823, 4.7548874, 4.6009270, 4.4658067, 4.3416202, 4.2416411", \
+					  "5.2545273, 5.1026364, 4.9495211, 4.8072189, 4.6705869, 4.5534628, 4.4071096");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4846547, -3.3602620, -3.2426463, -3.1668483, -3.0911335, -3.0316840, -2.9813374", \
+					  "-3.8317093, -3.7073111, -3.5925813, -3.5208762, -3.4357079, -3.3916571, -3.3314053", \
+					  "-4.2060655, -4.0816672, -3.9652110, -3.8900387, -3.8129741, -3.7599370, -3.6815766", \
+					  "-4.4916184, -4.3628334, -4.2477894, -4.1740466, -4.0991172, -4.0356040, -3.9652425", \
+					  "-4.7220669, -4.5990094, -4.4507724, -4.4088877, -4.3188792, -4.2703469, -4.2046688", \
+					  "-4.8994339, -4.7734347, -4.6469705, -4.5651960, -4.4934955, -4.4471286, -4.3769568", \
+					  "-5.0813582, -4.9518045, -4.8574804, -4.7727508, -4.6980062, -4.6650308, -4.5985808");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4633049, -3.3064133, -3.1797169, -3.0137559, -2.8971878, -2.7658797, -2.6627216", \
+					  "-3.8103606, -3.6603873, -3.5267016, -3.3636142, -3.2380271, -3.1032210, -3.0144040", \
+					  "-4.1847132, -4.0332139, -3.8999450, -3.7366281, -3.6005045, -3.4909770, -3.3856844", \
+					  "-4.4702657, -4.3187664, -4.1772378, -4.0300502, -3.9015308, -3.7601417, -3.6617007", \
+					  "-4.7007133, -4.5492141, -4.4175430, -4.2575567, -4.1327032, -3.9978942, -3.8938206", \
+					  "-4.9178547, -4.7642083, -4.6190022, -4.4597985, -4.3318355, -4.2226011, -4.1139294", \
+					  "-5.0706787, -4.9203723, -4.7888730, -4.6298673, -4.4998123, -4.3672254, -4.2439285");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234872, 0.0266546, 0.0298220, 0.0296756, 0.0295350, 0.0293886, 0.0292422");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0295972, 0.0331112, 0.0366251, 0.0365184, 0.0364160, 0.0363094, 0.0362027");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026795;
+			capacitance : 0.026877;
+			fall_capacitance : 0.026959;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0034081, -0.0038526, -0.0042970, -0.0044342, -0.0045659, -0.0047031, -0.0048403");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0266428, 0.0270633, 0.0274838, 0.0273232, 0.0271691, 0.0270085, 0.0268479");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032993;
+			capacitance : 0.032601;
+			fall_capacitance : 0.032210;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0575950, 0.0706926, 0.0837901, 0.0840632, 0.0843253, 0.0845983, 0.0848713");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0719896, 0.0856221, 0.0992547, 0.0994427, 0.0996231, 0.0998111, 0.0999990");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052217;
+			capacitance : 0.051657;
+			fall_capacitance : 0.051097;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0130829, -0.0133993, -0.0137157, -0.0137316, -0.0137469, -0.0137628, -0.0137787");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0135926, 0.0137481, 0.0139036, 0.0138640, 0.0138260, 0.0137864, 0.0137468");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016866;
+			capacitance : 0.016761;
+			fall_capacitance : 0.016657;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0184343, 0.0179188, 0.0174033, 0.0174722, 0.0175383, 0.0176072, 0.0176761");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0217977, 0.0214142, 0.0210308, 0.0211123, 0.0211905, 0.0212720, 0.0213535");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035934;
+			capacitance : 0.036531;
+			rise_capacitance : 0.037127;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8722267, -3.7556395, -3.6558651, -3.5728753, -3.5342668, -3.4727289, -3.4212746", \
+					  "-4.2208079, -4.0965912, -4.0186896, -3.9367240, -3.8637646, -3.8265846, -3.7681779", \
+					  "-4.5921091, -4.4817658, -4.3878023, -4.2942838, -4.2586541, -4.1926202, -4.1397612", \
+					  "-4.8776611, -4.7625998, -4.6582477, -4.5859394, -4.5129227, -4.4797909, -4.4315553", \
+					  "-5.1126865, -4.9915220, -4.8952497, -4.8102839, -4.7731270, -4.7197461, -4.6674137", \
+					  "-5.3237248, -5.2086635, -5.1178792, -5.0304771, -4.9897408, -4.9382615, -4.8783215", \
+					  "-5.4841777, -5.3665754, -5.2617130, -5.2022441, -5.1521602, -5.0913475, -5.0483228");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.6026951, -2.4646931, -2.3503092, -2.2615931, -2.1982840, -2.1336068, -2.0690400", \
+					  "-2.9482250, -2.8102227, -2.7267994, -2.6168303, -2.5560207, -2.4867659, -2.4141988", \
+					  "-3.3241034, -3.1830496, -3.0983691, -3.0033902, -2.9334252, -2.8422229, -2.7918618", \
+					  "-3.6081298, -4.8301569, -3.3584048, -3.2675799, -3.1930373, -3.1299325, -3.0646203", \
+					  "-3.8401035, -3.7139396, -4.9061157, -3.5032625, -3.4166189, -3.3595823, -3.3067115", \
+					  "-4.0694521, -3.9421312, -3.8149437, -4.9877522, -4.8820043, -3.5792311, -3.5265292", \
+					  "-4.2230550, -4.1025509, -3.9965468, -3.8942522, -5.0442994, -4.9454385, -3.7417276");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2760074, 4.1383504, 4.0368462, 3.9430857, 3.8731212, 3.8215274, 3.7529200", \
+					  "4.6169893, 4.4790387, 4.3731608, 4.2871194, 4.2202062, 4.1570550, 4.1015309", \
+					  "4.9927616, 4.8545863, 4.7523153, 4.6598399, 4.5898750, 4.5282496, 4.4788291", \
+					  "5.2813507, 5.1388376, 5.0409084, 4.9438514, 4.8769382, 4.8153129, 4.7567375", \
+					  "5.4925476, 5.3515453, 5.2471936, 5.1751606, 5.0942391, 5.0341391, 4.9801415", \
+					  "5.6813397, 5.5433891, 5.4390370, 5.3514698, 5.2845566, 5.2244571, 5.1750371", \
+					  "5.8708343, 5.7405132, 5.6346352, 5.5551970, 5.4820293, 5.4215812, 5.3660572");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0196618, 3.8747781, 3.7217814, 3.5881967, 3.4842916, 3.3793968, 3.2724639", \
+					  "4.3718547, 4.2169311, 4.0597843, 3.9321962, 3.8255308, 3.7164581, 3.6171093", \
+					  "4.7380045, 4.5892501, 4.4445863, 4.3013586, 4.2013715, 4.0853239, 3.9893478", \
+					  "5.0219804, 4.8716345, 4.7273046, 4.5822424, 4.4833646, 4.3694371, 4.2717379", \
+					  "5.2419920, 5.0901468, 4.9386538, 4.8037799, 4.7027522, 4.5936457, 4.4998772", \
+					  "5.4365111, 5.2846388, 5.1369955, 5.0013507, 4.8917131, 4.7849021, 4.6831088", \
+					  "5.6255585, 5.4789207, 5.3176382, 5.1940064, 5.0889775, 4.9795726, 4.8812139");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1176730, 0.1511907, 0.1847083, 0.2080297, 0.2304181, 0.2537394, 0.2770607");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1554066, 0.2050055, 0.2546044, 0.2609507, 0.2670431, 0.2733894, 0.2797356");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010653;
+			capacitance : 0.010473;
+			fall_capacitance : 0.010294;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0055824, 0.0169280, 0.0394384, 0.2686575, 0.4887078, 0.7179268, 0.9471459");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0400286, 0.0584154, 0.0768022, 0.2053222, 0.3287014, 0.4572214, 0.5857414");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006705;
+			capacitance : 0.006558;
+			fall_capacitance : 0.006410;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1630941, 4.0249367, 3.9088477, 3.8133863, 3.7388437, 3.6909043, 3.6229729", \
+					  "4.5086479, 4.3704905, 4.2527287, 4.1604660, 4.0843975, 4.0394424, 3.9686057", \
+					  "4.8813858, 4.7432283, 4.6177482, 4.5469560, 4.4556552, 4.3909323, 4.3398399", \
+					  "5.1653995, 5.0272420, 4.9063645, 4.8156921, 4.7411495, 4.6718947, 4.6268081", \
+					  "5.3857752, 5.2476177, 5.1237928, 5.0375937, 4.9615248, 4.9088798, 4.8590779", \
+					  "5.5761025, 5.4364191, 5.3109804, 5.2248692, 5.1503857, 5.1076723, 5.0468832", \
+					  "5.7686583, 5.6295753, 5.5193812, 5.4297156, 5.3581413, 5.2819476, 5.2257330");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6305743, 3.4714092, 3.3248731, 3.1665047, 3.0369039, 2.9162402, 2.7988037", \
+					  "3.9761419, 3.8090951, 3.6714070, 3.5221664, 3.3782380, 3.2586718, 3.1356580", \
+					  "4.3459456, 4.1881465, 4.0262609, 3.8858395, 3.7524031, 3.6375874, 3.5033619", \
+					  "4.6328459, 4.4721549, 4.3203048, 4.1710291, 4.0350894, 3.9199833, 3.8045778", \
+					  "4.8531630, 4.6955651, 4.5503834, 4.4051716, 4.2614008, 4.1425559, 4.0243891", \
+					  "5.0789771, 4.8843017, 4.7354563, 4.5855611, 4.4788234, 4.3241191, 4.2170421", \
+					  "5.2390335, 5.0814116, 4.9324933, 4.7957161, 4.6472073, 4.5231803, 4.4182913");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5105949, -3.3739888, -3.2515219, -3.1758544, -3.1131495, -3.0391185, -2.9859355", \
+					  "-3.8576504, -3.7210443, -3.6048200, -3.5245917, -3.4633633, -3.3999887, -3.3360143", \
+					  "-4.2320029, -4.0953971, -3.9726011, -3.8989986, -3.8332757, -3.7606959, -3.7158263", \
+					  "-4.5160295, -4.3788406, -4.2570416, -4.1830003, -4.1147616, -4.0445351, -3.9944565", \
+					  "-4.7480031, -4.6144912, -4.4920172, -4.4162014, -4.3484320, -4.2762995, -4.2084067", \
+					  "-4.9636190, -4.8271153, -4.7075829, -4.6199215, -4.5421273, -4.4777441, -4.4206942", \
+					  "-5.1210202, -4.9832260, -4.8727690, -4.7885351, -4.7175932, -4.6628547, -4.6063724");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800897, -3.2946405, -3.1989153, -3.0261448, -2.9081355, -2.8041375, -2.6627298", \
+					  "-3.8271454, -3.6695428, -3.5431082, -3.3712541, -3.2350413, -3.1093245, -3.0128775", \
+					  "-4.2030239, -4.0438956, -3.9200893, -3.7366267, -3.6220331, -3.4948608, -3.3856850", \
+					  "-4.4870500, -4.3248700, -4.2049672, -4.0376628, -3.8780274, -3.7738068, -3.6632688", \
+					  "-4.7190240, -4.5598958, -4.4330403, -4.2645542, -4.1403354, -4.0099819, -3.8938206", \
+					  "-4.9331137, -4.7546253, -4.6490018, -4.4659016, -4.3332841, -4.2320145, -4.1139298", \
+					  "-5.0821384, -4.9304433, -4.8169195, -4.6564154, -4.5250574, -4.3896586, -4.2767947");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234914, 0.0266866, 0.0298818, 0.0297351, 0.0295943, 0.0294476, 0.0293009");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296124, 0.0331616, 0.0367108, 0.0365967, 0.0364872, 0.0363731, 0.0362590");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017771;
+			capacitance : 0.017667;
+			fall_capacitance : 0.017563;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0645935, 0.0979377, 0.1312819, 0.1548839, 0.1775419, 0.2011438, 0.2247458");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0790848, 0.1294733, 0.1798618, 0.1863280, 0.1925355, 0.1990017, 0.2054678");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005269;
+			capacitance : 0.005161;
+			fall_capacitance : 0.005054;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4602529, -3.3293572, -3.2128482, -3.1160840, -3.0422091, -2.9781135, -2.9176006", \
+					  "-3.8073084, -3.6786267, -3.5677756, -3.4663649, -3.3894862, -3.3404374, -3.2706017", \
+					  "-4.1816614, -4.0529795, -3.9376433, -3.8410423, -3.7622356, -3.6935361, -3.5990517", \
+					  "-4.4656876, -4.3318719, -4.2280266, -4.1268093, -4.0496608, -3.9790679, -3.8953722", \
+					  "-4.6976615, -4.5689796, -4.4439698, -4.3561170, -4.2760997, -4.1928147, -4.1480448", \
+					  "-4.9132771, -4.7788135, -4.6605801, -4.5631540, -4.4798602, -4.4273902, -4.3566077", \
+					  "-5.0752559, -4.9426198, -4.8234005, -4.7294179, -4.6536603, -4.5617467, -4.5344517");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4236131, -3.2807694, -3.1467641, -2.9539009, -2.8254203, -2.7112605, -2.6203801", \
+					  "-3.7706702, -3.6293523, -3.4873544, -3.3147133, -3.1755291, -3.0622271, -2.9639528", \
+					  "-4.1450165, -4.0048948, -3.8681455, -3.6860390, -3.5471032, -3.4314586, -3.2989995", \
+					  "-4.4290418, -4.2877237, -4.1455743, -3.9980261, -3.8308487, -3.7126983, -3.6019249", \
+					  "-4.6610140, -4.5196964, -4.3842132, -4.2287233, -4.0946248, -3.9544476, -3.8455427", \
+					  "-4.8766335, -4.7340023, -4.5935417, -4.4185959, -4.3132473, -4.1538845, -4.0647136", \
+					  "-5.0577193, -4.9236337, -4.7526140, -4.6093713, -4.4845285, -4.3389254, -4.2485439");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868120, 3.9504718, 3.8401414, 3.7279369, 3.6565629, 3.5981700, 3.5196511", \
+					  "4.4308462, 4.2913933, 4.1733295, 4.0719715, 4.0005979, 3.9430954, 3.8597057", \
+					  "4.8035645, 4.6687505, 4.5538216, 4.4431639, 4.3701481, 4.2946982, 4.2291138", \
+					  "5.0891019, 4.9527616, 4.8300833, 4.7302267, 4.6588385, 4.5821190, 4.5222158", \
+					  "5.3225818, 5.1862419, 5.0742009, 4.9700544, 4.8891640, 4.8144131, 4.7518916", \
+					  "5.4982417, 5.3620107, 5.2408609, 5.1408986, 5.0724534, 4.9955696, 4.9111393", \
+					  "5.6923127, 5.5585308, 5.4399874, 5.3364897, 5.2638661, 5.1833251, 5.1242178");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5863108, 3.4570658, 3.3101504, 3.1478529, 3.0098147, 2.8832017, 2.7518153", \
+					  "3.9307755, 3.8028321, 3.6540773, 3.4971508, 3.3510271, 3.2266972, 3.0979941", \
+					  "4.3015150, 4.1720456, 4.0250135, 3.8702391, 3.7233266, 3.5989625, 3.4686827", \
+					  "4.5854732, 4.4563080, 4.3003048, 4.1542505, 4.0130608, 3.8813950, 3.7501049", \
+					  "4.8115004, 4.6820310, 4.5360571, 4.3834783, 4.2362356, 4.0976727, 3.9853177", \
+					  "5.0037057, 4.8742364, 4.7250224, 4.5502018, 4.4226825, 4.3028987, 4.1646635", \
+					  "5.2089868, 5.0612068, 4.9251006, 4.7656237, 4.6226248, 4.4937403, 4.3752813");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0231209, 0.0292818, 0.0354428, 0.0353294, 0.0352206, 0.0351073, 0.0349939");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0305192, 0.0359603, 0.0414014, 0.0412976, 0.0411980, 0.0410941, 0.0409903");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005174;
+			capacitance : 0.004959;
+			fall_capacitance : 0.004744;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0081085, -0.0080638, -0.0080190, -0.0079722, -0.0079273, -0.0078804, -0.0078336");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0081152, 0.0080906, 0.0080660, 0.0080755, 0.0080847, 0.0080942, 0.0081037");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9860922, 4.3316486, 4.7043780, 4.9883909, 5.2203462, 5.4265430, 5.5946805", \
+					  "3.8495196, 4.1934880, 4.5663698, 4.8499571, 5.0837115, 5.2609169, 5.4544967", \
+					  "3.7285817, 4.0781416, 4.4469071, 4.7294025, 4.9628349, 5.1690850, 5.3340659", \
+					  "3.6363843, 3.9835560, 4.3577233, 4.6388158, 4.8706384, 5.0909524, 5.2500580", \
+					  "3.5694712, 3.9338540, 4.2892839, 4.5702440, 4.8067770, 5.0055536, 5.1751259", \
+					  "3.4865775, 3.8539623, 4.2150743, 4.5021882, 4.7202933, 4.9589779, 5.0933265", \
+					  "3.4332877, 3.7830959, 4.1498194, 4.4550117, 4.6669569, 4.8753830, 5.0603462");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3854727, -3.7325282, -4.1068808, -4.3924333, -4.6228809, -4.8384969, -4.9653807", \
+					  "-3.2168230, -3.5638785, -3.9411396, -4.2237833, -4.4542496, -4.6725043, -4.8562400", \
+					  "-3.1454665, -3.4826133, -3.8653029, -4.1394601, -4.3828964, -4.5903656, -4.7581136", \
+					  "-3.0415845, -3.3944063, -3.7672875, -4.0514522, -4.2660863, -4.4781087, -4.6429564", \
+					  "-2.9945415, -3.3285387, -3.6998513, -3.9951857, -4.2258865, -4.4417134, -4.6147172", \
+					  "-2.9557354, -3.3122287, -3.6772616, -3.9612213, -4.1751431, -4.3572641, -4.5511867", \
+					  "-2.8690412, -3.1865521, -3.5918381, -3.8738987, -4.1064500, -4.3163519, -4.4509411");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.8722267, -4.2208079, -4.5921091, -4.8776611, -5.1126865, -5.3237248, -5.4841777", \
+					  "-3.7556395, -4.0965912, -4.4817658, -4.7625998, -4.9915220, -5.2086635, -5.3665754", \
+					  "-3.6558651, -4.0186896, -4.3878023, -4.6582477, -4.8952497, -5.1178792, -5.2617130", \
+					  "-3.5728753, -3.9367240, -4.2942838, -4.5859394, -4.8102839, -5.0304771, -5.2022441", \
+					  "-3.5342668, -3.8637646, -4.2586541, -4.5129227, -4.7731270, -4.9897408, -5.1521602", \
+					  "-3.4727289, -3.8265846, -4.1926202, -4.4797909, -4.7197461, -4.9382615, -5.0913475", \
+					  "-3.4212746, -3.7681779, -4.1397612, -4.4315553, -4.6674137, -4.8783215, -5.0483228");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.2760074, 4.6169893, 4.9927616, 5.2813507, 5.4925476, 5.6813397, 5.8708343", \
+					  "4.1383504, 4.4790387, 4.8545863, 5.1388376, 5.3515453, 5.5433891, 5.7405132", \
+					  "4.0368462, 4.3731608, 4.7523153, 5.0409084, 5.2471936, 5.4390370, 5.6346352", \
+					  "3.9430857, 4.2871194, 4.6598399, 4.9438514, 5.1751606, 5.3514698, 5.5551970", \
+					  "3.8731212, 4.2202062, 4.5898750, 4.8769382, 5.0942391, 5.2845566, 5.4820293", \
+					  "3.8215274, 4.1570550, 4.5282496, 4.8153129, 5.0341391, 5.2244571, 5.4215812", \
+					  "3.7529200, 4.1015309, 4.4788291, 4.7567375, 4.9801415, 5.1750371, 5.3660572");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1630941, 4.5086479, 4.8813858, 5.1653995, 5.3857752, 5.5761025, 5.7686583", \
+					  "4.0249367, 4.3704905, 4.7432283, 5.0272420, 5.2476177, 5.4364191, 5.6295753", \
+					  "3.9088477, 4.2527287, 4.6177482, 4.9063645, 5.1237928, 5.3109804, 5.5193812", \
+					  "3.8133863, 4.1604660, 4.5469560, 4.8156921, 5.0375937, 5.2248692, 5.4297156", \
+					  "3.7388437, 4.0843975, 4.4556552, 4.7411495, 4.9615248, 5.1503857, 5.3581413", \
+					  "3.6909043, 4.0394424, 4.3909323, 4.6718947, 4.9088798, 5.1076723, 5.2819476", \
+					  "3.6229729, 3.9686057, 4.3398399, 4.6268081, 4.8590779, 5.0468832, 5.2257330");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5105949, -3.8576504, -4.2320029, -4.5160295, -4.7480031, -4.9636190, -5.1210202", \
+					  "-3.3739888, -3.7210443, -4.0953971, -4.3788406, -4.6144912, -4.8271153, -4.9832260", \
+					  "-3.2515219, -3.6048200, -3.9726011, -4.2570416, -4.4920172, -4.7075829, -4.8727690", \
+					  "-3.1758544, -3.5245917, -3.8989986, -4.1830003, -4.4162014, -4.6199215, -4.7885351", \
+					  "-3.1131495, -3.4633633, -3.8332757, -4.1147616, -4.3484320, -4.5421273, -4.7175932", \
+					  "-3.0391185, -3.3999887, -3.7606959, -4.0445351, -4.2762995, -4.4777441, -4.6628547", \
+					  "-2.9859355, -3.3360143, -3.7158263, -3.9944565, -4.2084067, -4.4206942, -4.6063724");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4602529, -3.8073084, -4.1816614, -4.4656876, -4.6976615, -4.9132771, -5.0752559", \
+					  "-3.3293572, -3.6786267, -4.0529795, -4.3318719, -4.5689796, -4.7788135, -4.9426198", \
+					  "-3.2128482, -3.5677756, -3.9376433, -4.2280266, -4.4439698, -4.6605801, -4.8234005", \
+					  "-3.1160840, -3.4663649, -3.8410423, -4.1268093, -4.3561170, -4.5631540, -4.7294179", \
+					  "-3.0422091, -3.3894862, -3.7622356, -4.0496608, -4.2760997, -4.4798602, -4.6536603", \
+					  "-2.9781135, -3.3404374, -3.6935361, -3.9790679, -4.1928147, -4.4273902, -4.5617467", \
+					  "-2.9176006, -3.2706017, -3.5990517, -3.8953722, -4.1480448, -4.3566077, -4.5344517");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868120, 4.4308462, 4.8035645, 5.0891019, 5.3225818, 5.4982417, 5.6923127", \
+					  "3.9504718, 4.2913933, 4.6687505, 4.9527616, 5.1862419, 5.3620107, 5.5585308", \
+					  "3.8401414, 4.1733295, 4.5538216, 4.8300833, 5.0742009, 5.2408609, 5.4399874", \
+					  "3.7279369, 4.0719715, 4.4431639, 4.7302267, 4.9700544, 5.1408986, 5.3364897", \
+					  "3.6565629, 4.0005979, 4.3701481, 4.6588385, 4.8891640, 5.0724534, 5.2638661", \
+					  "3.5981700, 3.9430954, 4.2946982, 4.5821190, 4.8144131, 4.9955696, 5.1833251", \
+					  "3.5196511, 3.8597057, 4.2291138, 4.5222158, 4.7518916, 4.9111393, 5.1242178");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4465292, -3.7951102, -4.1679386, -4.4534911, -4.6839392, -4.9010802, -5.0729884", \
+					  "-3.3107232, -3.6595169, -4.0339551, -4.3178978, -4.5498718, -4.7624639, -4.9395614", \
+					  "-3.1874296, -3.5314236, -3.9247380, -4.1943824, -4.4378032, -4.6475154, -4.8154087", \
+					  "-3.0815423, -3.4393894, -3.8027174, -4.0854326, -4.3216414, -4.5311245, -4.7100228", \
+					  "-3.0136713, -3.3726737, -3.7454610, -4.0251089, -4.2689618, -4.4798611, -4.6574697", \
+					  "-2.9602753, -3.3180682, -3.6802767, -3.9642544, -4.1730274, -4.3831407, -4.5596866", \
+					  "-2.8960056, -3.2460609, -3.6173637, -3.8882273, -4.1292047, -4.3306684, -4.5127628");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9479649, 4.2919906, 4.6647369, 4.9487519, 5.1822362, 5.3838852, 5.5669787", \
+					  "3.8107888, 4.1685471, 4.5281643, 4.8141844, 5.0365403, 5.2222949, 5.4300314", \
+					  "3.6975041, 4.0314110, 4.4041022, 4.6897324, 4.9264084, 5.1034144, 5.2929233", \
+					  "3.5864685, 3.9350974, 4.3044340, 4.5825084, 4.8219160, 5.0088931, 5.2164302", \
+					  "3.5247756, 3.8687478, 4.2413316, 4.5217837, 4.7521478, 4.9788438, 5.1421656", \
+					  "3.4486394, 3.7911135, 4.1607915, 4.4507862, 4.6725173, 4.8449669, 5.0619094", \
+					  "3.3786285, 3.7093724, 4.0924982, 4.3774613, 4.6210851, 4.8180134, 5.0026088");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.9271912, -4.2772982, -4.6485995, -4.9356774, -5.1691768, -5.3786892, -5.5391422", \
+					  "-3.8151657, -4.1606951, -4.5403518, -4.8242647, -5.0510482, -5.2703284, -5.4262143", \
+					  "-3.6970637, -4.0471712, -4.4296102, -4.7055499, -4.9404269, -5.1612343, -5.3105411", \
+					  "-3.6171259, -3.9600693, -4.3374563, -4.6271380, -4.8591120, -5.0743784, -5.2337887", \
+					  "-3.5533793, -3.9228427, -4.2778467, -4.5596444, -4.7923232, -5.0054171, -5.1735711", \
+					  "-3.4865859, -3.8388490, -4.2080496, -4.4935955, -4.7274177, -4.9658079, -5.1054180", \
+					  "-3.4365583, -3.7798593, -4.1519616, -4.4551573, -4.6816250, -4.8896742, -5.0504722");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.3355491, 4.6765257, 5.0507876, 5.3363272, 5.5682834, 5.7839589, 5.9545878", \
+					  "4.1937390, 4.5385556, 4.9113005, 5.1968308, 5.4318392, 5.6444212, 5.8120400", \
+					  "4.0917259, 4.4296134, 4.8071678, 5.0894149, 5.3198457, 5.5339949, 5.7136765", \
+					  "4.0071762, 4.3537798, 4.7178339, 5.0094767, 5.2414653, 5.4479535, 5.6277492", \
+					  "3.9295787, 4.2766588, 4.6478690, 4.9508054, 5.1638394, 5.3779885, 5.5526568", \
+					  "3.8694792, 4.2180853, 4.5908209, 4.8748346, 5.1052654, 5.3215719, 5.4948324", \
+					  "3.8121025, 4.1720901, 4.5337714, 4.8227744, 5.0497418, 5.2719969, 5.4210390");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.5084860, 3.8540405, 4.2267761, 4.5107898, 4.7357405, 4.9321700, 5.1336285", \
+					  "3.3846004, 3.7417756, 4.1007671, 4.3842559, 4.6155891, 4.8309999, 5.0076199", \
+					  "3.2646621, 3.6086907, 3.9755788, 4.2551361, 4.4928739, 4.6900335, 4.8838327", \
+					  "3.1811625, 3.5344439, 3.9027864, 4.1809465, 4.4216518, 4.5779929, 4.8092026", \
+					  "3.1182055, 3.4674153, 3.8356290, 4.1217801, 4.3538562, 4.5460478, 4.7278988", \
+					  "3.0447456, 3.3838464, 3.7693780, 4.0500743, 4.2685964, 4.4786559, 4.6764586", \
+					  "2.9929430, 3.3415571, 3.7009423, 3.9777517, 4.2105082, 4.4261837, 4.6059683");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3610523, -3.7081078, -4.0824608, -4.3664869, -4.5984605, -4.8140764, -4.9730035", \
+					  "-3.2320215, -3.5790772, -3.9520797, -4.2389818, -4.4694299, -4.6895067, -4.8516024", \
+					  "-3.1268463, -3.4688629, -3.8482628, -4.1333343, -4.3642873, -4.5770967, -4.7307071", \
+					  "-3.0445852, -3.3959755, -3.7687450, -4.0529306, -4.2849832, -4.4903159, -4.6577650", \
+					  "-2.9944975, -3.3422058, -3.7135021, -3.9996217, -4.2026873, -4.4356098, -4.6131638", \
+					  "-2.8885363, -3.2665175, -3.6460003, -3.9298371, -4.1456929, -4.3449925, -4.5107253", \
+					  "-2.8552489, -3.1777163, -3.5517495, -3.8473977, -4.0683405, -4.2842163, -4.4361889");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0135578, 4.3591031, 4.7318689, 5.0158871, 5.2478486, 5.4619769, 5.6340504", \
+					  "3.8770199, 4.2209541, 4.5937199, 4.8786702, 5.1096996, 5.3240330, 5.4986791", \
+					  "3.7530088, 4.1000650, 4.4683326, 4.7629130, 4.9857805, 5.2000114, 5.3765786", \
+					  "3.6625570, 4.0081550, 4.3836883, 4.6801836, 4.8969573, 5.1125737, 5.2744505", \
+					  "3.5877819, 3.9379049, 4.3106712, 4.5931630, 4.8312281, 5.0484082, 5.2206970", \
+					  "3.5152608, 3.8658346, 4.2284281, 4.5157361, 4.7526847, 4.9586875, 5.1454648", \
+					  "3.4799686, 3.8192008, 4.2004514, 4.4761584, 4.7000158, 4.9151756, 5.0943714");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4846547, -3.8317093, -4.2060655, -4.4916184, -4.7220669, -4.8994339, -5.0813582", \
+					  "-3.3602620, -3.7073111, -4.0816672, -4.3628334, -4.5990094, -4.7734347, -4.9518045", \
+					  "-3.2426463, -3.5925813, -3.9652110, -4.2477894, -4.4507724, -4.6469705, -4.8574804", \
+					  "-3.1668483, -3.5208762, -3.8900387, -4.1740466, -4.4088877, -4.5651960, -4.7727508", \
+					  "-3.0911335, -3.4357079, -3.8129741, -4.0991172, -4.3188792, -4.4934955, -4.6980062", \
+					  "-3.0316840, -3.3916571, -3.7599370, -4.0356040, -4.2703469, -4.4471286, -4.6650308", \
+					  "-2.9813374, -3.3314053, -3.6815766, -3.9652425, -4.2046688, -4.3769568, -4.5985808");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0743436, 0.2817302, 0.6321204, 0.9055595, 1.0743779, 1.3065749, 1.5110338", \
+					  "-0.2057578, 0.1574323, 0.4909749, 0.7585428, 0.9524294, 1.1914066, 1.4028139", \
+					  "-0.3242559, 0.0407971, 0.3908946, 0.6282747, 0.8757717, 1.0648498, 1.2642768", \
+					  "-0.4048866, -0.0510955, 0.2961513, 0.5651427, 0.7874527, 0.9659859, 1.1878524", \
+					  "-0.4750485, -0.1207287, 0.2214874, 0.4919961, 0.7242384, 0.9253842, 1.1077424", \
+					  "-0.5437856, -0.1939847, 0.1607209, 0.4483576, 0.6519318, 0.8758347, 1.0615965", \
+					  "-0.5975305, -0.2445786, 0.1006531, 0.3812602, 0.6101409, 0.8248885, 1.0159302");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.5498410, 0.1924028, -0.1344824, -0.3686921, -0.5568767, -0.7798235, -0.9874080", \
+					  "0.6842969, 0.3225580, -0.0077556, -0.2683561, -0.4762078, -0.6856650, -0.8782143", \
+					  "0.8028050, 0.4392267, 0.1100886, -0.1570864, -0.3757564, -0.5828747, -0.7604347", \
+					  "0.8918952, 0.5222896, 0.1888482, -0.0636680, -0.2866633, -0.4937815, -0.6730569", \
+					  "0.9627895, 0.5852330, 0.2684709, 0.0063887, -0.2365348, -0.4238166, -0.6148582", \
+					  "1.0394327, 0.6397168, 0.3230493, 0.0795477, -0.1376050, -0.3637170, -0.5394999", \
+					  "1.0699017, 0.7035117, 0.3886165, 0.1343909, -0.1114517, -0.3052090, -0.5053383");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5060130, -3.8545946, -4.2289471, -4.5129733, -4.7434214, -4.9605628, -5.1194903", \
+					  "-3.3754752, -3.7225307, -4.0968835, -4.3802115, -4.6161077, -4.8286057, -4.9783806", \
+					  "-3.2444631, -3.6016145, -3.9701897, -4.2509558, -4.4813488, -4.7027282, -4.8665100", \
+					  "-3.1757516, -3.5245293, -3.8989205, -4.1829244, -4.4158088, -4.6170181, -4.7880857", \
+					  "-3.1067029, -3.4571490, -3.8270029, -4.1085035, -4.3452381, -4.5343957, -4.7126962", \
+					  "-3.0376519, -3.3784093, -3.7608456, -4.0430669, -4.2769869, -4.4746924, -4.6628423", \
+					  "-2.9942799, -3.3344811, -3.7173604, -3.9843886, -4.2060943, -4.4206942, -4.6048238");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486492, 4.3942053, 4.7669360, 5.0509493, 5.2844309, 5.4970582, 5.6557175", \
+					  "3.9166753, 4.2620865, 4.6333162, 4.9188549, 5.1508112, 5.3260323, 5.5222718", \
+					  "3.7985942, 4.1382482, 4.5188900, 4.7979681, 5.0328286, 5.2045464, 5.4058050", \
+					  "3.7054298, 4.0490791, 4.4234516, 4.7111371, 4.9535791, 5.1149869, 5.3197462", \
+					  "3.6320323, 3.9760624, 4.3472673, 4.6328064, 4.8647622, 5.0450584, 5.2497813", \
+					  "3.5818604, 3.9326154, 4.2778970, 4.5656779, 4.8194904, 4.9959977, 5.1745713", \
+					  "3.5127961, 3.8529671, 4.2224311, 4.5188846, 4.7464240, 4.9256561, 5.1183846");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023881;
+			capacitance : 0.024675;
+			rise_capacitance : 0.024952;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9271912, -3.8151657, -3.6970637, -3.6171259, -3.5533793, -3.4865859, -3.4365583", \
+					  "-4.2772982, -4.1606951, -4.0471712, -3.9600693, -3.9228427, -3.8388490, -3.7798593", \
+					  "-4.6485995, -4.5403518, -4.4296102, -4.3374563, -4.2778467, -4.2080496, -4.1519616", \
+					  "-4.9356774, -4.8242647, -4.7055499, -4.6271380, -4.5596444, -4.4935955, -4.4551573", \
+					  "-5.1691768, -5.0510482, -4.9404269, -4.8591120, -4.7923232, -4.7274177, -4.6816250", \
+					  "-5.3786892, -5.2703284, -5.1612343, -5.0743784, -5.0054171, -4.9658079, -4.8896742", \
+					  "-5.5391422, -5.4262143, -5.3105411, -5.2337887, -5.1735711, -5.1054180, -5.0504722");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.5401443, -2.4601820, -2.3132948, -2.2287016, -2.1710975, -2.0939341, -2.0323065", \
+					  "-2.8887252, -2.8041862, -2.6740829, -2.5619018, -2.4859556, -2.4356134, -2.3874597", \
+					  "-3.2630798, -3.1785407, -3.0329914, -2.9332010, -2.8909648, -2.8174449, -2.7448843", \
+					  "-3.5471061, -4.8419448, -3.2959421, -3.2152345, -3.1244715, -3.0268156, -2.9901630", \
+					  "-3.7775544, -3.6728997, -4.9235804, -3.4593228, -3.3868934, -3.2876357, -3.1979259", \
+					  "-4.0130057, -3.8944916, -3.7508043, -4.9984596, -4.8893189, -3.5164413, -3.4487104", \
+					  "-4.1467671, -4.0744205, -3.9586785, -3.8398295, -3.7317760, -4.9682762, -4.8795405");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.3355491, 4.1937390, 4.0917259, 4.0071762, 3.9295787, 3.8694792, 3.8121025", \
+					  "4.6765257, 4.5385556, 4.4296134, 4.3537798, 4.2766588, 4.2180853, 4.1720901", \
+					  "5.0507876, 4.9113005, 4.8071678, 4.7178339, 4.6478690, 4.5908209, 4.5337714", \
+					  "5.3363272, 5.1968308, 5.0894149, 5.0094767, 4.9508054, 4.8748346, 4.8227744", \
+					  "5.5682834, 5.4318392, 5.3198457, 5.2414653, 5.1638394, 5.1052654, 5.0497418", \
+					  "5.7839589, 5.6444212, 5.5339949, 5.4479535, 5.3779885, 5.3215719, 5.2719969", \
+					  "5.9545878, 5.8120400, 5.7136765, 5.6277492, 5.5526568, 5.4948324, 5.4210390");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0318764, 3.8846173, 3.7430022, 3.6010575, 3.4882619, 3.4009123, 3.2913903", \
+					  "4.3774360, 4.2318374, 4.0868009, 3.9549486, 3.8465385, 3.7479951, 3.6415635", \
+					  "4.7486302, 4.6030320, 4.4638269, 4.3197422, 4.2126318, 4.1131670, 4.0062907", \
+					  "5.0356939, 4.8902534, 4.7390863, 4.6053599, 4.4905153, 4.3987145, 4.3019444", \
+					  "5.2499421, 5.1077008, 4.9772935, 4.8509350, 4.7129396, 4.6366762, 4.5467908", \
+					  "5.4402596, 5.3025813, 5.1551397, 5.0143488, 4.9418663, 4.8145295, 4.7787381", \
+					  "5.6358574, 5.4935574, 5.3401393, 5.2149270, 5.0986489, 4.9940099, 4.9005940");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006319;
+			capacitance : 0.006463;
+			rise_capacitance : 0.006607;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5084860, 3.3846004, 3.2646621, 3.1811625, 3.1182055, 3.0447456, 2.9929430", \
+					  "3.8540405, 3.7417756, 3.6086907, 3.5344439, 3.4674153, 3.3838464, 3.3415571", \
+					  "4.2267761, 4.1007671, 3.9755788, 3.9027864, 3.8356290, 3.7693780, 3.7009423", \
+					  "4.5107898, 4.3842559, 4.2551361, 4.1809465, 4.1217801, 4.0500743, 3.9777517", \
+					  "4.7357405, 4.6155891, 4.4928739, 4.4216518, 4.3538562, 4.2685964, 4.2105082", \
+					  "4.9321700, 4.8309999, 4.6900335, 4.5779929, 4.5460478, 4.4786559, 4.4261837", \
+					  "5.1336285, 5.0076199, 4.8838327, 4.8092026, 4.7278988, 4.6764586, 4.6059683");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.2500783, 4.1058373, 3.9543793, 3.8142239, 3.6775687, 3.5362911, 3.4156673", \
+					  "4.5945419, 4.4518267, 4.3012738, 4.1653661, 4.0241559, 3.8829889, 3.7560255", \
+					  "4.9652813, 4.8225662, 4.6720341, 4.5382141, 4.3988393, 4.2551991, 4.1315514", \
+					  "5.2507659, 5.1080507, 4.9583122, 4.8116780, 4.6842826, 4.5394859, 4.4156503", \
+					  "5.4752663, 5.3318272, 5.1819982, 5.0402076, 4.9103622, 4.7645752, 4.6401907", \
+					  "5.6674694, 5.5247543, 5.3742014, 5.2323379, 5.0911886, 4.9646223, 4.8391924", \
+					  "5.8636072, 5.7211420, 5.5730276, 5.4116536, 5.2669205, 5.1464031, 5.0306317");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.3610523, -3.2320215, -3.1268463, -3.0445852, -2.9944975, -2.8885363, -2.8552489", \
+					  "-3.7081078, -3.5790772, -3.4688629, -3.3959755, -3.3422058, -3.2665175, -3.1777163", \
+					  "-4.0824608, -3.9520797, -3.8482628, -3.7687450, -3.7135021, -3.6460003, -3.5517495", \
+					  "-4.3664869, -4.2389818, -4.1333343, -4.0529306, -3.9996217, -3.9298371, -3.8473977", \
+					  "-4.5984605, -4.4694299, -4.3642873, -4.2849832, -4.2026873, -4.1456929, -4.0683405", \
+					  "-4.8140764, -4.6895067, -4.5770967, -4.4903159, -4.4356098, -4.3449925, -4.2842163", \
+					  "-4.9730035, -4.8516024, -4.7307071, -4.6577650, -4.6131638, -4.5107253, -4.4361889");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6021572, -3.4612845, -3.3210423, -3.1595717, -3.0146336, -2.8994082, -2.7980737", \
+					  "-3.9492145, -3.8072169, -3.6681382, -3.5129963, -3.3787956, -3.2514768, -3.1502198", \
+					  "-4.3235604, -4.1821934, -4.0432601, -3.8813141, -3.7515552, -3.6164236, -3.5210374", \
+					  "-4.6075860, -4.4667134, -4.3270349, -4.1683125, -4.0368333, -3.9035008, -3.8012328", \
+					  "-4.8395583, -4.6986856, -4.5602883, -4.4027006, -4.2716006, -4.1333756, -4.0322236", \
+					  "-5.0551778, -4.9138229, -4.7687354, -4.6098154, -4.4752790, -4.3434631, -4.2435106", \
+					  "-5.2393154, -5.0876181, -4.9408084, -4.7963049, -4.6474986, -4.5231407, -4.4125296");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0233292, 0.0264762, 0.0296232, 0.0294936, 0.0293692, 0.0292396, 0.0291099");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0298412, 0.0333617, 0.0368821, 0.0367903, 0.0367021, 0.0366102, 0.0365184");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.016712;
+			capacitance : 0.016055;
+			fall_capacitance : 0.015398;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0131442, -0.0039021, 0.0053400, 0.0189062, 0.0319297, 0.0454959, 0.0590621");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502808, 0.1210702, 0.1918595, 0.5491010, 0.8920528, 1.2492943, 1.6065359");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031627;
+			capacitance : 0.031650;
+			fall_capacitance : 0.031672;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0047521, -0.0046478, -0.0045436, -0.0048012, -0.0050486, -0.0053062, -0.0055638");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0602890, 0.0806912, 0.1010933, 0.1010014, 0.1009131, 0.1008212, 0.1007292");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006848;
+			capacitance : 0.006702;
+			fall_capacitance : 0.006556;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0743436, -0.2057578, -0.3242559, -0.4048866, -0.4750485, -0.5437856, -0.5975305", \
+					  "0.2817302, 0.1574323, 0.0407971, -0.0510955, -0.1207287, -0.1939847, -0.2445786", \
+					  "0.6321204, 0.4909749, 0.3908946, 0.2961513, 0.2214874, 0.1607209, 0.1006531", \
+					  "0.9055595, 0.7585428, 0.6282747, 0.5651427, 0.4919961, 0.4483576, 0.3812602", \
+					  "1.0743779, 0.9524294, 0.8757717, 0.7874527, 0.7242384, 0.6519318, 0.6101409", \
+					  "1.3065749, 1.1914066, 1.0648498, 0.9659859, 0.9253842, 0.8758347, 0.8248885", \
+					  "1.5110338, 1.4028139, 1.2642768, 1.1878524, 1.1077424, 1.0615965, 1.0159302");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3550883, -0.4969314, -0.6562024, -0.7945523, -0.9093608, -1.0738250, -1.1945299", \
+					  "0.0054008, -0.1471064, -0.2961722, -0.4633351, -0.5778790, -0.6967878, -0.7901540", \
+					  "0.3178832, 0.1841480, 0.0314989, -0.1143821, -0.2562140, -0.3929743, -0.5116373", \
+					  "0.5744580, 0.4366187, 0.2994030, 0.1596677, 0.0222178, -0.1149896, -0.2217310", \
+					  "0.7524372, 0.6294449, 0.5219294, 0.4003830, 0.2543973, 0.1455364, 0.0196011", \
+					  "0.9768082, 0.8637236, 0.7290041, 0.6044871, 0.4693987, 0.3231051, 0.2207787", \
+					  "1.2058393, 1.0537643, 0.9008472, 0.7949943, 0.6418396, 0.5176181, 0.4119227");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498410, 0.6842969, 0.8028050, 0.8918952, 0.9627895, 1.0394327, 1.0699017", \
+					  "0.1924028, 0.3225580, 0.4392267, 0.5222896, 0.5852330, 0.6397168, 0.7035117", \
+					  "-0.1344824, -0.0077556, 0.1100886, 0.1888482, 0.2684709, 0.3230493, 0.3886165", \
+					  "-0.3686921, -0.2683561, -0.1570864, -0.0636680, 0.0063887, 0.0795477, 0.1343909", \
+					  "-0.5568767, -0.4762078, -0.3757564, -0.2866633, -0.2365348, -0.1376050, -0.1114517", \
+					  "-0.7798235, -0.6856650, -0.5828747, -0.4937815, -0.4238166, -0.3637170, -0.3052090", \
+					  "-0.9874080, -0.8782143, -0.7604347, -0.6730569, -0.6148582, -0.5394999, -0.5053383");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4582736, 0.5986030, 0.7410776, 0.8718197, 0.9972080, 1.1618094, 1.2671663", \
+					  "0.0855993, 0.2287982, 0.3895545, 0.5391060, 0.6612795, 0.7680382, 0.8528239", \
+					  "-0.2275026, -0.0890104, 0.0656634, 0.2095823, 0.3530497, 0.4751890, 0.5994476", \
+					  "-0.4479180, -0.3451826, -0.2066581, -0.0681805, 0.0866297, 0.2042771, 0.3246377", \
+					  "-0.6974149, -0.5483938, -0.4247915, -0.3048911, -0.1392421, -0.0207956, 0.0821641", \
+					  "-0.8955287, -0.7714430, -0.6265371, -0.5104834, -0.3554115, -0.2235258, -0.1197101", \
+					  "-1.0805026, -0.9548911, -0.8169818, -0.6905944, -0.5387376, -0.4250590, -0.3147207");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235210, 0.0266208, 0.0297205, 0.0295891, 0.0294629, 0.0293315, 0.0292000");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0295875, 0.0330521, 0.0365167, 0.0364189, 0.0363251, 0.0362273, 0.0361296");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014011;
+			capacitance : 0.013941;
+			fall_capacitance : 0.013870;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5060130, -3.3754752, -3.2444631, -3.1757516, -3.1067029, -3.0376519, -2.9942799", \
+					  "-3.8545946, -3.7225307, -3.6016145, -3.5245293, -3.4571490, -3.3784093, -3.3344811", \
+					  "-4.2289471, -4.0968835, -3.9701897, -3.8989205, -3.8270029, -3.7608456, -3.7173604", \
+					  "-4.5129733, -4.3802115, -4.2509558, -4.1829244, -4.1085035, -4.0430669, -3.9843886", \
+					  "-4.7434214, -4.6161077, -4.4813488, -4.4158088, -4.3452381, -4.2769869, -4.2060943", \
+					  "-4.9605628, -4.8286057, -4.7027282, -4.6170181, -4.5343957, -4.4746924, -4.4206942", \
+					  "-5.1194903, -4.9783806, -4.8665100, -4.7880857, -4.7126962, -4.6628423, -4.6048238");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4388891, -3.2900198, -3.2159643, -3.0478888, -2.9293698, -2.8119138, -2.6700113", \
+					  "-3.7874705, -3.6801854, -3.5632343, -3.3774392, -3.2529954, -3.1322127, -3.0204208", \
+					  "-4.1618231, -4.0545382, -3.9363585, -3.7489942, -3.6233365, -3.5065654, -3.3917109", \
+					  "-4.4458496, -4.3248313, -4.2234942, -4.0514256, -3.9335186, -3.7997469, -3.6696876", \
+					  "-4.6778232, -4.5705383, -4.4479848, -4.2761023, -4.1645049, -4.0298038, -3.8998175", \
+					  "-4.8934392, -4.7700632, -4.6587114, -4.4872641, -4.3551506, -4.2533125, -4.1203447", \
+					  "-5.1004474, -4.9410486, -4.8292474, -4.6579378, -4.5336834, -4.4100942, -4.2891368");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486492, 3.9166753, 3.7985942, 3.7054298, 3.6320323, 3.5818604, 3.5127961", \
+					  "4.3942053, 4.2620865, 4.1382482, 4.0490791, 3.9760624, 3.9326154, 3.8529671", \
+					  "4.7669360, 4.6333162, 4.5188900, 4.4234516, 4.3472673, 4.2778970, 4.2224311", \
+					  "5.0509493, 4.9188549, 4.7979681, 4.7111371, 4.6328064, 4.5656779, 4.5188846", \
+					  "5.2844309, 5.1508112, 5.0328286, 4.9535791, 4.8647622, 4.8194904, 4.7464240", \
+					  "5.4970582, 5.3260323, 5.2045464, 5.1149869, 5.0450584, 4.9959977, 4.9256561", \
+					  "5.6557175, 5.5222718, 5.4058050, 5.3197462, 5.2497813, 5.1745713, 5.1183846");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6443049, 3.5046859, 3.3455239, 3.1934098, 3.0521710, 2.9332137, 2.8160279", \
+					  "3.9887261, 3.8414830, 3.6984329, 3.5423631, 3.4029873, 3.2779258, 3.1711301", \
+					  "4.3594766, 4.2198576, 4.0539961, 3.9087114, 3.7610069, 3.6480890, 3.5327253", \
+					  "4.6350797, 4.4969866, 4.3509758, 4.1987079, 4.0480835, 3.9235138, 3.8258390", \
+					  "4.8624454, 4.7298106, 4.5786217, 4.4129116, 4.2689748, 4.1571269, 4.0489241", \
+					  "5.0554412, 4.8988434, 4.7660505, 4.5971944, 4.4587545, 4.3345676, 4.2377229", \
+					  "5.2642950, 5.1214573, 4.9489495, 4.8209883, 4.6697302, 4.5470941, 4.4111717");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234679, 0.0266538, 0.0298397, 0.0297125, 0.0295904, 0.0294632, 0.0293360");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296458, 0.0331720, 0.0366982, 0.0366062, 0.0365177, 0.0364256, 0.0363336");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.892649;
+			max_transition : 1.506745;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.4944182, 0.5009211, 0.5121446, 0.5320206, 0.5673669, 0.6173406, 0.8808679", \
+					  "-0.5484198, -0.5430799, -0.5321020, -0.5141244, -0.4777111, -0.4270270, -0.1638792", \
+					  "-0.3319745, -0.3315714, -0.3311399, -0.3303229, -0.3296027, -0.3389852, -0.1180367", \
+					  "-0.0005349, 4.5712476e-05, -0.0005808, 0.0011466, 0.0020693, 0.0038284, -0.0119867", \
+					  "0.0416127, 0.0430248, 0.0416527, 0.0444260, 0.0460177, 0.0468589, 0.0479458", \
+					  "0.0765098, 0.0764714, 0.0758627, 0.0782664, 0.0790726, 0.0817663, 0.0823880", \
+					  "0.1105683, 0.1110924, 0.1023495, 0.1126390, 0.1119618, 0.1149192, 0.1157375");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.6279115, 0.6338016, 0.6457589, 0.6646120, 0.6928586, 0.7462385, 0.8977950", \
+					  "1.6553584, 1.6662183, 1.6854003, 1.7107216, 1.7402284, 1.7962011, 1.9475584", \
+					  "1.7948374, 1.7935405, 1.7946722, 1.7964862, 1.7987292, 1.8005828, 1.9123251", \
+					  "1.9292983, 1.9189229, 1.9200633, 1.9212540, 1.9233775, 1.9256083, 1.9274153", \
+					  "1.9312887, 1.9202877, 1.9224624, 1.9228983, 1.9263983, 1.9274890, 1.9241809", \
+					  "1.9331299, 1.9223013, 1.9254722, 1.9252245, 1.9286658, 1.9293471, 1.9325034", \
+					  "1.9361689, 1.9240059, 1.9358605, 1.9269258, 1.9400362, 1.9315094, 1.9434066");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.6118300, 0.6180262, 0.6344388, 0.6822645, 0.8176996, 0.8727034, 0.8689176", \
+					  "-0.3316602, -0.3251853, -0.3101809, -0.2631160, -0.1279977, -0.0687922, -0.0728866", \
+					  "-0.0879604, -0.0853784, -0.0761454, -0.0451847, 0.0619665, 0.1075335, 0.1026049", \
+					  "0.3489831, 0.3500559, 0.3503506, 0.3424179, 0.3517476, 0.3465489, 0.2717172", \
+					  "0.5028894, 0.4952266, 0.5032172, 0.4972167, 0.5080368, 0.5009835, 0.5036812", \
+					  "0.6480841, 0.6443061, 0.6491327, 0.6451397, 0.6526971, 0.6484646, 0.6495367", \
+					  "0.7858997, 0.7819274, 0.7885577, 0.7831599, 0.7850915, 0.7877354, 0.7891803");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.9515970, 0.9600466, 0.9776337, 1.0073675, 1.0494239, 1.0907453, 1.1132546", \
+					  "2.0053440, 2.0144906, 2.0319894, 2.0631303, 2.1040144, 2.1453963, 2.1687320", \
+					  "1.9685024, 1.9803575, 1.9988850, 2.0386442, 2.0851649, 2.1284543, 2.1538014", \
+					  "2.0511219, 2.0517925, 2.0647126, 2.0542389, 2.0598473, 2.0580954, 2.1312568", \
+					  "2.0746061, 2.0748843, 2.0885956, 2.0787649, 2.0928133, 2.0844307, 2.0897925", \
+					  "2.0738075, 2.0753729, 2.0878123, 2.0823165, 2.0945329, 2.0872453, 2.0869166", \
+					  "2.0790071, 2.0801544, 2.0970396, 2.0768205, 2.0984321, 2.1013128, 2.0907560");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.5551956, 0.5615150, 0.5775874, 0.6262702, 0.7600609, 0.8154737, 0.8122271", \
+					  "-0.5516609, -0.5453328, -0.5300605, -0.4809540, -0.3476118, -0.2897282, -0.2928837", \
+					  "-0.3114193, -0.3112970, -0.3110486, -0.3096284, -0.2726656, -0.2764883, -0.2844536", \
+					  "-0.1916187, -0.1927444, -0.1916538, -0.1904535, -0.1898164, -0.1849011, -0.2078959", \
+					  "-0.1821988, -0.1921159, -0.1828487, -0.1802328, -0.1796741, -0.1754767, -0.1804324", \
+					  "-0.1718940, -0.1819795, -0.1807068, -0.1684138, -0.1702334, -0.1662300, -0.1682196", \
+					  "-0.1611815, -0.1698424, -0.1689435, -0.1619422, -0.1622383, -0.1542499, -0.1570642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.8841536, 0.8938314, 0.9124152, 0.9438012, 0.9904759, 1.0369953, 1.0650479", \
+					  "1.9408828, 1.9493426, 1.9646682, 1.9963731, 2.0420037, 2.0890685, 2.1154641", \
+					  "1.9326359, 1.9420917, 1.9606699, 1.9935011, 2.0396883, 2.0865636, 2.1158615", \
+					  "1.8823714, 1.8935038, 1.9153782, 1.9524469, 2.0150554, 2.0769896, 2.1123314", \
+					  "2.0110896, 2.0117592, 2.0129920, 2.0147915, 2.0199751, 2.0191459, 2.0824740", \
+					  "2.0430064, 2.0489736, 2.0438768, 2.0454424, 2.0611217, 2.0509913, 2.0532939", \
+					  "2.0485308, 2.0522373, 2.0505776, 2.0526009, 2.0658720, 2.0533328, 2.0710868");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("1.5965433, 1.5966269, 1.5979642, 1.6005716, 1.6023615, 1.6055227, 1.6086807", \
+					  "1.5919716, 1.5946563, 1.6023868, 1.5980596, 1.6047889, 1.5984925, 1.6121140", \
+					  "1.5867977, 1.5874669, 1.5897988, 1.5923185, 1.5995694, 1.5974795, 1.6049756", \
+					  "1.5867492, 1.5881247, 1.5875318, 1.5942314, 1.6001478, 1.6011676, 1.6086264", \
+					  "1.5862806, 1.5897312, 1.5905766, 1.5935557, 1.5968630, 1.5970055, 1.6123253", \
+					  "1.5863217, 1.5902837, 1.5890963, 1.5923471, 1.5988472, 1.5966895, 1.6049312", \
+					  "1.5852810, 1.5894939, 1.5891929, 1.5899454, 1.5994575, 1.5950396, 1.6045450");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.7763507, 0.7808162, 0.7899720, 0.8040693, 0.8249247, 0.8628704, 0.9554215", \
+					  "0.7726367, 0.7786281, 0.7862268, 0.8002086, 0.8211168, 0.8588079, 0.9513406", \
+					  "0.7701406, 0.7733198, 0.7804563, 0.7963713, 0.8175511, 0.8547175, 0.9513042", \
+					  "0.7687366, 0.7729776, 0.7821660, 0.7964127, 0.8175733, 0.8548705, 0.9477892", \
+					  "0.7685513, 0.7733493, 0.7821739, 0.7963679, 0.8172770, 0.8548734, 0.9475629", \
+					  "0.7694024, 0.7730051, 0.7823293, 0.7963215, 0.8174418, 0.8546642, 0.9506378", \
+					  "0.7711577, 0.7731775, 0.7821220, 0.7958152, 0.8172715, 0.8548553, 0.9478032");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("2.4289399, 2.4441058, 2.4745346, 2.5354365, 2.6585405, 2.9132063, 3.4469982", \
+					  "4.5894826, 4.6045852, 4.6351487, 4.6959934, 4.8191993, 5.0737379, 5.6074887", \
+					  "6.2566734, 6.2719643, 6.3023999, 6.3631176, 6.4861791, 6.7414558, 7.2747106", \
+					  "6.6228294, 6.6380634, 6.6686590, 6.7293855, 6.8533086, 7.1074702, 7.6407449", \
+					  "8.7240242, 8.7425036, 8.7701943, 8.8336893, 8.9586525, 9.2080148, 9.7412949", \
+					  "10.4103090, 10.4256760, 10.4599410, 10.5174310, 10.6436130, 10.8961520, 11.4286190", \
+					  "11.6724490, 11.6877410, 11.7171230, 11.7820840, 11.9006130, 12.1553120, 12.6957420", \
+					  "13.4271800, 13.4591060, 13.5020870, 13.5594280, 13.6502390, 13.9329880, 14.4814230", \
+					  "36.2626800, 36.3368930, 36.3671790, 36.4281650, 36.5524180, 36.8125940, 37.2321520", \
+					  "49.4382700, 49.5764210, 49.6071340, 49.6743700, 49.7947890, 50.0490960, 50.6450280", \
+					  "103.1356600, 103.2113100, 103.2772400, 103.2772408, 103.3948000, 103.6415300, 104.1105000", \
+					  "281.3792700, 281.3792878, 281.3793183, 281.4888900, 281.6243200, 281.8850900, 282.2008600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1238284, 0.1404147, 0.1754991, 0.2503139, 0.4123949, 0.7612833, 1.5037700", \
+					  "0.1246869, 0.1411297, 0.1761638, 0.2510081, 0.4135541, 0.7629788, 1.5048702", \
+					  "0.1243321, 0.1409732, 0.1757128, 0.2511549, 0.4131694, 0.7634809, 1.5018369", \
+					  "0.1242262, 0.1407091, 0.1756441, 0.2507434, 0.4124350, 0.7634031, 1.5041899", \
+					  "0.1243540, 0.1409437, 0.1756749, 0.2511392, 0.4120243, 0.7613233, 1.5046004", \
+					  "0.1243517, 0.1409466, 0.1756501, 0.2510960, 0.4132833, 0.7634120, 1.5015380", \
+					  "0.1244193, 0.1409789, 0.1757702, 0.2510994, 0.4129896, 0.7634001, 1.5012211", \
+					  "0.1242717, 0.1409679, 0.1758010, 0.2511534, 0.4129894, 0.7612028, 1.5008629", \
+					  "0.1243697, 0.1409856, 0.1757667, 0.2510682, 0.4125127, 0.7612727, 1.5011450", \
+					  "0.1243951, 0.1409871, 0.1757994, 0.2510823, 0.4125252, 0.7633720, 1.5010603", \
+					  "0.1242679, 0.1407602, 0.1756282, 0.2505017, 0.4129209, 0.7611864, 1.5043818", \
+					  "0.1242654, 0.1407731, 0.1755388, 0.2505708, 0.4127888, 0.7614461, 1.5036113");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("2.0712969, 2.0935746, 2.1341318, 2.2082547, 2.3334135, 2.5449405, 2.9277474", \
+					  "4.1092139, 4.1309449, 4.1721879, 4.2459627, 4.3705106, 4.5821538, 4.9655058", \
+					  "5.5770952, 5.6123515, 5.6536034, 5.7211147, 5.8518594, 6.0635021, 6.4471530", \
+					  "5.8820455, 5.9196466, 5.9593419, 6.0253562, 6.1575514, 6.3699286, 6.7529875", \
+					  "7.6131368, 7.6308710, 7.6739575, 7.7499518, 7.8563342, 8.0858342, 8.4673397", \
+					  "8.9037746, 8.9264702, 8.9599856, 9.0403409, 9.1655856, 9.3763601, 9.7562927", \
+					  "9.8216129, 9.8457615, 9.8742126, 9.9586304, 10.0856410, 10.2979060, 10.6792330", \
+					  "11.0659080, 11.0659090, 11.1121080, 11.1448900, 11.2720340, 11.5420780, 11.8886550", \
+					  "24.2540230, 24.2611660, 24.3119160, 24.3876580, 24.5037520, 24.7517540, 25.1007910", \
+					  "31.0238340, 31.0238352, 31.0881420, 31.1727500, 31.2859250, 31.4754620, 31.8469940", \
+					  "55.7406350, 55.7813030, 55.8172390, 55.9054530, 55.9866570, 56.2073020, 56.6018810", \
+					  "131.9271500, 131.9817600, 132.0228800, 132.0538100, 132.2267900, 132.4452400, 132.7882600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1665532, 0.1827276, 0.2145919, 0.2713884, 0.3754785, 0.5837390, 1.0318011", \
+					  "0.1669848, 0.1837369, 0.2157994, 0.2735588, 0.3775900, 0.5845758, 1.0327723", \
+					  "0.1669624, 0.1841254, 0.2158005, 0.2736623, 0.3783981, 0.5865548, 1.0327833", \
+					  "0.1673214, 0.1839534, 0.2159070, 0.2738453, 0.3786018, 0.5861941, 1.0329191", \
+					  "0.1667746, 0.1838836, 0.2158420, 0.2738567, 0.3783482, 0.5865808, 1.0306772", \
+					  "0.1669603, 0.1833272, 0.2157671, 0.2737173, 0.3786345, 0.5858601, 1.0327632", \
+					  "0.1669063, 0.1832704, 0.2156984, 0.2735024, 0.3785021, 0.5865184, 1.0327331", \
+					  "0.1666611, 0.1839492, 0.2156633, 0.2736256, 0.3783947, 0.5865434, 1.0326802", \
+					  "0.1670427, 0.1840042, 0.2155537, 0.2739130, 0.3786325, 0.5862194, 1.0328177", \
+					  "0.1669886, 0.1838684, 0.2162982, 0.2736385, 0.3789412, 0.5864759, 1.0328698", \
+					  "0.1674178, 0.1840666, 0.2158326, 0.2736965, 0.3789890, 0.5861763, 1.0333177", \
+					  "0.1674077, 0.1844089, 0.2165525, 0.2742293, 0.3777830, 0.5864894, 1.0333143");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("4.1935482, 4.2075907, 4.2355111, 4.2963979, 4.4208477, 4.6746949, 5.2094236", \
+					  "6.2737464, 6.2888059, 6.3188090, 6.3793526, 6.5017818, 6.7556818, 7.2893451", \
+					  "7.8414812, 7.8561229, 7.8864586, 7.9469586, 8.0693860, 8.3232008, 8.8567793", \
+					  "8.1860865, 8.2041582, 8.2311340, 8.2916385, 8.4140650, 8.6685192, 9.2016510", \
+					  "10.1934130, 10.2101370, 10.2410500, 10.2989840, 10.4213930, 10.6763160, 11.2089380", \
+					  "11.8144530, 11.8312290, 11.8697170, 11.9208060, 12.0439420, 12.3060450, 12.8297760", \
+					  "13.0318260, 13.0573860, 13.0880750, 13.1423410, 13.2643790, 13.5246070, 14.0587510", \
+					  "14.8001400, 14.8099940, 14.8293640, 14.9058130, 15.0284530, 15.2700480, 15.8056960", \
+					  "42.5171290, 42.5171299, 42.5171338, 42.5749960, 42.7444460, 42.8121860, 43.3050250", \
+					  "60.8867840, 60.9321380, 60.9959440, 61.0545500, 61.1862990, 61.6471280, 62.1727590", \
+					  "132.1119100, 132.4899300, 132.4899369, 132.5836800, 132.7056200, 132.7056351, 133.4919100", \
+					  "374.5282700, 374.5282746, 374.6068000, 374.6068268, 374.7776100, 375.0572000, 375.5420400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1220232, 0.1386514, 0.1734398, 0.2485452, 0.4110715, 0.7593905, 1.5000999", \
+					  "0.1220390, 0.1386819, 0.1736187, 0.2486202, 0.4115244, 0.7611741, 1.4990761", \
+					  "0.1222921, 0.1388299, 0.1738671, 0.2485941, 0.4116146, 0.7612876, 1.4994721", \
+					  "0.1221596, 0.1388287, 0.1738349, 0.2486419, 0.4115661, 0.7612535, 1.5020498", \
+					  "0.1221060, 0.1386941, 0.1733976, 0.2486887, 0.4113879, 0.7609787, 1.5002486", \
+					  "0.1222003, 0.1386779, 0.1738235, 0.2486477, 0.4106839, 0.7605700, 1.5034295", \
+					  "0.1220651, 0.1389259, 0.1738432, 0.2486929, 0.4115975, 0.7611956, 1.5004441", \
+					  "0.1220633, 0.1387746, 0.1735885, 0.2486836, 0.4114059, 0.7609612, 1.4998643", \
+					  "0.1223749, 0.1386218, 0.1736666, 0.2491186, 0.4112206, 0.7611169, 1.4995324", \
+					  "0.1221169, 0.1386311, 0.1735929, 0.2487294, 0.4115541, 0.7611093, 1.4989411", \
+					  "0.1223455, 0.1386935, 0.1736388, 0.2486776, 0.4114486, 0.7612160, 1.4989079", \
+					  "0.1220689, 0.1387160, 0.1736643, 0.2486687, 0.4115984, 0.7611810, 1.4994405");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("4.2310577, 4.2503125, 4.2890145, 4.3562678, 4.4758517, 4.6803925, 5.0553668", \
+					  "6.4549663, 6.4749548, 6.5133938, 6.5824231, 6.7009225, 6.9052111, 7.2817739", \
+					  "8.5603524, 8.5803089, 8.6187875, 8.6877092, 8.8078540, 9.0104493, 9.3796633", \
+					  "8.9944621, 9.0151859, 9.0525853, 9.1218819, 9.2356922, 9.4445793, 9.8102886", \
+					  "11.4586460, 11.4945880, 11.5165580, 11.5853990, 11.6935870, 11.9084480, 12.2734860", \
+					  "13.3234890, 13.3396400, 13.3787690, 13.4705750, 13.5547250, 13.7513100, 14.1336360", \
+					  "14.6529270, 14.6647380, 14.7247180, 14.7749560, 14.8896730, 15.1011700, 15.4755990", \
+					  "16.4701760, 16.4701777, 16.5044230, 16.5881670, 16.6689880, 16.9110200, 17.2534890", \
+					  "36.6747020, 36.6747036, 36.7314570, 36.7771380, 36.9085880, 37.1211680, 37.4962110", \
+					  "47.6409420, 47.6638110, 47.7034310, 47.7576770, 47.8558630, 48.0930640, 48.4990250", \
+					  "89.7232440, 89.7542380, 89.7813950, 89.8499760, 89.9755080, 90.1716890, 90.5454910", \
+					  "226.7146300, 226.7764800, 226.8168200, 226.8354500, 226.9059000, 227.1761300, 227.5710600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1535231, 0.1696084, 0.1993976, 0.2555081, 0.3593357, 0.5694808, 1.0211950", \
+					  "0.1553522, 0.1701981, 0.2011183, 0.2579005, 0.3619916, 0.5694162, 1.0203908", \
+					  "0.1552420, 0.1707263, 0.2008667, 0.2579279, 0.3617036, 0.5699152, 1.0207448", \
+					  "0.1550095, 0.1708383, 0.2014139, 0.2579251, 0.3619655, 0.5699252, 1.0204654", \
+					  "0.1546509, 0.1698969, 0.2013279, 0.2577077, 0.3619382, 0.5694023, 1.0209412", \
+					  "0.1550714, 0.1708659, 0.2014371, 0.2574511, 0.3618343, 0.5696632, 1.0213801", \
+					  "0.1554310, 0.1706645, 0.2013430, 0.2580997, 0.3627225, 0.5710347, 1.0208152", \
+					  "0.1554055, 0.1710448, 0.2014182, 0.2579087, 0.3619543, 0.5691384, 1.0203950", \
+					  "0.1548171, 0.1702143, 0.2016377, 0.2572613, 0.3619430, 0.5700658, 1.0206505", \
+					  "0.1546919, 0.1701998, 0.2013116, 0.2576496, 0.3619396, 0.5693962, 1.0208138", \
+					  "0.1543532, 0.1707940, 0.2010143, 0.2579212, 0.3615105, 0.5700213, 1.0208306", \
+					  "0.1547503, 0.1702617, 0.2012353, 0.2579926, 0.3610445, 0.5694295, 1.0220751");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("4.1668535, 4.1814641, 4.2113553, 4.2723549, 4.3947530, 4.6484940, 5.1809796", \
+					  "5.9332956, 5.9483822, 5.9784258, 6.0390262, 6.1614456, 6.4149122, 6.9490369", \
+					  "6.9737291, 6.9887385, 7.0188002, 7.0803088, 7.2017565, 7.4557107, 7.9893354", \
+					  "7.1848429, 7.1998731, 7.2299127, 7.2882620, 7.4129050, 7.6667570, 8.2004545", \
+					  "8.2725773, 8.2877936, 8.3179605, 8.3765075, 8.5005567, 8.7499066, 9.2882928", \
+					  "9.0406385, 9.0580201, 9.0872962, 9.1442089, 9.2684607, 9.5181036, 10.0564350", \
+					  "9.5687929, 9.5854977, 9.6101420, 9.6756327, 9.7965165, 10.0482760, 10.5859650", \
+					  "10.2550750, 10.2730800, 10.2975950, 10.3609480, 10.4833530, 10.7373480, 11.2746270", \
+					  "18.5753050, 18.6077160, 18.6196160, 18.6903840, 18.8280090, 19.0649220, 19.5946230", \
+					  "25.4720960, 25.5263490, 25.5536850, 25.5757350, 25.7002830, 25.9715220, 26.4975860", \
+					  "54.6346430, 54.6495720, 54.6798730, 54.7416370, 54.8625570, 55.1161250, 55.6528730", \
+					  "134.3477300, 134.5962300, 134.6259200, 134.6743400, 134.7970300, 134.8976000, 135.4304400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1218678, 0.1387750, 0.1737242, 0.2485377, 0.4106647, 0.7583346, 1.5022765", \
+					  "0.1219672, 0.1386800, 0.1739836, 0.2489890, 0.4111383, 0.7613389, 1.5011948", \
+					  "0.1220528, 0.1387094, 0.1736132, 0.2486871, 0.4114499, 0.7610991, 1.4990003", \
+					  "0.1220818, 0.1387234, 0.1736758, 0.2487514, 0.4114392, 0.7612104, 1.4995872", \
+					  "0.1223705, 0.1388364, 0.1738730, 0.2487146, 0.4113480, 0.7619537, 1.4997161", \
+					  "0.1220996, 0.1387241, 0.1736552, 0.2487090, 0.4115322, 0.7610785, 1.4994549", \
+					  "0.1220781, 0.1387197, 0.1735903, 0.2486248, 0.4115432, 0.7610725, 1.4994479", \
+					  "0.1221398, 0.1386274, 0.1735632, 0.2486545, 0.4116657, 0.7609918, 1.4992380", \
+					  "0.1220539, 0.1386709, 0.1735536, 0.2491932, 0.4121608, 0.7610159, 1.5026715", \
+					  "0.1223309, 0.1387137, 0.1740053, 0.2486232, 0.4119819, 0.7611838, 1.4995534", \
+					  "0.1220786, 0.1387197, 0.1736720, 0.2486887, 0.4114437, 0.7612171, 1.4995644", \
+					  "0.1220882, 0.1387240, 0.1736763, 0.2486928, 0.4114371, 0.7612153, 1.4996423");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("5.8482788, 5.8680603, 5.9050662, 5.9756973, 6.0938277, 6.2984808, 6.6747434", \
+					  "7.7288398, 7.7488114, 7.7868894, 7.8562108, 7.9744256, 8.1789873, 8.5555456", \
+					  "10.1858670, 10.2058690, 10.2442510, 10.3132010, 10.4403850, 10.6361550, 11.0147080", \
+					  "10.7161990, 10.7362190, 10.7744780, 10.8433320, 10.9627310, 11.1664340, 11.5388540", \
+					  "13.6367650, 13.6568070, 13.6925280, 13.7641990, 13.8759930, 14.0869420, 14.4570360", \
+					  "15.8468950, 15.8883800, 15.8922720, 15.9691600, 16.0793370, 16.2970450, 16.6618670", \
+					  "17.4395340, 17.4601950, 17.4872470, 17.5572680, 17.6779140, 17.8898180, 18.2607440", \
+					  "19.6022470, 19.6022482, 19.6422080, 19.7211990, 19.8151660, 20.0319990, 20.3838070", \
+					  "44.0684320, 44.1049790, 44.1238090, 44.2161290, 44.3384710, 44.5197160, 44.9245330", \
+					  "57.6100770, 57.6100789, 57.6406580, 57.7102170, 57.8211360, 58.0266540, 58.4351700", \
+					  "109.8288100, 109.8522900, 109.8942900, 109.9626200, 110.1102400, 110.3187400, 110.6915600", \
+					  "278.0262500, 278.0486200, 278.0718400, 278.1432600, 278.2656200, 278.4763100, 278.8508800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.021144, 0.044707, 0.0945285, 0.199871, 0.422608, 0.893564");
+					values("0.1536093, 0.1695702, 0.1997027, 0.2553673, 0.3591660, 0.5695278, 1.0225721", \
+					  "0.1550604, 0.1708557, 0.2014336, 0.2579065, 0.3623859, 0.5690255, 1.0200779", \
+					  "0.1554086, 0.1701746, 0.2010081, 0.2579056, 0.3619012, 0.5694441, 1.0204583", \
+					  "0.1547479, 0.1709527, 0.2012686, 0.2576170, 0.3620315, 0.5693535, 1.0199651", \
+					  "0.1548673, 0.1710361, 0.2012253, 0.2578876, 0.3620260, 0.5693903, 1.0207554", \
+					  "0.1551295, 0.1708381, 0.2010125, 0.2575949, 0.3619577, 0.5695441, 1.0218941", \
+					  "0.1553479, 0.1702640, 0.2012003, 0.2580203, 0.3620203, 0.5694132, 1.0207937", \
+					  "0.1547095, 0.1702103, 0.2008513, 0.2579187, 0.3623182, 0.5693369, 1.0213941", \
+					  "0.1553681, 0.1703658, 0.2013344, 0.2578987, 0.3619534, 0.5694457, 1.0207140", \
+					  "0.1551128, 0.1702796, 0.2011766, 0.2576116, 0.3620399, 0.5710258, 1.0213799", \
+					  "0.1542278, 0.1708663, 0.2013253, 0.2579879, 0.3623020, 0.5694687, 1.0217260", \
+					  "0.1546472, 0.1701708, 0.2014879, 0.2579179, 0.3620687, 0.5693644, 1.0213267");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("22.8062070, 22.8222220, 22.8510720, 22.9119030, 23.0336020, 23.2870330, 23.8217550", \
+					  "22.9540080, 22.9691660, 23.0010890, 23.0595840, 23.1840250, 23.4372570, 23.9728500", \
+					  "23.1103080, 23.1251160, 23.1553250, 23.2158880, 23.3382260, 23.5919390, 24.1267020", \
+					  "23.2658410, 23.2798300, 23.3108660, 23.3714130, 23.4937810, 23.7472730, 24.2824690", \
+					  "23.3994490, 23.4174940, 23.4445330, 23.5051300, 23.6272490, 23.8836080, 24.4184900", \
+					  "23.5242390, 23.5394140, 23.5692670, 23.6298180, 23.7521680, 24.0059010, 24.5406400", \
+					  "23.6447080, 23.6598780, 23.6897400, 23.7502870, 23.8726350, 24.1263560, 24.6611190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("0.1220486, 0.1386768, 0.1734985, 0.2487675, 0.4113758, 0.7620452, 1.5028551", \
+					  "0.1221285, 0.1386423, 0.1737238, 0.2486867, 0.4112164, 0.7621471, 1.5067454", \
+					  "0.1221894, 0.1386416, 0.1734974, 0.2487671, 0.4114782, 0.7620456, 1.5032204", \
+					  "0.1220720, 0.1386647, 0.1736014, 0.2486218, 0.4113726, 0.7621223, 1.5050010", \
+					  "0.1221943, 0.1386668, 0.1735007, 0.2487528, 0.4114991, 0.7620460, 1.5062002", \
+					  "0.1221905, 0.1386625, 0.1734948, 0.2487692, 0.4114807, 0.7620396, 1.5032033", \
+					  "0.1221868, 0.1386566, 0.1734988, 0.2487644, 0.4114722, 0.7620471, 1.5032607");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("13.7892070, 13.8070360, 13.8473440, 13.9153540, 14.0335410, 14.2377740, 14.6142160", \
+					  "13.9227230, 13.9425730, 13.9816380, 14.0493810, 14.1681190, 14.3710930, 14.7467230", \
+					  "14.0366950, 14.0532400, 14.0935760, 14.1596420, 14.2796590, 14.4811780, 14.8569920", \
+					  "14.1245570, 14.1431450, 14.1806000, 14.2493570, 14.3671650, 14.5711190, 14.9469770", \
+					  "14.1950010, 14.2147440, 14.2516650, 14.3214290, 14.4377960, 14.6427030, 15.0187350", \
+					  "14.2578070, 14.2776550, 14.3158500, 14.3844360, 14.5019480, 14.7058220, 15.0819550", \
+					  "14.3182310, 14.3372430, 14.3762200, 14.4439590, 14.5623470, 14.7655080, 15.1415910");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0211404, 0.0446917, 0.0944801, 0.199735, 0.422248, 0.892649");
+					values("0.1538611, 0.1692277, 0.2001940, 0.2567654, 0.3603814, 0.5691417, 1.0187209", \
+					  "0.1540898, 0.1698666, 0.2003012, 0.2565892, 0.3606703, 0.5689992, 1.0188598", \
+					  "0.1537954, 0.1690864, 0.2002436, 0.2568076, 0.3611832, 0.5698794, 1.0183245", \
+					  "0.1537919, 0.1690640, 0.2001928, 0.2554070, 0.3604577, 0.5703926, 1.0188642", \
+					  "0.1538704, 0.1689287, 0.2002406, 0.2567513, 0.3611762, 0.5697795, 1.0180780", \
+					  "0.1545862, 0.1692583, 0.2002363, 0.2566621, 0.3611925, 0.5688304, 1.0181722", \
+					  "0.1537798, 0.1701513, 0.1999966, 0.2565737, 0.3609004, 0.5692764, 1.0183556");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.493460;
+			max_transition : 3.767136;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.8784079, 0.8783958, 0.8831817, 0.8883250, 0.8921219, 0.8941105, 0.8878864", \
+					  "-0.1691315, -0.1669803, -0.1623653, -0.1568023, -0.1507822, -0.1485924, -0.1601734", \
+					  "-0.1220167, -0.1203873, -0.1181214, -0.1124820, -0.1092670, -0.1057950, -0.1210638", \
+					  "-0.0037188, -0.0025659, 0.0001290, -0.0099659, -0.0455550, -0.0573958, -0.0747673", \
+					  "0.0391142, 0.0407814, 0.0438474, 0.0460965, 0.0496118, 0.0142585, -0.0339212", \
+					  "0.0666849, 0.0751605, 0.0796307, 0.0803422, 0.0838977, 0.0890675, -0.0131266", \
+					  "0.1001000, 0.1090188, 0.1081826, 0.1117553, 0.1079005, 0.1156063, 0.0759464");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02301613, 0.05297424, 0.1219262, 0.280627, 0.6458948, 1.4866");
+					values("0.9003416, 0.9051028, 0.9122995, 0.9250055, 0.9417065, 0.9519496, 0.9567995", \
+					  "1.9502186, 1.9538017, 1.9628667, 1.9759069, 1.9915074, 2.0020285, 2.0069857", \
+					  "1.9238318, 1.9325498, 1.9467869, 1.9679230, 1.9852126, 1.9976642, 2.0034527", \
+					  "1.9182800, 1.9191684, 1.9174705, 1.9242576, 1.9241542, 1.9548178, 2.0007140", \
+					  "1.9203838, 1.9208309, 1.9243550, 1.9253232, 1.9320372, 1.9371778, 1.9631653", \
+					  "1.9246683, 1.9220495, 1.9360535, 1.9268823, 1.9435937, 1.9362381, 1.9513067", \
+					  "1.9252865, 1.9244606, 1.9363183, 1.9288744, 1.9443145, 1.9513614, 1.9523723");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("0.8093083, 0.8107313, 0.8147332, 0.8189311, 0.8237595, 0.8237968, 0.8164120", \
+					  "-0.2970917, -0.2986923, -0.2959090, -0.2868440, -0.2826880, -0.2807474, -0.2958089", \
+					  "-0.2603330, -0.2643287, -0.2831596, -0.2751605, -0.2742826, -0.2715274, -0.2949700", \
+					  "-0.1916620, -0.1892998, -0.2016710, -0.1956956, -0.1843846, -0.2586785, -0.2645532", \
+					  "-0.1821061, -0.1797931, -0.1920359, -0.1877469, -0.1755247, -0.1721713, -0.2665921", \
+					  "-0.1811398, -0.1708305, -0.1803947, -0.1762081, -0.1737625, -0.1611774, -0.1882108", \
+					  "-0.1674512, -0.1569844, -0.1728393, -0.1655505, -0.1634107, -0.1477674, -0.1600778");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.0007918, 1.0075776, 1.0170141, 1.0350357, 1.0572579, 1.0737287, 1.0828562", \
+					  "2.0602430, 2.0621495, 2.0736256, 2.0864040, 2.1096783, 2.1256022, 2.1363441", \
+					  "2.0510614, 2.0575859, 2.0794391, 2.0978230, 2.1077812, 2.1247936, 2.1339230", \
+					  "2.0324864, 2.0393186, 2.0686816, 2.0912477, 2.1026362, 2.1210694, 2.1316447", \
+					  "2.0120101, 2.0125759, 2.0326165, 2.0295746, 2.0522351, 2.1095092, 2.1330990", \
+					  "2.0413419, 2.0427646, 2.0591903, 2.0631051, 2.0546819, 2.0594930, 2.1277802", \
+					  "2.0484925, 2.0494661, 2.0645887, 2.0698458, 2.0735774, 2.0749789, 2.0762511");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("0.8662532, 0.8682846, 0.8714394, 0.8754746, 0.8811456, 0.8811785, 0.8812911", \
+					  "-0.0797820, -0.0780876, -0.0718977, -0.0668875, -0.0612830, -0.0621761, -0.0745373", \
+					  "0.1030620, 0.1043112, 0.1071952, 0.1004397, 0.1141235, 0.1174593, 0.0994061", \
+					  "0.3500117, 0.3507239, 0.3505897, 0.3441678, 0.3185129, 0.2812451, 0.2648269", \
+					  "0.5016236, 0.4956509, 0.4967096, 0.4970523, 0.5127877, 0.4881039, 0.4355802", \
+					  "0.6457721, 0.6415528, 0.6466486, 0.6414702, 0.6554300, 0.6580013, 0.5605769", \
+					  "0.7860955, 0.7845234, 0.7844139, 0.7803586, 0.7953272, 0.7941608, 0.7759460");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.0584382, 1.0643341, 1.0730331, 1.0896634, 1.1075684, 1.1204368, 1.1276196", \
+					  "2.1147344, 2.1207328, 2.1301795, 2.1424631, 2.1638466, 2.1745041, 2.1865424", \
+					  "2.0959113, 2.1029008, 2.1116949, 2.1273452, 2.1472153, 2.1609650, 2.1684687", \
+					  "2.0513242, 2.0640544, 2.0525281, 2.0560079, 2.1005643, 2.1529516, 2.1656166", \
+					  "2.0745124, 2.0888241, 2.0779606, 2.0823746, 2.0887055, 2.0894042, 2.1716233", \
+					  "2.0755773, 2.0895017, 2.0811506, 2.0860739, 2.0999743, 2.0886656, 2.1151418", \
+					  "2.0770026, 2.0927984, 2.0810033, 2.0874587, 2.1033245, 2.0942545, 2.1095465");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.2207017, 1.2350815, 1.2596703, 1.3192654, 1.6040064, 1.6085699, 1.6146329", \
+					  "1.2127255, 1.2274816, 1.2575281, 1.3080545, 1.5969405, 1.6106457, 1.6152383", \
+					  "1.2132280, 1.2271496, 1.2599629, 1.3106468, 1.5935551, 1.6072740, 1.6081528", \
+					  "1.2154439, 1.2258691, 1.2554102, 1.3083149, 1.5926499, 1.6040382, 1.6055048", \
+					  "1.2108410, 1.2270994, 1.2525719, 1.3072528, 1.5922249, 1.6063652, 1.5972760", \
+					  "1.2089671, 1.2261645, 1.2592638, 1.3124603, 1.5923625, 1.6034004, 1.6029167", \
+					  "1.2110321, 1.2261955, 1.2592889, 1.3104159, 1.5921940, 1.6019033, 1.6066355");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.1222072, 0.2814896, 0.6483776, 1.49346");
+					values("1.0962457, 1.1017081, 1.1104320, 1.1227091, 1.1536270, 1.1708410, 1.1860397", \
+					  "1.0876441, 1.0937646, 1.1062846, 1.1215926, 1.1442867, 1.1672825, 1.1815452", \
+					  "1.0874963, 1.0940915, 1.0976480, 1.1188628, 1.1406172, 1.1637994, 1.1758366", \
+					  "1.0838900, 1.0982333, 1.1014252, 1.1181939, 1.1425124, 1.1632824, 1.1776779", \
+					  "1.0880112, 1.0875493, 1.1056093, 1.1173650, 1.1394322, 1.1604888, 1.1828738", \
+					  "1.0870530, 1.0869425, 1.1018181, 1.1173995, 1.1412007, 1.1636413, 1.1784424", \
+					  "1.0837568, 1.0873188, 1.0966840, 1.1171930, 1.1423467, 1.1655198, 1.1830410");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.3206701, 5.3650617, 5.4527365, 5.6332672, 5.9704135, 6.6340813, 8.0520675", \
+					  "7.4780342, 7.5213471, 7.6127495, 7.7939442, 8.1317841, 8.7956673, 10.2138740", \
+					  "9.1455972, 9.1908063, 9.2783310, 9.4560448, 9.7957136, 10.4613210, 11.8746370", \
+					  "9.5135739, 9.5568788, 9.6467012, 9.8242730, 10.1632480, 10.8272780, 12.2460240", \
+					  "11.6162030, 11.6616570, 11.7508680, 11.9251800, 12.2690120, 12.9324380, 14.3458240", \
+					  "13.3037310, 13.3478770, 13.4384070, 13.6162630, 13.9530100, 14.6178170, 16.0299930", \
+					  "14.5592600, 14.6113550, 14.6914520, 14.8686450, 15.2118940, 15.8844800, 17.3010190", \
+					  "16.3049840, 16.3854830, 16.4728730, 16.6645280, 16.9857590, 17.6539320, 19.0493360", \
+					  "39.1036710, 39.1946670, 39.3723810, 39.3723813, 39.8651390, 40.5304980, 41.8985520", \
+					  "52.5136340, 52.5136357, 52.6278650, 52.8108930, 53.1030720, 53.8314030, 55.2486770", \
+					  "106.0462700, 106.0918700, 106.1165400, 106.2913400, 106.7641800, 107.3680200, 108.7794500", \
+					  "284.2914700, 284.2914887, 284.4068900, 284.6011000, 284.9423900, 285.4096700, 286.8024800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4905873, 0.5207735, 0.5940365, 0.7458026, 1.0792521, 1.8547512, 3.7423389", \
+					  "0.4844312, 0.5176160, 0.5943286, 0.7459442, 1.0773913, 1.8584826, 3.7496126", \
+					  "0.4870648, 0.5175437, 0.5916225, 0.7445307, 1.0804737, 1.8615314, 3.7399221", \
+					  "0.4880842, 0.5242125, 0.5941341, 0.7404283, 1.0769978, 1.8574537, 3.7296766", \
+					  "0.4845994, 0.5194351, 0.5921734, 0.7432066, 1.0788378, 1.8547562, 3.7362313", \
+					  "0.4860570, 0.5228069, 0.5945638, 0.7446210, 1.0780643, 1.8566155, 3.7419272", \
+					  "0.4873867, 0.5181848, 0.5889997, 0.7425413, 1.0803508, 1.8594755, 3.7515369", \
+					  "0.4856824, 0.5178452, 0.5945948, 0.7455309, 1.0788244, 1.8503216, 3.7495762", \
+					  "0.4854670, 0.5242011, 0.5952607, 0.7468026, 1.0777177, 1.8557093, 3.7421315", \
+					  "0.4856931, 0.5174776, 0.5959271, 0.7458913, 1.0778338, 1.8549632, 3.7406269", \
+					  "0.4870988, 0.5205524, 0.5918258, 0.7468027, 1.0802785, 1.8530992, 3.7483741", \
+					  "0.4846839, 0.5191984, 0.5926505, 0.7462106, 1.0773864, 1.8514406, 3.7505434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.6706807, 4.7050071, 4.7797841, 4.9280815, 5.1995510, 5.6768235, 6.5947350", \
+					  "6.7070167, 6.7412254, 6.8156081, 6.9651147, 7.2365274, 7.7151618, 8.6310319", \
+					  "8.1887315, 8.2235259, 8.2881622, 8.4468912, 8.7176959, 9.1941752, 10.1112970", \
+					  "8.4946281, 8.5293141, 8.6148253, 8.7520107, 9.0240375, 9.5020152, 10.4166220", \
+					  "10.2067890, 10.2387450, 10.3198400, 10.4669050, 10.7374690, 11.2182270, 12.1322240", \
+					  "11.5014560, 11.5061810, 11.6104210, 11.7545080, 12.0217890, 12.5068670, 13.4062410", \
+					  "12.4195140, 12.4564840, 12.5228800, 12.6806880, 12.9397390, 13.4266540, 14.3357790", \
+					  "13.6456230, 13.6717020, 13.7509910, 13.8839580, 14.1715640, 14.6713220, 15.5727320", \
+					  "26.8308720, 26.8841340, 26.9677880, 27.1063010, 27.3682180, 27.8670380, 28.7818320", \
+					  "33.5945990, 33.6175180, 33.7125670, 33.8370190, 34.1540450, 34.6260940, 35.5391560", \
+					  "58.3081880, 58.3725140, 58.4511960, 58.6118390, 58.8605500, 59.3299670, 60.2702170", \
+					  "134.5140700, 134.5524700, 134.6312500, 134.7539200, 135.0759600, 135.5444700, 136.4575300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3824361, 0.4121372, 0.4743439, 0.5940682, 0.8182191, 1.2794913, 2.3469464", \
+					  "0.3850999, 0.4139359, 0.4744014, 0.5936447, 0.8205452, 1.2780355, 2.3482534", \
+					  "0.3838153, 0.4118602, 0.4725623, 0.5943233, 0.8191033, 1.2746367, 2.3499156", \
+					  "0.3822358, 0.4118805, 0.4721145, 0.5951050, 0.8204684, 1.2738790, 2.3456892", \
+					  "0.3848027, 0.4135434, 0.4742105, 0.5929955, 0.8199275, 1.2759480, 2.3499624", \
+					  "0.3846088, 0.4115605, 0.4725325, 0.5935615, 0.8176368, 1.2776069, 2.3466320", \
+					  "0.3821690, 0.4122253, 0.4745371, 0.5938821, 0.8202556, 1.2790252, 2.3458275", \
+					  "0.3846203, 0.4116015, 0.4720465, 0.5955070, 0.8196636, 1.2789311, 2.3513815", \
+					  "0.3839518, 0.4120742, 0.4739657, 0.5939383, 0.8195880, 1.2783689, 2.3501918", \
+					  "0.3830083, 0.4115617, 0.4718023, 0.5949415, 0.8207267, 1.2772841, 2.3436644", \
+					  "0.3825713, 0.4115232, 0.4721341, 0.5943114, 0.8205311, 1.2783159, 2.3501578", \
+					  "0.3848979, 0.4126545, 0.4738618, 0.5947767, 0.8214338, 1.2783030, 2.3504263");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0711284, 5.1149920, 5.2036775, 5.3802527, 5.7173133, 6.3819274, 7.7985511", \
+					  "6.8391901, 6.8819586, 6.9713528, 7.1482438, 7.4858280, 8.1492821, 9.5643440", \
+					  "7.8792510, 7.9233002, 8.0125213, 8.1890530, 8.5268566, 9.1916288, 10.6054370", \
+					  "8.0896774, 8.1328677, 8.2231493, 8.3978069, 8.7362713, 9.3987769, 10.8147300", \
+					  "9.1736405, 9.2180654, 9.3067420, 9.4836352, 9.8177892, 10.4857900, 11.9034250", \
+					  "9.9463220, 9.9894777, 10.0789830, 10.2543030, 10.5891900, 11.2561100, 12.6746430", \
+					  "10.4773560, 10.5178650, 10.6084640, 10.7851340, 11.1188620, 11.7850080, 13.2049160", \
+					  "11.1589930, 11.2082320, 11.2969510, 11.4765820, 11.8078360, 12.4740590, 13.8902820", \
+					  "19.4765930, 19.5504950, 19.6503560, 19.7953160, 20.1507700, 20.8094790, 22.1850910", \
+					  "26.3920050, 26.4489640, 26.5136750, 26.7380640, 27.0385010, 27.7690280, 29.0918290", \
+					  "55.8807830, 55.9237280, 55.9237309, 56.0689100, 56.1853500, 56.8497360, 58.2353720", \
+					  "135.3174600, 135.5121400, 135.5121537, 135.7871300, 135.9698000, 136.6187100, 138.2028500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4844470, 0.5138162, 0.5874848, 0.7355237, 1.0721692, 1.8505913, 3.7474099", \
+					  "0.4846996, 0.5157669, 0.5859075, 0.7394448, 1.0714519, 1.8549062, 3.7462482", \
+					  "0.4842629, 0.5151118, 0.5867495, 0.7374117, 1.0699152, 1.8503801, 3.7266137", \
+					  "0.4842541, 0.5138430, 0.5864859, 0.7378297, 1.0710468, 1.8542833, 3.7333852", \
+					  "0.4818848, 0.5143300, 0.5832514, 0.7379930, 1.0732655, 1.8558891, 3.7504893", \
+					  "0.4838000, 0.5149448, 0.5866923, 0.7391049, 1.0738037, 1.8491025, 3.7489047", \
+					  "0.4838944, 0.5148079, 0.5864325, 0.7387840, 1.0733727, 1.8500077, 3.7376258", \
+					  "0.4817606, 0.5149666, 0.5870246, 0.7400001, 1.0729504, 1.8562567, 3.7419770", \
+					  "0.4841574, 0.5162457, 0.5867014, 0.7370067, 1.0734053, 1.8556829, 3.7479064", \
+					  "0.4837463, 0.5147481, 0.5863185, 0.7375865, 1.0731966, 1.8532069, 3.7500345", \
+					  "0.4838126, 0.5147608, 0.5867642, 0.7386010, 1.0713027, 1.8529023, 3.7428460", \
+					  "0.4842728, 0.5137782, 0.5860708, 0.7401129, 1.0710026, 1.8530582, 3.7499777");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("6.3332246, 6.3698722, 6.4489063, 6.6028436, 6.8917250, 7.3830595, 8.3189402", \
+					  "8.2141494, 8.2508089, 8.3292129, 8.4871727, 8.7722434, 9.2677643, 10.1997640", \
+					  "10.6711480, 10.7074930, 10.7858000, 10.9439320, 11.2289810, 11.7237000, 12.6570730", \
+					  "11.2009120, 11.2373620, 11.3125300, 11.4738240, 11.7588680, 12.2541890, 13.1854060", \
+					  "14.1215470, 14.1579590, 14.2302250, 14.3848280, 14.6794930, 15.1738890, 16.1075330", \
+					  "16.3313900, 16.3877600, 16.4323380, 16.6388790, 16.8735090, 17.3845920, 18.3165360", \
+					  "17.9245740, 17.9547360, 18.0415400, 18.1857340, 18.4689170, 18.9769120, 19.9094480", \
+					  "20.0847920, 20.1213340, 20.1852900, 20.3420430, 20.6438650, 21.1353050, 22.0486790", \
+					  "44.5552790, 44.5955730, 44.6665850, 44.8131420, 45.1232790, 45.6064160, 46.5411210", \
+					  "58.0682310, 58.1274870, 58.2165750, 58.3628430, 58.6280110, 59.1182040, 60.0535800", \
+					  "110.3172200, 110.3541900, 110.4332600, 110.5957700, 110.8766000, 111.3690700, 112.3302800", \
+					  "278.4969700, 278.5357100, 278.6251200, 278.7795400, 279.0586100, 279.5604200, 280.4897600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3937638, 0.4252079, 0.4918666, 0.6208461, 0.8527553, 1.3136748, 2.3756730", \
+					  "0.3941804, 0.4271262, 0.4925025, 0.6199057, 0.8523513, 1.3123038, 2.3772477", \
+					  "0.3947490, 0.4265477, 0.4940925, 0.6214237, 0.8518807, 1.3061173, 2.3724056", \
+					  "0.3947702, 0.4269749, 0.4938512, 0.6196797, 0.8525084, 1.3120308, 2.3722839", \
+					  "0.3945422, 0.4266176, 0.4938018, 0.6210343, 0.8515654, 1.3112610, 2.3669775", \
+					  "0.3939240, 0.4268820, 0.4922504, 0.6208195, 0.8519965, 1.3119342, 2.3773119", \
+					  "0.3945897, 0.4264826, 0.4936573, 0.6205327, 0.8511230, 1.3125312, 2.3757536", \
+					  "0.3949272, 0.4262217, 0.4922231, 0.6210227, 0.8507210, 1.3119140, 2.3772846", \
+					  "0.3948450, 0.4267437, 0.4935437, 0.6210798, 0.8510389, 1.3131340, 2.3764337", \
+					  "0.3948125, 0.4269648, 0.4936678, 0.6201153, 0.8508681, 1.3132154, 2.3781215", \
+					  "0.3951352, 0.4272644, 0.4926807, 0.6214173, 0.8521494, 1.3132904, 2.3781954", \
+					  "0.3956880, 0.4267972, 0.4939987, 0.6213257, 0.8520083, 1.3121120, 2.3784215");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("5.0989151, 5.1418532, 5.2315503, 5.4087739, 5.7460348, 6.4085501, 7.8263502", \
+					  "7.1790138, 7.2223254, 7.3113360, 7.4883282, 7.8257072, 8.4885441, 9.9075397", \
+					  "8.7465715, 8.7888186, 8.8788665, 9.0537622, 9.3893613, 10.0554680, 11.4712790", \
+					  "9.0908996, 9.1334771, 9.2231716, 9.3991650, 9.7377431, 10.4008970, 11.8185730", \
+					  "11.0986070, 11.1418300, 11.2312850, 11.4077540, 11.7476430, 12.4106170, 13.8281380", \
+					  "12.7201920, 12.7632910, 12.8526840, 13.0281060, 13.3756500, 14.0394580, 15.4508050", \
+					  "13.9403610, 13.9814100, 14.0703160, 14.2530740, 14.5952990, 15.2589460, 16.6707410", \
+					  "15.7055490, 15.7319850, 15.8209020, 15.9558640, 16.3380940, 17.0035610, 18.3854350", \
+					  "43.2171240, 43.2549140, 43.3443610, 43.6942360, 44.0749450, 44.5432460, 46.2007960", \
+					  "61.8661100, 62.1189780, 62.1189786, 62.1772500, 62.4697590, 63.2942160, 64.5885760", \
+					  "133.3832000, 133.3832016, 133.3832169, 133.6925700, 133.9365000, 134.3056100, 136.1086700", \
+					  "375.4876200, 375.4876252, 375.4876557, 375.5554600, 376.0860600, 376.3555600, 377.8907600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.4835758, 0.5151943, 0.5863185, 0.7387592, 1.0734725, 1.8535862, 3.7492534", \
+					  "0.4834919, 0.5147715, 0.5864545, 0.7392456, 1.0729616, 1.8542395, 3.7471937", \
+					  "0.4836772, 0.5109251, 0.5806039, 0.7344484, 1.0722132, 1.8566652, 3.7262944", \
+					  "0.4852915, 0.5164145, 0.5861435, 0.7380277, 1.0735310, 1.8518211, 3.7338652", \
+					  "0.4822180, 0.5148729, 0.5867602, 0.7380391, 1.0737917, 1.8562659, 3.7442658", \
+					  "0.4841389, 0.5160141, 0.5857191, 0.7379530, 1.0701050, 1.8550838, 3.7440873", \
+					  "0.4851091, 0.5149756, 0.5865207, 0.7341885, 1.0713072, 1.8544212, 3.7481320", \
+					  "0.4826861, 0.5149178, 0.5864635, 0.7376575, 1.0716095, 1.8509029, 3.7458863", \
+					  "0.4842965, 0.5148740, 0.5867446, 0.7385306, 1.0737793, 1.8556880, 3.7485495", \
+					  "0.4838442, 0.5150011, 0.5863317, 0.7364753, 1.0726601, 1.8543258, 3.7454013", \
+					  "0.4840660, 0.5149010, 0.5863943, 0.7396764, 1.0730985, 1.8531131, 3.7481711", \
+					  "0.4820883, 0.5151154, 0.5863760, 0.7401214, 1.0730929, 1.8562681, 3.7416439");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("4.7152668, 4.7516440, 4.8300466, 4.9880318, 5.2730926, 5.7678116, 6.7006090", \
+					  "6.9408159, 6.9771877, 7.0555495, 7.2136377, 7.4870119, 7.9931377, 8.9267855", \
+					  "9.0456558, 9.0821013, 9.1605044, 9.3186365, 9.5922479, 10.0981380, 11.0315700", \
+					  "9.4793897, 9.5160226, 9.5943653, 9.7523163, 10.0376590, 10.5313940, 11.4649610", \
+					  "11.9435140, 11.9799710, 12.0583880, 12.2162460, 12.4887920, 12.9961120, 13.9291720", \
+					  "13.8083760, 13.8446690, 13.9189720, 14.0808850, 14.3495560, 14.8605990, 15.7940750", \
+					  "15.1344200, 15.1878050, 15.2668830, 15.4147610, 15.6778660, 16.2043780, 17.1359900", \
+					  "16.9571490, 16.9832250, 17.0651330, 17.2069810, 17.5193340, 18.0093610, 18.9418350", \
+					  "37.1408500, 37.1760260, 37.2575820, 37.4105160, 37.7105490, 38.1936620, 39.1269060", \
+					  "48.1185540, 48.1674430, 48.2438130, 48.3805140, 48.6879910, 49.1870970, 50.1104260", \
+					  "90.1977590, 90.2229190, 90.3217060, 90.4818260, 90.7491750, 91.2730300, 92.1528880", \
+					  "227.2510900, 227.2510911, 227.3186700, 227.4568800, 227.8202800, 228.2838200, 229.2097900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0230176, 0.052981, 0.12195, 0.280699, 0.646101, 1.48717");
+					values("0.3944445, 0.4266228, 0.4915614, 0.6206251, 0.8521542, 1.3118560, 2.3775717", \
+					  "0.3946598, 0.4266335, 0.4936709, 0.6205617, 0.8514327, 1.3122710, 2.3751678", \
+					  "0.3951151, 0.4261155, 0.4931010, 0.6201868, 0.8521270, 1.3106246, 2.3670672", \
+					  "0.3939882, 0.4269004, 0.4918634, 0.6189512, 0.8498184, 1.3090058, 2.3715681", \
+					  "0.3949703, 0.4273406, 0.4929833, 0.6209693, 0.8507985, 1.3129428, 2.3779063", \
+					  "0.3948095, 0.4255888, 0.4918644, 0.6209881, 0.8519884, 1.3132082, 2.3768496", \
+					  "0.3946483, 0.4270597, 0.4914795, 0.6207195, 0.8518773, 1.3113973, 2.3752855", \
+					  "0.3946012, 0.4272861, 0.4929650, 0.6203823, 0.8504493, 1.3087463, 2.3756566", \
+					  "0.3950394, 0.4265540, 0.4924521, 0.6198095, 0.8525037, 1.3130420, 2.3779752", \
+					  "0.3947456, 0.4266220, 0.4936510, 0.6211642, 0.8517615, 1.3120047, 2.3719704", \
+					  "0.3952091, 0.4267105, 0.4923887, 0.6202767, 0.8526707, 1.3133591, 2.3781912", \
+					  "0.3950616, 0.4272566, 0.4944152, 0.6218347, 0.8523536, 1.3128564, 2.3776496");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("20.8407800, 20.8842220, 20.9747530, 21.1509050, 21.4899320, 22.1544490, 23.5794570", \
+					  "20.9886190, 21.0353870, 21.1219650, 21.2996230, 21.6383200, 22.3030230, 23.7275110", \
+					  "21.1449010, 21.1879630, 21.2782170, 21.4557860, 21.7935700, 22.4592100, 23.8836080", \
+					  "21.3022060, 21.3458550, 21.4348200, 21.6102960, 21.9481130, 22.6149520, 24.0391050", \
+					  "21.4356050, 21.4789870, 21.5688710, 21.7452260, 22.0858180, 22.7482600, 24.1740420", \
+					  "21.5588680, 21.6019270, 21.6921840, 21.8697540, 22.2095040, 22.8731740, 24.2975830", \
+					  "21.6792180, 21.7223770, 21.8126260, 21.9902070, 22.3319820, 22.9936230, 24.4180390");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("0.4934495, 0.5214477, 0.5946175, 0.7413611, 1.0732573, 1.8524632, 3.7495860", \
+					  "0.4935674, 0.5206405, 0.5929350, 0.7413625, 1.0729452, 1.8524778, 3.7470658", \
+					  "0.4934391, 0.5246516, 0.5929967, 0.7413609, 1.0712965, 1.8528328, 3.7456016", \
+					  "0.4913624, 0.5200972, 0.5933865, 0.7395279, 1.0712977, 1.8554429, 3.7494718", \
+					  "0.4926311, 0.5224228, 0.5925136, 0.7392552, 1.0713164, 1.8524535, 3.7502312", \
+					  "0.4934559, 0.5246556, 0.5929935, 0.7413611, 1.0713255, 1.8528289, 3.7451353", \
+					  "0.4934587, 0.5246575, 0.5929904, 0.7413595, 1.0713508, 1.8528260, 3.7449217");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("17.4744970, 17.5243180, 17.6299120, 17.8466310, 18.2418540, 18.8864320, 19.9817760", \
+					  "17.6074070, 17.6578820, 17.7627160, 17.9792380, 18.3741590, 19.0168450, 20.1196640", \
+					  "17.7186300, 17.7699030, 17.8742190, 18.0904270, 18.4858990, 19.1285190, 20.2314890", \
+					  "17.8081530, 17.8573190, 17.9632970, 18.1803550, 18.5752850, 19.2195270, 20.3187650", \
+					  "17.8803990, 17.9271480, 18.0359990, 18.2522230, 18.6475920, 19.2919640, 20.3886280", \
+					  "17.9431050, 17.9910290, 18.0985480, 18.3149890, 18.7100680, 19.3528110, 20.4525070", \
+					  "18.0025810, 18.0526700, 18.1580920, 18.3744020, 18.7703790, 19.4133940, 20.5141290");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0230338, 0.0530556, 0.122207, 0.28149, 0.648378, 1.49346");
+					values("0.5836524, 0.6238389, 0.7137140, 0.8916316, 1.1818810, 1.6788174, 2.7241138", \
+					  "0.5835819, 0.6244002, 0.7133418, 0.8931332, 1.1806368, 1.6816757, 2.7287328", \
+					  "0.5847176, 0.6232182, 0.7145000, 0.8901689, 1.1767667, 1.6802901, 2.7270675", \
+					  "0.5836860, 0.6236813, 0.7145879, 0.8934341, 1.1817800, 1.6787766, 2.7212892", \
+					  "0.5836767, 0.6233846, 0.7140411, 0.8909276, 1.1818674, 1.6792526, 2.7242884", \
+					  "0.5835651, 0.6233641, 0.7131474, 0.8921864, 1.1813366, 1.6823419, 2.7242311", \
+					  "0.5836879, 0.6234651, 0.7138040, 0.8911092, 1.1816760, 1.6806850, 2.7247522");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.201709;
+			max_capacitance : 551.202000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158777, 0.1158627, 0.1158647, 0.1159178, 0.1158637, 0.1158686, 0.1158829", \
+					  "0.1705320, 0.1705371, 0.1705307, 0.1705319, 0.1705334, 0.1705345, 0.1705380", \
+					  "0.2243396, 0.2243500, 0.2244190, 0.2244160, 0.2243331, 0.2243353, 0.2243331", \
+					  "0.2980576, 0.2980602, 0.2980944, 0.2981243, 0.2981424, 0.2981576, 0.2978823", \
+					  "0.3711508, 0.3711810, 0.3715809, 0.3718215, 0.3714395, 0.3716690, 0.3715357", \
+					  "0.4492502, 0.4487665, 0.4488001, 0.4489921, 0.4489655, 0.4494867, 0.4495272", \
+					  "0.5519500, 0.5519031, 0.5507894, 0.5500418, 0.5503040, 0.5503718, 0.5504914");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.7001227, 5.6986200, 5.6983178, 5.6969287, 5.6514035, 5.4248135, -0.3681003", \
+					  "5.6990209, 5.6991593, 5.6984563, 5.7004330, 5.6595282, 5.4376003, -0.3541512", \
+					  "5.6993306, 5.6986957, 5.6962858, 5.7004946, 5.6528726, 5.3665647, -0.3528181", \
+					  "5.6995605, 5.6981342, 5.6977057, 5.7005242, 5.6533748, 5.4691407, -0.3371529", \
+					  "5.6986354, 5.6981415, 5.6964254, 5.6990262, 5.6570819, 5.3221610, -0.3290033", \
+					  "5.6988341, 5.6979997, 5.6977933, 5.6982191, 5.6500498, 5.4503003, -0.3860097", \
+					  "5.6960994, 5.6976363, 5.6949150, 5.6970868, 5.6515803, 5.2996600, -0.3870618");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6462268, 6.6505293, 6.6453117, 6.6684325, 6.6457016, 5.5611295, -11.4779060", \
+					  "6.6081277, 6.6109791, 6.6121240, 6.6276351, 6.5930555, 5.5374477, -11.2895787", \
+					  "6.5742176, 6.5691648, 6.5801044, 6.5864235, 6.5648388, 5.4883106, -11.4687653", \
+					  "6.5438346, 6.5512180, 6.5574417, 6.5682035, 6.5335771, 5.4585196, -11.4236317", \
+					  "6.5242486, 6.5236302, 6.5302533, 6.5499260, 6.5296679, 5.4252692, -11.4148981", \
+					  "6.5008158, 6.5017773, 6.5097046, 6.5253644, 6.4064191, 5.3753732, -11.5659764", \
+					  "6.4807827, 6.4809766, 6.4814736, 6.5017521, 6.4636293, 5.3257613, -11.6786777");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9979932, 4.0090278, 4.0314331, 4.1643168, 4.6901549, 5.5462431, 6.0323906", \
+					  "3.9495644, 3.9564218, 3.9877188, 4.1118378, 4.6426532, 5.4978099, 5.9738384", \
+					  "3.9079444, 3.8963039, 3.9324322, 4.0539417, 4.5902844, 5.4466398, 5.9249971", \
+					  "3.8953509, 3.9019936, 3.9234352, 4.0524045, 4.5838804, 5.4374177, 5.9181087", \
+					  "3.8868008, 3.8912686, 3.9175584, 4.0439238, 4.5731802, 5.4323683, 5.8982574", \
+					  "3.8799046, 3.8841079, 3.9136077, 4.0362422, 4.5693501, 5.4266565, 5.8926566", \
+					  "3.8734643, 3.8796274, 3.8999709, 4.0347940, 4.5634866, 5.4232034, 5.9012919");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159533, 0.1159145, 0.1159123, 0.1159238, 0.1159144, 0.1159174, 0.1158498", \
+					  "0.1701331, 0.1702200, 0.1702230, 0.1696347, 0.1702236, 0.1702234, 0.1702155", \
+					  "0.2217243, 0.2217251, 0.2217291, 0.2210049, 0.2217296, 0.2217285, 0.2217264", \
+					  "0.2768795, 0.2768824, 0.2768846, 0.2770524, 0.2768198, 0.2768857, 0.2768826", \
+					  "0.3235550, 0.3235539, 0.3234654, 0.3229427, 0.3234086, 0.3234654, 0.3236573", \
+					  "0.3967907, 0.3967908, 0.3967911, 0.3968031, 0.3967818, 0.3967805, 0.3967074", \
+					  "0.4856540, 0.4856590, 0.4856446, 0.4848657, 0.4856306, 0.4856317, 0.4856181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5601865, 2.5567142, 2.5789131, 2.5542429, 2.5622597, 2.5111116, 2.5201265", \
+					  "2.5655528, 2.5660524, 2.5636351, 2.5656300, 2.5563239, 2.5821218, 2.5638875", \
+					  "2.5637887, 2.5625494, 2.5669006, 2.5650672, 2.5720002, 2.5652437, 2.5857505", \
+					  "2.5697681, 2.5711207, 2.5629849, 2.5696363, 2.5714096, 2.5907353, 2.5834796", \
+					  "2.5641955, 2.5653302, 2.5618028, 2.5672531, 2.5987210, 2.5869412, 2.6130798", \
+					  "2.5574589, 2.5644622, 2.5606907, 2.5643781, 2.5658192, 2.5780484, 2.5745722", \
+					  "2.5601805, 2.5630964, 2.5594650, 2.5641901, 2.5699609, 2.5761794, 2.5888366");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4689146, 6.4691579, 6.4691492, 6.4703982, 6.4262929, 6.2307436, 0.3786486", \
+					  "6.4301637, 6.4331691, 6.4323351, 6.4317631, 6.3955820, 6.1793166, 0.3465897", \
+					  "6.3966318, 6.3973144, 6.3968139, 6.3988028, 6.3607030, 6.1404370, 0.3515606", \
+					  "6.3732484, 6.3727232, 6.3729487, 6.3742588, 6.3222713, 6.0883500, 0.2791662", \
+					  "6.3495702, 6.3503001, 6.3500173, 6.3515456, 6.3033372, 6.1087281, 0.2434245", \
+					  "6.3265243, 6.3263352, 6.3266366, 6.3284112, 6.2709715, 6.0731844, 0.2495265", \
+					  "6.3029879, 6.3025694, 6.3023820, 6.3047595, 6.2660972, 6.0342580, 0.1972810");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9043312, 3.9049873, 3.9183877, 3.9755542, 4.0352208, 4.0664771, 4.0673531", \
+					  "3.8558286, 3.8603949, 3.8634029, 3.9170371, 3.9946351, 4.0133758, 4.0489268", \
+					  "3.8015091, 3.8047478, 3.8125480, 3.8648547, 3.9350663, 3.9751714, 3.9675751", \
+					  "3.7943223, 3.7980706, 3.8079651, 3.8584742, 3.9193035, 3.9642840, 3.9556434", \
+					  "3.7847063, 3.7883501, 3.7996475, 3.8509827, 3.9067567, 3.9461110, 3.9705737", \
+					  "3.7841418, 3.7804204, 3.7928740, 3.8434139, 3.9099761, 3.9238270, 3.9628843", \
+					  "3.7735850, 3.7762578, 3.7875599, 3.8387625, 3.9102964, 3.9478983, 3.9441127");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158414, 0.1158727, 0.1159095, 0.1159187, 0.1159110, 0.1159533, 0.1159107", \
+					  "0.1701185, 0.1702185, 0.1702132, 0.1702130, 0.1702275, 0.1700997, 0.1702185", \
+					  "0.2217263, 0.2217262, 0.2217962, 0.2217956, 0.2217428, 0.2215870, 0.2217257", \
+					  "0.2768857, 0.2769271, 0.2768820, 0.2768882, 0.2768817, 0.2768283, 0.2768317", \
+					  "0.3234640, 0.3231916, 0.3240531, 0.3234651, 0.3239464, 0.3235585, 0.3234674", \
+					  "0.3965200, 0.3967890, 0.3968011, 0.3967827, 0.3967924, 0.3969741, 0.3966988", \
+					  "0.4856209, 0.4856490, 0.4848368, 0.4856389, 0.4848531, 0.4856127, 0.4856271");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5757995, 2.5635625, 2.5702835, 2.5624640, 2.6090268, 2.6504101, 2.6189373", \
+					  "2.5634994, 2.5623139, 2.5621200, 2.5650938, 2.5777852, 2.5679860, 2.5796026", \
+					  "2.5638141, 2.5645797, 2.5590577, 2.5698715, 2.5632286, 2.5846847, 2.5783970", \
+					  "2.5628691, 2.5629409, 2.5615076, 2.5651991, 2.5684792, 2.5761081, 2.5781191", \
+					  "2.5633127, 2.5624874, 2.5612905, 2.5647282, 2.5478995, 2.5909397, 2.5922141", \
+					  "2.5613304, 2.5616756, 2.5484930, 2.5647814, 2.5657431, 2.5804145, 2.5889314", \
+					  "2.5591620, 2.5629639, 2.5592004, 2.5502705, 2.5661853, 2.5876017, 2.5657468");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3063564, 3.3149940, 3.3302112, 3.3970057, 3.8554267, 5.1949429, 5.4163409", \
+					  "3.2591709, 3.2604650, 3.2706780, 3.3417988, 3.8039981, 5.1378752, 5.3711491", \
+					  "3.2081003, 3.2093192, 3.2197167, 3.2901404, 3.7499471, 5.0847439, 5.2946758", \
+					  "3.2000815, 3.2023428, 3.2133483, 3.2885991, 3.7456790, 5.0794155, 5.3062822", \
+					  "3.1936760, 3.1954134, 3.2073691, 3.2798122, 3.7386528, 5.0713112, 5.3043579", \
+					  "3.1863683, 3.1882730, 3.2003889, 3.2730617, 3.7315532, 5.0683238, 5.2808222", \
+					  "3.1807721, 3.1831853, 3.1923791, 3.2651742, 3.7242373, 5.0610812, 5.2660747");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1546533, 0.1546563, 0.1546684, 0.1544717, 0.1544806, 0.1544707, 0.1544720", \
+					  "0.1796294, 0.1796406, 0.1796391, 0.1796240, 0.1796142, 0.1796222, 0.1796133", \
+					  "0.2134353, 0.2134347, 0.2134367, 0.2135341, 0.2135231, 0.2134631, 0.2135232", \
+					  "0.2751944, 0.2751159, 0.2751897, 0.2751735, 0.2751749, 0.2751723, 0.2751749", \
+					  "0.3971596, 0.3972171, 0.3972167, 0.3970526, 0.3972500, 0.3971786, 0.3972628", \
+					  "0.6548443, 0.6548488, 0.6548465, 0.6547891, 0.6547640, 0.6547729, 0.6547792", \
+					  "0.7892282, 0.7892233, 0.7892146, 0.7888465, 0.7888191, 0.7885773, 0.7885285");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158518, 0.1158218, 0.1158546, 0.1158638, 0.1158678, 0.1158592, 0.1158714", \
+					  "0.1705239, 0.1704672, 0.1705258, 0.1705329, 0.1705279, 0.1705391, 0.1705321", \
+					  "0.2244145, 0.2243451, 0.2243461, 0.2243434, 0.2244680, 0.2243311, 0.2243299", \
+					  "0.2981443, 0.2980574, 0.2980691, 0.2983365, 0.2985859, 0.2981555, 0.2981572", \
+					  "0.3712263, 0.3711787, 0.3712005, 0.3715635, 0.3719826, 0.3716493, 0.3715338", \
+					  "0.4487570, 0.4487674, 0.4487996, 0.4489558, 0.4492954, 0.4496335, 0.4497318", \
+					  "0.5519706, 0.5519089, 0.5519730, 0.5510157, 0.5496461, 0.5506574, 0.5504693");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8669663, 6.8760957, 6.8987746, 7.0219391, 7.0747324, 6.1292612, -0.1803135", \
+					  "6.8698192, 6.8760920, 6.8974950, 7.0225672, 7.0779465, 6.1980683, -0.0852122", \
+					  "6.8693088, 6.8748352, 6.9000355, 7.0240913, 7.0691472, 6.1315309, -0.0618516", \
+					  "6.8703176, 6.8739150, 6.8991201, 7.0225708, 7.0759725, 6.1424320, -0.4327864", \
+					  "6.8684870, 6.8797484, 6.8986994, 7.0196360, 7.0724957, 6.2171933, -0.0617854", \
+					  "6.8647079, 6.8739458, 6.8995256, 7.0230691, 7.0735478, 6.1705045, -0.0645288", \
+					  "6.8708953, 6.8723885, 6.8976057, 7.0223514, 7.0688684, 6.1345559, -0.4535488");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.6391783, 3.6421351, 3.6503022, 3.7097837, 3.8144660, 5.0095114, 5.8746783", \
+					  "3.5867735, 3.5893317, 3.5985735, 3.6549312, 3.7634147, 4.9577714, 5.8049357", \
+					  "3.5349658, 3.5387592, 3.5487543, 3.6055426, 3.7156191, 4.9072062, 5.7367007", \
+					  "3.5283979, 3.5311062, 3.5428451, 3.5913796, 3.7065657, 4.8997341, 5.7534757", \
+					  "3.5206432, 3.5249432, 3.5360311, 3.5926277, 3.6985716, 4.8938425, 5.7228287", \
+					  "3.5142488, 3.5184251, 3.5291396, 3.5873509, 3.6879510, 4.8861262, 5.7422361", \
+					  "3.5076137, 3.5117311, 3.5224760, 3.5791277, 3.6901075, 4.8799413, 5.7010389");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1544758, 0.1544649, 0.1544675, 0.1544655, 0.1544618, 0.1543478, 0.1544861", \
+					  "0.1796125, 0.1796157, 0.1796280, 0.1796121, 0.1796130, 0.1795935, 0.1796663", \
+					  "0.2135670, 0.2134751, 0.2135267, 0.2135100, 0.2134991, 0.2134968, 0.2135354", \
+					  "0.2750403, 0.2750314, 0.2750221, 0.2750156, 0.2750068, 0.2750060, 0.2749984", \
+					  "0.3981579, 0.3981583, 0.3981395, 0.3981723, 0.3982246, 0.3977631, 0.3981900", \
+					  "0.6639754, 0.6639156, 0.6638874, 0.6637797, 0.6637085, 0.6637564, 0.6635677", \
+					  "0.8143477, 0.8143357, 0.8139158, 0.8141925, 0.8132190, 0.8125451, 0.8121478");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5535837, 5.5485318, 5.5500215, 5.5059859, 5.4354855, 4.0733277, -13.0992741", \
+					  "5.5420315, 5.5386618, 5.5354278, 5.5082703, 5.3510623, 4.1418837, -13.1859612", \
+					  "5.5440989, 5.5394373, 5.5396472, 5.5093069, 5.3498355, 4.1262973, -12.7001924", \
+					  "5.5437763, 5.5383306, 5.5356578, 5.5088711, 5.3511730, 4.1755396, -13.0155193", \
+					  "5.5375373, 5.5372008, 5.5344499, 5.5090575, 5.3487864, 4.0843924, -12.8730931", \
+					  "5.5388926, 5.5381935, 5.5319940, 5.5085072, 5.3395755, 4.1838858, -12.8561980", \
+					  "5.5398337, 5.5370755, 5.5347102, 5.5083871, 5.3482756, 4.1200645, -12.9238699");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158539, 0.1158537, 0.1158761, 0.1158534, 0.1158604, 0.1158501, 0.1158755", \
+					  "0.1705271, 0.1705255, 0.1705325, 0.1705273, 0.1705369, 0.1704951, 0.1705664", \
+					  "0.2244231, 0.2246241, 0.2244305, 0.2245630, 0.2243587, 0.2245952, 0.2243958", \
+					  "0.2980671, 0.2978737, 0.2980917, 0.2980774, 0.2980697, 0.2980898, 0.2981202", \
+					  "0.3716644, 0.3717182, 0.3716750, 0.3718159, 0.3710272, 0.3717825, 0.3713383", \
+					  "0.4487694, 0.4487690, 0.4487823, 0.4488175, 0.4488221, 0.4488273, 0.4488980", \
+					  "0.5491896, 0.5491714, 0.5495171, 0.5500201, 0.5499814, 0.5490982, 0.5500366");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3112825, 6.3208594, 6.3072661, 6.2842234, 6.1330405, 4.9776101, -12.2990960", \
+					  "6.2795242, 6.2787978, 6.2719252, 6.2464109, 6.0897906, 4.8984072, -12.2302337", \
+					  "6.2415007, 6.2388452, 6.2360731, 6.2121847, 6.0399900, 4.8362450, -12.3132683", \
+					  "6.2174966, 6.2197279, 6.2104610, 6.1888407, 5.9861739, 4.8224299, -12.2097067", \
+					  "6.1916392, 6.1959327, 6.1883472, 6.1626386, 6.0101251, 4.8151045, -12.2041391", \
+					  "6.1697916, 6.1696415, 6.1647427, 6.1403520, 5.9876786, 4.7706443, -12.4763014", \
+					  "6.1466030, 6.1448951, 6.1464538, 6.1185664, 5.9592169, 4.7811397, -12.5061427");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1294335, 3.1295816, 3.1129111, 3.1492590, 3.1003593, 3.1975942, 3.1640245", \
+					  "3.0769356, 3.0763571, 3.0759167, 3.0460725, 3.0856695, 3.1024684, 3.0960896", \
+					  "3.0244024, 3.0253372, 3.0279944, 3.0121195, 3.0310952, 3.0405618, 3.0312928", \
+					  "3.0173013, 3.0184716, 3.0147016, 3.0020332, 3.0290267, 3.0319292, 3.0237213", \
+					  "3.0154455, 3.0131529, 3.0096223, 3.0296577, 3.0215915, 3.0216576, 3.0230701", \
+					  "3.0039289, 3.0034597, 3.0067879, 3.0126836, 3.0105464, 3.0096578, 3.0232297", \
+					  "3.0020000, 3.0022524, 2.9960917, 2.9943934, 2.9788618, 2.9874521, 3.0253123");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159126, 0.1159139, 0.1159109, 0.1159378, 0.1159242, 0.1159408, 0.1159054", \
+					  "0.1702155, 0.1702199, 0.1702452, 0.1702160, 0.1702137, 0.1702141, 0.1702105", \
+					  "0.2217986, 0.2218036, 0.2217274, 0.2217974, 0.2217262, 0.2217949, 0.2217885", \
+					  "0.2768852, 0.2768886, 0.2768857, 0.2768860, 0.2768832, 0.2768860, 0.2768740", \
+					  "0.3240683, 0.3240737, 0.3234638, 0.3234759, 0.3234733, 0.3240601, 0.3240536", \
+					  "0.3967844, 0.3967881, 0.3967672, 0.3967625, 0.3967559, 0.3967727, 0.3967619", \
+					  "0.4849117, 0.4847290, 0.4854466, 0.4854817, 0.4854491, 0.4847072, 0.4848894");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2307990, 3.2335003, 3.2431484, 3.2985980, 3.3983858, 4.5984376, 5.4359495", \
+					  "3.2267128, 3.2292136, 3.2415199, 3.3001423, 3.4032814, 4.6015433, 5.4537271", \
+					  "3.2248729, 3.2302809, 3.2415287, 3.3001265, 3.4028963, 4.6037656, 5.4344280", \
+					  "3.2266426, 3.2274640, 3.2408808, 3.2982316, 3.4018970, 4.5942719, 5.4596439", \
+					  "3.2240793, 3.2291536, 3.2387355, 3.2987593, 3.4064182, 4.5930237, 5.4501176", \
+					  "3.2252379, 3.2281136, 3.2403250, 3.2972001, 3.4029783, 4.5989656, 5.4532640", \
+					  "3.2247761, 3.2284567, 3.2382308, 3.2966476, 3.4041317, 4.5956983, 5.4199322");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3062630, 6.3136824, 6.3070445, 6.2834063, 6.1326497, 4.9346939, -12.3376110", \
+					  "6.2734982, 6.2740490, 6.2755439, 6.2472310, 6.0961505, 4.8993210, -12.3167097", \
+					  "6.2411221, 6.2389849, 6.2373803, 6.2123664, 6.0622625, 4.8813977, -12.3576543", \
+					  "6.2192938, 6.2197132, 6.2110899, 6.1877028, 6.0363954, 4.7885845, -12.0864077", \
+					  "6.1917173, 6.1933705, 6.1897718, 6.1640216, 6.0100015, 4.8208044, -12.4253791", \
+					  "6.1698501, 6.1696780, 6.1643784, 6.1416093, 5.9875936, 4.7742790, -12.3944214", \
+					  "6.1455834, 6.1446011, 6.1427354, 6.1226434, 5.9746104, 4.7348920, -12.1935217");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1408078, 3.1295391, 3.1382526, 3.1114689, 2.9709658, 3.1602246, 3.1138075", \
+					  "3.0770256, 3.0764297, 3.0941367, 3.0655125, 3.0857593, 3.0724921, 3.0944281", \
+					  "3.0202272, 3.0252937, 3.0277817, 3.0517900, 3.0513360, 3.0405998, 3.0406796", \
+					  "3.0179230, 3.0179815, 3.0145863, 3.0249111, 3.0249391, 3.0356974, 3.0324502", \
+					  "3.0122159, 3.0131273, 3.0116357, 3.0158122, 3.0213176, 3.0298000, 3.0399145", \
+					  "3.0039100, 3.0034386, 3.0045996, 3.0169242, 3.0112614, 3.0262533, 3.0135278", \
+					  "3.0136493, 3.0137488, 2.9960520, 3.0154864, 2.9687180, 2.9651718, 3.0434586");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4050542, 6.4039648, 6.4040386, 6.4016477, 6.3610044, 6.1213159, 0.3214666", \
+					  "6.3686630, 6.3668146, 6.3668966, 6.3679738, 6.3306656, 6.0575473, 0.3322483", \
+					  "6.3321721, 6.3318333, 6.3307747, 6.3343600, 6.2939661, 6.0212151, 0.2942125", \
+					  "6.3078957, 6.3064398, 6.3074065, 6.3096612, 6.2619724, 6.0305628, 0.2336125", \
+					  "6.2840297, 6.2850359, 6.2840354, 6.2855832, 6.2433596, 6.0369036, 0.2075867", \
+					  "6.2613889, 6.2609613, 6.2598779, 6.2621808, 6.2228576, 5.9837303, 0.2203182", \
+					  "6.2384501, 6.2365827, 6.2367644, 6.2400304, 6.2002615, 5.9149636, 0.1741857");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1805604, 0.1805386, 0.1804603, 0.1800401, 0.1796221, 0.1793594, 0.1793000", \
+					  "0.2044642, 0.2044027, 0.2043914, 0.2041153, 0.2034536, 0.2029135, 0.2029162", \
+					  "0.2322863, 0.2322357, 0.2322219, 0.2321360, 0.2312418, 0.2307841, 0.2306275", \
+					  "0.2868794, 0.2866462, 0.2869946, 0.2875270, 0.2867464, 0.2861043, 0.2859130", \
+					  "0.3380963, 0.3381003, 0.3377802, 0.3382599, 0.3387491, 0.3378576, 0.3376605", \
+					  "0.4557537, 0.4556011, 0.4555090, 0.4538717, 0.4517796, 0.4510647, 0.4501948", \
+					  "0.6531753, 0.6530810, 0.6526437, 0.6496777, 0.6432753, 0.6394987, 0.6385637");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.9813779, 7.9888537, 8.0209791, 8.1806066, 8.3515983, 7.6030165, 1.0135703", \
+					  "7.9420116, 7.9527834, 7.9842678, 8.1447756, 8.3154230, 7.5697027, 1.3442878", \
+					  "7.9092677, 7.9165457, 7.9492193, 8.1083194, 8.2751140, 7.4914127, 1.3141216", \
+					  "7.8859957, 7.8906526, 7.9225707, 8.0860215, 8.2545499, 7.4567428, 1.2908404", \
+					  "7.8627755, 7.8675504, 7.9023819, 8.0568920, 8.2281682, 7.4212494, 1.2675483", \
+					  "7.8368392, 7.8457929, 7.8759736, 8.0394783, 8.2087465, 7.4124616, 1.0360245", \
+					  "7.8121639, 7.8224092, 7.8535414, 8.0104419, 8.1793953, 7.3833524, 1.1942807");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.7900814, 4.7955939, 4.8261524, 5.0064795, 5.5921117, 6.4688462, 6.9530444", \
+					  "4.7355377, 4.7441593, 4.7791205, 4.9540404, 5.5407755, 6.4198969, 6.8997614", \
+					  "4.6867138, 4.6955821, 4.7307792, 4.9000379, 5.4920115, 6.3695391, 6.8427509", \
+					  "4.6790374, 4.6863805, 4.7195232, 4.9001218, 5.4875040, 6.3626130, 6.8354531", \
+					  "4.6693368, 4.6804581, 4.7135488, 4.8927136, 5.4737224, 6.3559117, 6.8206536", \
+					  "4.6660596, 4.6699688, 4.7068863, 4.8803244, 5.4665109, 6.3495073, 6.8271790", \
+					  "4.6610049, 4.6658860, 4.6990166, 4.8714742, 5.4602237, 6.3414814, 6.8121479");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159200, 0.1159212, 0.1159137, 0.1156511, 0.1159114, 0.1159187, 0.1158603", \
+					  "0.1702287, 0.1702552, 0.1702798, 0.1701743, 0.1702202, 0.1702202, 0.1702173", \
+					  "0.2217370, 0.2217355, 0.2218193, 0.2217658, 0.2217236, 0.2217978, 0.2217206", \
+					  "0.2769017, 0.2768997, 0.2768889, 0.2768907, 0.2768853, 0.2770644, 0.2769097", \
+					  "0.3234828, 0.3234796, 0.3240105, 0.3234685, 0.3234663, 0.3240599, 0.3234608", \
+					  "0.3967787, 0.3967774, 0.3967818, 0.3967623, 0.3967834, 0.3967764, 0.3967485", \
+					  "0.4855481, 0.4855457, 0.4847576, 0.4855268, 0.4855118, 0.4847411, 0.4855846");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5817751, 2.5828455, 2.5861874, 2.6273158, 2.8369478, 3.8686000, 4.4251817", \
+					  "2.5825551, 2.5811775, 2.5880782, 2.6224028, 2.8336444, 3.8664157, 4.4802424", \
+					  "2.5816418, 2.5821078, 2.5889829, 2.6219765, 2.8348713, 3.8685399, 4.4630852", \
+					  "2.5809037, 2.5818491, 2.5863386, 2.6223328, 2.8319488, 3.8745547, 4.4846218", \
+					  "2.5801439, 2.5811446, 2.5869219, 2.6216642, 2.8343622, 3.8710500, 4.4941892", \
+					  "2.5801235, 2.5810804, 2.5850759, 2.6208201, 2.8338975, 3.8661824, 4.4731509", \
+					  "2.5807697, 2.5792581, 2.5860997, 2.6206079, 2.8305968, 3.8628670, 4.4785997");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159160, 0.1158542, 0.1159169, 0.1159286, 0.1159128, 0.1159400, 0.1159787", \
+					  "0.1702275, 0.1702159, 0.1702689, 0.1701987, 0.1699521, 0.1700656, 0.1700586", \
+					  "0.2217313, 0.2217958, 0.2217992, 0.2217274, 0.2217956, 0.2217943, 0.2217933", \
+					  "0.2769315, 0.2768879, 0.2768858, 0.2768860, 0.2768811, 0.2768320, 0.2768357", \
+					  "0.3234679, 0.3240225, 0.3240536, 0.3234901, 0.3240510, 0.3233252, 0.3239998", \
+					  "0.3967314, 0.3967900, 0.3968030, 0.3967824, 0.3967900, 0.3962441, 0.3967874", \
+					  "0.4856588, 0.4856222, 0.4848747, 0.4856382, 0.4845049, 0.4855118, 0.4848516");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5557040, 2.5559987, 2.5671146, 2.5429945, 2.6085938, 2.6756254, 2.5870452", \
+					  "2.5635130, 2.5650788, 2.5621534, 2.5663979, 2.5665969, 2.5681560, 2.5857717", \
+					  "2.5634606, 2.5626674, 2.5621485, 2.5650789, 2.5649913, 2.6023709, 2.5753003", \
+					  "2.5619386, 2.5630296, 2.5613547, 2.5653450, 2.6303479, 2.5783821, 2.5950339", \
+					  "2.5647380, 2.5618898, 2.5488161, 2.5632967, 2.5586552, 2.5566529, 2.5719852", \
+					  "2.5611168, 2.5612885, 2.5633650, 2.5630716, 2.5678282, 2.5596429, 2.5860738", \
+					  "2.5645316, 2.5627596, 2.5595773, 2.5639403, 2.5815985, 2.5646344, 2.5844543");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9013947, 2.9025552, 2.9132132, 2.9785519, 3.4475878, 4.7705096, 4.9927103", \
+					  "2.9002337, 2.9009874, 2.9121909, 2.9829609, 3.4469528, 4.7747854, 4.9924446", \
+					  "2.8986285, 2.9014578, 2.9125711, 2.9829235, 3.4439546, 4.7745300, 5.0104607", \
+					  "2.8982460, 2.9007204, 2.9107857, 2.9814997, 3.4323277, 4.7784098, 5.0225908", \
+					  "2.8951868, 2.8984238, 2.9111488, 2.9824440, 3.4433193, 4.7809051, 5.0237747", \
+					  "2.8984401, 2.8990490, 2.9101102, 2.9794311, 3.4468848, 4.7831677, 4.9931425", \
+					  "2.8982953, 2.8989701, 2.9121440, 2.9818862, 3.4446213, 4.7830159, 5.0082537");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159194, 0.1158716, 0.1159184, 0.1159370, 0.1158479, 0.1159168, 0.1159175", \
+					  "0.1705925, 0.1702299, 0.1703363, 0.1702210, 0.1702214, 0.1702175, 0.1702196", \
+					  "0.2218062, 0.2216302, 0.2217736, 0.2217266, 0.2217252, 0.2216217, 0.2218094", \
+					  "0.2771801, 0.2768916, 0.2768901, 0.2764221, 0.2768776, 0.2768749, 0.2768776", \
+					  "0.3241099, 0.3234816, 0.3239170, 0.3234663, 0.3234458, 0.3240547, 0.3240570", \
+					  "0.3968184, 0.3968047, 0.3974981, 0.3967877, 0.3970208, 0.3967979, 0.3968000", \
+					  "0.4848712, 0.4856487, 0.4861201, 0.4856267, 0.4853772, 0.4848679, 0.4848137");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1159215, 0.1159570, 0.1159173, 0.1159165, 0.1159148, 0.1159173, 0.1159165", \
+					  "0.1701622, 0.1702284, 0.1702212, 0.1702193, 0.1702155, 0.1703924, 0.1702212", \
+					  "0.2215320, 0.2217348, 0.2218033, 0.2217986, 0.2217251, 0.2215470, 0.2217237", \
+					  "0.2768989, 0.2769026, 0.2769112, 0.2768973, 0.2768903, 0.2768904, 0.2768900", \
+					  "0.3240770, 0.3234847, 0.3240706, 0.3239971, 0.3234706, 0.3236523, 0.3234697", \
+					  "0.3967758, 0.3967609, 0.3967692, 0.3967667, 0.3967391, 0.3967362, 0.3967351", \
+					  "0.4847131, 0.4854845, 0.4847057, 0.4846983, 0.4854614, 0.4854558, 0.4854350");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5835428, 2.5800326, 2.5901654, 2.6271406, 2.8174447, 3.9024561, 4.5358618", \
+					  "2.5815563, 2.5821409, 2.5877811, 2.6227833, 2.8361114, 3.8626895, 4.4663576", \
+					  "2.5810676, 2.5828002, 2.5864966, 2.6230349, 2.8361388, 3.8663858, 4.4833389", \
+					  "2.5811459, 2.5811707, 2.5856712, 2.6210335, 2.8337646, 3.8631909, 4.5305607", \
+					  "2.5803345, 2.5809401, 2.5859196, 2.6216937, 2.8366798, 3.8563361, 4.4851825", \
+					  "2.5807263, 2.5803636, 2.5868408, 2.6216083, 2.8417734, 3.8611071, 4.4773225", \
+					  "2.5796018, 2.5810388, 2.5858245, 2.6210550, 2.8344459, 3.8647583, 4.4566936");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1158528, 0.1158426, 0.1159233, 0.1159158, 0.1159202, 0.1159100, 0.1158469", \
+					  "0.1702159, 0.1702207, 0.1702128, 0.1702186, 0.1701919, 0.1702029, 0.1701504", \
+					  "0.2216432, 0.2217274, 0.2217735, 0.2217259, 0.2214485, 0.2217965, 0.2217259", \
+					  "0.2768813, 0.2767942, 0.2768870, 0.2768837, 0.2768847, 0.2768809, 0.2768233", \
+					  "0.3234592, 0.3234630, 0.3240027, 0.3234776, 0.3240529, 0.3240523, 0.3234723", \
+					  "0.3967929, 0.3967953, 0.3968115, 0.3967899, 0.3970451, 0.3967954, 0.3967795", \
+					  "0.4856521, 0.4856542, 0.4848754, 0.4856276, 0.4850706, 0.4848556, 0.4856217");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.5825139, 2.5590678, 2.5634532, 2.5886802, 2.5710578, 2.6451121, 2.6097220", \
+					  "2.5650851, 2.5657636, 2.5567684, 2.5695440, 2.5710042, 2.5896755, 2.5952324", \
+					  "2.5633806, 2.5695833, 2.5623523, 2.5653278, 2.5720024, 2.5604543, 2.5916247", \
+					  "2.5633438, 2.5645910, 2.5630430, 2.5642760, 2.5855964, 2.5567338, 2.5916558", \
+					  "2.5631906, 2.5644815, 2.5619312, 2.5636592, 2.5699008, 2.6272013, 2.5842532", \
+					  "2.5607683, 2.5615631, 2.5762154, 2.5646853, 2.5475027, 2.5851191, 2.5923542", \
+					  "2.5593017, 2.5651616, 2.5602701, 2.5639906, 2.5700110, 2.5591943, 2.6025362");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.5741013, 7.5804582, 7.6035795, 7.7265922, 7.7738695, 6.8655622, 0.3436233", \
+					  "7.5365998, 7.5387032, 7.5652791, 7.6868971, 7.7455247, 6.8780597, 0.5935787", \
+					  "7.5011671, 7.5060174, 7.5323669, 7.6557500, 7.6999482, 6.7709292, 0.5695715", \
+					  "7.4763417, 7.4837264, 7.5085381, 7.6273927, 7.6884125, 6.8040973, 0.1658122", \
+					  "7.4545760, 7.4680184, 7.4814009, 7.6048430, 7.6621114, 6.7353241, 0.1411855", \
+					  "7.4306658, 7.4343989, 7.4605100, 7.5844985, 7.6332997, 6.7078577, 0.4751943", \
+					  "7.4100958, 7.4120864, 7.4400154, 7.5618953, 7.6159329, 6.7354085, 0.4386871");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1804122, 0.1806325, 0.1804486, 0.1801316, 0.1797661, 0.1793578, 0.1794629", \
+					  "0.2044261, 0.2043864, 0.2045755, 0.2040642, 0.2034308, 0.2029259, 0.2028193", \
+					  "0.2322595, 0.2322335, 0.2322397, 0.2321706, 0.2314271, 0.2307095, 0.2306342", \
+					  "0.2869466, 0.2869817, 0.2874496, 0.2871502, 0.2867238, 0.2861098, 0.2859161", \
+					  "0.3380219, 0.3386151, 0.3381506, 0.3386405, 0.3383260, 0.3378563, 0.3376630", \
+					  "0.4557480, 0.4557533, 0.4546114, 0.4536322, 0.4518781, 0.4503789, 0.4506474", \
+					  "0.6526616, 0.6542697, 0.6527122, 0.6499143, 0.6436380, 0.6395531, 0.6386524");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8668531, 6.8779289, 6.8985569, 7.0262668, 7.0754076, 6.1576470, -0.0761981", \
+					  "6.8660015, 6.8744765, 6.8983341, 7.0237538, 7.0759143, 6.2065928, -0.0576683", \
+					  "6.8659955, 6.8732475, 6.8978125, 7.0208070, 7.0736892, 6.2211823, -0.0599789", \
+					  "6.8692932, 6.8755955, 6.8967986, 7.0242769, 7.0763529, 6.1355863, -0.0564051", \
+					  "6.8708011, 6.8727431, 6.8967114, 7.0226427, 7.0677920, 6.1439099, -0.0579045", \
+					  "6.8643861, 6.8735724, 6.9000902, 7.0180748, 7.0729107, 6.2185547, -0.0659337", \
+					  "6.8647479, 6.8711507, 6.8977280, 7.0204146, 7.0704557, 6.1931432, -0.3754946");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1157807, 0.1158543, 0.1158574, 0.1158533, 0.1159118, 0.1158652, 0.1158573", \
+					  "0.1705324, 0.1705243, 0.1705559, 0.1705247, 0.1705244, 0.1705294, 0.1705264", \
+					  "0.2244209, 0.2243551, 0.2243554, 0.2244138, 0.2243336, 0.2243541, 0.2243216", \
+					  "0.2982038, 0.2979928, 0.2979748, 0.2981227, 0.2981358, 0.2979039, 0.2983845", \
+					  "0.3715205, 0.3711680, 0.3711626, 0.3719647, 0.3714320, 0.3716895, 0.3715349", \
+					  "0.4487587, 0.4486359, 0.4486586, 0.4489538, 0.4492881, 0.4495546, 0.4495234", \
+					  "0.5511342, 0.5519360, 0.5515389, 0.5501779, 0.5505719, 0.5504058, 0.5504774");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9277521, 3.9163671, 3.9179783, 3.9628577, 3.9881019, 4.0412317, 4.1570396", \
+					  "3.8530947, 3.8556543, 3.8670133, 3.9185246, 3.9927733, 4.0349692, 4.0130466", \
+					  "3.8046260, 3.8127115, 3.8161276, 3.8617890, 3.9398416, 4.0083831, 3.9842488", \
+					  "3.7928392, 3.7950791, 3.8117502, 3.8659656, 4.0039225, 3.9376231, 3.9585031", \
+					  "3.7876575, 3.7901152, 3.8038008, 3.8563968, 3.9428033, 3.9500554, 3.9367960", \
+					  "3.7833373, 3.7845994, 3.7988831, 3.8478582, 3.9352926, 3.9572343, 3.9392391", \
+					  "3.7759213, 3.7853265, 3.7899036, 3.8363941, 3.9051538, 3.9363641, 3.9745310");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6379929, 7.6456031, 7.6712111, 7.7897057, 7.8504644, 6.9769466, 0.3034625", \
+					  "7.6005695, 7.6062134, 7.6329446, 7.7573642, 7.8087857, 6.8855429, 0.6292429", \
+					  "7.5640734, 7.5737505, 7.5984122, 7.7207423, 7.7663820, 6.8392230, 0.6170759", \
+					  "7.5434729, 7.5496667, 7.5743232, 7.6966117, 7.7490159, 6.8182730, 0.5798561", \
+					  "7.5204808, 7.5243215, 7.5493873, 7.6753569, 7.7262962, 6.7921315, 0.5616045", \
+					  "7.4975984, 7.5019369, 7.5248483, 7.6481359, 7.6947185, 6.8306176, 0.5359028", \
+					  "7.4725640, 7.4776277, 7.5017447, 7.6256855, 7.6754651, 6.7513692, 0.5083858");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.8011627, 6.8026420, 6.8105012, 6.8480367, 6.9472689, 6.8252984, 1.1146030", \
+					  "6.7649588, 6.7666567, 6.7730153, 6.8128931, 6.9070700, 6.7044898, 1.1052410", \
+					  "6.7283291, 6.7309264, 6.7367868, 6.7794917, 6.8507663, 6.8255612, 1.0351499", \
+					  "6.7052250, 6.7044934, 6.7147746, 6.7552579, 6.8370111, 6.7429483, 0.9538329", \
+					  "6.6814067, 6.6840891, 6.6910249, 6.7245541, 6.8119152, 6.6678646, 0.9715951", \
+					  "6.6579688, 6.6603714, 6.6685189, 6.7072302, 6.7986553, 6.7793348, 0.9189969", \
+					  "6.6346297, 6.6383247, 6.6406657, 6.6837055, 6.7621956, 6.6569812, 0.9387732");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.9254527, 3.9288840, 3.9442667, 4.0262155, 4.2974260, 5.3481775, 5.9965894", \
+					  "3.8714303, 3.8726728, 3.8907369, 3.9743805, 4.2458747, 5.2912321, 5.8939127", \
+					  "3.8201080, 3.8223540, 3.8402646, 3.9212211, 4.1947167, 5.2668231, 5.8641647", \
+					  "3.8141381, 3.8169722, 3.8320122, 3.9165163, 4.1860290, 5.2290852, 5.8745871", \
+					  "3.8066449, 3.8092149, 3.8256423, 3.9097660, 4.1797770, 5.2168836, 5.8549327", \
+					  "3.7994642, 3.8015472, 3.8186537, 3.9008124, 4.1725559, 5.2249364, 5.8430895", \
+					  "3.7915845, 3.7934949, 3.8128375, 3.8947377, 4.1698995, 5.2143900, 5.8455240");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.6413383, 6.6401544, 6.6454080, 6.6655789, 6.6107582, 5.5639523, -11.4079770", \
+					  "6.6004962, 6.5983156, 6.6082291, 6.6276485, 6.5813292, 5.5550998, -11.5424217", \
+					  "6.5670207, 6.5785943, 6.5740072, 6.5896926, 6.5675354, 5.4403182, -11.5058833", \
+					  "6.5402914, 6.5481610, 6.5448680, 6.5619125, 6.5294732, 5.4971059, -11.5658667", \
+					  "6.5216886, 6.5212701, 6.5287905, 6.5404028, 6.5094159, 5.4650859, -11.3707191", \
+					  "6.4941758, 6.5011420, 6.5039271, 6.5179319, 6.4922932, 5.4740877, -11.5438284", \
+					  "6.4744683, 6.4747233, 6.4765647, 6.4930164, 6.4615091, 5.4118262, -11.4922607");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1497790, 3.1491028, 3.1527514, 3.1912365, 3.3776952, 4.5680073, 4.8690799", \
+					  "3.0950192, 3.0958404, 3.1077725, 3.1374981, 3.3530852, 4.3823176, 4.9422942", \
+					  "3.0443773, 3.0447596, 3.0503491, 3.0842996, 3.2880899, 4.3369732, 4.9339505", \
+					  "3.0370297, 3.0379864, 3.0453132, 3.0849371, 3.2795941, 4.3205598, 4.9383699", \
+					  "3.0302709, 3.0309639, 3.0385633, 3.0711269, 3.2739814, 4.3164788, 4.9289169", \
+					  "3.0225061, 3.0242766, 3.0292830, 3.0650625, 3.2811614, 4.3158159, 4.9427060", \
+					  "3.0167427, 3.0169418, 3.0225673, 3.0605423, 3.2577637, 4.2720834, 4.8573366");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.9600338, 2.9586933, 2.9702254, 3.0133073, 3.2267585, 3.8754839, 4.1806267", \
+					  "3.0133594, 3.0150773, 3.0206549, 3.0696780, 3.2751422, 3.9085219, 4.2322084", \
+					  "3.0661474, 3.0681978, 3.0757081, 3.1227721, 3.3281124, 3.9634572, 4.2871721", \
+					  "3.1401086, 3.1422879, 3.1480745, 3.1962195, 3.4087221, 4.0360756, 4.3740196", \
+					  "3.2127184, 3.2148733, 3.2200357, 3.2690373, 3.4752859, 4.1146145, 4.4436582", \
+					  "3.2885710, 3.2909848, 3.2997219, 3.3461120, 3.5510536, 4.1873534, 4.5132335", \
+					  "3.3907179, 3.3935577, 3.4000006, 3.4454836, 3.6546277, 4.2862393, 4.6114764");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1017856, 6.1059049, 6.1108648, 6.1337093, 6.0805557, 5.2409742, -5.1946543", \
+					  "6.1570442, 6.1584484, 6.1631794, 6.1905734, 6.1296966, 5.3012968, -5.1290361", \
+					  "6.2085208, 6.2091282, 6.2148033, 6.2421925, 6.1784504, 5.3352751, -5.0114684", \
+					  "6.2644107, 6.2636040, 6.2695971, 6.2965886, 6.2335532, 5.4004136, -4.9897529", \
+					  "6.3095269, 6.3105047, 6.3157961, 6.3430176, 6.2805782, 5.4357974, -4.9478131", \
+					  "6.3814653, 6.3834182, 6.3887093, 6.4146792, 6.3525806, 5.5241635, -4.9180605", \
+					  "6.4704210, 6.4716192, 6.4767226, 6.5040694, 6.4411095, 5.5898442, -4.8420695");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.5138072, 11.5208377, 11.5322708, 11.6045942, 11.5902651, 10.3281813, -7.2897096", \
+					  "11.4606424, 11.4642897, 11.4803046, 11.5516381, 11.5371007, 10.2540251, -7.1114126", \
+					  "11.4137508, 11.4181478, 11.4331504, 11.5041962, 11.4847548, 10.1936683, -7.1728034", \
+					  "11.3938884, 11.3994449, 11.4124390, 11.4843421, 11.4581724, 10.1762987, -7.2053865", \
+					  "11.3962417, 11.4014824, 11.4151278, 11.4851648, 11.4716455, 10.1805678, -7.2044563", \
+					  "11.4440731, 11.4484666, 11.4629753, 11.5356269, 11.5036177, 10.2500166, -7.2043696", \
+					  "11.4536508, 11.4563925, 11.4709119, 11.5442559, 11.5267252, 10.2218457, -7.1363888");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2120230, 6.2135640, 6.2305926, 6.3423915, 6.6802194, 7.8266622, 8.3338519", \
+					  "6.1282118, 6.1327527, 6.1573395, 6.2549688, 6.6525872, 7.7193413, 8.2573530", \
+					  "6.0529200, 6.0566062, 6.0785349, 6.1850072, 6.5751128, 7.6563558, 8.1803830", \
+					  "6.0583745, 6.0633354, 6.0833208, 6.1933366, 6.5899857, 7.6450955, 8.1947373", \
+					  "6.0639193, 6.0689538, 6.0898917, 6.2032867, 6.5845716, 7.6516890, 8.1959375", \
+					  "6.0925619, 6.0949785, 6.1185374, 6.2285397, 6.6133028, 7.6816303, 8.2280688", \
+					  "6.1503625, 6.1559671, 6.1707017, 6.2817464, 6.6526103, 7.7180198, 8.2746741");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6305788, 7.6305793, 7.6305798, 7.6305802, 7.6305807, 7.6305812, 7.7047533", \
+					  "7.7628544, 7.7671647, 7.7671650, 7.7671655, 7.7671659, 7.7671664, 7.8883486", \
+					  "7.8667277, 7.8794251, 7.8794253, 7.8794258, 7.8794263, 7.8794268, 8.0155278", \
+					  "7.9592768, 7.9592770, 7.9592774, 7.9592779, 7.9592784, 7.9592789, 7.9987945", \
+					  "8.0276896, 8.0314706, 8.0314708, 8.0314718, 8.0314727, 8.0314737, 8.1148945", \
+					  "8.0790228, 8.0844140, 8.0844141, 8.0844150, 8.0844160, 8.0844169, 8.1188647", \
+					  "8.1313409, 8.1358804, 8.1358810, 8.1358820, 8.1358829, 8.1358839, 8.2377465");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.4675146, 7.4735471, 7.5102982, 7.7243625, 9.1355687, 18.9980510, 82.2032360", \
+					  "7.6033109, 7.6102404, 7.6463129, 7.8603541, 9.2717922, 19.1336170, 82.3391420", \
+					  "7.7136217, 7.7198079, 7.7553599, 7.9694820, 9.3798667, 19.2269210, 82.4469520", \
+					  "7.7972659, 7.8034263, 7.8415141, 8.0548297, 9.4657366, 19.3128710, 82.5323600", \
+					  "7.8666176, 7.8726590, 7.9090912, 8.1223224, 9.5341282, 19.3876380, 82.6009770", \
+					  "7.9277527, 7.9340374, 7.9710576, 8.1842186, 9.5957438, 19.4419180, 82.6625170", \
+					  "7.9824476, 7.9885414, 8.0259488, 8.2401748, 9.6512496, 19.4980900, 82.7167600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.5714451, 1.5842281, 1.6654489, 2.2074427, 6.0505738, 31.6144800, 191.6403000", \
+					  "1.5736227, 1.5818513, 1.6666294, 2.2063393, 6.0492975, 31.6231660, 191.8267400", \
+					  "1.5659787, 1.5786115, 1.6657831, 2.2083264, 6.0507848, 31.6260330, 191.8492400", \
+					  "1.5676089, 1.5801589, 1.6562435, 2.2110607, 6.0459762, 31.6114530, 191.8640200", \
+					  "1.5725858, 1.5852900, 1.6556038, 2.2108486, 6.0503713, 31.6378530, 191.8525100", \
+					  "1.5679653, 1.5810404, 1.6652102, 2.2058559, 6.0492891, 31.6373910, 191.8644200", \
+					  "1.5746202, 1.5865081, 1.6677375, 2.2110227, 6.0494862, 31.6161460, 191.8591600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("113.0552900, 113.0622400, 113.1284200, 113.3887100, 114.9816300, 120.7449300, 154.2969700", \
+					  "113.2250300, 113.2316800, 113.2876100, 113.5541000, 115.1407400, 120.9096800, 154.4424000", \
+					  "113.3683100, 113.3749200, 113.4316500, 113.6990400, 115.3045600, 121.0530800, 154.5873800", \
+					  "113.5049700, 113.5116800, 113.5670400, 113.8349600, 115.4404700, 121.1894700, 154.7228400", \
+					  "113.5884200, 113.6202200, 113.6760900, 113.9436600, 115.5306500, 121.2981900, 154.8303300", \
+					  "113.7136500, 113.7201300, 113.7835900, 114.0493100, 115.6362100, 121.3984600, 154.9377700", \
+					  "113.8044300, 113.8112200, 113.8711000, 114.1369400, 115.7425900, 121.4932300, 155.0257900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("115.2279300, 115.2131000, 115.1700200, 115.0232800, 114.1625100, 111.8315200, 111.1271900", \
+					  "115.2795500, 115.2711200, 115.1446800, 115.0314400, 114.1540600, 111.8412300, 111.1017800", \
+					  "115.2714000, 115.2629500, 115.1344100, 115.0247300, 114.1544700, 111.8307100, 111.0879700", \
+					  "115.2772400, 115.2688800, 115.1359500, 115.0291500, 114.1590400, 111.8382200, 111.0934800", \
+					  "115.2480400, 115.2679900, 115.1370700, 115.0282800, 114.1528300, 111.8360400, 111.0705500", \
+					  "115.2730600, 115.2645100, 115.1446300, 115.0303100, 114.1543100, 111.8321000, 111.1050500", \
+					  "115.2260900, 115.2117300, 115.1413000, 115.0236500, 114.1538100, 111.8364600, 111.0949600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("7.4932149, 7.4994189, 7.5370581, 7.7516527, 9.1819343, 18.6957100, 78.3465520", \
+					  "7.6299127, 7.6359550, 7.6728623, 7.8884650, 9.3185539, 18.8336440, 78.4834880", \
+					  "7.7385565, 7.7466016, 7.7818907, 7.9977500, 9.4274419, 18.9418740, 78.5932170", \
+					  "7.8242994, 7.8297555, 7.8674915, 8.0824529, 9.5128065, 19.0307430, 78.6822770", \
+					  "7.8919218, 7.8988744, 7.9356131, 8.1514662, 9.5803578, 19.0951180, 78.7472060", \
+					  "7.9538012, 7.9598923, 7.9970466, 8.2126759, 9.6415347, 19.1568130, 78.8118230", \
+					  "8.0091631, 8.0149567, 8.0526679, 8.2676923, 9.6966062, 19.2117840, 78.8648650");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("1.4913721, 1.5042201, 1.5856835, 2.1355748, 5.9845111, 31.6881920, 191.8346900", \
+					  "1.4964425, 1.5030219, 1.5893609, 2.1365798, 5.9842584, 31.7059450, 191.8672200", \
+					  "1.4904016, 1.5089981, 1.5895215, 2.1237696, 5.9860197, 31.7339680, 191.8574000", \
+					  "1.4948081, 1.5038216, 1.5850850, 2.1339661, 5.9864513, 31.6708330, 191.2153900", \
+					  "1.4850343, 1.5092677, 1.5797781, 2.1248519, 5.9916667, 31.6284630, 191.5692300", \
+					  "1.4944121, 1.5079971, 1.5849092, 2.1289777, 5.9880483, 31.7226570, 191.1642000", \
+					  "1.4954872, 1.5084870, 1.5884621, 2.1282629, 5.9892109, 31.7171710, 191.2080900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("132.8146200, 132.8248400, 132.8808100, 133.1991200, 135.2298000, 145.8348000, 193.3042700", \
+					  "132.9823200, 132.9923700, 133.0454900, 133.3662600, 135.4011500, 146.0024600, 193.4715500", \
+					  "133.1271900, 133.1326000, 133.1886500, 133.5115900, 135.5462900, 146.1477100, 193.6189200", \
+					  "133.2630100, 133.2720700, 133.3281700, 133.6471800, 135.6819400, 146.2833400, 193.7542000", \
+					  "133.3717100, 133.3806700, 133.4367700, 133.7559300, 135.7906100, 146.3920100, 193.8642100", \
+					  "133.4774600, 133.4811200, 133.5434700, 133.8616800, 135.8963900, 146.4978500, 193.9671000", \
+					  "133.5651400, 133.5735900, 133.6295100, 133.9465900, 135.9839400, 146.5856300, 194.0543700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20857, 1.26024, 1.57887, 3.54378, 15.661, 90.3857, 551.199");
+					values("50.7093250, 50.8354280, 50.8317830, 50.6015890, 50.3210060, 55.2202550, 176.0410600", \
+					  "50.8588900, 50.8571570, 50.8453940, 50.6003240, 50.3575000, 55.2212460, 176.4045500", \
+					  "50.7105110, 50.8366260, 50.8319720, 50.6005970, 50.3633300, 55.2239850, 176.3557700", \
+					  "50.8446260, 50.8428460, 50.8367960, 50.6010700, 50.3612580, 55.2223800, 176.3636800", \
+					  "50.7120250, 50.8416530, 50.8358970, 50.6007470, 50.3596170, 55.2233420, 176.3285000", \
+					  "50.8490600, 50.7067700, 50.8410170, 50.6006400, 50.3624950, 55.2237470, 176.3901200", \
+					  "50.8501220, 50.8542290, 50.8493660, 50.6059620, 50.3624880, 55.2235520, 176.4046800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3052810, 18.5244410, 19.8922280, 28.2459050, 79.1977830, 391.5843400, 2315.6113000", \
+					  "18.4342910, 18.6538130, 20.0212400, 28.3685310, 79.3234630, 391.6990500, 2315.6924000", \
+					  "18.5456180, 18.7623570, 20.1295740, 28.4700850, 79.4331290, 391.8100000, 2315.8216000", \
+					  "18.6215100, 18.8431780, 20.1974530, 28.5396290, 79.5156580, 391.8728200, 2315.6952000", \
+					  "18.6872140, 18.9098940, 20.2676150, 28.6128540, 79.5817460, 391.9473400, 2316.0228000", \
+					  "18.7515000, 18.9692120, 20.3350100, 28.6663560, 79.6419710, 392.0073700, 2315.9778000", \
+					  "18.8041580, 19.0207120, 20.3877180, 28.7201440, 79.6948850, 392.0610300, 2316.3270000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4988310, 16.9266880, 19.5453080, 35.8970100, 137.1147800, 759.8134200, 4601.0532000", \
+					  "16.4988380, 16.9268100, 19.5442150, 35.9011840, 137.1625200, 758.9988500, 4601.1305000", \
+					  "16.4956640, 16.9205470, 19.5629290, 35.8364110, 136.7881500, 758.6328400, 4599.6426000", \
+					  "16.4879670, 16.9265370, 19.5642830, 35.8792390, 136.9469800, 759.5526200, 4600.3365000", \
+					  "16.4888390, 16.9253980, 19.5746190, 35.9477780, 136.9264500, 759.8349600, 4600.6030000", \
+					  "16.4893700, 16.9209160, 19.5627160, 35.8630250, 136.7822200, 758.5267100, 4599.5448000", \
+					  "16.4913950, 16.9184520, 19.5628860, 35.8618730, 136.7841500, 758.4877200, 4599.8854000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8315360, 12.8929440, 13.2683000, 15.2697290, 20.0677570, 40.9701960, 82.2516450", \
+					  "12.9875170, 13.0501900, 13.4269510, 15.4285440, 20.2248080, 41.1252190, 82.4089600", \
+					  "13.1389640, 13.2007820, 13.5783620, 15.5784240, 20.3770070, 41.2488110, 82.5622100", \
+					  "13.2711670, 13.3326430, 13.7092580, 15.7095230, 20.5056190, 41.4039820, 82.6893970", \
+					  "13.3795890, 13.4423470, 13.8195850, 15.8198720, 20.6176340, 41.4904060, 82.8032750", \
+					  "13.4840600, 13.5465430, 13.9231790, 15.9246860, 20.7216660, 41.6082990, 82.9072210", \
+					  "13.5794880, 13.6403380, 14.0169810, 16.0190560, 20.8152570, 41.6836770, 82.9996410");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6870604, 3.7442773, 4.0307957, 4.7964668, 7.9228935, 25.6720480, 56.9652380", \
+					  "3.6910823, 3.7475507, 4.0275432, 4.8038382, 7.9336635, 25.6606270, 56.8854760", \
+					  "3.6905425, 3.7501806, 4.0268167, 4.8002540, 7.9237292, 25.6650720, 56.9344570", \
+					  "3.6903394, 3.7498276, 4.0320902, 4.7995425, 7.9439229, 25.6761990, 56.9556920", \
+					  "3.6914323, 3.7491978, 4.0269339, 4.8018238, 7.9199554, 25.6652480, 56.9433070", \
+					  "3.6904273, 3.7472585, 4.0278398, 4.8038404, 7.9207183, 25.6714700, 56.9258280", \
+					  "3.6880414, 3.7469929, 4.0268842, 4.7907545, 7.9424254, 25.6645800, 56.9625700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7378371, 8.7393195, 8.7393203, 8.7393213, 8.7393222, 8.7393232, 8.7393241", \
+					  "8.8675547, 8.8675552, 8.8675561, 8.8675571, 8.8675581, 8.8675590, 8.8675600", \
+					  "8.9687537, 8.9695851, 8.9695859, 8.9695869, 8.9695879, 8.9695888, 8.9695898", \
+					  "9.0723082, 9.0723091, 9.0723100, 9.0723110, 9.0723119, 9.0723129, 9.0723138", \
+					  "9.1148342, 9.1148344, 9.1148353, 9.1148363, 9.1148372, 9.1148382, 9.1148391", \
+					  "9.1642622, 9.1691090, 9.1691099, 9.1691108, 9.1691118, 9.1691127, 9.1691137", \
+					  "9.2600141, 9.2605754, 9.2605758, 9.2605768, 9.2605777, 9.2605787, 9.2605796");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5706392, 7.5706394, 7.5706399, 7.5706404, 7.5706409, 7.5706413, 7.5706418", \
+					  "7.7061859, 7.7061861, 7.7061866, 7.7061871, 7.7061875, 7.7061880, 7.7061885", \
+					  "7.8006366, 7.8043190, 7.8043192, 7.8043197, 7.8043201, 7.8043206, 7.8043211", \
+					  "7.8831004, 7.8963680, 7.8963683, 7.8963688, 7.8963693, 7.8963697, 7.8963702", \
+					  "7.9667002, 7.9670288, 7.9670289, 7.9670294, 7.9670299, 7.9670303, 7.9670308", \
+					  "8.0265350, 8.0265356, 8.0265365, 8.0265375, 8.0265384, 8.0265394, 8.0265403", \
+					  "8.0676674, 8.0764444, 8.0764452, 8.0764461, 8.0764471, 8.0764480, 8.0764490");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6507930, 10.6982970, 10.9895330, 12.7526090, 23.4676420, 89.4058940, 419.2453700", \
+					  "10.7832600, 10.8355410, 11.1200340, 12.8818280, 23.5980160, 89.5352490, 419.3754500", \
+					  "10.8867800, 10.9343560, 11.2220770, 12.9858390, 23.7023080, 89.6381210, 419.5311500", \
+					  "10.9630460, 11.0105750, 11.3057920, 13.0648730, 23.7837640, 89.7179220, 419.5874800", \
+					  "11.0297650, 11.0761300, 11.3679140, 13.1315160, 23.8472580, 89.7851680, 419.6212700", \
+					  "11.0889810, 11.1366600, 11.4277440, 13.1908890, 23.9063830, 89.8444340, 419.6696500", \
+					  "11.1422760, 11.1897650, 11.4847540, 13.2441380, 23.9581280, 89.8971350, 419.7866000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1714422, 3.2511922, 3.7418424, 6.7301222, 25.4712430, 141.5832600, 385.8979500", \
+					  "3.1705292, 3.2511920, 3.7418535, 6.7302475, 25.4710670, 141.4539000, 385.8963900", \
+					  "3.1714356, 3.2511498, 3.7417980, 6.7298305, 25.4701260, 141.4504600, 385.9854000", \
+					  "3.1713463, 3.2510166, 3.7417269, 6.7296808, 25.4435120, 141.4903000, 385.9205200", \
+					  "3.1715812, 3.2512284, 3.7418331, 6.7302035, 25.4410430, 141.5910900, 385.8814400", \
+					  "3.1715470, 3.2513163, 3.7421430, 6.7301826, 25.4442300, 141.5880000, 385.7925000", \
+					  "3.1713288, 3.2510015, 3.7418015, 6.7296759, 25.4702140, 141.4883100, 385.9354900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.6883470, 20.9052560, 22.2549040, 30.4484790, 80.4629250, 388.4507600, 2285.4008000", \
+					  "20.8327400, 21.0434110, 22.4097770, 30.5982870, 80.5792060, 388.6123400, 2285.5093000", \
+					  "20.9782430, 21.2132440, 22.5636130, 30.7475500, 80.7136050, 388.6151500, 2285.1625000", \
+					  "21.1259610, 21.3381930, 22.6929680, 30.8861240, 80.8718500, 388.8915200, 2285.6868000", \
+					  "21.2357440, 21.4477320, 22.8024460, 30.9963930, 81.0140360, 389.0017400, 2286.1886000", \
+					  "21.3240790, 21.5527010, 22.9067640, 31.0921850, 81.1173850, 389.1020100, 2285.7980000", \
+					  "21.4353020, 21.6555980, 23.0023950, 31.1897850, 81.1941160, 389.1657300, 2286.8389000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6648050, 16.0909700, 18.6923770, 34.8329610, 134.4392200, 748.2056500, 4538.5218000", \
+					  "15.6421240, 16.0752140, 18.6739490, 34.8772780, 134.5199600, 749.3258600, 4537.8720000", \
+					  "15.6547610, 16.0897250, 18.6884250, 34.7736880, 134.3925800, 748.2921700, 4538.4745000", \
+					  "15.6686910, 16.0785660, 18.6773190, 34.8613440, 134.6031800, 749.3202900, 4536.0653000", \
+					  "15.6693950, 16.0786900, 18.6770630, 34.8626940, 134.4724600, 749.3220600, 4537.2517000", \
+					  "15.6549070, 16.0808010, 18.6795290, 34.7772870, 134.4619400, 749.2036500, 4537.8401000", \
+					  "15.6681570, 16.0770190, 18.6829890, 34.8727160, 134.3024400, 748.5654700, 4538.4118000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7268770, 8.7268777, 8.7268787, 8.7268796, 8.7268806, 8.7268816, 8.7268825", \
+					  "8.8589820, 8.8619512, 8.8619514, 8.8619524, 8.8619533, 8.8619543, 8.8619552", \
+					  "8.9519974, 8.9519983, 8.9519992, 8.9520002, 8.9520011, 8.9520021, 8.9520031", \
+					  "9.0623891, 9.0623899, 9.0623909, 9.0623918, 9.0623928, 9.0623937, 9.0623947", \
+					  "9.0718837, 9.1035162, 9.1035171, 9.1035181, 9.1035190, 9.1035200, 9.1035209", \
+					  "9.1377910, 9.1377912, 9.1520453, 9.1520458, 9.1520467, 9.1520477, 9.1520486", \
+					  "9.2388701, 9.2388702, 9.2388711, 9.2388721, 9.2388731, 9.2388740, 9.2388750");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6392324, 7.6392329, 7.6392334, 7.6392339, 7.6392344, 7.6392348, 7.6613329", \
+					  "7.7774978, 7.7774981, 7.7774985, 7.7774990, 7.7774995, 7.7775000, 7.9653244", \
+					  "7.8847835, 7.8871256, 7.8871258, 7.8871263, 7.8871267, 7.8871272, 7.9485734", \
+					  "7.9587803, 7.9587806, 7.9587811, 7.9591747, 7.9591749, 7.9591754, 8.0771931", \
+					  "8.0250775, 8.0268761, 8.0268770, 8.0307103, 8.0307107, 8.0307117, 8.1346112", \
+					  "8.0839415, 8.0978424, 8.0978427, 8.0978437, 8.0978447, 8.0978456, 8.1759826", \
+					  "8.1547409, 8.1547418, 8.1547428, 8.1547437, 8.1547447, 8.1547456, 8.2031075");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.7298220, 12.7923680, 13.1757500, 15.4815450, 29.4650370, 103.5493800, 176.4134700", \
+					  "12.8879490, 12.9492770, 13.3342860, 15.6381950, 29.6220450, 103.7099100, 176.5774400", \
+					  "13.0400690, 13.1013450, 13.4862630, 15.7900540, 29.7741810, 103.8592400, 176.7165700", \
+					  "13.1689980, 13.2324840, 13.6167230, 15.9208270, 29.9048390, 103.9919700, 176.8605800", \
+					  "13.2800490, 13.3421830, 13.7266700, 16.0304890, 30.0146220, 104.1013400, 176.9611800", \
+					  "13.3830820, 13.4455980, 13.8294610, 16.1329880, 30.1182120, 104.2057400, 177.0654400", \
+					  "13.4790590, 13.5406970, 13.9251590, 16.2290180, 30.2132260, 104.2987800, 177.1514100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8193479, 3.9068664, 4.4699449, 8.0121056, 30.3492910, 85.3771520, 73.6249320", \
+					  "3.8181405, 3.9070480, 4.4739719, 8.0064426, 30.3497880, 85.3858920, 73.6217980", \
+					  "3.8180858, 3.9098853, 4.4740420, 8.0060145, 30.3497490, 85.3798310, 73.5689980", \
+					  "3.8193058, 3.9098649, 4.4740203, 8.0063911, 30.3373890, 85.3838670, 73.6477690", \
+					  "3.8181467, 3.9098400, 4.4740149, 8.0066123, 30.3373610, 85.3830500, 73.5562580", \
+					  "3.8181374, 3.9098866, 4.4740216, 8.0061424, 30.3370800, 85.3853020, 73.5882680", \
+					  "3.8179770, 3.9100145, 4.4741211, 8.0055695, 30.3342770, 85.3795400, 73.6293730");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6408861, 7.6408866, 7.6408871, 7.6408875, 7.6408880, 7.6408885, 7.6620665", \
+					  "7.7477269, 7.7631901, 7.7631906, 7.7631910, 7.7631915, 7.7631920, 7.7631925", \
+					  "7.8796152, 7.8894900, 7.8894904, 7.8894909, 7.8894914, 7.8894918, 7.9505851", \
+					  "7.9610381, 7.9769347, 7.9769352, 7.9769357, 7.9769362, 7.9769366, 8.0814093", \
+					  "8.0270306, 8.0299563, 8.0299564, 8.0332336, 8.0332342, 8.0332351, 8.1366638", \
+					  "8.0873583, 8.1001774, 8.1001783, 8.1001792, 8.1001802, 8.1001812, 8.2004883", \
+					  "8.1185245, 8.1519982, 8.1519990, 8.1520000, 8.1520010, 8.1520019, 8.2658715");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.6974340, 12.7582800, 13.1324150, 15.1449180, 20.0091660, 43.4336620, 138.6067400", \
+					  "12.8548990, 12.9154850, 13.2904110, 15.3022790, 20.1660090, 43.5908480, 138.7699800", \
+					  "13.0072180, 13.0674560, 13.4427510, 15.4545340, 20.3182430, 43.7427660, 138.9170700", \
+					  "13.1378610, 13.1984730, 13.5729310, 15.5852630, 20.4495110, 43.8740140, 139.0514700", \
+					  "13.2472410, 13.3085240, 13.6834410, 15.6948070, 20.5593850, 43.9830820, 139.1596200", \
+					  "13.3511380, 13.4119250, 13.7859640, 15.7975180, 20.6617830, 44.0871620, 139.2602700", \
+					  "13.4463030, 13.5068970, 13.8820310, 15.8935660, 20.7577850, 44.1818780, 139.3561900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6963845, 3.7565036, 4.0406105, 4.8353943, 7.9678006, 39.7631620, 89.0083600", \
+					  "3.6966861, 3.7568051, 4.0393022, 4.8361293, 7.9675129, 39.7633140, 89.0183000", \
+					  "3.6966106, 3.7567212, 4.0391335, 4.8359405, 7.9675200, 39.7628530, 89.0117210", \
+					  "3.6966996, 3.7568064, 4.0391765, 4.8362141, 7.9674862, 39.7632220, 89.0155080", \
+					  "3.6966892, 3.7568078, 4.0392427, 4.8361950, 7.9674853, 39.7632220, 89.0122180", \
+					  "3.6966692, 3.7567767, 4.0391761, 4.8361404, 7.9674543, 39.7632400, 89.0092550", \
+					  "3.6965093, 3.7565933, 4.0391548, 4.8356914, 7.9676870, 39.7637370, 89.0190180");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3280410, 18.5387790, 19.8878340, 28.1451150, 78.8266870, 390.9166000, 2314.9300000", \
+					  "18.4832740, 18.6956420, 20.0426750, 28.2981730, 78.9809250, 391.0697600, 2315.3655000", \
+					  "18.6368020, 18.8463240, 20.2025010, 28.4526750, 79.1344800, 391.2234700, 2315.3736000", \
+					  "18.7695120, 18.9857620, 20.3232440, 28.5847950, 79.2690360, 391.3609100, 2315.2454000", \
+					  "18.8802590, 19.0890060, 20.4390870, 28.6900460, 79.3736350, 391.4653600, 2315.3091000", \
+					  "18.9827000, 19.1915530, 20.5437620, 28.7956960, 79.4847140, 391.5669400, 2315.7122000", \
+					  "19.0723280, 19.2953100, 20.6311090, 28.8947670, 79.5699860, 391.6590600, 2315.4194000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4799320, 16.9180580, 19.5482580, 35.8623460, 137.1332000, 758.9989700, 4599.7954000", \
+					  "16.4753970, 16.9205930, 19.5373710, 35.8623030, 137.1331300, 758.9935100, 4600.7090000", \
+					  "16.4754010, 16.9180300, 19.5752210, 35.8624810, 137.1331300, 759.0290400, 4600.5844000", \
+					  "16.4751710, 16.8993510, 19.5483330, 35.8619090, 137.0669500, 758.6014600, 4600.3433000", \
+					  "16.5035750, 16.9206940, 19.5487980, 35.8625240, 137.1331000, 758.9462100, 4600.5857000", \
+					  "16.4753490, 16.9180230, 19.5644280, 35.8624850, 136.9511300, 758.9436600, 4599.4229000", \
+					  "16.4744790, 16.9033780, 19.5468160, 35.8550950, 137.1334500, 758.9558800, 4600.7140000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2124540, 14.2762610, 14.6523160, 16.6670390, 21.4732620, 42.3640860, 83.6685460", \
+					  "14.3680290, 14.4296720, 14.8066900, 16.8215980, 21.6256130, 42.4946160, 83.8193590", \
+					  "14.5218520, 14.5832470, 14.9608650, 16.9735350, 21.7792020, 42.6600910, 83.9794300", \
+					  "14.6526210, 14.7134510, 15.0904920, 17.1020510, 21.9112800, 42.7873100, 84.1086210", \
+					  "14.7576430, 14.8209970, 15.1985570, 17.2140540, 22.0201970, 42.8926010, 84.2190960", \
+					  "14.8652480, 14.9220320, 15.3015910, 17.3176570, 22.1226770, 43.0119870, 84.3190690", \
+					  "14.9559880, 15.0165910, 15.3991970, 17.4115090, 22.2173620, 43.1097080, 84.4110280");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7040826, 3.7580876, 4.0472186, 4.8156874, 7.9256548, 25.6744900, 56.9682110", \
+					  "3.6995522, 3.7589034, 4.0492356, 4.8157913, 7.9210194, 25.6668460, 56.8470280", \
+					  "3.6995556, 3.7607788, 4.0450971, 4.8236846, 7.9489215, 25.6764350, 56.9976850", \
+					  "3.7018089, 3.7607144, 4.0434704, 4.8128235, 7.9297005, 25.6762810, 56.9205090", \
+					  "3.7018251, 3.7589047, 4.0434727, 4.8235851, 7.9204208, 25.6686250, 57.0191720", \
+					  "3.7008998, 3.7589349, 4.0451424, 4.8157731, 7.9489189, 25.6760090, 56.9555030", \
+					  "3.6995840, 3.7598484, 4.0449257, 4.8233604, 7.9320444, 25.6762680, 57.0082080");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.8356330, 18.0522580, 19.3942620, 27.6536730, 78.3348430, 390.4252700, 2314.5158000", \
+					  "17.9621470, 18.1866270, 19.5209640, 27.7853350, 78.4754380, 390.5597900, 2314.1959000", \
+					  "18.0721910, 18.2830070, 19.6267480, 27.8878800, 78.5668990, 390.6451100, 2314.4892000", \
+					  "18.1448700, 18.3610980, 19.7089950, 27.9658910, 78.6553970, 390.7289300, 2314.4071000", \
+					  "18.2116830, 18.4279920, 19.7774080, 28.0325860, 78.7138030, 390.7957200, 2314.4826000", \
+					  "18.2711270, 18.4873080, 19.8316720, 28.0921060, 78.7730060, 390.8526200, 2314.4255000", \
+					  "18.3278010, 18.5421350, 19.8850200, 28.1477010, 78.8294370, 390.9120500, 2314.7857000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4996910, 16.9020900, 19.5522210, 35.8585690, 137.0605800, 759.1896200, 4600.1260000", \
+					  "16.4851150, 16.8965390, 19.5439660, 35.8558270, 136.7522200, 759.7968800, 4600.6498000", \
+					  "16.4832240, 16.9297820, 19.5519960, 35.8580190, 136.8823900, 758.8170100, 4604.5093000", \
+					  "16.4952340, 16.9103470, 19.5513950, 35.8588070, 137.0868100, 759.1945600, 4600.3238000", \
+					  "16.4952920, 16.9103150, 19.5676590, 35.8584950, 137.0625600, 759.1540900, 4599.4379000", \
+					  "16.4953920, 16.9103560, 19.5510420, 35.8585770, 137.0498500, 758.7837000, 4598.6444000", \
+					  "16.4963780, 16.9107710, 19.5345660, 35.8581250, 137.0501500, 759.1469900, 4599.1987000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.0747470, 20.2973550, 21.6079690, 29.7115540, 79.5285120, 387.4549500, 2284.3071000", \
+					  "20.2482920, 20.4591420, 21.7802930, 29.8560960, 79.6791540, 387.3761000, 2284.6800000", \
+					  "20.3972310, 20.6090790, 21.9295090, 30.0200040, 79.8281190, 387.7876500, 2284.2119000", \
+					  "20.5235190, 20.7417620, 22.0637360, 30.1471880, 80.0393990, 387.9264900, 2283.8412000", \
+					  "20.6383210, 20.8494160, 22.1703720, 30.2436550, 80.0738460, 388.0214500, 2284.1746000", \
+					  "20.7447230, 20.9554220, 22.2767070, 30.3668700, 80.2220940, 388.1643200, 2284.0616000", \
+					  "20.8369570, 21.0449810, 22.3678640, 30.4507920, 80.3093560, 388.0875000, 2285.0681000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6789460, 16.0855740, 18.7000810, 34.8572370, 134.2827000, 747.9545200, 4540.1202000", \
+					  "15.6670230, 16.0716360, 18.7062740, 34.7331550, 134.3602900, 749.9237400, 4538.0857000", \
+					  "15.6719030, 16.0738730, 18.7070200, 34.8559760, 134.3534700, 749.1584000, 4538.5777000", \
+					  "15.6681900, 16.1011490, 18.7069670, 34.8970560, 134.5639800, 749.0862100, 4538.6046000", \
+					  "15.6689100, 16.0699440, 18.7067520, 34.7263200, 134.3808100, 749.0751800, 4539.0148000", \
+					  "15.6660550, 16.0727200, 18.7064830, 34.8720270, 134.3318700, 749.1578300, 4536.7237000", \
+					  "15.6484920, 16.0872760, 18.6922210, 34.7992990, 134.6048700, 748.1983200, 4536.7810000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.2882750, 8.3534113, 8.3534122, 8.3534132, 8.3534141, 8.3534151, 8.4044647", \
+					  "8.4185974, 8.4760696, 8.4760700, 8.4760709, 8.4760719, 8.4760728, 8.5487031", \
+					  "8.5551441, 8.5579224, 8.5579229, 8.5579238, 8.5579248, 8.5579258, 8.6072793", \
+					  "8.6101455, 8.6459622, 8.6459623, 8.6652241, 8.6652246, 8.6652256, 8.7211003", \
+					  "8.7081213, 8.7081218, 8.7081228, 8.7098089, 8.7098098, 8.7098108, 8.7693550", \
+					  "8.7962642, 8.7962652, 8.7962661, 8.7962671, 8.7962680, 8.7962690, 8.8278185", \
+					  "8.7951069, 8.7951074, 8.7951084, 8.7981489, 8.7981496, 8.7981506, 8.9203560");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5474853, 7.5565083, 7.5565084, 7.5565088, 7.5565093, 7.5565098, 7.5565103", \
+					  "7.7030125, 7.7030128, 7.7030132, 7.7030137, 7.7030142, 7.7030147, 7.7030151", \
+					  "7.7960562, 7.8012770, 7.8012774, 7.8012779, 7.8012784, 7.8012789, 7.8012794", \
+					  "7.8904430, 7.8925924, 7.8925927, 7.8925932, 7.8925937, 7.8925941, 7.8925946", \
+					  "7.9634805, 7.9646378, 7.9646380, 7.9646385, 7.9646390, 7.9646395, 7.9646400", \
+					  "8.0237390, 8.0237394, 8.0237403, 8.0237413, 8.0237422, 8.0237432, 8.0237442", \
+					  "8.0756548, 8.0756555, 8.0756565, 8.0756574, 8.0756584, 8.0756593, 8.0756603");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2050640, 11.2509160, 11.5477620, 13.3113420, 24.0203310, 89.9656310, 419.8696500", \
+					  "11.3599240, 11.4084440, 11.7006620, 13.4634190, 24.1848320, 90.1166790, 420.0845800", \
+					  "11.5104970, 11.5584560, 11.8522510, 13.6140890, 24.3340490, 90.2734670, 420.1820300", \
+					  "11.6493060, 11.6894930, 11.9876790, 13.7539970, 24.4622420, 90.3984300, 420.3153000", \
+					  "11.7548270, 11.8025830, 12.0931270, 13.8547450, 24.5666100, 90.5116550, 420.4202900", \
+					  "11.8582270, 11.9044130, 12.1951350, 13.9597740, 24.6756430, 90.6056030, 420.5202800", \
+					  "11.9479580, 11.9956930, 12.2845880, 14.0507960, 24.7719600, 90.7109340, 420.6291100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1743534, 3.2541054, 3.7436054, 6.7308319, 25.4702770, 141.4643400, 385.9804300", \
+					  "3.1744836, 3.2545102, 3.7437928, 6.7307662, 25.4881240, 141.4962000, 386.0225500", \
+					  "3.1744578, 3.2545031, 3.7439354, 6.7308816, 25.4423740, 141.5891400, 385.9685800", \
+					  "3.1744698, 3.2542069, 3.7434997, 6.7302031, 25.4429310, 141.1463200, 385.9474600", \
+					  "3.1749012, 3.2545533, 3.7435846, 6.7310300, 25.4873330, 141.5972100, 385.9921900", \
+					  "3.1744636, 3.2543255, 3.7434655, 6.7309833, 25.4427320, 141.4476900, 385.9784400", \
+					  "3.1730019, 3.2543606, 3.7446286, 6.7311907, 25.4449370, 141.5896400, 385.9669300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.5293610, 10.5293613, 10.5293623, 10.5293632, 10.5293642, 10.5293651, 10.5520020", \
+					  "10.6353520, 10.6354910, 10.6704950, 10.6704956, 10.6704965, 10.6704975, 10.6704984", \
+					  "10.7791260, 10.7791267, 10.7791276, 10.7791286, 10.7791296, 10.7791305, 10.8326140", \
+					  "10.8670270, 10.8670278, 10.8670288, 10.8670297, 10.8670307, 10.8670316, 10.9363630", \
+					  "10.9143290, 10.9335490, 10.9335495, 10.9335504, 10.9335514, 10.9335523, 10.9882070", \
+					  "10.9479950, 10.9487450, 10.9699830, 10.9699836, 10.9699846, 10.9699855, 11.0502520", \
+					  "11.0067240, 11.0375680, 11.0474270, 11.0474277, 11.0474287, 11.0474296, 11.1153480");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("17.8288670, 18.0491550, 19.3942020, 27.6536940, 78.3348500, 390.4142700, 2314.2379000", \
+					  "17.9630340, 18.1866490, 19.5221120, 27.7850860, 78.4749690, 390.5597900, 2314.3098000", \
+					  "18.0722740, 18.2833220, 19.6345750, 27.8857790, 78.5749920, 390.6631900, 2314.4200000", \
+					  "18.1448700, 18.3610920, 19.7061030, 27.9656160, 78.6471830, 390.7267100, 2314.6169000", \
+					  "18.2116280, 18.4280060, 19.7730880, 28.0325130, 78.7138180, 390.7934500, 2314.4794000", \
+					  "18.2711230, 18.4872990, 19.8326120, 28.0908200, 78.7730200, 390.8526200, 2314.7359000", \
+					  "18.3278050, 18.5424140, 19.8894150, 28.1412030, 78.8297920, 390.9120200, 2314.7763000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4898730, 16.9102460, 19.5520900, 35.8586970, 137.0615100, 758.7935300, 4601.1774000", \
+					  "16.4812290, 16.8964980, 19.5330560, 35.8578300, 136.7042400, 759.7320200, 4598.6808000", \
+					  "16.4832090, 16.9297220, 19.5702190, 35.8588610, 136.9345700, 759.8819100, 4599.0373000", \
+					  "16.4952410, 16.9103630, 19.5523460, 35.8580470, 137.0642900, 758.8248500, 4600.6230000", \
+					  "16.4953150, 16.9103260, 19.5522210, 35.8578940, 137.0633800, 758.8581100, 4598.0196000", \
+					  "16.4953920, 16.9103740, 19.5520510, 35.8588820, 137.0508500, 758.7913700, 4600.0641000", \
+					  "16.4963920, 16.9107320, 19.5497860, 35.9511180, 136.9832300, 759.1230000, 4599.3083000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.0746710, 20.2973390, 21.6081460, 29.7114920, 79.5257690, 387.4534400, 2283.7883000", \
+					  "20.2483510, 20.4591630, 21.7801690, 29.8564050, 79.6770440, 387.3797100, 2283.9201000", \
+					  "20.3972110, 20.6090720, 21.9295020, 30.0204520, 79.8276220, 387.7943000, 2285.3585000", \
+					  "20.5314700, 20.7430480, 22.0586090, 30.1473230, 80.0390300, 387.9173100, 2284.6896000", \
+					  "20.6383020, 20.8494980, 22.1703310, 30.2435130, 80.0711600, 387.7862000, 2283.6514000", \
+					  "20.7447110, 20.9554760, 22.2764630, 30.3668630, 80.2223850, 388.1652300, 2283.6471000", \
+					  "20.8369200, 21.0449600, 22.3677490, 30.4512290, 80.3725510, 388.0805700, 2285.5172000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6790070, 16.0855350, 18.7001880, 34.8570030, 134.2891700, 748.4629800, 4537.9938000", \
+					  "15.6676630, 16.0713680, 18.7061710, 34.7350060, 134.3541800, 748.3474200, 4538.3399000", \
+					  "15.6721840, 16.0739600, 18.7070040, 34.8622540, 134.3776100, 749.1917700, 4536.3445000", \
+					  "15.6706040, 16.0717180, 18.7051890, 34.8970990, 134.5709100, 749.1092900, 4536.0453000", \
+					  "15.6689590, 16.0693250, 18.7067060, 34.7241740, 134.3721600, 748.3558900, 4537.8229000", \
+					  "15.6663060, 16.0722210, 18.7058330, 34.8727340, 134.3292400, 749.1446500, 4536.6046000", \
+					  "15.6485150, 16.0872170, 18.6916190, 34.7991640, 134.6052600, 748.1679600, 4539.0420000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6286150, 10.6762700, 10.9676340, 12.7316440, 23.4455870, 89.3851540, 419.3097400", \
+					  "10.7588180, 10.8139110, 11.0986000, 12.8660440, 23.5762930, 89.5115240, 419.4477300", \
+					  "10.8622670, 10.9125180, 11.2026040, 12.9674730, 23.6761930, 89.6155680, 419.5542000", \
+					  "10.9460700, 10.9895430, 11.2810180, 13.0446920, 23.7601300, 89.6994120, 419.6231500", \
+					  "11.0075680, 11.0577250, 11.3484710, 13.1109350, 23.8244060, 89.7646830, 419.6884900", \
+					  "11.0696490, 11.1172210, 11.4052570, 13.1685220, 23.8832510, 89.8236080, 419.7451100", \
+					  "11.1268200, 11.1722070, 11.4642830, 13.2312060, 23.9431030, 89.8862920, 419.8535100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1747338, 3.2541612, 3.7437955, 6.7308683, 25.4699390, 141.4821000, 385.9752800", \
+					  "3.1748502, 3.2532619, 3.7437298, 6.7310029, 25.4851930, 141.2317200, 386.0062600", \
+					  "3.1738563, 3.2542566, 3.7446246, 6.7307950, 25.4643060, 141.1661700, 386.0023100", \
+					  "3.1743663, 3.2544192, 3.7437990, 6.7308883, 25.4449260, 141.5933000, 385.9792600", \
+					  "3.1744682, 3.2543672, 3.7438852, 6.7303287, 25.4699800, 141.5885700, 385.9663900", \
+					  "3.1743219, 3.2542979, 3.7438013, 6.7304402, 25.4700990, 141.4836700, 385.9808800", \
+					  "3.1744520, 3.2544469, 3.7432444, 6.7303678, 25.4879570, 141.5397200, 386.0425900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.0802903, 9.0802904, 9.0802913, 9.0802923, 9.0802932, 9.0802942, 9.1352019", \
+					  "9.2052392, 9.2142098, 9.2148094, 9.2148099, 9.2148109, 9.2148119, 9.2529282", \
+					  "9.3260040, 9.3260046, 9.3260055, 9.3260065, 9.3260074, 9.3260084, 9.3392467", \
+					  "9.4065218, 9.4070494, 9.4108197, 9.4108205, 9.4108215, 9.4108224, 9.4894173", \
+					  "9.4780166, 9.4798462, 9.4798465, 9.4798475, 9.4798484, 9.4798494, 9.5323385", \
+					  "9.5255102, 9.5407131, 9.5407138, 9.5407148, 9.5407157, 9.5407167, 9.5962935", \
+					  "9.5918660, 9.5966968, 9.5966974, 9.5966983, 9.5966993, 9.5967002, 9.6421990");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7818320, 10.8303970, 11.1224520, 12.9293810, 19.5709390, 36.3390380, 132.7982100", \
+					  "10.9142300, 10.9609290, 11.2555190, 13.0633800, 19.7044780, 36.4727480, 132.9308500", \
+					  "11.0163870, 11.0638300, 11.3593860, 13.1667510, 19.8080220, 36.5765340, 133.0324400", \
+					  "11.0975190, 11.1444540, 11.4386020, 13.2459940, 19.8875440, 36.6511370, 133.1130100", \
+					  "11.1628080, 11.2096810, 11.5042970, 13.3118950, 19.9526140, 36.7155940, 133.1784000", \
+					  "11.2220140, 11.2690660, 11.5644230, 13.3718010, 20.0133210, 36.7765840, 133.2382000", \
+					  "11.2757310, 11.3225070, 11.6175550, 13.4251920, 20.0659650, 36.8320130, 133.2942600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2134508, 3.2988969, 3.8231875, 6.1414105, 9.0136227, 27.8636490, 171.2736100", \
+					  "3.2134186, 3.2989298, 3.8231187, 6.1418883, 9.0139896, 27.8636210, 171.2605100", \
+					  "3.2135286, 3.2988006, 3.8232062, 6.1414136, 9.0148555, 27.8348060, 171.2569400", \
+					  "3.2132899, 3.2988234, 3.8232120, 6.1415304, 9.0144621, 27.8140600, 171.2587300", \
+					  "3.2132672, 3.2988354, 3.8232391, 6.1414820, 9.0140047, 27.8448340, 171.2576400", \
+					  "3.2134508, 3.2987637, 3.8232075, 6.1417933, 9.0144407, 27.8151790, 171.4400900", \
+					  "3.2134158, 3.2989265, 3.8231946, 6.1417138, 9.0143679, 27.8394800, 170.8908000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.0577700, 13.1229960, 13.5033090, 15.4430390, 20.1604100, 41.0292100, 82.2750080", \
+					  "13.2138820, 13.2774490, 13.6599750, 15.5996390, 20.3176690, 41.1579890, 82.4362800", \
+					  "13.3673020, 13.4305440, 13.8096500, 15.7527360, 20.4706140, 41.2969040, 82.5854090", \
+					  "13.4967430, 13.5605540, 13.9422570, 15.8828720, 20.6007600, 41.4413250, 82.7160850", \
+					  "13.6063030, 13.6700810, 14.0518240, 15.9923680, 20.7099760, 41.5782150, 82.8277680", \
+					  "13.7109490, 13.7726470, 14.1560310, 16.0978180, 20.8111870, 41.6347770, 82.9308250", \
+					  "13.8062100, 13.8694840, 14.2511740, 16.1932550, 20.9091780, 41.7774260, 83.0276220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.5920431, 3.6446990, 3.8965324, 4.6126973, 7.8792359, 25.6006880, 57.0232250", \
+					  "3.5914658, 3.6438055, 3.8964862, 4.6097499, 7.8712841, 25.6096280, 56.9439070", \
+					  "3.5920396, 3.6447267, 3.8966945, 4.6232982, 7.8707849, 25.6004710, 56.9032930", \
+					  "3.5911829, 3.6430294, 3.8965973, 4.6098214, 7.8766247, 25.6096510, 56.9870020", \
+					  "3.5912040, 3.6429311, 3.8967118, 4.6098338, 7.8793336, 25.6031940, 56.9451120", \
+					  "3.5920000, 3.6456826, 3.8971377, 4.6218109, 7.8721634, 25.5948030, 56.9507360", \
+					  "3.5919492, 3.6445087, 3.8948373, 4.6119948, 7.8799935, 25.6056700, 56.9592090");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("9.1626271, 9.1631964, 9.1631971, 9.1631980, 9.1631990, 9.1632000, 9.2143999", \
+					  "9.2976675, 9.2976680, 9.2976690, 9.2976699, 9.2976709, 9.2976718, 9.3439523", \
+					  "9.4093915, 9.4093919, 9.4093929, 9.4093938, 9.4093948, 9.4093957, 9.4741033", \
+					  "9.4773780, 9.4837098, 9.4935917, 9.4935918, 9.4935928, 9.4935937, 9.5636317", \
+					  "9.5626644, 9.5626646, 9.5626655, 9.5626665, 9.5626674, 9.5626684, 9.5865156", \
+					  "9.6098205, 9.6186188, 9.6242232, 9.6242233, 9.6242242, 9.6242252, 9.6828607", \
+					  "9.6693151, 9.6793222, 9.6793228, 9.6793237, 9.6793247, 9.6793256, 9.7408606");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.3268583, 8.3311278, 8.3402210, 8.3402219, 8.3402229, 8.3402238, 8.3861051", \
+					  "8.4944949, 8.4944950, 8.4944959, 8.4944969, 8.4944978, 8.4944988, 8.5291401", \
+					  "8.5435357, 8.5534051, 8.5534053, 8.5534063, 8.5534072, 8.5534082, 8.5671052", \
+					  "8.6846601, 8.6846605, 8.6846614, 8.6846624, 8.6846633, 8.6846643, 8.7418091", \
+					  "8.7275867, 8.7506233, 8.7506242, 8.7506252, 8.7506261, 8.7506271, 8.7956584", \
+					  "8.7452667, 8.7518437, 8.7648928, 8.7648931, 8.7648941, 8.7648950, 8.8353591", \
+					  "8.8227381, 8.8676417, 8.8676420, 8.8676429, 8.8676439, 8.8676448, 8.8942240");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5461726, 7.5700965, 7.5700968, 7.5700973, 7.5700977, 7.5700982, 7.5700987", \
+					  "7.7033304, 7.7033308, 7.7033313, 7.7033318, 7.7033322, 7.7033327, 7.7033332", \
+					  "7.7961122, 7.8012139, 7.8012140, 7.8012145, 7.8012150, 7.8012155, 7.8012159", \
+					  "7.8791125, 7.8918259, 7.8918260, 7.8918264, 7.8918269, 7.8918274, 7.8918279", \
+					  "7.9634264, 7.9638065, 7.9638069, 7.9638074, 7.9638079, 7.9638083, 7.9638088", \
+					  "8.0237097, 8.0237098, 8.0237108, 8.0237117, 8.0237127, 8.0237136, 8.0237146", \
+					  "8.0623463, 8.0675875, 8.0675884, 8.0675893, 8.0675903, 8.0675912, 8.0675922");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2020890, 11.2509600, 11.5405960, 13.3239550, 20.0478900, 36.8295580, 133.2784600", \
+					  "11.3562320, 11.4063240, 11.6993830, 13.4817830, 20.2078020, 36.9778910, 133.4396700", \
+					  "11.5155370, 11.5584680, 11.8480330, 13.6319550, 20.3550100, 37.1313610, 133.5860700", \
+					  "11.6443990, 11.6905140, 11.9825650, 13.7640320, 20.4835150, 37.2629360, 133.7171500", \
+					  "11.7494060, 11.8022970, 12.0875800, 13.8719880, 20.5940630, 37.3788750, 133.8326900", \
+					  "11.8563840, 11.8986580, 12.1909000, 13.9791390, 20.6979200, 37.4741660, 133.9286400", \
+					  "11.9504610, 11.9970090, 12.2873240, 14.0679100, 20.7907610, 37.5715570, 134.0232800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2203011, 3.3053438, 3.8275787, 6.2251173, 9.1853298, 27.8248820, 171.2358700", \
+					  "3.2201377, 3.3053440, 3.8276244, 6.2249996, 9.1861629, 27.8528930, 171.4206700", \
+					  "3.2211902, 3.3053431, 3.8278078, 6.2251169, 9.1859871, 27.8268480, 171.2356400", \
+					  "3.2211691, 3.3058314, 3.8276751, 6.2250898, 9.1853449, 27.8531880, 170.9916400", \
+					  "3.2203056, 3.3058392, 3.8278087, 6.2253007, 9.1854409, 27.8450100, 171.1545000", \
+					  "3.2201430, 3.3060388, 3.8278078, 6.2253132, 9.1859871, 27.8263070, 171.2355300", \
+					  "3.2201479, 3.3053420, 3.8276760, 6.2250405, 9.1857331, 27.8439090, 170.8556400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4086010, 21.6301610, 22.9531130, 31.0385570, 80.9229800, 388.8429200, 2286.1609000", \
+					  "21.5687250, 21.7813710, 23.0968860, 31.1947090, 81.0693090, 388.7349200, 2285.9419000", \
+					  "21.7219770, 21.9306870, 23.2524720, 31.3703870, 81.2123770, 388.8981700, 2286.3189000", \
+					  "21.8522870, 22.0619950, 23.3712960, 31.4801980, 81.2954540, 388.9317100, 2286.1850000", \
+					  "21.9603480, 22.1720540, 23.4926090, 31.5890780, 81.4049980, 389.3602200, 2286.9879000", \
+					  "22.0517830, 22.2814320, 23.5977000, 31.6919040, 81.5622700, 389.1733800, 2286.2816000", \
+					  "22.1645670, 22.3729430, 23.6977550, 31.7833230, 81.6448700, 389.3343000, 2286.3342000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6706630, 16.1063000, 18.7023850, 34.7757590, 134.4457800, 749.2122300, 4538.8911000", \
+					  "15.6700180, 16.1059040, 18.6880590, 34.7765370, 134.5679100, 748.6797200, 4538.1198000", \
+					  "15.6706970, 16.0989710, 18.7077860, 34.7881690, 134.4377700, 748.7435000, 4538.8520000", \
+					  "15.6700110, 16.0937020, 18.7038830, 34.8498690, 134.1805400, 748.0462600, 4538.0934000", \
+					  "15.6678080, 16.0930610, 18.6869060, 34.7778020, 134.3695900, 748.1506300, 4538.8365000", \
+					  "15.6842490, 16.0873040, 18.7089060, 34.8474350, 134.5623000, 748.2267400, 4539.1016000", \
+					  "15.6588910, 16.0904300, 18.7093260, 34.8947040, 134.6041600, 748.7237200, 4539.8729000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7258307, 8.7324166, 8.7324167, 8.7324176, 8.7324186, 8.7324195, 8.7324205", \
+					  "8.8591108, 8.8615666, 8.8615671, 8.8615680, 8.8615690, 8.8615699, 8.8615709", \
+					  "8.9527807, 8.9527813, 8.9527822, 8.9527832, 8.9527841, 8.9527851, 8.9527860", \
+					  "9.0621821, 9.0621830, 9.0621839, 9.0621849, 9.0621858, 9.0621868, 9.0621877", \
+					  "9.0721004, 9.0721012, 9.0721021, 9.0721031, 9.0721040, 9.0721050, 9.0721059", \
+					  "9.1358565, 9.1358572, 9.1539309, 9.1539312, 9.1539321, 9.1539331, 9.1539341", \
+					  "9.2391055, 9.2391058, 9.2391067, 9.2391077, 9.2391086, 9.2391096, 9.2391105");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6323365, 7.6323369, 7.6323374, 7.6323379, 7.6323383, 7.6323388, 7.7021216", \
+					  "7.7597555, 7.7645907, 7.7645910, 7.7645915, 7.7645920, 7.7645925, 7.9014297", \
+					  "7.8721261, 7.8757170, 7.8757175, 7.8757179, 7.8757184, 7.8757189, 7.9262170", \
+					  "7.9525853, 7.9525855, 7.9525860, 7.9619804, 7.9619806, 7.9619811, 7.9619816", \
+					  "8.0064204, 8.0286817, 8.0286823, 8.0286832, 8.0286842, 8.0286851, 8.1152631", \
+					  "8.0826750, 8.0826755, 8.0826765, 8.0826774, 8.0826784, 8.0826793, 8.1468929", \
+					  "8.1343750, 8.1343751, 8.1343761, 8.1395095, 8.1395097, 8.1395107, 8.2345251");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.1416170, 14.2032630, 14.5879650, 16.8921020, 30.8766700, 104.9668000, 177.8346200", \
+					  "14.2955430, 14.3571660, 14.7416260, 17.0454760, 31.0322150, 105.1184700, 177.9732500", \
+					  "14.4484900, 14.5108390, 14.8975270, 17.1989940, 31.1835820, 105.2705200, 178.1292600", \
+					  "14.5791120, 14.6421350, 15.0274350, 17.3306100, 31.2527460, 105.4035400, 178.2559600", \
+					  "14.6855760, 14.7483110, 15.1360190, 17.4368660, 31.4221220, 105.5094400, 178.3658400", \
+					  "14.7877570, 14.8555280, 15.2377590, 17.5372500, 31.4764960, 105.6120700, 178.4716500", \
+					  "14.8839200, 14.9462750, 15.3298940, 17.6385080, 31.6202820, 105.7071700, 178.5642600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8181107, 3.9098587, 4.4740092, 8.0063902, 30.3372230, 85.3933530, 73.6220040", \
+					  "3.8180850, 3.9096482, 4.4697455, 8.0064320, 30.3369770, 85.3878390, 73.6007520", \
+					  "3.8193426, 3.9098391, 4.4740389, 8.0060820, 30.3385830, 85.3850680, 73.6212660", \
+					  "3.8182035, 3.9098631, 4.4685677, 8.0063369, 30.3307350, 85.3878390, 73.7457380", \
+					  "3.8186054, 3.9057699, 4.4697028, 8.0133438, 30.3493440, 85.3831850, 73.7431310", \
+					  "3.8181152, 3.9098755, 4.4738488, 8.0134201, 30.3503710, 85.3739760, 73.6187720", \
+					  "3.8183332, 3.9098409, 4.4740549, 8.0122327, 30.3494720, 85.3919890, 73.6236170");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.3117495, 8.3117500, 8.3220000, 8.3220001, 8.3220010, 8.3220020, 8.3368183", \
+					  "8.4278611, 8.4608560, 8.4608570, 8.4608579, 8.4608589, 8.4608598, 8.5305931", \
+					  "8.5243563, 8.5273681, 8.5273691, 8.5273700, 8.5273710, 8.5273719, 8.5748946", \
+					  "8.6672509, 8.6672512, 8.6672521, 8.6672531, 8.6672540, 8.6672550, 8.7005541", \
+					  "8.6872696, 8.7337035, 8.7337041, 8.7337051, 8.7337060, 8.7337070, 8.7337080", \
+					  "8.7353378, 8.7353387, 8.7968788, 8.7968793, 8.7968803, 8.7968812, 8.7968822", \
+					  "8.7754435, 8.7754436, 8.8512122, 8.8512130, 8.8512140, 8.8512149, 8.8763157");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6298656, 7.6298659, 7.6298664, 7.6298669, 7.6298674, 7.6298678, 7.7197795", \
+					  "7.7670617, 7.7691631, 7.7691634, 7.7691639, 7.7691644, 7.7691649, 7.7905575", \
+					  "7.8749460, 7.8782998, 7.8783000, 7.8783005, 7.8783010, 7.8783014, 7.9242408", \
+					  "7.9592555, 7.9592560, 7.9592565, 7.9647293, 7.9647296, 7.9647301, 8.0408453", \
+					  "8.0295663, 8.0331573, 8.0331579, 8.0331588, 8.0331598, 8.0331607, 8.1141316", \
+					  "8.0698994, 8.0846405, 8.0846410, 8.0846420, 8.0846429, 8.0846439, 8.1664098", \
+					  "8.1310310, 8.1362836, 8.1362844, 8.1362854, 8.1362863, 8.1362873, 8.2387963");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.7326901, 8.7336280, 8.7336288, 8.7336298, 8.7336307, 8.7336317, 8.7336326", \
+					  "8.8605940, 8.8692627, 8.8692632, 8.8692642, 8.8692651, 8.8692661, 8.8692670", \
+					  "8.9691774, 8.9691778, 8.9691787, 8.9691797, 8.9691806, 8.9691816, 8.9691825", \
+					  "9.0719192, 9.0719200, 9.0719209, 9.0719219, 9.0719228, 9.0719238, 9.0719247", \
+					  "9.1153796, 9.1153799, 9.1153808, 9.1153818, 9.1153827, 9.1153837, 9.1153846", \
+					  "9.1692307, 9.1760457, 9.1760459, 9.1760469, 9.1760479, 9.1760488, 9.1760498", \
+					  "9.2599874, 9.2599884, 9.2599893, 9.2599903, 9.2599912, 9.2599922, 9.2599931");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.5467241, 7.5588042, 7.5588043, 7.5588048, 7.5588053, 7.5588058, 7.5588062", \
+					  "7.7066300, 7.7066305, 7.7066310, 7.7066315, 7.7066319, 7.7066324, 7.7066329", \
+					  "7.8007227, 7.8007229, 7.8007234, 7.8007238, 7.8007243, 7.8007248, 7.8007253", \
+					  "7.9002653, 7.9002655, 7.9002660, 7.9002665, 7.9002669, 7.9002674, 7.9002679", \
+					  "7.9667331, 7.9667333, 7.9667337, 7.9667342, 7.9667347, 7.9667352, 7.9667357", \
+					  "8.0265421, 8.0265422, 8.0265432, 8.0265441, 8.0265451, 8.0265461, 8.0265470", \
+					  "8.0665101, 8.0676346, 8.0676351, 8.0676361, 8.0676370, 8.0676380, 8.0676389");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6289120, 10.6760570, 10.9670680, 12.7499390, 19.4728450, 36.2518800, 132.7072400", \
+					  "10.7578320, 10.8071780, 11.0983410, 12.8801710, 19.6037850, 36.3857990, 132.8358100", \
+					  "10.8645530, 10.9090280, 11.2024130, 12.9853060, 19.7069130, 36.4874570, 132.9386700", \
+					  "10.9422480, 10.9889940, 11.2782560, 13.0634370, 19.7874980, 36.5681670, 133.0200700", \
+					  "11.0080020, 11.0532290, 11.3459220, 13.1292160, 19.8532370, 36.6315550, 133.0857900", \
+					  "11.0666510, 11.1127000, 11.4041400, 13.1870690, 19.9105070, 36.6879970, 133.1419100", \
+					  "11.1224580, 11.1710060, 11.4600490, 13.2431700, 19.9680090, 36.7495940, 133.1981300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2210896, 3.3060916, 3.8274530, 6.2250476, 9.1858690, 27.8198190, 171.2619300", \
+					  "3.2202483, 3.3053527, 3.8275911, 6.2252492, 9.1857419, 27.8713370, 171.2453500", \
+					  "3.2210346, 3.3060177, 3.8273202, 6.2252008, 9.1855252, 27.8418180, 170.8375700", \
+					  "3.2211189, 3.3061032, 3.8276329, 6.2250116, 9.1857482, 27.8713020, 171.2474400", \
+					  "3.2211234, 3.3060208, 3.8273056, 6.2250058, 9.1861914, 27.8249740, 171.2551700", \
+					  "3.2211032, 3.3060426, 3.8275347, 6.2253802, 9.1858894, 27.8280710, 170.8895400", \
+					  "3.2200715, 3.3065122, 3.8275956, 6.2254268, 9.1863255, 27.8707510, 170.9254500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.5671060, 10.5681070, 10.5899400, 10.5899406, 10.5899416, 10.5899425, 10.6085770", \
+					  "10.7511200, 10.7511201, 10.7511211, 10.7511220, 10.7511230, 10.7511240, 10.8962790", \
+					  "10.8416390, 10.8597380, 10.8597389, 10.8597398, 10.8597408, 10.8597417, 10.8987440", \
+					  "10.9441850, 10.9441858, 10.9441867, 10.9441877, 10.9441886, 10.9441896, 11.0066110", \
+					  "10.9980090, 11.0000200, 11.0072800, 11.0072809, 11.0072818, 11.0072828, 11.0617930", \
+					  "11.0423270, 11.0423275, 11.0511620, 11.0511623, 11.0511632, 11.0511642, 11.0980500", \
+					  "11.1008850, 11.1008859, 11.1231040, 11.1231046, 11.1231056, 11.1231065, 11.2191150");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2069160, 11.2541520, 11.5456600, 13.3235400, 20.0518690, 36.8277570, 133.2814500", \
+					  "11.3554920, 11.4090560, 11.6936330, 13.4802460, 20.2055810, 36.9875190, 133.4369400", \
+					  "11.5088610, 11.5590900, 11.8513860, 13.6313430, 20.3547130, 37.1309560, 133.5899900", \
+					  "11.6433610, 11.6897480, 11.9795570, 13.7625130, 20.4912250, 37.2653910, 133.7196100", \
+					  "11.7549290, 11.8009840, 12.0920460, 13.8712220, 20.6001100, 37.3691730, 133.8276400", \
+					  "11.8532550, 11.9008450, 12.1933900, 13.9795830, 20.6976780, 37.4754240, 133.9296000", \
+					  "11.9448390, 11.9977130, 12.2843530, 14.0666150, 20.7953550, 37.5747330, 134.0232700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2216654, 3.3071603, 3.8280539, 6.2253291, 9.1859844, 27.8633810, 170.8583400", \
+					  "3.2208187, 3.3066490, 3.8282102, 6.2256982, 9.1866106, 27.8709180, 171.2255900", \
+					  "3.2208574, 3.3059124, 3.8279948, 6.2253296, 9.1860439, 27.8531600, 171.2347200", \
+					  "3.2216791, 3.3065504, 3.8280352, 6.2253291, 9.1862660, 27.8711030, 171.2078400", \
+					  "3.2216965, 3.3071541, 3.8279664, 6.2254943, 9.1854400, 27.8284260, 171.2277100", \
+					  "3.2208103, 3.3059318, 3.8280605, 6.2255414, 9.1860439, 27.8531760, 171.2337600", \
+					  "3.2208105, 3.3059291, 3.8280694, 6.2254251, 9.1856469, 27.8715810, 170.9306200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2128170, 14.2747540, 14.6506930, 16.6668530, 21.4725340, 42.3396860, 83.6657680", \
+					  "14.3666310, 14.4276950, 14.8040260, 16.8205150, 21.6261320, 42.4818540, 83.8216040", \
+					  "14.5208440, 14.5816960, 14.9575800, 16.9742530, 21.7815470, 42.6568790, 83.9728220", \
+					  "14.6526060, 14.7139440, 15.0894300, 17.1055920, 21.9096230, 42.7617300, 84.1068580", \
+					  "14.7612540, 14.8219830, 15.1983630, 17.2136370, 22.0191350, 42.8854180, 84.2132340", \
+					  "14.8635030, 14.9207030, 15.3005220, 17.3155710, 22.1205290, 42.9822330, 84.3123830", \
+					  "14.9563140, 15.0177240, 15.3956460, 17.4084710, 22.2147470, 43.0841320, 84.4090520");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7014991, 3.7587795, 4.0469046, 4.8171342, 7.9293594, 25.6653990, 57.0073300", \
+					  "3.7017662, 3.7613397, 4.0445682, 4.8164948, 7.9279134, 25.6430650, 56.9727730", \
+					  "3.7002226, 3.7620702, 4.0457806, 4.8169291, 7.9266513, 25.6739220, 57.0039060", \
+					  "3.7017271, 3.7584429, 4.0445474, 4.8164925, 7.9296720, 25.6478450, 56.9762480", \
+					  "3.7017340, 3.7592840, 4.0459520, 4.8153765, 7.9296720, 25.6654270, 57.0094760", \
+					  "3.7001375, 3.7626879, 4.0441916, 4.8099609, 7.9296703, 25.6566150, 56.9715720", \
+					  "3.7002770, 3.7613574, 4.0448858, 4.8165445, 7.9291844, 25.6644290, 56.9532940");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2044840, 11.2504890, 11.5443140, 13.3110350, 24.0212220, 89.9660220, 419.9330600", \
+					  "11.3619960, 11.4082840, 11.7012780, 13.4636100, 24.1790000, 90.1177090, 420.0851400", \
+					  "11.5186000, 11.5580940, 11.8519990, 13.6191530, 24.3340920, 90.2736520, 420.1943100", \
+					  "11.6431820, 11.6949890, 11.9824390, 13.7528210, 24.4618710, 90.4007540, 420.3421000", \
+					  "11.7565280, 11.8011810, 12.0916530, 13.8618310, 24.5736620, 90.5117330, 420.4197500", \
+					  "11.8585490, 11.9056050, 12.1949480, 13.9599210, 24.6729070, 90.6227270, 420.5230400", \
+					  "11.9449640, 11.9971850, 12.2896050, 14.0548330, 24.7612770, 90.7090370, 420.6480600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1749452, 3.2547989, 3.7438213, 6.7317960, 25.4455410, 141.4965600, 385.8546100", \
+					  "3.1749476, 3.2549945, 3.7441295, 6.7311783, 25.4451520, 141.5881700, 386.0282900", \
+					  "3.1749223, 3.2550429, 3.7438528, 6.7317760, 25.4806450, 141.5165900, 385.8838200", \
+					  "3.1750191, 3.2549872, 3.7451420, 6.7305099, 25.4426930, 141.5989200, 385.9920000", \
+					  "3.1750398, 3.2548628, 3.7437857, 6.7304744, 25.4392190, 141.4992000, 385.9107700", \
+					  "3.1750416, 3.2550584, 3.7437835, 6.7311863, 25.4806930, 141.5897100, 385.9745200", \
+					  "3.1735403, 3.2549805, 3.7440611, 6.7310797, 25.4704010, 141.5817100, 386.0292600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2279110, 14.2900220, 14.6689720, 16.9784170, 30.9373150, 116.7728000, 489.8300900", \
+					  "14.3822210, 14.4440840, 14.8270110, 17.1267410, 31.0923340, 116.9213100, 489.9819700", \
+					  "14.5356530, 14.6001760, 14.9761550, 17.2808240, 31.2457120, 117.0660100, 490.1219800", \
+					  "14.6639640, 14.7274180, 15.1077120, 17.4043620, 31.3753680, 117.2478900, 490.2401500", \
+					  "14.7750440, 14.8371710, 15.2185660, 17.5242400, 31.4820490, 117.3451300, 490.3699300", \
+					  "14.8766490, 14.9389710, 15.3265950, 17.6220020, 31.5891210, 117.4457400, 490.4748600", \
+					  "14.9693590, 15.0328500, 15.4155200, 17.7146850, 31.6815300, 117.5098100, 490.5700200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8078469, 3.9017158, 4.4584345, 7.9977873, 30.3458520, 168.9814000, 348.8451300", \
+					  "3.8077257, 3.9017065, 4.4597925, 8.0008977, 30.3365370, 168.9695800, 348.7721800", \
+					  "3.8083736, 3.8976546, 4.4580371, 8.0017548, 30.3403560, 168.9504600, 348.7532800", \
+					  "3.8108965, 3.8976187, 4.4597188, 7.9947640, 30.3267630, 168.9058600, 348.8559600", \
+					  "3.8089967, 3.9015347, 4.4591388, 8.0005078, 30.3483500, 168.8673400, 348.8659400", \
+					  "3.8063304, 3.8979651, 4.4569499, 8.0014972, 30.3440400, 168.8434800, 348.7806200", \
+					  "3.8090748, 3.9018015, 4.4593103, 8.0010203, 30.3364800, 168.9828500, 348.7706700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("8.2827327, 8.2827335, 8.2947196, 8.2947202, 8.2947212, 8.2947221, 8.3559621", \
+					  "8.4083247, 8.4592831, 8.4592834, 8.4626253, 8.4626260, 8.4626270, 8.5244478", \
+					  "8.5663991, 8.5664001, 8.5675378, 8.5675388, 8.5675397, 8.5675407, 8.6116350", \
+					  "8.6035383, 8.6320133, 8.6320138, 8.6480849, 8.6480852, 8.6480861, 8.6612681", \
+					  "8.6969960, 8.6969963, 8.6969972, 8.6969982, 8.6969991, 8.6970001, 8.7461185", \
+					  "8.7756140, 8.7766976, 8.7766977, 8.7766986, 8.7766996, 8.7767005, 8.8187884", \
+					  "8.8000736, 8.8000742, 8.8000751, 8.8000761, 8.8000770, 8.8000780, 8.8836574");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("7.6425737, 7.6425741, 7.6425746, 7.6425751, 7.6425756, 7.6425760, 7.6579143", \
+					  "7.7496338, 7.7592590, 7.7689775, 7.7689779, 7.7689784, 7.7689789, 7.9188487", \
+					  "7.8876425, 7.8901916, 7.8901918, 7.8901923, 7.8901928, 7.8901933, 7.9242266", \
+					  "7.9615559, 7.9756228, 7.9756229, 7.9756234, 7.9756239, 7.9756244, 8.0810461", \
+					  "8.0270244, 8.0301250, 8.0301252, 8.0341653, 8.0341659, 8.0341669, 8.1406162", \
+					  "8.0621145, 8.0980893, 8.0980897, 8.0980907, 8.0980917, 8.0980926, 8.1991409", \
+					  "8.1544096, 8.1544099, 8.1544109, 8.1544118, 8.1544128, 8.1544138, 8.2520728");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.6842269, 6.6861485, 6.6861489, 6.6861494, 6.6861499, 6.6861504, 6.6861508", \
+					  "6.8369173, 6.8485098, 6.8485101, 6.8485106, 6.8485110, 6.8485115, 6.8485120", \
+					  "6.9967956, 6.9967959, 6.9967964, 6.9971020, 6.9971021, 6.9971025, 7.0898234", \
+					  "7.1318547, 7.1318548, 7.1318553, 7.1318558, 7.1318563, 7.1318567, 7.1854660", \
+					  "7.2399398, 7.2426976, 7.2426980, 7.2426985, 7.2426990, 7.2426994, 7.3259643", \
+					  "7.3456152, 7.3468427, 7.3468431, 7.3473951, 7.3473952, 7.3473957, 7.4220559", \
+					  "7.4463893, 7.4463895, 7.4463900, 7.4463904, 7.4463909, 7.4463914, 7.5069551");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.1081720, 14.1698080, 14.5444570, 16.5566990, 21.4213500, 44.8452400, 140.0271700", \
+					  "14.2639600, 14.3251820, 14.6986610, 16.7100630, 21.5767280, 44.9985040, 140.1767900", \
+					  "14.4181800, 14.4800990, 14.8520170, 16.8640780, 21.7286370, 45.1533320, 140.3293200", \
+					  "14.5456610, 14.6054380, 14.9820030, 16.9957260, 21.8584080, 45.2777760, 140.4661300", \
+					  "14.6562650, 14.7170720, 15.0911590, 17.1040920, 21.9666380, 45.3883650, 140.5666300", \
+					  "14.7558050, 14.8223800, 15.1978860, 17.2063980, 22.0720720, 45.4949360, 140.6777800", \
+					  "14.8517420, 14.9120540, 15.2864100, 17.2983300, 22.1633960, 45.5879350, 140.7701300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.6968109, 3.7568300, 4.0394990, 4.8361977, 7.9675742, 39.7633750, 89.0179810", \
+					  "3.6968164, 3.7569108, 4.0394976, 4.8364917, 7.9675919, 39.7621030, 89.0164600", \
+					  "3.6967425, 3.7568593, 4.0395003, 4.8365463, 7.9674853, 39.7633640, 89.0116710", \
+					  "3.6989010, 3.7577363, 4.0394434, 4.8433306, 7.9615035, 39.7638190, 89.0222860", \
+					  "3.6988979, 3.7577901, 4.0395536, 4.8363171, 7.9674178, 39.7996590, 89.0117210", \
+					  "3.6968169, 3.7569370, 4.0395109, 4.8362283, 7.9675617, 39.7633930, 89.0194580", \
+					  "3.6968240, 3.7568859, 4.0395509, 4.8365529, 7.9675697, 39.7633640, 89.0174900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("6.6880643, 6.6880843, 6.6896502, 6.6896503, 6.6896508, 6.6896513, 6.6896518", \
+					  "6.8444646, 6.8444651, 6.8447399, 6.8447402, 6.8447407, 6.8447412, 6.8447416", \
+					  "6.9969031, 6.9988286, 6.9988287, 6.9988292, 6.9988297, 6.9988301, 7.0824457", \
+					  "7.1282145, 7.1294219, 7.1294220, 7.1294225, 7.1294230, 7.1294234, 7.1835364", \
+					  "7.2455073, 7.2455075, 7.2455080, 7.2455085, 7.2455090, 7.2455094, 7.3020896", \
+					  "7.3478659, 7.3478663, 7.3495352, 7.3495353, 7.3495358, 7.3495362, 7.4033495", \
+					  "7.4447071, 7.4452320, 7.4452322, 7.4452327, 7.4452332, 7.4452336, 7.4898168");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3292300, 18.5533930, 19.8877230, 28.1447240, 78.8263320, 390.9187300, 2314.9096000", \
+					  "18.4823660, 18.6959780, 20.0411710, 28.2978650, 78.9799730, 391.0690200, 2314.8737000", \
+					  "18.6367880, 18.8469600, 20.1959000, 28.4531490, 79.1340970, 391.2232100, 2314.9364000", \
+					  "18.7709510, 18.9792090, 20.3241570, 28.5817520, 79.2642110, 391.3488600, 2315.3548000", \
+					  "18.8835120, 19.0988580, 20.4338180, 28.6941440, 79.3776990, 391.4592000, 2315.4857000", \
+					  "18.9775500, 19.1890610, 20.5257360, 28.7944440, 79.4770970, 391.5766900, 2315.5937000", \
+					  "19.0740880, 19.2815470, 20.6340350, 28.8850240, 79.5684660, 391.6611600, 2316.1942000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4747630, 16.9288320, 19.5467230, 35.8621790, 137.1331000, 759.2481700, 4599.9718000", \
+					  "16.4747720, 16.9204610, 19.5476010, 35.8599910, 137.1331800, 758.8739100, 4601.0773000", \
+					  "16.4747740, 16.9173690, 19.5465510, 35.8624630, 137.1330300, 758.9050000, 4603.5625000", \
+					  "16.4748660, 16.9174840, 19.5468030, 35.8442410, 137.1332100, 759.9189200, 4600.8363000", \
+					  "16.4899210, 16.9042980, 19.5473500, 35.8629320, 137.0587200, 759.9181200, 4600.7244000", \
+					  "16.4747580, 16.9174830, 19.5653150, 35.8606370, 137.1331700, 758.7883600, 4602.0068000", \
+					  "16.4736670, 16.9174830, 19.5637040, 35.9169190, 137.0594400, 758.8413400, 4602.2947000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4139360, 21.6325270, 22.9499730, 31.0259370, 80.8980260, 388.5095900, 2286.2257000", \
+					  "21.5755660, 21.7863510, 23.1068620, 31.1848010, 81.0614370, 388.6819100, 2286.2173000", \
+					  "21.7258050, 21.9396200, 23.2487260, 31.3526660, 81.1669880, 389.0125100, 2285.9554000", \
+					  "21.8581330, 22.0661070, 23.3879440, 31.4813700, 81.3725690, 389.2884300, 2285.3410000", \
+					  "21.9663040, 22.1744610, 23.5004890, 31.5787540, 81.3892600, 388.9439300, 2287.1022000", \
+					  "22.0557710, 22.2839630, 23.6004620, 31.7271220, 81.5335500, 389.1567200, 2286.1846000", \
+					  "22.1569250, 22.3757260, 23.6989950, 31.7758690, 81.5786830, 389.2941200, 2286.7812000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6736810, 16.0739120, 18.7069650, 34.7549580, 134.5032500, 748.0741100, 4537.1421000", \
+					  "15.6738200, 16.0744910, 18.7100580, 34.7492380, 134.3885600, 748.1947900, 4538.3335000", \
+					  "15.6771320, 16.1051300, 18.7054410, 34.8843760, 134.3780700, 748.2629500, 4538.0602000", \
+					  "15.6532560, 16.0942370, 18.6968020, 34.8714200, 134.5871000, 749.2115500, 4538.5327000", \
+					  "15.6538050, 16.0883150, 18.7090500, 34.7506840, 134.2296100, 748.3002400, 4539.0693000", \
+					  "15.6798880, 16.0740100, 18.7099940, 34.7892950, 134.3284700, 748.0522300, 4537.0462000", \
+					  "15.6712190, 16.1046480, 18.7091660, 34.7436180, 134.3024700, 748.2802300, 4538.5855000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.6506470, 10.6968930, 10.9881400, 12.7715300, 19.4820140, 36.2616920, 132.7084200", \
+					  "10.7812620, 10.8296230, 11.1182930, 12.9028450, 19.6120130, 36.3875260, 132.8368900", \
+					  "10.8858800, 10.9337440, 11.2206740, 13.0050980, 19.7177370, 36.4941320, 132.9393900", \
+					  "10.9621050, 11.0100360, 11.3038520, 13.0840210, 19.7977330, 36.5731050, 133.0181500", \
+					  "11.0299480, 11.0764990, 11.3665270, 13.1507760, 19.8605400, 36.6398930, 133.0849100", \
+					  "11.0891140, 11.1356120, 11.4251350, 13.2101290, 19.9182980, 36.6997770, 133.1441700", \
+					  "11.1413860, 11.1890770, 11.4833190, 13.2627600, 19.9767600, 36.7498420, 133.1966800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2195693, 3.3043106, 3.8264201, 6.2144001, 9.1713348, 27.8709060, 171.2333400", \
+					  "3.2187855, 3.3043350, 3.8264178, 6.2146825, 9.1713765, 27.8250330, 171.2427000", \
+					  "3.2193856, 3.3042313, 3.8264134, 6.2143584, 9.1716217, 27.8692230, 171.2327400", \
+					  "3.2193297, 3.3041827, 3.8264361, 6.2143286, 9.1716492, 27.8695730, 171.2332200", \
+					  "3.2196008, 3.3045382, 3.8265751, 6.2143370, 9.1713170, 27.8696500, 171.2331700", \
+					  "3.2196077, 3.3044805, 3.8266701, 6.2141901, 9.1708809, 27.8709620, 171.2422500", \
+					  "3.2195135, 3.3047340, 3.8265981, 6.2146257, 9.1719849, 27.8250120, 170.8860500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("20.6693720, 20.8980810, 22.2319390, 30.4383290, 80.4622720, 388.4517200, 2286.6491000", \
+					  "20.8414490, 21.0541220, 22.3916090, 30.5963330, 80.6264500, 388.5703000, 2285.0375000", \
+					  "20.9961190, 21.2075690, 22.5365660, 30.7572210, 80.7796000, 388.7610400, 2285.4601000", \
+					  "21.1244820, 21.3394000, 22.6918610, 30.8782400, 80.9111570, 388.8490900, 2286.0324000", \
+					  "21.2336020, 21.4487540, 22.8024270, 30.9874120, 80.9674750, 388.9929000, 2285.8110000", \
+					  "21.3424390, 21.5619910, 22.9008400, 31.0700300, 81.0870450, 389.1009000, 2285.9949000", \
+					  "21.4358130, 21.6432380, 22.9857310, 31.1865090, 81.2100890, 389.2114400, 2286.0013000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6544860, 16.0870500, 18.6706610, 34.8797510, 134.4414600, 749.1904100, 4538.7114000", \
+					  "15.6669020, 16.0741800, 18.6774330, 34.7707290, 134.5827900, 748.6405000, 4539.1394000", \
+					  "15.6678030, 16.0871390, 18.6686030, 34.8222960, 134.5835200, 749.2641900, 4538.6500000", \
+					  "15.6692280, 16.0803670, 18.6787460, 34.7622520, 134.5432300, 748.4750900, 4543.2130000", \
+					  "15.6683930, 16.0800970, 18.6728930, 34.7577010, 134.1686900, 748.2408400, 4537.2103000", \
+					  "15.6670570, 16.0701870, 18.6859240, 34.7614910, 134.2235300, 749.1904100, 4538.4068000", \
+					  "15.6671080, 16.0819540, 18.6824190, 34.8799140, 134.4445900, 749.1996100, 4537.7265000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2059770, 11.2522580, 11.5445190, 13.3059310, 24.0263860, 89.9660360, 419.9332300", \
+					  "11.3609560, 11.4089990, 11.7013170, 13.4638090, 24.1768860, 90.1179220, 420.0852000", \
+					  "11.5122740, 11.5597820, 11.8516590, 13.6191980, 24.3328180, 90.2694100, 420.1949900", \
+					  "11.6496870, 11.6935470, 11.9879850, 13.7471070, 24.4625870, 90.4008390, 420.3531000", \
+					  "11.7538730, 11.8026330, 12.0919590, 13.8562250, 24.5751630, 90.5132540, 420.4197500", \
+					  "11.8556200, 11.9024490, 12.1940990, 13.9592470, 24.6707010, 90.6147900, 420.5200500", \
+					  "11.9472650, 11.9968330, 12.2866760, 14.0553070, 24.7675430, 90.7069480, 420.6713400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1741936, 3.2544774, 3.7434091, 6.7303878, 25.4800520, 141.4927600, 385.8618200", \
+					  "3.1749245, 3.2544882, 3.7436902, 6.7309411, 25.4895890, 141.5943100, 386.0138000", \
+					  "3.1744414, 3.2544729, 3.7435139, 6.7313848, 25.4448590, 141.5954800, 385.8866600", \
+					  "3.1744032, 3.2545564, 3.7434571, 6.7309798, 25.4427430, 141.5935500, 385.9684600", \
+					  "3.1745042, 3.2544252, 3.7435317, 6.7309966, 25.4247750, 141.4835700, 385.9115100", \
+					  "3.1744161, 3.2544698, 3.7434029, 6.7309815, 25.4711610, 141.5941300, 385.9789800", \
+					  "3.1743637, 3.2544023, 3.7438350, 6.7309811, 25.4422420, 141.5897200, 385.9756000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4217350, 21.6310130, 22.9456520, 31.0378070, 80.9137570, 388.8496800, 2285.6239000", \
+					  "21.5685350, 21.7749000, 23.0969540, 31.1913020, 81.0683150, 388.7315100, 2285.1725000", \
+					  "21.7218880, 21.9375900, 23.2506350, 31.3701700, 81.2414170, 389.1771900, 2285.4019000", \
+					  "21.8367260, 22.0612030, 23.3691470, 31.4822090, 81.3680000, 389.2985800, 2286.2760000", \
+					  "21.9484640, 22.1783180, 23.4853610, 31.5928720, 81.4716690, 388.8985700, 2286.9365000", \
+					  "22.0728770, 22.2808240, 23.6034230, 31.6890980, 81.5068690, 389.4868100, 2286.4585000", \
+					  "22.1603870, 22.3614960, 23.6726140, 31.7786190, 81.6570060, 389.3203800, 2286.6282000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6588730, 16.0874740, 18.6780510, 34.9057250, 134.5709100, 749.2502600, 4537.9002000", \
+					  "15.6697090, 16.1025330, 18.6877750, 34.7712760, 134.5616200, 748.7706200, 4539.4231000", \
+					  "15.6702380, 16.1058600, 18.6886450, 34.7881790, 134.5454700, 749.3113100, 4537.6482000", \
+					  "15.6842360, 16.0931450, 18.7039130, 34.7781470, 134.5412000, 749.3102300, 4541.3080000", \
+					  "15.6842180, 16.1057530, 18.6968310, 34.7801930, 134.2953500, 748.5217000, 4539.1776000", \
+					  "15.6598380, 16.1062970, 18.7024000, 34.7767010, 134.1794900, 749.1554500, 4538.3158000", \
+					  "15.6652340, 16.1038080, 18.7003870, 34.9026980, 134.5180900, 748.7648800, 4537.0343000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3348570, 18.5389610, 19.8881340, 28.1417680, 78.8269720, 390.9170800, 2314.6724000", \
+					  "18.4822700, 18.6917180, 20.0420940, 28.2995830, 78.9805060, 391.0674300, 2315.0435000", \
+					  "18.6376180, 18.8462210, 20.1963870, 28.4543590, 79.1345160, 391.2185500, 2315.1449000", \
+					  "18.7742430, 18.9849110, 20.3236290, 28.5836560, 79.2678070, 391.3539500, 2315.0867000", \
+					  "18.8783210, 19.0957760, 20.4354510, 28.6938580, 79.3755820, 391.4642800, 2315.4753000", \
+					  "18.9824170, 19.2020440, 20.5381400, 28.7910920, 79.4766630, 391.5709500, 2315.8650000", \
+					  "19.0776430, 19.2894460, 20.6309970, 28.8847950, 79.5692970, 391.6582300, 2315.3682000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4744640, 16.9179920, 19.5463880, 35.8989890, 137.1328300, 759.3268400, 4601.8822000", \
+					  "16.4754980, 16.9174970, 19.5461890, 35.8623820, 137.1330300, 759.9049400, 4599.8027000", \
+					  "16.4754520, 16.9178640, 19.5367950, 35.8621470, 137.1331400, 759.8618500, 4600.6148000", \
+					  "16.4684000, 16.8996300, 19.5473470, 35.8539540, 137.0715400, 758.7608500, 4599.8395000", \
+					  "16.4754560, 16.8997900, 19.5476520, 35.9124680, 137.1332600, 758.9962900, 4600.6985000", \
+					  "16.4754150, 16.9254780, 19.5476330, 35.9562510, 137.0812000, 758.8534500, 4601.8176000", \
+					  "16.4735990, 16.9097180, 19.5462490, 35.8621010, 137.1338500, 759.0032900, 4600.2460000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("14.2294270, 14.2901130, 14.6727780, 16.9674110, 30.9363450, 116.8230000, 489.8551500", \
+					  "14.3810690, 14.4432290, 14.8309610, 17.1212890, 31.0904870, 116.9773800, 489.9903800", \
+					  "14.5372590, 14.6001810, 14.9853940, 17.2753510, 31.2454280, 117.1222400, 490.1606900", \
+					  "14.6626930, 14.7269730, 15.1145320, 17.4110630, 31.3589690, 117.2538800, 490.3186600", \
+					  "14.7706230, 14.8380290, 15.2256180, 17.5187240, 31.4842160, 117.3709000, 490.4262000", \
+					  "14.8800530, 14.9406760, 15.3230960, 17.6166090, 31.5906800, 117.4736400, 490.5047000", \
+					  "14.9703170, 15.0357660, 15.4185620, 17.7122100, 31.6711810, 117.5573600, 490.6152100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.8059129, 3.9004746, 4.4592690, 7.9945028, 30.3289130, 168.8589700, 348.8551400", \
+					  "3.8103325, 3.8995145, 4.4555444, 7.9910700, 30.3287920, 168.8612600, 348.8973700", \
+					  "3.8065626, 3.8994239, 4.4577084, 7.9911224, 30.3273250, 168.8981000, 348.8354400", \
+					  "3.8018881, 3.9014139, 4.4588226, 7.9969693, 30.3502290, 168.5611000, 348.9708400", \
+					  "3.7981183, 3.9004102, 4.4555573, 7.9975946, 30.3275340, 168.8521500, 348.9696800", \
+					  "3.8105497, 3.8911612, 4.4592690, 7.9929112, 30.3257050, 168.8627300, 348.8449900", \
+					  "3.8103440, 3.9002606, 4.4543715, 7.9932070, 30.3275910, 168.9019800, 348.8050300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("11.2019450, 11.2510530, 11.5408170, 13.3239590, 20.0461920, 36.8240410, 133.2774700", \
+					  "11.3608530, 11.4074480, 11.6985000, 13.4801170, 20.2076770, 36.9871740, 133.4385000", \
+					  "11.5152990, 11.5593070, 11.8518050, 13.6369160, 20.3586710, 37.1391840, 133.5859100", \
+					  "11.6434990, 11.6925580, 11.9845350, 13.7628540, 20.4877630, 37.2617850, 133.7236900", \
+					  "11.7542310, 11.7960020, 12.0931680, 13.8710390, 20.5993020, 37.3699400, 133.8223000", \
+					  "11.8523420, 11.9008940, 12.1902510, 13.9746020, 20.6986090, 37.4782050, 133.9298300", \
+					  "11.9510820, 11.9953120, 12.2820400, 14.0703650, 20.7894360, 37.5738760, 134.0266500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.2202103, 3.3053331, 3.8274655, 6.2251519, 9.1859640, 27.8266350, 170.8656000", \
+					  "3.2211376, 3.3060459, 3.8274570, 6.2254837, 9.1862562, 27.8714700, 170.8785900", \
+					  "3.2209235, 3.3060039, 3.8274863, 6.2253305, 9.1857224, 27.8371990, 171.2358500", \
+					  "3.2200627, 3.3064438, 3.8273571, 6.2250090, 9.1860057, 27.8291720, 171.2634900", \
+					  "3.2209186, 3.3059584, 3.8273540, 6.2251608, 9.1858148, 27.8558990, 171.2381700", \
+					  "3.2202052, 3.3053544, 3.8276502, 6.2253660, 9.1860208, 27.8712790, 171.2360100", \
+					  "3.2201175, 3.3053182, 3.8276400, 6.2251311, 9.1857402, 27.8380750, 171.2516700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3327520, 18.5389780, 19.8883220, 28.1449460, 78.8262180, 390.9189800, 2315.1970000", \
+					  "18.4840750, 18.6920150, 20.0410040, 28.2979290, 78.9802640, 391.0690500, 2315.1522000", \
+					  "18.6373440, 18.8489920, 20.1952870, 28.4522560, 79.1344380, 391.2250300, 2315.6915000", \
+					  "18.7695260, 18.9831030, 20.3292230, 28.5772060, 79.2717710, 391.3536100, 2315.5678000", \
+					  "18.8855630, 19.0877770, 20.4253380, 28.6931530, 79.3741390, 391.4634600, 2315.5615000", \
+					  "18.9798930, 19.2031370, 20.5379730, 28.7942790, 79.4794770, 391.5664300, 2315.4380000", \
+					  "19.0727910, 19.2822430, 20.6251850, 28.8843050, 79.5735820, 391.6695400, 2315.9550000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4748200, 16.9174610, 19.5409500, 35.8624880, 137.1329900, 759.2623200, 4601.1387000", \
+					  "16.4737890, 16.9170440, 19.5471960, 35.8622860, 137.1269300, 758.9391100, 4601.2742000", \
+					  "16.4748270, 16.9174470, 19.5463560, 35.8623570, 137.1329900, 758.9163700, 4600.1001000", \
+					  "16.4748230, 16.9210510, 19.5475760, 35.9561020, 137.0986200, 759.0009000, 4603.3852000", \
+					  "16.5005290, 16.9174610, 19.5505600, 35.8622290, 137.1332000, 759.9139200, 4602.3315000", \
+					  "16.4748250, 16.9201650, 19.5353320, 35.8623920, 136.9238000, 758.9005100, 4599.7649000", \
+					  "16.4748140, 16.9175570, 19.5509640, 35.9166810, 137.1315500, 759.9360300, 4603.7189000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("21.4137580, 21.6325270, 22.9529440, 31.0385920, 80.8554930, 388.5195100, 2284.8610000", \
+					  "21.5750530, 21.7879420, 23.1067960, 31.1848010, 80.9930260, 389.0275800, 2285.7282000", \
+					  "21.7300240, 21.9266130, 23.2607550, 31.3530500, 81.1686500, 388.9906600, 2285.1043000", \
+					  "21.8539250, 22.0674550, 23.3892440, 31.4704350, 81.2939050, 389.2628200, 2286.3326000", \
+					  "21.9676080, 22.1768150, 23.4989380, 31.5723870, 81.4175110, 389.2324700, 2286.2337000", \
+					  "22.0637770, 22.2822860, 23.5874380, 31.6790220, 81.5481090, 389.4794000, 2286.7112000", \
+					  "22.1620520, 22.3748790, 23.6931240, 31.7775460, 81.5923190, 389.3366900, 2286.9742000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("15.6730700, 16.0739460, 18.7099850, 34.9100060, 134.3608000, 748.2515200, 4537.0671000", \
+					  "15.6742970, 16.0734910, 18.7100450, 34.7487190, 134.2997700, 749.3983400, 4538.5727000", \
+					  "15.6773300, 16.1052240, 18.7090650, 34.8749470, 134.3801200, 748.2425400, 4540.4418000", \
+					  "15.6712210, 16.0855930, 18.6963420, 34.7660500, 134.3629200, 749.1418600, 4539.3008000", \
+					  "15.6716990, 16.0889150, 18.7069120, 34.7341430, 134.2501600, 748.2414600, 4539.1630000", \
+					  "15.6716260, 16.1051120, 18.7036820, 34.7524360, 134.3148200, 749.1394200, 4536.6742000", \
+					  "15.6560170, 16.0745510, 18.7099810, 34.7403810, 134.3507700, 748.3669700, 4537.9052000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("10.7838190, 10.8317460, 11.1276950, 12.9097300, 23.6952750, 89.7073490, 419.5049400", \
+					  "10.9138590, 10.9619540, 11.2568750, 13.0394810, 23.8257400, 89.8371580, 419.6185200", \
+					  "11.0156900, 11.0692360, 11.3607620, 13.1481500, 23.9251290, 89.9312200, 419.7176800", \
+					  "11.0994320, 11.1476460, 11.4426220, 13.2233280, 24.0027200, 90.0141830, 419.8147400", \
+					  "11.1612220, 11.2116880, 11.5073310, 13.2913620, 24.0676350, 90.0746140, 419.8573200", \
+					  "11.2236750, 11.2679230, 11.5652710, 13.3462810, 24.1309570, 90.1361470, 419.9219200", \
+					  "11.2772240, 11.3273670, 11.6222110, 13.4069040, 24.1835490, 90.1875910, 419.9815500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.1577982, 3.2384162, 3.7318451, 6.7273200, 25.4754160, 141.5432500, 385.7719500", \
+					  "3.1577625, 3.2383507, 3.7318202, 6.7275163, 25.4854630, 141.5224100, 385.7984400", \
+					  "3.1580942, 3.2387633, 3.7320955, 6.7263892, 25.4878000, 141.3814500, 385.7749100", \
+					  "3.1577689, 3.2387968, 3.7321395, 6.7268955, 25.4712840, 141.5069000, 385.7664700", \
+					  "3.1577865, 3.2389982, 3.7312664, 6.7274106, 25.4861500, 141.1816000, 385.7723800", \
+					  "3.1577785, 3.2382899, 3.7318735, 6.7271722, 25.4502980, 141.5927600, 385.6939700", \
+					  "3.1577794, 3.2388798, 3.7312953, 6.7267747, 25.4889510, 141.1339000, 385.7879000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("13.0791120, 13.1433680, 13.5253490, 15.8731320, 29.8725990, 115.6030600, 488.5048400", \
+					  "13.2354850, 13.2986040, 13.6899190, 16.0232430, 30.0119540, 115.8990700, 488.6479100", \
+					  "13.3846640, 13.4470670, 13.8440970, 16.1674210, 30.1512950, 116.0979900, 488.7803000", \
+					  "13.5189310, 13.5822110, 13.9715630, 16.3075510, 30.3019970, 116.1485200, 489.0469000", \
+					  "13.6285650, 13.6918800, 14.0810670, 16.4176830, 30.4138400, 116.2460500, 489.0835600", \
+					  "13.7299950, 13.7891310, 14.1849690, 16.5098600, 30.5092020, 116.4422700, 489.2377800", \
+					  "13.8202500, 13.8872770, 14.2782020, 16.6068100, 30.6174000, 116.5448000, 489.2336800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7663455, 3.8577950, 4.4219899, 7.9874454, 30.3689730, 168.7939500, 348.5715200", \
+					  "3.7635859, 3.8577297, 4.4211257, 7.9795823, 30.3638890, 168.9898700, 348.4955200", \
+					  "3.7658654, 3.8570493, 4.4245474, 7.9884286, 30.3769840, 169.0222100, 348.5173500", \
+					  "3.7631400, 3.8572607, 4.4204067, 7.9817681, 30.3523820, 168.8710800, 348.4888100", \
+					  "3.7635708, 3.8570214, 4.4203308, 7.9828544, 30.3466660, 168.8291500, 348.4502700", \
+					  "3.7658068, 3.8523948, 4.4199897, 7.9811331, 30.3725860, 169.0187600, 348.4744600", \
+					  "3.7608325, 3.8504848, 4.4264894, 7.9772207, 30.3237720, 168.7117600, 348.5446900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("18.3058460, 18.5195650, 19.8843700, 28.2292940, 79.2026000, 391.5679700, 2315.8352000", \
+					  "18.4370950, 18.6512990, 20.0188700, 28.3589900, 79.3328070, 391.6978800, 2315.5749000", \
+					  "18.5462560, 18.7634210, 20.1295070, 28.4607270, 79.4359000, 391.7982700, 2315.8277000", \
+					  "18.6175890, 18.8367970, 20.2041020, 28.5512610, 79.5063780, 391.8964600, 2315.8743000", \
+					  "18.6908980, 18.9086880, 20.2693600, 28.6219940, 79.5806730, 391.9637100, 2316.1685000", \
+					  "18.7438170, 18.9629540, 20.3226020, 28.6844500, 79.6362730, 392.0068500, 2316.0585000", \
+					  "18.7972450, 19.0162940, 20.3853360, 28.7385140, 79.6902600, 392.0599500, 2315.9532000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("16.4746850, 16.9252560, 19.5642900, 35.8542320, 136.9321200, 759.8174000, 4600.6535000", \
+					  "16.4782110, 16.9241490, 19.5749140, 35.8561430, 136.9299600, 759.8263200, 4600.2274000", \
+					  "16.4893400, 16.9182850, 19.5628900, 35.8606940, 136.7709600, 758.6976900, 4600.5907000", \
+					  "16.4987190, 16.9271190, 19.5419310, 35.9090890, 137.1577300, 759.7939800, 4599.7090000", \
+					  "16.4671390, 16.9208720, 19.5238240, 35.8523060, 136.7747700, 759.8145000, 4600.4343000", \
+					  "16.4986410, 16.9268760, 19.5609590, 35.8965020, 137.1132300, 758.7995600, 4600.0587000", \
+					  "16.4996280, 16.9279520, 19.5627690, 35.8975290, 137.1164300, 758.6377800, 4601.5448000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("12.8448900, 12.9093160, 13.2887960, 15.5998240, 29.5506180, 115.3245300, 488.4816500", \
+					  "13.0024680, 13.0639190, 13.4515650, 15.7404900, 29.7101050, 115.5953300, 488.5515600", \
+					  "13.1547610, 13.2165980, 13.6009200, 15.8974270, 29.8632440, 115.7144400, 488.6875300", \
+					  "13.2871670, 13.3491930, 13.7334050, 16.0266540, 29.9935540, 115.8726100, 488.8682400", \
+					  "13.3955820, 13.4572860, 13.8426720, 16.1323400, 30.1041860, 115.9654400, 488.9788600", \
+					  "13.4984170, 13.5601060, 13.9428020, 16.2453940, 30.2059320, 116.0896400, 489.0760600", \
+					  "13.5916590, 13.6527260, 14.0401660, 16.3486970, 30.2945510, 116.1923900, 489.1513800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.21171, 1.26338, 1.582, 3.54692, 15.6642, 90.3889, 551.202");
+					values("3.7995025, 3.8919827, 4.4545101, 7.9955766, 30.3432090, 168.6162400, 348.9137200", \
+					  "3.8039807, 3.8932422, 4.4515365, 7.9900078, 30.3257650, 168.8399500, 348.9129800", \
+					  "3.8043750, 3.8951442, 4.4536956, 7.9995353, 30.3275980, 169.0293600, 348.8851500", \
+					  "3.8038097, 3.8955550, 4.4515795, 7.9981790, 30.3243400, 168.8677000, 348.8142100", \
+					  "3.8044279, 3.8945904, 4.4518678, 7.9941005, 30.3218360, 169.0354200, 348.8149500", \
+					  "3.8036045, 3.8930432, 4.4539448, 7.9997147, 30.3263580, 168.8459900, 348.8254700", \
+					  "3.7970631, 3.8887737, 4.4571848, 7.9979037, 30.3269550, 168.6642400, 348.9427600");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1196314, -1.1449817, -1.1703320, -1.1746706, -1.1788358, -1.1831745, -1.1875132");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1265230, 1.1714535, 1.2163839, 1.2200107, 1.2234925, 1.2271193, 1.2307462");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157306;
+			capacitance : 0.156784;
+			fall_capacitance : 0.156262;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0583680, -0.0525590, -0.0467499, -0.0470904, -0.0474172, -0.0477576, -0.0480981");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0519587, 0.0494283, 0.0468980, 0.0472189, 0.0475270, 0.0478479, 0.0481689");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150203;
+			capacitance : 0.149673;
+			fall_capacitance : 0.149143;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0591119, -0.0530893, -0.0470668, -0.0473139, -0.0475511, -0.0477982, -0.0480453");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0522923, 0.0494178, 0.0465432, 0.0469352, 0.0473115, 0.0477035, 0.0480955");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_3v00.lib
new file mode 100644
index 0000000..d518033
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_3v00.lib
@@ -0,0 +1,4895 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ss_100C_1v60_3v00") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",3.000000);
+	voltage_map("VDDIO",3.000000);
+	voltage_map("VDDIO_Q",3.000000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",3.000000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.300; 
+			 voh : 2.700; 
+			 vomax : 3.150; 
+			 vomin : -0.150; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.750; 
+			 vih : 2.250; 
+			 vimax : 3.150; 
+			 vimin : -0.150; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_3p00v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 5.854570e+02;
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "539.3650000";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "583.6650000";
+		}
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "584.7360000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "208.7380000";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "585.4570000";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.5740000";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "538.2300000";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "538.6450000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "534.0870000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "577.8330000";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.3180000";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "536.3230000";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "584.3220000";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "533.8900000";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "537.6270000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "582.4150000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "583.4100000";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "583.7190000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006406;
+			capacitance : 0.006253;
+			fall_capacitance : 0.006101;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0257693, 3.8799966, 3.7606392, 3.6521431, 3.5726136, 3.4788548, 3.4066572", \
+					  "4.4686977, 4.3244508, 4.1974267, 4.0976367, 4.0022277, 3.9108515, 3.8496076", \
+					  "4.9257356, 4.7810683, 4.6407445, 4.5683682, 4.4500415, 4.3665891, 4.3335427", \
+					  "5.3584988, 5.2304599, 5.0988474, 4.9896636, 4.9037270, 4.8291716, 4.7570381", \
+					  "5.7792631, 5.6365417, 5.4771701, 5.3773633, 5.3229654, 5.1969240, 5.1362326", \
+					  "6.1299577, 5.9811332, 5.8434735, 5.7451508, 5.7100887, 5.5586289, 5.5013579", \
+					  "6.5308082, 6.3850332, 6.2464045, 6.1452366, 6.0745478, 6.0012766, 5.9417509");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7511197, 3.6012156, 3.3985766, 3.2101986, 3.0379713, 2.8191907, 2.6398095", \
+					  "4.1940680, 4.0379431, 3.8421806, 3.6337369, 3.4839791, 3.2652239, 3.0858063", \
+					  "4.6526412, 4.4965159, 4.3006623, 4.0938557, 3.9057364, 3.7120414, 3.5413239", \
+					  "5.1059923, 4.9498670, 4.7569449, 4.5595703, 4.3943598, 4.1723783, 3.9959558", \
+					  "5.5061096, 5.3499847, 5.1551091, 4.9497094, 4.7600566, 4.5713764, 4.3786892", \
+					  "5.8932253, 5.7371004, 5.5510785, 5.3448679, 5.1828504, 4.9527096, 4.7811349", \
+					  "6.2444239, 6.0882986, 5.8967021, 5.6968966, 5.5288443, 5.3038239, 5.1283234");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4770297, -3.3463378, -3.1691105, -3.1143516, -2.9921556, -2.9316733, -2.8809665", \
+					  "-3.9197798, -3.7847252, -3.6637897, -3.5596652, -3.4333711, -3.4117584, -3.3117866", \
+					  "-4.3736843, -4.2429226, -4.1176917, -4.0204921, -3.9115686, -3.8376908, -3.7575140", \
+					  "-4.8229729, -4.6780888, -4.5563746, -4.4640449, -4.3453145, -4.3466263, -4.2210779", \
+					  "-5.2292701, -5.0730582, -4.9581496, -4.8739246, -4.7527153, -4.6824582, -4.6115744", \
+					  "-5.6149380, -5.4716122, -5.3329587, -5.2583037, -5.1846555, -5.0842266, -4.9858288", \
+					  "-5.9829978, -5.8260172, -5.7208546, -5.6151494, -5.5253994, -5.4879640, -5.3561464");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5685912, -3.3401952, -3.1663085, -3.0389970, -2.8544322, -2.6835553, -2.4804216", \
+					  "-4.0098153, -3.7774337, -3.6122898, -3.4782905, -3.3107840, -3.1185096, -2.9160645", \
+					  "-4.4652452, -4.2328636, -4.0413989, -3.9352834, -3.7328267, -3.5767300, -3.3647198", \
+					  "-4.9008546, -4.6633219, -4.4804886, -4.3680350, -4.1402950, -4.0201364, -3.7955760", \
+					  "-5.2996747, -5.0657667, -4.8971060, -4.7659642, -4.5043073, -4.3498500, -4.1893952", \
+					  "-5.6564852, -5.4241950, -5.2523614, -5.1274990, -4.9297994, -4.7567188, -4.5215078", \
+					  "-6.0698737, -5.8461073, -5.7385432, -5.5305473, -5.3251778, -5.1426083, -4.9689334");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296613, 0.0331921, 0.0367229, 0.0366231, 0.0365272, 0.0364273, 0.0363274");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0236188, 0.0267339, 0.0298491, 0.0297410, 0.0296372, 0.0295292, 0.0294211");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004384;
+			capacitance : 0.004491;
+			rise_capacitance : 0.004598;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5167255, -3.3625486, -3.2542020, -3.1387739, -3.0693274, -2.9882865, -2.8915696", \
+					  "-3.9610015, -3.8100933, -3.6881544, -3.5920799, -3.5075022, -3.4390359, -3.3557714", \
+					  "-4.4133796, -4.2575283, -4.1481624, -4.0457821, -3.9735110, -3.8845262, -3.7827272", \
+					  "-4.8459367, -4.6850055, -4.5792096, -4.4798238, -4.4000498, -4.3054087, -4.2196985", \
+					  "-5.2447559, -5.0999513, -4.9810642, -4.8785591, -4.7664967, -4.7119661, -4.6077992", \
+					  "-5.6046183, -5.4582882, -5.3378752, -5.2384245, -5.1600543, -5.0842701, -4.9920518", \
+					  "-6.0135383, -5.8662534, -5.7486120, -5.6484224, -5.5317939, -5.4391360, -5.4053963");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4343279, -3.2784663, -3.1144944, -2.9105122, -2.7140318, -2.5530184, -2.3830524", \
+					  "-3.8755521, -3.7264584, -3.5273397, -3.3965628, -3.1587070, -2.9907347, -2.8240474", \
+					  "-4.3294561, -4.1735945, -4.0080829, -3.8071610, -3.6106862, -3.4569405, -3.2720424", \
+					  "-4.7802710, -4.6244121, -4.4670656, -4.2609438, -4.0767902, -3.9053211, -3.7220204", \
+					  "-5.1850424, -5.0308004, -4.8651422, -4.6718151, -4.4677805, -4.3044490, -4.1154268", \
+					  "-5.5707103, -5.4143398, -5.2492350, -5.0760584, -4.8612032, -4.6893535, -4.5043833", \
+					  "-5.9296148, -5.7804794, -5.5773699, -5.3743691, -5.2279667, -5.0712218, -4.8601271");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9815398, 3.8335928, 3.7056543, 3.5907031, 3.5038592, 3.4300268, 3.3373775", \
+					  "4.4244686, 4.2741835, 4.1490378, 4.0355379, 3.9452617, 3.8752351, 3.7675927", \
+					  "4.8830320, 4.7344042, 4.6072819, 4.4921012, 4.4231510, 4.3269413, 4.2270852", \
+					  "5.3142697, 5.1661273, 5.0388012, 4.9258107, 4.8365892, 4.7673343, 4.6881368", \
+					  "5.7026617, 5.5562411, 5.4282896, 5.3139589, 5.2310847, 5.1557268, 5.0791249", \
+					  "6.0704715, 5.9209991, 5.7992067, 5.6802485, 5.5900136, 5.5185614, 5.4169729", \
+					  "6.4865769, 6.3386299, 6.1989896, 6.0861874, 6.0063623, 5.9279739, 5.8261341");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5969665, 3.5316703, 3.3235759, 3.1127112, 2.9361689, 2.7846268, 2.5965923", \
+					  "4.0409461, 3.9741240, 3.8052457, 3.5629735, 3.3926207, 3.2243197, 3.0526730", \
+					  "4.4962339, 4.4294122, 4.2375799, 4.0304918, 3.8445485, 3.6777395, 3.5006973", \
+					  "4.9096485, 4.8413303, 4.6605487, 4.4561939, 4.2654181, 4.1125164, 3.9303998", \
+					  "5.3598315, 5.2713703, 5.1154945, 4.8748195, 4.7008498, 4.5443887, 4.3527384", \
+					  "5.7229806, 5.6604142, 5.4756575, 5.2649692, 5.0869147, 4.8970315, 4.7196441", \
+					  "6.0794932, 6.0113974, 5.8304804, 5.6196441, 5.4323864, 5.2274294, 5.0884353");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0307861, 0.0367882, 0.0427903, 0.0427011, 0.0426155, 0.0425264, 0.0424372");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0231075, 0.0294252, 0.0357429, 0.0356115, 0.0354854, 0.0353540, 0.0352226");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005993;
+			capacitance : 0.006148;
+			rise_capacitance : 0.006303;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486577, 3.9028881, 3.7804100, 3.6750361, 3.5923600, 3.4941135, 3.4416415", \
+					  "4.4900442, 4.3473268, 4.2233530, 4.1235586, 4.0261175, 3.9334867, 3.8860802", \
+					  "4.9486102, 4.8045068, 4.6819093, 4.5794216, 4.4938291, 4.3849016, 4.3502395", \
+					  "5.3989917, 5.2355762, 5.1276923, 5.0058294, 4.9289162, 4.8283278, 4.7798697", \
+					  "5.7697165, 5.6225298, 5.5000100, 5.3971164, 5.3225738, 5.2151717, 5.1697564", \
+					  "6.1374966, 5.9932542, 5.8662002, 5.7740619, 5.6798542, 5.5805443, 5.5057206", \
+					  "6.5537645, 6.4079935, 6.2810009, 6.1814423, 6.0887951, 6.0031125, 5.9463988");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6473220, 3.5281851, 3.3399228, 3.1717198, 3.0332897, 2.8318561, 2.5968041", \
+					  "4.1048225, 3.9841599, 3.7960024, 3.6114192, 3.4466923, 3.2832533, 3.0524583", \
+					  "4.5523183, 4.4331816, 4.2460353, 4.0639179, 3.9364967, 3.7276971, 3.4957961", \
+					  "4.9640428, 4.8449056, 4.6586702, 4.4884499, 4.3501787, 4.1401529, 3.9135480", \
+					  "5.3998724, 5.2807357, 5.0924112, 4.9228073, 4.7468494, 4.5814999, 4.3555047", \
+					  "5.8025294, 5.6818664, 5.4923273, 5.3130969, 5.1609186, 4.9748559, 4.7489828", \
+					  "6.1843986, 6.0652614, 5.8811927, 5.7054928, 5.5379810, 5.3597773, 5.1273619");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5472203, -3.3948328, -3.2744229, -3.1672682, -3.0867590, -3.0460851, -2.9502038", \
+					  "-3.9930221, -3.8396568, -3.7278764, -3.6086371, -3.6030454, -3.4286331, -3.4180505", \
+					  "-4.4423484, -4.2935426, -4.1832575, -4.0653580, -4.0614931, -3.9246612, -3.8313123", \
+					  "-4.8749055, -4.7276576, -4.6160166, -4.5026744, -4.4929084, -4.3569838, -4.2649250", \
+					  "-5.2752511, -5.1276349, -5.0264279, -4.8958777, -4.8586488, -4.7422614, -4.6941763", \
+					  "-5.6335880, -5.4863262, -5.3805993, -5.2557622, -5.1894988, -5.1385542, -5.0613755", \
+					  "-6.0425071, -5.8967712, -5.7920617, -5.6764772, -5.6472846, -5.4999265, -5.4711530");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5182372, -3.3240026, -3.1647196, -2.9692761, -2.7875768, -2.6045283, -2.4046218", \
+					  "-3.9625127, -3.7637006, -3.5815344, -3.4106726, -3.2298814, -3.0416305, -2.8592157", \
+					  "-4.4148913, -4.2191310, -4.0393504, -3.8671157, -3.6717213, -3.4975233, -3.2997502", \
+					  "-4.8641803, -4.6920896, -4.4805659, -4.3189396, -4.1454242, -3.9446386, -3.7608967", \
+					  "-5.2704776, -5.0747173, -4.9138406, -4.7252071, -4.5347159, -4.3525030, -4.1639010", \
+					  "-5.6561455, -5.4765381, -5.2837403, -5.1136577, -4.9343020, -4.7329475, -4.5425301", \
+					  "-6.0150500, -5.8210061, -5.6562010, -5.4580475, -5.2978197, -5.1022059, -4.8999087");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235663, 0.0267433, 0.0299202, 0.0297978, 0.0296802, 0.0295578, 0.0294353");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296312, 0.0331304, 0.0366296, 0.0365306, 0.0364356, 0.0363367, 0.0362377");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028238;
+			capacitance : 0.028284;
+			fall_capacitance : 0.028330;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0123608, -0.0137661, -0.0151714, -0.0113530, -0.0076873, -0.0038689, -5.0421969e-05");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0980258, 0.0975592, 0.0970926, 0.1009643, 0.1046810, 0.1085527, 0.1124243");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.032246;
+			capacitance : 0.032621;
+			rise_capacitance : 0.032997;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0586662, 0.0757681, 0.0928700, 0.0933643, 0.0938388, 0.0943331, 0.0948274");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0738937, 0.0869244, 0.0999551, 0.1000595, 0.1001598, 0.1002642, 0.1003686");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.051232;
+			capacitance : 0.051782;
+			rise_capacitance : 0.052331;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0129898, -0.0133499, -0.0137100, -0.0137307, -0.0137506, -0.0137713, -0.0137920");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0134288, 0.0136045, 0.0137803, 0.0137908, 0.0138010, 0.0138116, 0.0138221");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016839;
+			capacitance : 0.016739;
+			fall_capacitance : 0.016640;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0184787, 0.0179389, 0.0173991, 0.0174699, 0.0175378, 0.0176086, 0.0176793");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0218485, 0.0214838, 0.0211192, 0.0211685, 0.0212158, 0.0212652, 0.0213145");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035950;
+			capacitance : 0.036538;
+			rise_capacitance : 0.037125;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7043819, -3.5694831, -3.4562177, -3.3560194, -3.2862366, -3.2196478, -3.1599318", \
+					  "-4.1364505, -4.0091812, -3.8944081, -3.7943734, -3.7192262, -3.6590170, -3.6042078", \
+					  "-4.6010362, -4.4677943, -4.3534587, -4.2498038, -4.1506858, -4.1120931, -4.0565864", \
+					  "-5.0487989, -4.9139001, -4.7958155, -4.7464918, -4.6260761, -4.5644352, -4.5058750", \
+					  "-5.4581483, -5.3232491, -5.2088775, -5.1082607, -5.0338993, -4.9744854, -4.9138153", \
+					  "-5.8407641, -5.7137954, -5.5877806, -5.4924018, -5.4241524, -5.3593349, -5.2890125", \
+					  "-6.1996685, -6.0647698, -5.9586267, -5.8802232, -5.7772895, -5.7019529, -5.6567446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4089106, -2.2999480, -2.1575876, -3.1190623, -1.8561995, -1.7445084, -1.6287131", \
+					  "-2.8501874, -2.7427504, -2.5870032, -2.4198116, -2.3046373, -3.2304567, -3.0604387", \
+					  "-3.3056413, -3.1966787, -3.0503735, -2.9106357, -2.7521389, -2.6417430, -2.5133761", \
+					  "-3.7397860, -4.8347417, -3.4988763, -3.3292202, -3.2041478, -3.0964086, -2.9276439", \
+					  "-4.1432584, -4.0205627, -3.8776717, -4.8441377, -3.6030427, -3.4785132, -3.3035316", \
+					  "-4.5077925, -4.3827082, -4.2359846, -4.1054357, -3.9641283, -4.8626669, -3.6850149", \
+					  "-4.9284858, -4.8027384, -4.6501658, -4.4889663, -4.3769838, -4.2318373, -4.0925931");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9998252, 3.8588220, 3.7455894, 3.6631092, 3.5603165, 3.4834325, 3.4094412", \
+					  "4.4152804, 4.2941133, 4.1806059, 4.1009225, 3.9879787, 3.9145281, 3.8633766", \
+					  "4.8860474, 4.7620399, 4.6238998, 4.5510016, 4.4663751, 4.3727060, 4.3177677", \
+					  "5.3218534, 5.1915310, 5.0719205, 4.9854252, 4.9116576, 4.8176674, 4.7472948", \
+					  "5.7102343, 5.5832310, 5.4877665, 5.3605265, 5.2829330, 5.2304626, 5.1383171", \
+					  "6.1177022, 5.9477075, 5.8876200, 5.7367536, 5.6415719, 5.6262806, 5.5095451", \
+					  "6.5048973, 6.3537134, 6.2512007, 6.1389061, 6.0608108, 5.9879331, 5.9288905");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7389023, 3.5670554, 3.3699868, 3.1740564, 2.9911596, 2.8379888, 2.6749390", \
+					  "4.1995849, 4.0292639, 3.8231962, 3.6283654, 3.4513557, 3.3103467, 3.1264747", \
+					  "4.6459985, 4.4756770, 4.2789202, 4.0811668, 3.8938047, 3.7435515, 3.5865698", \
+					  "5.0700984, 4.9284752, 4.7003439, 4.5020510, 4.3277948, 4.1960851, 4.0244024", \
+					  "5.5127017, 5.3408547, 5.1260723, 4.9407268, 4.7668543, 4.6072029, 4.4283158", \
+					  "5.8976262, 5.7257792, 5.5273775, 5.3280156, 5.1508680, 4.9824370, 4.8256224", \
+					  "6.2357326, 6.0654111, 5.8707699, 5.6592858, 5.4958312, 5.3561731, 5.1578278");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1194649, 0.1433049, 0.1671449, 0.1892459, 0.2104628, 0.2325638, 0.2546647");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1549861, 0.2614569, 0.3679276, 0.3712387, 0.3744174, 0.3777286, 0.3810398");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.011943;
+			capacitance : 0.012109;
+			rise_capacitance : 0.012274;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2272640, 1.5255495, 2.8238349, 3.5973862, 4.3399955, 5.1135468, 5.8870981");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0557500, 1.7098413, 3.3639326, 3.9024472, 4.4194213, 4.9579359, 5.4964505");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006389;
+			capacitance : 0.006543;
+			rise_capacitance : 0.006697;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1463138, 4.0020665, 3.8751218, 3.7767836, 3.6900163, 3.6360970, 3.5550232", \
+					  "4.5892448, 4.4449980, 4.3240774, 4.2170501, 4.1253341, 4.0530115, 4.0010402", \
+					  "5.0478100, 4.9035627, 4.7810882, 4.6797999, 4.5930382, 4.4993691, 4.4699087", \
+					  "5.4821021, 5.3348031, 5.2111345, 5.1095175, 5.0227527, 4.9321354, 4.8912956", \
+					  "5.8689718, 5.7262500, 5.5978580, 5.5009617, 5.4126746, 5.3492204, 5.2925116", \
+					  "6.2352588, 6.0884283, 5.9642094, 5.8672452, 5.7774361, 5.7051291, 5.6685894", \
+					  "6.6513397, 6.5070925, 6.3946224, 6.2818100, 6.1938901, 6.1105288, 6.0674011");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7282313, 3.5319959, 3.3566896, 3.1650611, 3.0079466, 2.7850691, 2.6079277", \
+					  "4.1728748, 3.9829849, 3.8023685, 3.5953003, 3.4541159, 3.2310641, 3.0525478", \
+					  "4.6282456, 4.4383553, 4.2624911, 4.0565389, 3.9133961, 3.6894415, 3.5194217", \
+					  "5.0544580, 4.8660940, 4.6958187, 4.4981221, 4.3387510, 4.1150388, 3.9658857", \
+					  "5.4542897, 5.2613481, 5.0903453, 4.8965785, 4.7117575, 4.5227127, 4.3611157", \
+					  "5.8577563, 5.6667749, 5.4890344, 5.3046010, 5.0880436, 4.9099225, 4.7503995", \
+					  "6.2166768, 6.0267243, 5.8577257, 5.6546916, 5.4861922, 5.2649616, 5.0922353");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6631870, -3.5235554, -3.3964664, -3.3073732, -3.2231273, -3.1666276, -3.0669955", \
+					  "-4.1028853, -3.9647793, -3.8453695, -3.7409677, -3.6669312, -3.5925928, -3.5081562", \
+					  "-4.5583152, -4.4202097, -4.2992243, -4.2040275, -4.1151293, -4.0790892, -3.9776375", \
+					  "-5.0091302, -4.8694983, -4.7565140, -4.6675283, -4.5569763, -4.5217001, -4.4130732", \
+					  "-5.4139015, -5.2757960, -5.1605357, -5.0596138, -4.9676505, -4.8694575, -4.8236714", \
+					  "-5.7995694, -5.6598967, -5.5315216, -5.4452813, -5.3539073, -5.2969315, -5.2001840", \
+					  "-6.1584739, -6.0184512, -5.8904501, -5.8013676, -5.7335476, -5.6240330, -5.5442004");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800902, -3.3240030, -3.1410014, -2.2699051, -2.7936593, -2.6014053, -2.4308857", \
+					  "-3.9228400, -3.7637011, -3.0065170, -3.4171832, -3.2346017, -3.0396640, -2.8631594", \
+					  "-4.3767445, -4.2191314, -4.0377650, -3.9075789, -3.6823686, -3.4914091, -3.3017564", \
+					  "-4.8077757, -4.6544907, -3.8945045, -4.3414865, -4.1131940, -3.9547505, -3.7360534", \
+					  "-5.2323307, -5.0747177, -4.8980239, -4.0298082, -3.9622742, -4.3439898, -4.1723204", \
+					  "-4.8993096, -5.4765336, -5.2979516, -5.1147977, -4.9053461, -4.7290937, -4.5455819", \
+					  "-5.9769027, -5.8210087, -5.6562143, -5.5042763, -5.2833943, -5.0991808, -4.9001169");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235692, 0.0267907, 0.0300122, 0.0298807, 0.0297545, 0.0296230, 0.0294915");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296420, 0.0331854, 0.0367288, 0.0366193, 0.0365142, 0.0364047, 0.0362952");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017546;
+			capacitance : 0.017650;
+			rise_capacitance : 0.017754;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0791336, 0.1860479, 0.2929621, 0.2963502, 0.2996028, 0.3029909, 0.3063790");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0662922, 0.0897340, 0.1131757, 0.1355680, 0.1570646, 0.1794568, 0.2018491");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005048;
+			capacitance : 0.005156;
+			rise_capacitance : 0.005264;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5899558, -3.4475908, -3.3217448, -3.2206821, -3.1603086, -3.0719180, -2.9951370", \
+					  "-4.0311800, -3.8872889, -3.7812808, -3.6593714, -3.6011347, -3.5181635, -3.4409386", \
+					  "-4.4866104, -4.3427392, -4.2257741, -4.1125269, -4.0188037, -3.9337584, -3.8719549", \
+					  "-4.9358990, -4.7935342, -4.6697914, -4.5737402, -4.4961321, -4.3861569, -4.3471837", \
+					  "-5.3421962, -5.1995405, -5.0953386, -4.9683073, -4.9026411, -4.7863755, -4.7412738", \
+					  "-5.7278641, -5.5854994, -5.4686009, -5.3624156, -5.2828410, -5.1906379, -5.1285764", \
+					  "-6.0867686, -5.9444038, -5.8274667, -5.7175995, -5.6417530, -5.5905300, -5.4893816");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4098828, -3.3280622, -3.1486198, -2.9350448, -2.7528033, -2.5781805, -2.4168047", \
+					  "-3.8450032, -3.7799676, -3.5684062, -3.3732354, -3.2349598, -3.0481293, -2.8443201", \
+					  "-4.3050112, -4.2353975, -4.0391030, -3.8331406, -3.6824026, -3.5065128, -3.3087204", \
+					  "-4.7360424, -4.6510308, -4.4377095, -4.2657679, -4.0997008, -3.9246652, -3.7124621", \
+					  "-5.1363879, -5.0713515, -4.8467894, -4.6703572, -4.4573218, -4.3068062, -4.1183951", \
+					  "-5.4962503, -5.4266962, -5.2039339, -5.0292363, -4.8505077, -4.6875390, -4.4970922", \
+					  "-5.9111664, -5.8280373, -5.6273659, -5.4400370, -5.2590236, -5.1172348, -4.9290760");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868147, 3.9397408, 3.8081194, 3.6958932, 3.6156691, 3.5170034, 3.4304682", \
+					  "4.5313653, 4.3858169, 4.2656183, 4.1563458, 4.0569097, 3.9615509, 3.8831390", \
+					  "4.9859626, 4.8395548, 4.6984197, 4.5804492, 4.5129347, 4.4085471, 4.3465461", \
+					  "5.4177128, 5.2691130, 5.1526039, 5.0267917, 4.9404323, 4.8479090, 4.7649092", \
+					  "5.8143848, 5.6627270, 5.5690792, 5.4495953, 5.3412903, 5.2461522, 5.1657796", \
+					  "6.1889887, 6.0373380, 5.9360310, 5.7966288, 5.7084073, 5.6544709, 5.5450616", \
+					  "6.5884718, 6.4429234, 6.3126564, 6.1913521, 6.1142156, 6.0420231, 5.9461314");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6824442, 3.5161523, 3.3170496, 3.1118515, 2.9583771, 2.7616880, 2.5895690", \
+					  "4.1427260, 3.9749082, 3.7681878, 3.5626411, 3.4158223, 3.2402867, 3.0527257", \
+					  "4.5970759, 4.4292581, 4.2394744, 4.0249771, 3.8717805, 3.6747938, 3.5070582", \
+					  "5.0195575, 4.8517397, 4.6535960, 4.4519155, 4.2876465, 4.1198931, 3.9259367", \
+					  "5.3950349, 5.2288274, 5.0343492, 4.8234073, 4.6712388, 4.4939943, 4.3096520", \
+					  "5.8215897, 5.6537715, 5.4584047, 5.2441045, 5.0924845, 4.9139353, 4.7251663", \
+					  "6.1813428, 6.0150509, 5.8328856, 5.6082639, 5.4504102, 5.2712677, 5.0866189");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0231715, 0.0293250, 0.0354785, 0.0353498, 0.0352261, 0.0350974, 0.0349686");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0304949, 0.0359351, 0.0413752, 0.0412780, 0.0411846, 0.0410874, 0.0409901");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005643;
+			capacitance : 0.005371;
+			fall_capacitance : 0.005099;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0295425, -0.0292912, -0.0290398, -0.0289539, -0.0288714, -0.0287855, -0.0286995");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0306509, 0.0306304, 0.0306099, 0.0305395, 0.0304719, 0.0304014, 0.0303310");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0257693, 4.4686977, 4.9257356, 5.3584988, 5.7792631, 6.1299577, 6.5308082", \
+					  "3.8799966, 4.3244508, 4.7810683, 5.2304599, 5.6365417, 5.9811332, 6.3850332", \
+					  "3.7606392, 4.1974267, 4.6407445, 5.0988474, 5.4771701, 5.8434735, 6.2464045", \
+					  "3.6521431, 4.0976367, 4.5683682, 4.9896636, 5.3773633, 5.7451508, 6.1452366", \
+					  "3.5726136, 4.0022277, 4.4500415, 4.9037270, 5.3229654, 5.7100887, 6.0745478", \
+					  "3.4788548, 3.9108515, 4.3665891, 4.8291716, 5.1969240, 5.5586289, 6.0012766", \
+					  "3.4066572, 3.8496076, 4.3335427, 4.7570381, 5.1362326, 5.5013579, 5.9417509");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4770297, -3.9197798, -4.3736843, -4.8229729, -5.2292701, -5.6149380, -5.9829978", \
+					  "-3.3463378, -3.7847252, -4.2429226, -4.6780888, -5.0730582, -5.4716122, -5.8260172", \
+					  "-3.1691105, -3.6637897, -4.1176917, -4.5563746, -4.9581496, -5.3329587, -5.7208546", \
+					  "-3.1143516, -3.5596652, -4.0204921, -4.4640449, -4.8739246, -5.2583037, -5.6151494", \
+					  "-2.9921556, -3.4333711, -3.9115686, -4.3453145, -4.7527153, -5.1846555, -5.5253994", \
+					  "-2.9316733, -3.4117584, -3.8376908, -4.3466263, -4.6824582, -5.0842266, -5.4879640", \
+					  "-2.8809665, -3.3117866, -3.7575140, -4.2210779, -4.6115744, -4.9858288, -5.3561464");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7043819, -4.1364505, -4.6010362, -5.0487989, -5.4581483, -5.8407641, -6.1996685", \
+					  "-3.5694831, -4.0091812, -4.4677943, -4.9139001, -5.3232491, -5.7137954, -6.0647698", \
+					  "-3.4562177, -3.8944081, -4.3534587, -4.7958155, -5.2088775, -5.5877806, -5.9586267", \
+					  "-3.3560194, -3.7943734, -4.2498038, -4.7464918, -5.1082607, -5.4924018, -5.8802232", \
+					  "-3.2862366, -3.7192262, -4.1506858, -4.6260761, -5.0338993, -5.4241524, -5.7772895", \
+					  "-3.2196478, -3.6590170, -4.1120931, -4.5644352, -4.9744854, -5.3593349, -5.7019529", \
+					  "-3.1599318, -3.6042078, -4.0565864, -4.5058750, -4.9138153, -5.2890125, -5.6567446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9998252, 4.4152804, 4.8860474, 5.3218534, 5.7102343, 6.1177022, 6.5048973", \
+					  "3.8588220, 4.2941133, 4.7620399, 5.1915310, 5.5832310, 5.9477075, 6.3537134", \
+					  "3.7455894, 4.1806059, 4.6238998, 5.0719205, 5.4877665, 5.8876200, 6.2512007", \
+					  "3.6631092, 4.1009225, 4.5510016, 4.9854252, 5.3605265, 5.7367536, 6.1389061", \
+					  "3.5603165, 3.9879787, 4.4663751, 4.9116576, 5.2829330, 5.6415719, 6.0608108", \
+					  "3.4834325, 3.9145281, 4.3727060, 4.8176674, 5.2304626, 5.6262806, 5.9879331", \
+					  "3.4094412, 3.8633766, 4.3177677, 4.7472948, 5.1383171, 5.5095451, 5.9288905");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1463138, 4.5892448, 5.0478100, 5.4821021, 5.8689718, 6.2352588, 6.6513397", \
+					  "4.0020665, 4.4449980, 4.9035627, 5.3348031, 5.7262500, 6.0884283, 6.5070925", \
+					  "3.8751218, 4.3240774, 4.7810882, 5.2111345, 5.5978580, 5.9642094, 6.3946224", \
+					  "3.7767836, 4.2170501, 4.6797999, 5.1095175, 5.5009617, 5.8672452, 6.2818100", \
+					  "3.6900163, 4.1253341, 4.5930382, 5.0227527, 5.4126746, 5.7774361, 6.1938901", \
+					  "3.6360970, 4.0530115, 4.4993691, 4.9321354, 5.3492204, 5.7051291, 6.1105288", \
+					  "3.5550232, 4.0010402, 4.4699087, 4.8912956, 5.2925116, 5.6685894, 6.0674011");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6631870, -4.1028853, -4.5583152, -5.0091302, -5.4139015, -5.7995694, -6.1584739", \
+					  "-3.5235554, -3.9647793, -4.4202097, -4.8694983, -5.2757960, -5.6598967, -6.0184512", \
+					  "-3.3964664, -3.8453695, -4.2992243, -4.7565140, -5.1605357, -5.5315216, -5.8904501", \
+					  "-3.3073732, -3.7409677, -4.2040275, -4.6675283, -5.0596138, -5.4452813, -5.8013676", \
+					  "-3.2231273, -3.6669312, -4.1151293, -4.5569763, -4.9676505, -5.3539073, -5.7335476", \
+					  "-3.1666276, -3.5925928, -4.0790892, -4.5217001, -4.8694575, -5.2969315, -5.6240330", \
+					  "-3.0669955, -3.5081562, -3.9776375, -4.4130732, -4.8236714, -5.2001840, -5.5442004");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5899558, -4.0311800, -4.4866104, -4.9358990, -5.3421962, -5.7278641, -6.0867686", \
+					  "-3.4475908, -3.8872889, -4.3427392, -4.7935342, -5.1995405, -5.5854994, -5.9444038", \
+					  "-3.3217448, -3.7812808, -4.2257741, -4.6697914, -5.0953386, -5.4686009, -5.8274667", \
+					  "-3.2206821, -3.6593714, -4.1125269, -4.5737402, -4.9683073, -5.3624156, -5.7175995", \
+					  "-3.1603086, -3.6011347, -4.0188037, -4.4961321, -4.9026411, -5.2828410, -5.6417530", \
+					  "-3.0719180, -3.5181635, -3.9337584, -4.3861569, -4.7863755, -5.1906379, -5.5905300", \
+					  "-2.9951370, -3.4409386, -3.8719549, -4.3471837, -4.7412738, -5.1285764, -5.4893816");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868147, 4.5313653, 4.9859626, 5.4177128, 5.8143848, 6.1889887, 6.5884718", \
+					  "3.9397408, 4.3858169, 4.8395548, 5.2691130, 5.6627270, 6.0373380, 6.4429234", \
+					  "3.8081194, 4.2656183, 4.6984197, 5.1526039, 5.5690792, 5.9360310, 6.3126564", \
+					  "3.6958932, 4.1563458, 4.5804492, 5.0267917, 5.4495953, 5.7966288, 6.1913521", \
+					  "3.6156691, 4.0569097, 4.5129347, 4.9404323, 5.3412903, 5.7084073, 6.1142156", \
+					  "3.5170034, 3.9615509, 4.4085471, 4.8479090, 5.2461522, 5.6544709, 6.0420231", \
+					  "3.4304682, 3.8831390, 4.3465461, 4.7649092, 5.1657796, 5.5450616, 5.9461314");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5167255, -3.9610015, -4.4133796, -4.8459367, -5.2447559, -5.6046183, -6.0135383", \
+					  "-3.3625486, -3.8100933, -4.2575283, -4.6850055, -5.0999513, -5.4582882, -5.8662534", \
+					  "-3.2542020, -3.6881544, -4.1481624, -4.5792096, -4.9810642, -5.3378752, -5.7486120", \
+					  "-3.1387739, -3.5920799, -4.0457821, -4.4798238, -4.8785591, -5.2384245, -5.6484224", \
+					  "-3.0693274, -3.5075022, -3.9735110, -4.4000498, -4.7664967, -5.1600543, -5.5317939", \
+					  "-2.9882865, -3.4390359, -3.8845262, -4.3054087, -4.7119661, -5.0842701, -5.4391360", \
+					  "-2.8915696, -3.3557714, -3.7827272, -4.2196985, -4.6077992, -4.9920518, -5.4053963");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9815398, 4.4244686, 4.8830320, 5.3142697, 5.7026617, 6.0704715, 6.4865769", \
+					  "3.8335928, 4.2741835, 4.7344042, 5.1661273, 5.5562411, 5.9209991, 6.3386299", \
+					  "3.7056543, 4.1490378, 4.6072819, 5.0388012, 5.4282896, 5.7992067, 6.1989896", \
+					  "3.5907031, 4.0355379, 4.4921012, 4.9258107, 5.3139589, 5.6802485, 6.0861874", \
+					  "3.5038592, 3.9452617, 4.4231510, 4.8365892, 5.2310847, 5.5900136, 6.0063623", \
+					  "3.4300268, 3.8752351, 4.3269413, 4.7673343, 5.1557268, 5.5185614, 5.9279739", \
+					  "3.3373775, 3.7675927, 4.2270852, 4.6881368, 5.0791249, 5.4169729, 5.8261341");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425549, -4.1746235, -4.6376833, -5.0869719, -5.4963214, -5.8774116, -6.2378415", \
+					  "-3.5992073, -4.0305570, -4.4899020, -4.9375248, -5.3430995, -5.7241760, -6.0846197", \
+					  "-3.4702567, -3.9161360, -4.3694148, -4.8260122, -5.2265269, -5.6191542, -5.9753875", \
+					  "-3.3867189, -3.8172618, -4.2848993, -4.7358887, -5.1389592, -5.5261530, -5.8850575", \
+					  "-3.3228575, -3.7138514, -4.2164602, -4.6535879, -5.0644171, -5.4592399, -5.8288256", \
+					  "-3.2397171, -3.6762672, -4.1093344, -4.5658510, -4.9911099, -5.3578050, -5.7061651", \
+					  "-3.1812943, -3.6362513, -4.0794743, -4.4967196, -4.9045432, -5.3024178, -5.6704776");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0425754, 4.4534845, 4.9257920, 5.3646854, 5.7485330, 6.1270653, 6.5475095", \
+					  "3.8969719, 4.3307689, 4.7981963, 5.2465479, 5.6550087, 6.0058752, 6.3902681", \
+					  "3.7834236, 4.2218360, 4.6804110, 5.1242715, 5.5046774, 5.9319445, 6.2945820", \
+					  "3.6882648, 4.1190103, 4.5959350, 5.0348177, 5.4094811, 5.7672314, 6.1989043", \
+					  "3.6057879, 4.0322717, 4.5137234, 4.9655394, 5.3318868, 5.7512437, 6.1186565", \
+					  "3.5200534, 3.9522721, 4.4047959, 4.8543705, 5.2397436, 5.6030183, 6.0314929", \
+					  "3.4518799, 3.8963058, 4.3614790, 4.7912170, 5.2195144, 5.6036356, 5.9771897");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6702337, 4.1131701, 4.5732634, 5.0251197, 5.4267673, 5.7592085, 6.1650905", \
+					  "3.5198409, 3.9642883, 4.4228557, 4.8768690, 5.2745486, 5.6088017, 6.0145029", \
+					  "3.3975003, 3.8388246, 4.2959843, 4.7271789, 5.1200931, 5.4876280, 5.8878580", \
+					  "3.3083210, 3.7451720, 4.1945747, 4.6609381, 5.0355767, 5.4504492, 5.8056953", \
+					  "3.2146268, 3.6620960, 4.1320687, 4.5633548, 4.9611198, 5.3594913, 5.7098959", \
+					  "3.1211727, 3.5998307, 4.0599238, 4.5117801, 4.8746805, 5.2236018, 5.6375738", \
+					  "3.0709049, 3.5169021, 3.9556694, 4.4129232, 4.7678495, 5.2190845, 5.5447352");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5029632, -3.9472392, -4.3996173, -4.8489063, -5.2552036, -5.6408715, -5.9936724", \
+					  "-3.3634679, -3.8045053, -4.2600472, -4.7109349, -5.1155215, -5.5029756, -5.8603373", \
+					  "-3.2426701, -3.6667919, -4.1269696, -4.5821921, -4.9959727, -5.3695796, -5.7332048", \
+					  "-3.1428861, -3.1031506, -4.0466148, -4.4981980, -4.9016237, -5.2888611, -5.6551809", \
+					  "-3.0694176, -3.0207734, -3.9689724, -3.9099974, -4.8451791, -5.2381363, -5.5801072", \
+					  "-3.0279989, -3.4256395, -3.9195093, -4.3587060, -4.7694672, -5.1207138, -5.5030595", \
+					  "-2.8999482, -3.3738001, -3.7910832, -4.2861485, -4.6759300, -5.0552253, -5.4354922");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486577, 4.4900442, 4.9486102, 5.3989917, 5.7697165, 6.1374966, 6.5537645", \
+					  "3.9028881, 4.3473268, 4.8045068, 5.2355762, 5.6225298, 5.9932542, 6.4079935", \
+					  "3.7804100, 4.2233530, 4.6819093, 5.1276923, 5.5000100, 5.8662002, 6.2810009", \
+					  "3.6750361, 4.1235586, 4.5794216, 5.0058294, 5.3971164, 5.7740619, 6.1814423", \
+					  "3.5923600, 4.0261175, 4.4938291, 4.9289162, 5.3225738, 5.6798542, 6.0887951", \
+					  "3.4941135, 3.9334867, 4.3849016, 4.8283278, 5.2151717, 5.5805443, 6.0031125", \
+					  "3.4416415, 3.8860802, 4.3502395, 4.7798697, 5.1697564, 5.5057206, 5.9463988");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5472203, -3.9930221, -4.4423484, -4.8749055, -5.2752511, -5.6335880, -6.0425071", \
+					  "-3.3948328, -3.8396568, -4.2935426, -4.7276576, -5.1276349, -5.4863262, -5.8967712", \
+					  "-3.2744229, -3.7278764, -4.1832575, -4.6160166, -5.0264279, -5.3805993, -5.7920617", \
+					  "-3.1672682, -3.6086371, -4.0653580, -4.5026744, -4.8958777, -5.2557622, -5.6764772", \
+					  "-3.0867590, -3.6030454, -4.0614931, -4.4929084, -4.8586488, -5.1894988, -5.6472846", \
+					  "-3.0460851, -3.4286331, -3.9246612, -4.3569838, -4.7422614, -5.1385542, -5.4999265", \
+					  "-2.9502038, -3.4180505, -3.8313123, -4.2649250, -4.6941763, -5.0613755, -5.4711530");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0774063, 0.3661463, 0.8100215, 1.2330517, 1.6375096, 2.0307056, 2.3902809", \
+					  "-0.2179084, 0.2348286, 0.6798310, 1.0977495, 1.4743166, 1.8985833, 2.2737785", \
+					  "-0.3410472, 0.1089237, 0.5683376, 0.9919647, 1.3807624, 1.7442802, 2.1104909", \
+					  "-0.4334523, 0.0112132, 0.4777308, 0.9080393, 1.3032093, 1.6643387, 2.0137639", \
+					  "-0.5171666, -0.0750987, 0.3983915, 0.8305834, 1.2229366, 1.5993514, 1.9664968", \
+					  "-0.5998993, -0.1649495, 0.3179179, 0.7447017, 1.1410475, 1.5238088, 1.8813478", \
+					  "-0.6736859, -0.2375447, 0.2240285, 0.6670371, 1.0862151, 1.4715047, 1.8183169");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4735606, 0.0230268, -0.4160068, -0.8114308, -1.2177282, -1.6079736, -1.9699297", \
+					  "0.6247309, 0.1697260, -0.2765302, -0.6931115, -1.0810984, -1.4362486, -1.7646354", \
+					  "0.7478874, 0.2960048, -0.1554966, -0.5784480, -0.9662728, -1.3412595, -1.6849051", \
+					  "0.8430556, 0.3907732, -0.0633616, -0.4892964, -0.8713668, -1.2506404, -1.5988637", \
+					  "0.9353136, 0.4523912, 0.0010369, -0.4171149, -0.7943160, -1.1656906, -1.5247306", \
+					  "1.0211272, 0.5426262, 0.0802300, -0.3164848, -0.7185361, -1.0812457, -1.4352299", \
+					  "1.0796092, 0.6114209, 0.1594369, -0.2390226, -0.6322401, -1.0642398, -1.3783122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6662346, -4.1059327, -4.5613630, -5.0106516, -5.4169493, -5.8026168, -6.1615212", \
+					  "-3.5235174, -3.9632157, -4.4186456, -4.8694606, -5.2742319, -5.6584188, -6.0170732", \
+					  "-3.3934146, -3.8300729, -4.2904664, -4.7411730, -5.1470985, -5.5404780, -5.8888927", \
+					  "-3.3058473, -3.7394418, -4.2025016, -4.6691115, -5.0580879, -5.4437558, -5.8187590", \
+					  "-3.2231564, -3.6684357, -4.1136096, -4.5554331, -4.9632056, -5.3539934, -5.7334903", \
+					  "-3.1864502, -3.5971705, -4.0806729, -4.5217241, -4.8771167, -5.3003728, -5.6270679", \
+					  "-3.0699307, -3.5253731, -3.9775736, -4.4160344, -4.8343527, -5.2123911, -5.5442017");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0806974, 4.5221394, 4.9807214, 5.4135290, 5.8019765, 6.1683279, 6.5855639", \
+					  "3.9348789, 4.3778468, 4.8365381, 5.2661846, 5.6608290, 6.0301384, 6.4397463", \
+					  "3.8082438, 4.2508435, 4.7078997, 5.1437590, 5.5352574, 5.9000829, 6.3160853", \
+					  "3.7097141, 4.1511948, 4.6082398, 5.0425471, 5.4328176, 5.7944696, 6.2060306", \
+					  "3.6250385, 4.0585142, 4.5229014, 4.9541836, 5.3411038, 5.7431298, 6.1267234", \
+					  "3.5277477, 4.0081394, 4.4462348, 4.8727216, 5.2474849, 5.6340708, 6.0590922", \
+					  "3.4686543, 3.9381456, 4.3721829, 4.8019387, 5.1919112, 5.5860328, 5.9887395");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023840;
+			capacitance : 0.024641;
+			rise_capacitance : 0.024920;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425549, -3.5992073, -3.4702567, -3.3867189, -3.3228575, -3.2397171, -3.1812943", \
+					  "-4.1746235, -4.0305570, -3.9161360, -3.8172618, -3.7138514, -3.6762672, -3.6362513", \
+					  "-4.6376833, -4.4899020, -4.3694148, -4.2848993, -4.2164602, -4.1093344, -4.0794743", \
+					  "-5.0869719, -4.9375248, -4.8260122, -4.7358887, -4.6535879, -4.5658510, -4.4967196", \
+					  "-5.4963214, -5.3430995, -5.2265269, -5.1389592, -5.0644171, -4.9911099, -4.9045432", \
+					  "-5.8774116, -5.7241760, -5.6191542, -5.5261530, -5.4592399, -5.3578050, -5.3024178", \
+					  "-6.2378415, -6.0846197, -5.9753875, -5.8850575, -5.8288256, -5.7061651, -5.6704776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4272388, -2.3075830, -2.1680082, -3.1717672, -1.8639438, -1.7261979, -1.6636713", \
+					  "-2.8730931, -2.7488598, -2.5814594, -2.3936479, -2.3099755, -2.1040242, -3.0936768", \
+					  "-3.3285469, -3.2043137, -3.0912361, -2.8468332, -2.7694220, -2.6376116, -2.5562332", \
+					  "-3.7642176, -4.8667903, -3.4887093, -3.3123901, -3.1818527, -3.0256128, -2.9418094", \
+					  "-4.1631127, -4.0266725, -3.8669767, -4.8937971, -3.6137244, -3.4832015, -3.3661098", \
+					  "-4.5306991, -4.3678076, -4.2353189, -4.1190120, -3.9903065, -4.8794659, -3.7204095", \
+					  "-4.9908315, -4.8635462, -4.6417942, -4.4961845, -4.3708612, -4.2641581, -4.1488175");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0425754, 3.8969719, 3.7834236, 3.6882648, 3.6057879, 3.5200534, 3.4518799", \
+					  "4.4534845, 4.3307689, 4.2218360, 4.1190103, 4.0322717, 3.9522721, 3.8963058", \
+					  "4.9257920, 4.7981963, 4.6804110, 4.5959350, 4.5137234, 4.4047959, 4.3614790", \
+					  "5.3646854, 5.2465479, 5.1242715, 5.0348177, 4.9655394, 4.8543705, 4.7912170", \
+					  "5.7485330, 5.6550087, 5.5046774, 5.4094811, 5.3318868, 5.2397436, 5.2195144", \
+					  "6.1270653, 6.0058752, 5.9319445, 5.7672314, 5.7512437, 5.6030183, 5.6036356", \
+					  "6.5475095, 6.3902681, 6.2945820, 6.1989043, 6.1186565, 6.0314929, 5.9771897");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7816415, 3.6040862, 3.4046404, 3.2194889, 3.0395276, 2.8634859, 2.7034964", \
+					  "4.2169400, 4.0469182, 3.8345960, 3.6505222, 3.4855133, 3.2675103, 3.1494718", \
+					  "4.6816069, 4.5039553, 4.3043887, 4.1204056, 3.9256792, 3.7747932, 3.6050006", \
+					  "5.1143696, 4.9314928, 4.7370226, 4.5634428, 4.3706663, 4.1512005, 4.0362898", \
+					  "5.5042871, 5.3121572, 5.1323291, 4.9903124, 4.7497955, 4.5829974, 4.4205957", \
+					  "5.8705683, 5.6800438, 5.4865142, 5.2985796, 5.1183092, 4.9711642, 4.8017957", \
+					  "6.2765169, 6.1003916, 5.9056682, 5.7341170, 5.5451228, 5.3710870, 5.2071470");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006311;
+			capacitance : 0.006457;
+			rise_capacitance : 0.006603;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6702337, 3.5198409, 3.3975003, 3.3083210, 3.2146268, 3.1211727, 3.0709049", \
+					  "4.1131701, 3.9642883, 3.8388246, 3.7451720, 3.6620960, 3.5998307, 3.5169021", \
+					  "4.5732634, 4.4228557, 4.2959843, 4.1945747, 4.1320687, 4.0599238, 3.9556694", \
+					  "5.0251197, 4.8768690, 4.7271789, 4.6609381, 4.5633548, 4.5117801, 4.4129232", \
+					  "5.4267673, 5.2745486, 5.1200931, 5.0355767, 4.9611198, 4.8746805, 4.7678495", \
+					  "5.7592085, 5.6088017, 5.4876280, 5.4504492, 5.3594913, 5.2236018, 5.2190845", \
+					  "6.1650905, 6.0145029, 5.8878580, 5.8056953, 5.7098959, 5.6375738, 5.5447352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1890445, 4.0170709, 3.8346697, 3.6463932, 3.4744268, 3.2819883, 3.0849455", \
+					  "4.6478233, 4.4773761, 4.2933881, 4.1012219, 3.9290072, 3.7271751, 3.5578023", \
+					  "5.1022780, 4.9327043, 4.7478424, 4.5530191, 4.3951370, 4.1968685, 4.0139095", \
+					  "5.5260594, 5.3540863, 5.1704532, 4.9936777, 4.8181457, 4.5996773, 4.4285893", \
+					  "5.9412158, 5.7295691, 5.5440553, 5.3529588, 5.1904115, 4.9980502, 4.7952871", \
+					  "6.3401509, 6.1697037, 5.9783940, 5.7965231, 5.6242698, 5.4335157, 5.2341627", \
+					  "6.6893977, 6.5158988, 6.3336246, 6.1516479, 5.9734722, 5.7719481, 5.5843319");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5029632, -3.3634679, -3.2426701, -3.1428861, -3.0694176, -3.0279989, -2.8999482", \
+					  "-3.9472392, -3.8045053, -3.6667919, -3.1031506, -3.0207734, -3.4256395, -3.3738001", \
+					  "-4.3996173, -4.2600472, -4.1269696, -4.0466148, -3.9689724, -3.9195093, -3.7910832", \
+					  "-4.8489063, -4.7109349, -4.5821921, -4.4981980, -3.9099974, -4.3587060, -4.2861485", \
+					  "-5.2552036, -5.1155215, -4.9959727, -4.9016237, -4.8451791, -4.7694672, -4.6759300", \
+					  "-5.6408715, -5.5029756, -5.3695796, -5.2888611, -5.2381363, -5.1207138, -5.0552253", \
+					  "-5.9936724, -5.8603373, -5.7332048, -5.6551809, -5.5801072, -5.5030595, -5.4354922");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6784508, -3.5284175, -3.3353782, -3.1470124, -2.9435629, -2.7728439, -2.5948712", \
+					  "-4.1181487, -3.9696417, -3.7799525, -3.5732290, -3.4365530, -3.2156553, -3.0376343", \
+					  "-4.5735790, -4.4235457, -4.2459096, -4.0313008, -3.8479990, -3.6742262, -3.4915570", \
+					  "-5.0061361, -4.8561028, -4.6710269, -4.4668031, -4.2880816, -4.1046204, -3.9485328", \
+					  "-5.4049558, -5.2564483, -5.0683934, -4.8627735, -4.6764048, -4.4949233, -4.3014123", \
+					  "-5.7648177, -5.6149043, -5.4309310, -5.2241084, -5.0586177, -4.8592110, -4.6667352", \
+					  "-6.1782083, -6.0277598, -5.8519946, -5.6459452, -5.4644708, -5.2792088, -5.0901130");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0298457, 0.0333407, 0.0368356, 0.0367761, 0.0367190, 0.0366595, 0.0366000");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0233846, 0.0265286, 0.0296727, 0.0295184, 0.0293702, 0.0292159, 0.0290616");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.017072;
+			capacitance : 0.017916;
+			rise_capacitance : 0.018759;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0198761, 0.0955330, 0.2109420, 0.2143608, 0.2176429, 0.2210618, 0.2244807");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4681640, 3.4186495, 6.3691348, 7.9157093, 9.4004207, 10.9469950, 12.4935700");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033160;
+			capacitance : 0.033140;
+			fall_capacitance : 0.033120;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0145920, -0.0157019, -0.0168118, -0.0109607, -0.0053438, 0.0005073, 0.0063583");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3272860, 0.3357219, 0.3441578, 0.3499163, 0.3554444, 0.3612029, 0.3669614");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006838;
+			capacitance : 0.006696;
+			fall_capacitance : 0.006553;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0774063, -0.2179084, -0.3410472, -0.4334523, -0.5171666, -0.5998993, -0.6736859", \
+					  "0.3661463, 0.2348286, 0.1089237, 0.0112132, -0.0750987, -0.1649495, -0.2375447", \
+					  "0.8100215, 0.6798310, 0.5683376, 0.4777308, 0.3983915, 0.3179179, 0.2240285", \
+					  "1.2330517, 1.0977495, 0.9919647, 0.9080393, 0.8305834, 0.7447017, 0.6670371", \
+					  "1.6375096, 1.4743166, 1.3807624, 1.3032093, 1.2229366, 1.1410475, 1.0862151", \
+					  "2.0307056, 1.8985833, 1.7442802, 1.6643387, 1.5993514, 1.5238088, 1.4715047", \
+					  "2.3902809, 2.2737785, 2.1104909, 2.0137639, 1.9664968, 1.8813478, 1.8183169");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3337404, -0.5047814, -0.6894201, -0.8709674, -1.0385685, -1.2259194, -1.3586933", \
+					  "0.1026319, -0.0726835, -0.2539427, -0.4496022, -0.6248265, -0.7973195, -0.9794963", \
+					  "0.5418514, 0.3785308, 0.2083960, 0.0168109, -0.1710050, -0.3624440, -0.5466349", \
+					  "0.9643008, 0.8079360, 0.6279105, 0.4525999, 0.2778543, 0.0776511, -0.0907839", \
+					  "1.3470207, 1.1782561, 1.0378817, 0.8449287, 0.6714458, 0.4904320, 0.2908434", \
+					  "1.7627210, 1.5380087, 1.4043649, 1.2118081, 1.0440019, 0.8910825, 0.7013185", \
+					  "2.1337665, 1.9425022, 1.7481360, 1.5851616, 1.4199513, 1.2593131, 1.0493598");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4735606, 0.6247309, 0.7478874, 0.8430556, 0.9353136, 1.0211272, 1.0796092", \
+					  "0.0230268, 0.1697260, 0.2960048, 0.3907732, 0.4523912, 0.5426262, 0.6114209", \
+					  "-0.4160068, -0.2765302, -0.1554966, -0.0633616, 0.0010369, 0.0802300, 0.1594369", \
+					  "-0.8114308, -0.6931115, -0.5784480, -0.4892964, -0.4171149, -0.3164848, -0.2390226", \
+					  "-1.2177282, -1.0810984, -0.9662728, -0.8713668, -0.7943160, -0.7185361, -0.6322401", \
+					  "-1.6079736, -1.4362486, -1.3412595, -1.2506404, -1.1656906, -1.0812457, -1.0642398", \
+					  "-1.9699297, -1.7646354, -1.6849051, -1.5988637, -1.5247306, -1.4352299, -1.3783122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4415065, 0.6369806, 0.7896292, 0.9954749, 1.1430934, 1.3024875, 1.5234444", \
+					  "-0.0102981, 0.1719051, 0.3696177, 0.5565603, 0.7029893, 0.8842736, 1.0736562", \
+					  "-0.4328029, -0.2753332, -0.0788555, 0.0937090, 0.2866518, 0.4754247, 0.6529799", \
+					  "-0.8426939, -0.6690556, -0.5074518, -0.3259332, -0.1542790, 0.0412818, 0.2036161", \
+					  "-1.2315603, -1.0643869, -0.8909955, -0.7141967, -0.5360245, -0.3447124, -0.1857252", \
+					  "-1.5737718, -1.4247721, -1.2835794, -1.0831789, -0.9186146, -0.7835795, -0.6017080", \
+					  "-1.9699411, -1.7329334, -1.6195395, -1.4507585, -1.2828433, -1.0820365, -0.9417408");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0236475, 0.0267096, 0.0297717, 0.0296229, 0.0294802, 0.0293314, 0.0291827");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0296340, 0.0330707, 0.0365074, 0.0364100, 0.0363165, 0.0362191, 0.0361217");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013998;
+			capacitance : 0.013926;
+			fall_capacitance : 0.013853;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6662346, -3.5235174, -3.3934146, -3.3058473, -3.2231564, -3.1864502, -3.0699307", \
+					  "-4.1059327, -3.9632157, -3.8300729, -3.7394418, -3.6684357, -3.5971705, -3.5253731", \
+					  "-4.5613630, -4.4186456, -4.2904664, -4.2025016, -4.1136096, -4.0806729, -3.9775736", \
+					  "-5.0106516, -4.8694606, -4.7411730, -4.6691115, -4.5554331, -4.5217241, -4.4160344", \
+					  "-5.4169493, -5.2742319, -5.1470985, -5.0580879, -4.9632056, -4.8771167, -4.8343527", \
+					  "-5.8026168, -5.6584188, -5.5404780, -5.4437558, -5.3539934, -5.3003728, -5.2123911", \
+					  "-6.1615212, -6.0170732, -5.8888927, -5.8187590, -5.7334903, -5.6270679, -5.5442017");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5273901, -3.3309342, -3.1347271, -3.0188067, -2.8150812, -2.6167812, -2.4410111", \
+					  "-3.9716658, -3.7651897, -3.5983718, -3.4627929, -3.2277847, -3.0450233, -2.8965661", \
+					  "-4.4091559, -4.2112609, -4.0416650, -3.9030847, -3.6781953, -3.4868825, -3.3212781", \
+					  "-4.8733330, -4.6920150, -4.5148194, -4.3734063, -4.1423724, -3.9512106, -3.7836061", \
+					  "-5.2796302, -5.0762063, -4.9122188, -4.7760224, -4.5328694, -4.3571086, -4.2380887", \
+					  "-5.6652982, -5.4651094, -5.2995010, -5.1628206, -4.9251822, -4.7323311, -4.6179675", \
+					  "-6.0242026, -5.8264257, -5.6691120, -5.5121207, -5.2856126, -5.1096285, -4.9443074");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0806974, 3.9348789, 3.8082438, 3.7097141, 3.6250385, 3.5277477, 3.4686543", \
+					  "4.5221394, 4.3778468, 4.2508435, 4.1511948, 4.0585142, 4.0081394, 3.9381456", \
+					  "4.9807214, 4.8365381, 4.7078997, 4.6082398, 4.5229014, 4.4462348, 4.3721829", \
+					  "5.4135290, 5.2661846, 5.1437590, 5.0425471, 4.9541836, 4.8727216, 4.8019387", \
+					  "5.8019765, 5.6608290, 5.5352574, 5.4328176, 5.3411038, 5.2474849, 5.1919112", \
+					  "6.1683279, 6.0301384, 5.9000829, 5.7944696, 5.7431298, 5.6340708, 5.5860328", \
+					  "6.5855639, 6.4397463, 6.3160853, 6.2060306, 6.1267234, 6.0590922, 5.9887395");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7160133, 3.5771102, 3.3600310, 3.1590442, 2.9905761, 2.8211904, 2.6293406", \
+					  "4.1587603, 4.0351813, 3.8165482, 3.6405810, 3.4535009, 3.2777359, 3.0888705", \
+					  "4.6267699, 4.4878672, 4.2575426, 4.0937656, 3.9202342, 3.7258437, 3.5354344", \
+					  "5.0387439, 4.9311859, 4.6994056, 4.5053244, 4.3151103, 4.1763431, 3.9871558", \
+					  "5.4902454, 5.3280578, 5.1354559, 4.9181608, 4.7608284, 4.5768387, 4.3799722", \
+					  "5.8596832, 5.7207806, 5.5095500, 5.3145206, 5.1326044, 4.9679903, 4.7618472", \
+					  "6.2128514, 6.0765933, 5.8659222, 5.6749146, 5.5193315, 5.3119258, 5.1001126");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234831, 0.0266846, 0.0298862, 0.0297690, 0.0296566, 0.0295395, 0.0294224");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0297038, 0.0332301, 0.0367565, 0.0366578, 0.0365631, 0.0364644, 0.0363658");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.508762;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("0.8993460, 0.9331304, 0.9779217, 1.1050046, 2.1045839, 2.3111203, 2.3084191", \
+					  "-0.7839775, -0.7510942, -0.6962679, -0.5736562, 0.4251300, 0.6189066, 0.6241747", \
+					  "0.7491365, 0.7489570, 0.7357535, 0.7515525, 0.7528162, 0.7238773, 0.6682829", \
+					  "0.8256513, 0.8139590, 0.8081883, 0.8197862, 0.8217580, 0.8234700, 0.8047848", \
+					  "0.8486647, 0.8448484, 0.8342513, 0.8472560, 0.8489410, 0.8499661, 0.8533129", \
+					  "0.8939995, 0.8937272, 0.8776381, 0.8948594, 0.8968333, 0.8979806, 0.9007481", \
+					  "0.9349487, 0.9313421, 0.9174969, 0.9375965, 0.9395124, 0.9407467, 0.9373505");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02114041, 0.0446917, 0.0944801, 0.1997348, 0.4222477, 0.892649");
+					values("1.4002137, 1.4824120, 1.6270752, 1.8088041, 1.9525082, 2.0098165, 2.0093993", \
+					  "3.0660856, 3.1617491, 3.3250362, 3.5160826, 3.6662058, 3.7233142, 3.7288761", \
+					  "3.6134125, 3.6156542, 3.6176890, 3.6189487, 3.6213033, 3.6216692, 3.6786750", \
+					  "3.6168618, 3.6178653, 3.6197471, 3.6194305, 3.6208109, 3.6219487, 3.6241511", \
+					  "3.6217730, 3.6222334, 3.6218261, 3.6226107, 3.6249918, 3.6263726, 3.6310323", \
+					  "3.6147186, 3.6243265, 3.6242359, 3.6221423, 3.6235581, 3.6256068, 3.6281312", \
+					  "3.6073210, 3.6249001, 3.6230036, 3.6346464, 3.6300238, 3.6305494, 3.6307951");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("2.6172292, 2.6432204, 2.6797835, 2.7206203, 2.7439809, 2.7517297, 2.7446895", \
+					  "3.8238158, 3.8245917, 3.8238293, 3.8260003, 3.7562956, 3.5630533, 3.5561018", \
+					  "7.7211267, 7.7227487, 7.7238936, 7.7227894, 7.7226055, 7.7043927, 7.6617193", \
+					  "11.3419780, 11.3418410, 11.3483310, 11.3447860, 11.3426260, 11.2483890, 11.3484460", \
+					  "14.8502320, 14.8623410, 14.8501890, 14.8110270, 14.8723650, 14.8432590, 14.8446340", \
+					  "18.2596730, 18.2659440, 18.3131840, 18.2590400, 18.2870580, 18.2656320, 18.2560030", \
+					  "21.6148400, 21.6519480, 21.7123040, 21.6557220, 21.6258140, 21.6459280, 21.6119450");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("3.1421048, 3.1509033, 3.1650327, 3.1836185, 3.2016028, 3.2115757, 3.2175466", \
+					  "6.8311971, 6.8313619, 6.8327978, 6.8340967, 6.9185408, 7.0647585, 7.0828890", \
+					  "7.4781578, 7.4783842, 7.4804624, 7.4828590, 7.5259781, 7.4840039, 7.4857828", \
+					  "8.1295951, 8.1303219, 8.1311164, 8.1376910, 8.1792481, 8.1543522, 8.1430661", \
+					  "8.8173245, 8.8113614, 8.8290755, 8.8165785, 8.8690834, 8.8299819, 8.8197670", \
+					  "9.5038543, 9.5105226, 9.5202839, 9.5058718, 9.5534414, 9.5463125, 9.5084140", \
+					  "10.1986860, 10.2016090, 10.2397900, 10.2041350, 10.2688810, 10.2446000, 10.2041390");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("2.3030417, 2.3289773, 2.3677176, 2.4077493, 2.4266455, 2.4319853, 2.4303075", \
+					  "-0.2474982, -0.2469531, -0.2461328, -0.2471328, -0.2478780, -0.3337228, -0.5149214", \
+					  "0.5144627, 0.5154068, 0.5176755, 0.5143845, 0.5149827, 0.5096330, 0.5097531", \
+					  "1.4124834, 1.4091410, 1.4177172, 1.4034537, 1.4133861, 1.4133465, 1.4017747", \
+					  "2.3038303, 2.3122582, 2.3119640, 2.3176122, 2.3017859, 2.3043694, 2.2896891", \
+					  "3.1531965, 3.1542581, 3.1420452, 3.1481582, 3.1568231, 3.1548733, 3.1058585", \
+					  "3.9266008, 3.9493213, 3.9478329, 3.9545880, 3.9476044, 3.9500851, 3.9157818");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("3.0257196, 3.0376085, 3.0562265, 3.0823271, 3.1099509, 3.1294014, 3.1431088", \
+					  "6.6878209, 6.7175154, 6.7673358, 6.8366831, 6.8857294, 6.9237702, 6.9427740", \
+					  "7.0917196, 7.0924681, 7.0937687, 7.0966436, 7.0972642, 7.0996594, 7.1601661", \
+					  "7.5124629, 7.5133155, 7.5149687, 7.5171787, 7.5169610, 7.5177555, 7.5194503", \
+					  "7.9315391, 7.9320205, 7.9335219, 7.9349036, 7.9373660, 7.9378656, 7.9387842", \
+					  "8.3550345, 8.3563781, 8.3577216, 8.3626066, 8.3604512, 8.3624592, 8.3601789", \
+					  "8.7842910, 8.7830862, 8.7875020, 8.7951417, 8.7861550, 8.7889088, 8.7889366");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("5.5114708, 5.5149030, 5.5262125, 5.5329311, 5.5538579, 5.5720430, 5.5909385", \
+					  "5.5182714, 5.5132728, 5.5032487, 5.5300866, 5.5475184, 5.5679951, 5.6010056", \
+					  "5.5025068, 5.5128783, 5.5175135, 5.5251385, 5.5452140, 5.5653177, 5.5852167", \
+					  "5.5143811, 5.5103071, 5.5200645, 5.5347576, 5.5466548, 5.5610994, 5.5886520", \
+					  "5.5164704, 5.5061649, 5.5123188, 5.5222050, 5.5434749, 5.5628391, 5.5977422", \
+					  "5.5142110, 5.5064312, 5.5102246, 5.5241254, 5.5380114, 5.5793338, 5.5838827", \
+					  "5.5138264, 5.5044199, 5.5212485, 5.5303167, 5.5420508, 5.5751641, 5.5795521");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02113318, 0.04466113, 0.09438315, 0.1994616, 0.4215258, 0.890818");
+					values("2.7060738, 2.7548924, 2.8652000, 3.1565023, 3.6037526, 3.8744337, 4.0593049", \
+					  "2.7031163, 2.7380215, 2.8609984, 3.1452203, 3.5980985, 3.8789051, 4.0664638", \
+					  "2.7072727, 2.7423692, 2.8566619, 3.1468833, 3.5962693, 3.8777859, 4.0511602", \
+					  "2.6870308, 2.7357941, 2.8582177, 3.1427574, 3.5920397, 3.8825485, 4.0263353", \
+					  "2.6926382, 2.7478234, 2.8559947, 3.1476954, 3.5940046, 3.8570765, 4.0500532", \
+					  "2.6987062, 2.7474488, 2.8583981, 3.1460803, 3.5909597, 3.8522561, 4.0569964", \
+					  "2.6814948, 2.7387244, 2.8565839, 3.1457343, 3.5969999, 3.8852300, 4.0538994");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("2.4330427, 2.4480420, 2.4782978, 2.5392120, 2.6615099, 2.9159215, 3.4501948", \
+					  "4.5927724, 4.6077746, 4.6382818, 4.6991588, 4.8219020, 5.0758224, 5.6087379", \
+					  "6.2541825, 6.2694299, 6.2997976, 6.3603740, 6.4833561, 6.7373751, 7.2701054", \
+					  "6.6149091, 6.6298864, 6.6602852, 6.7211676, 6.8437171, 7.0978370, 7.6306916", \
+					  "8.7440659, 8.7593284, 8.7896961, 8.8503125, 8.9732666, 9.2272927, 9.7600061", \
+					  "10.4341320, 10.4494560, 10.4797380, 10.5404450, 10.6633200, 10.9172930, 11.4500490", \
+					  "11.7081050, 11.7234270, 11.7536620, 11.8144540, 11.9372690, 12.1917940, 12.7240070", \
+					  "13.4732810, 13.4886140, 13.5188860, 13.5796050, 13.7024640, 13.9758290, 14.4891790", \
+					  "36.2401490, 36.2401524, 36.2860570, 36.3394970, 36.4238380, 36.7423530, 37.1841300", \
+					  "49.3683990, 49.4507940, 49.4507962, 49.4801890, 49.6042920, 49.8953230, 50.4958000", \
+					  "102.7672500, 102.7672539, 102.7914000, 102.7914010, 102.9806700, 103.1264100, 103.7618100", \
+					  "281.5901000, 281.5901032, 281.5901337, 281.5901642, 281.9834900, 281.9835053, 282.1292600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("0.1241389, 0.1407635, 0.1754681, 0.2502286, 0.4123544, 0.7586665, 1.4991248", \
+					  "0.1248228, 0.1417265, 0.1762147, 0.2504498, 0.4135399, 0.7586428, 1.4984667", \
+					  "0.1249421, 0.1406996, 0.1760489, 0.2510610, 0.4118755, 0.7589930, 1.4990914", \
+					  "0.1246694, 0.1408196, 0.1763944, 0.2510778, 0.4134123, 0.7585041, 1.4991999", \
+					  "0.1250006, 0.1414395, 0.1759637, 0.2509978, 0.4127883, 0.7588328, 1.4978677", \
+					  "0.1250498, 0.1415284, 0.1758113, 0.2507478, 0.4131050, 0.7588064, 1.4979654", \
+					  "0.1250483, 0.1414521, 0.1760088, 0.2507907, 0.4132194, 0.7586847, 1.4979589", \
+					  "0.1250556, 0.1415353, 0.1758522, 0.2508129, 0.4131091, 0.7589788, 1.4981739", \
+					  "0.1249320, 0.1406526, 0.1760955, 0.2510180, 0.4120134, 0.7586811, 1.4991928", \
+					  "0.1248806, 0.1407316, 0.1765438, 0.2510515, 0.4120160, 0.7589872, 1.4990890", \
+					  "0.1248861, 0.1407973, 0.1761637, 0.2509358, 0.4121348, 0.7586930, 1.4976883", \
+					  "0.1250548, 0.1414598, 0.1762366, 0.2509127, 0.4136467, 0.7587999, 1.4991482");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("2.0951867, 2.1173063, 2.1589082, 2.2330160, 2.3573674, 2.5679874, 2.9511864", \
+					  "4.1170720, 4.1386317, 4.1804080, 4.2540331, 4.3784039, 4.5893849, 4.9721294", \
+					  "5.5942113, 5.6157652, 5.6573120, 5.7311857, 5.8555174, 6.0664953, 6.4491892", \
+					  "5.8965606, 5.9182321, 5.9595120, 6.0336545, 6.1581331, 6.3690733, 6.7516730", \
+					  "7.6007325, 7.6224085, 7.6637043, 7.7376550, 7.8620390, 8.0731049, 8.4556966", \
+					  "8.8847560, 8.9064507, 8.9479206, 9.0218037, 9.1460857, 9.3570716, 9.7397299", \
+					  "9.8009192, 9.8224424, 9.8639923, 9.9421760, 10.0621790, 10.2730950, 10.6557730", \
+					  "11.0327750, 11.0542660, 11.0958660, 11.1347880, 11.2940500, 11.5049030, 11.8875800", \
+					  "24.2781330, 24.2836310, 24.3528060, 24.4236560, 24.5506250, 24.7529640, 25.1338270", \
+					  "31.0583450, 31.0788230, 31.1225430, 31.1949470, 31.3192810, 31.5250900, 31.9080020", \
+					  "55.7627080, 55.8260250, 55.8260251, 55.9378750, 56.0627600, 56.2157890, 56.6168230", \
+					  "131.9320700, 131.9716500, 132.0019200, 132.0566100, 132.2262800, 132.3962900, 132.8329800");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211368, 0.0446764, 0.0944316, 0.199598, 0.421887, 0.891733");
+					values("0.1669362, 0.1832913, 0.2150958, 0.2715351, 0.3750494, 0.5826621, 1.0327135", \
+					  "0.1680471, 0.1852887, 0.2166427, 0.2738886, 0.3753580, 0.5844283, 1.0343734", \
+					  "0.1680211, 0.1854591, 0.2165502, 0.2738883, 0.3752756, 0.5851018, 1.0335252", \
+					  "0.1680509, 0.1860842, 0.2170755, 0.2730151, 0.3758591, 0.5852108, 1.0311473", \
+					  "0.1683039, 0.1849338, 0.2165668, 0.2738436, 0.3750972, 0.5858435, 1.0295157", \
+					  "0.1679774, 0.1854758, 0.2164795, 0.2738404, 0.3752309, 0.5850686, 1.0334584", \
+					  "0.1679753, 0.1854323, 0.2164878, 0.2738540, 0.3751511, 0.5850246, 1.0334473", \
+					  "0.1679828, 0.1854755, 0.2164954, 0.2738689, 0.3751587, 0.5850363, 1.0334457", \
+					  "0.1676469, 0.1850447, 0.2166105, 0.2737810, 0.3752299, 0.5858626, 1.0313510", \
+					  "0.1676156, 0.1848492, 0.2165575, 0.2738120, 0.3751693, 0.5856467, 1.0312430", \
+					  "0.1677323, 0.1853642, 0.2167298, 0.2740016, 0.3752232, 0.5858906, 1.0333077", \
+					  "0.1677342, 0.1850399, 0.2167552, 0.2742476, 0.3757609, 0.5859407, 1.0312572");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("1.8122215, 1.8277971, 1.8577354, 1.9177142, 2.0396103, 2.2933260, 2.8250420", \
+					  "3.0145304, 3.0293033, 3.0594212, 3.1195837, 3.2412788, 3.4942009, 4.0261430", \
+					  "3.9074424, 3.9223491, 3.9524437, 4.0122008, 4.1337156, 4.3841668, 4.9186601", \
+					  "4.1004373, 4.1152890, 4.1453863, 4.2059769, 4.3278354, 4.5808641, 5.1121978", \
+					  "5.2741242, 5.2856888, 5.3189755, 5.3802904, 5.5045235, 5.7501994, 6.2858403", \
+					  "6.2211516, 6.2425394, 6.2740626, 6.3331478, 6.4555006, 6.7080572, 7.2327748", \
+					  "6.9403345, 6.9698172, 7.0088655, 7.0606365, 7.1683677, 7.4343860, 7.9520088", \
+					  "7.9875324, 8.0079863, 8.0293390, 8.0925471, 8.2185192, 8.4676479, 8.9985734", \
+					  "22.1625060, 22.1625071, 22.1939620, 22.2651760, 22.3318340, 22.6422050, 23.1448160", \
+					  "31.3155120, 31.3226490, 31.3554410, 31.4204340, 31.5363100, 31.7681350, 32.3457460", \
+					  "71.5304440, 71.5474040, 71.5474053, 71.6280650, 71.7028500, 72.0468310, 72.5431950", \
+					  "224.9043000, 224.9043046, 224.9458600, 224.9458695, 225.1213700, 225.3222800, 225.8613000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1224648, 0.1384234, 0.1730028, 0.2480985, 0.4096917, 0.7592865, 1.5008096", \
+					  "0.1226596, 0.1391950, 0.1738051, 0.2490230, 0.4094715, 0.7626189, 1.4969990", \
+					  "0.1220645, 0.1390242, 0.1738362, 0.2490307, 0.4114654, 0.7599538, 1.4991949", \
+					  "0.1225935, 0.1389320, 0.1737555, 0.2494791, 0.4102795, 0.7625550, 1.5066038", \
+					  "0.1227009, 0.1389750, 0.1737561, 0.2494675, 0.4104405, 0.7620581, 1.4986424", \
+					  "0.1222927, 0.1389755, 0.1737561, 0.2494715, 0.4114568, 0.7626143, 1.4964974", \
+					  "0.1226311, 0.1390204, 0.1738418, 0.2490190, 0.4116947, 0.7618597, 1.4989944", \
+					  "0.1226890, 0.1386693, 0.1737915, 0.2494872, 0.4119562, 0.7626116, 1.4989104", \
+					  "0.1226681, 0.1386642, 0.1737350, 0.2494741, 0.4115063, 0.7620272, 1.5000221", \
+					  "0.1226336, 0.1387449, 0.1738099, 0.2494867, 0.4102146, 0.7626217, 1.4988111", \
+					  "0.1228800, 0.1391094, 0.1737271, 0.2494738, 0.4103707, 0.7604734, 1.4999534", \
+					  "0.1220387, 0.1390310, 0.1738455, 0.2494687, 0.4115391, 0.7624643, 1.4986747");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("2.0954181, 2.1159094, 2.1533728, 2.2222748, 2.3407138, 2.5447349, 2.9205440", \
+					  "3.3226524, 3.3423877, 3.3813403, 3.4497205, 3.5682561, 3.7729082, 4.1488737", \
+					  "4.2753467, 4.2954795, 4.3338808, 4.4025290, 4.5211883, 4.7256625, 5.1015143", \
+					  "4.4903992, 4.5101909, 4.5473660, 4.6174549, 4.7339968, 4.9391504, 5.3169278", \
+					  "5.7666463, 5.7865606, 5.8192615, 5.8937712, 6.0122574, 6.2085777, 6.5929919", \
+					  "6.8241488, 6.8326189, 6.8827091, 6.9512036, 7.0680142, 7.2746706, 7.6504607", \
+					  "7.6299100, 7.6489011, 7.6878486, 7.7568947, 7.8742159, 8.0771976, 8.4556708", \
+					  "8.7661904, 8.7812424, 8.8215488, 8.8934042, 9.0029530, 9.2059107, 9.5925312", \
+					  "24.5235370, 24.5643860, 24.6189130, 24.6878570, 24.8050330, 24.9917990, 25.3842560", \
+					  "34.7418090, 34.7899490, 34.8509840, 34.8693380, 35.0317750, 35.2488210, 35.5930150", \
+					  "79.7966280, 79.8305140, 79.8661470, 79.9130080, 80.0460850, 80.2295830, 80.5775850", \
+					  "251.2280400, 251.2385300, 251.2738000, 251.3479800, 251.4497900, 251.6820800, 252.0309300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1541942, 0.1693655, 0.2000112, 0.2554651, 0.3589704, 0.5675411, 1.0206005", \
+					  "0.1549289, 0.1708891, 0.2025267, 0.2588621, 0.3645049, 0.5727504, 1.0219771", \
+					  "0.1558997, 0.1711085, 0.2025380, 0.2586379, 0.3643392, 0.5693036, 1.0248681", \
+					  "0.1558287, 0.1712370, 0.2021856, 0.2590311, 0.3644937, 0.5731145, 1.0198731", \
+					  "0.1558902, 0.1712686, 0.2021222, 0.2589322, 0.3644463, 0.5725630, 1.0249990", \
+					  "0.1557889, 0.1712698, 0.2025782, 0.2590901, 0.3644525, 0.5722815, 1.0249608", \
+					  "0.1553667, 0.1713072, 0.2021119, 0.2588089, 0.3645003, 0.5725749, 1.0226102", \
+					  "0.1558553, 0.1713115, 0.2021106, 0.2588231, 0.3643618, 0.5726083, 1.0250272", \
+					  "0.1558130, 0.1713152, 0.2024764, 0.2589782, 0.3645218, 0.5721666, 1.0248968", \
+					  "0.1551286, 0.1713174, 0.2021373, 0.2583897, 0.3643313, 0.5726742, 1.0250040", \
+					  "0.1559376, 0.1713164, 0.2025421, 0.2590685, 0.3645366, 0.5725990, 1.0249845", \
+					  "0.1548937, 0.1713222, 0.2013102, 0.2590985, 0.3643039, 0.5732111, 1.0247257");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("1.7991743, 1.8138855, 1.8438703, 1.9043209, 2.0268729, 2.2798323, 2.8118743", \
+					  "2.0539268, 2.0689592, 2.0987314, 2.1589501, 2.2805449, 2.5336109, 3.0658716", \
+					  "1.9052189, 1.9199171, 1.9500159, 2.0100253, 2.1316817, 2.3847924, 2.9167238", \
+					  "1.8676563, 1.8828576, 1.9127699, 1.9728446, 2.0945667, 2.3476949, 2.8796909", \
+					  "1.5877105, 1.6032683, 1.6333842, 1.6932333, 1.8148102, 2.0677240, 2.6029827", \
+					  "1.2685397, 1.2783080, 1.3083770, 1.3682817, 1.4901638, 1.7431925, 2.2752107", \
+					  "0.9610122, 0.9851237, 1.0151284, 1.0751665, 1.1969458, 1.4500495, 1.9813720", \
+					  "0.4824702, 0.5102482, 0.5273407, 0.5875844, 0.7091782, 0.9624214, 1.4945740", \
+					  "-8.1538634, -8.1395184, -8.1101472, -8.0487252, -7.9272588, -7.6742017, -7.1424582", \
+					  "-14.5536930, -14.5348170, -14.5097550, -14.4378210, -14.3161230, -14.0630510, -13.5427360", \
+					  "-44.6103240, -44.5865890, -44.5448370, -44.4964350, -44.3954380, -44.1214990, -43.5855940", \
+					  "-166.2411400, -166.1540900, -166.1540756, -166.0655600, -166.0143900, -165.7094400, -165.1727900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1220222, 0.1386156, 0.1732873, 0.2481873, 0.4100217, 0.7584276, 1.4999818", \
+					  "0.1226728, 0.1387857, 0.1743071, 0.2483837, 0.4094180, 0.7619746, 1.4969386", \
+					  "0.1227658, 0.1392150, 0.1738187, 0.2494713, 0.4101555, 0.7626367, 1.4989237", \
+					  "0.1225403, 0.1385615, 0.1735455, 0.2493732, 0.4095990, 0.7627378, 1.4985820", \
+					  "0.1226839, 0.1389985, 0.1738469, 0.2488781, 0.4112278, 0.7620589, 1.4986852", \
+					  "0.1227658, 0.1392504, 0.1745139, 0.2493637, 0.4103521, 0.7624116, 1.4986299", \
+					  "0.1225755, 0.1385961, 0.1735744, 0.2493779, 0.4098206, 0.7627439, 1.4986606", \
+					  "0.1227639, 0.1392143, 0.1743342, 0.2494338, 0.4117186, 0.7625573, 1.4999030", \
+					  "0.1229750, 0.1392120, 0.1738164, 0.2494196, 0.4101614, 0.7626152, 1.4990068", \
+					  "0.1227654, 0.1391926, 0.1740594, 0.2487719, 0.4118552, 0.7605909, 1.4988096", \
+					  "0.1227643, 0.1390615, 0.1741949, 0.2494837, 0.4116725, 0.7604639, 1.4990402", \
+					  "0.1227655, 0.1391929, 0.1738056, 0.2494426, 0.4102398, 0.7624530, 1.4989052");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("2.4895450, 2.5097786, 2.5477367, 2.6162796, 2.7351539, 2.9383862, 3.3153931", \
+					  "4.0724433, 4.0923527, 4.1307673, 4.1996233, 4.3176955, 4.5219459, 4.8974247", \
+					  "5.3696079, 5.3893987, 5.4278435, 5.4966609, 5.6147953, 5.8199405, 6.1959389", \
+					  "5.6685594, 5.6886118, 5.7267187, 5.7959042, 5.9142078, 6.1185483, 6.4946226", \
+					  "7.4570714, 7.4769071, 7.5153608, 7.5841573, 7.7022548, 7.9073663, 8.2833456", \
+					  "8.9452366, 8.9651273, 9.0035570, 9.0719556, 9.1904928, 9.3955199, 9.7715533", \
+					  "10.0791600, 10.0989230, 10.1369650, 10.2002910, 10.3243290, 10.5294380, 10.9054240", \
+					  "11.6816950, 11.7015440, 11.7450610, 11.8168750, 11.9322760, 12.1321120, 12.5080750", \
+					  "34.2319700, 34.2506150, 34.2881990, 34.3609160, 34.4778430, 34.6816580, 35.0588290", \
+					  "48.9378920, 48.9640610, 49.0039510, 49.0627590, 49.1842020, 49.3880030, 49.7690030", \
+					  "113.8584100, 113.8755900, 113.9147200, 113.9843100, 114.1030000, 114.3054200, 114.6858000", \
+					  "361.9227300, 361.9482500, 361.9858500, 362.0562600, 362.1740900, 362.3735800, 362.7537500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0211332, 0.0446611, 0.0943832, 0.199462, 0.421526, 0.890818");
+					values("0.1538848, 0.1695105, 0.1999113, 0.2558584, 0.3588461, 0.5665248, 1.0208920", \
+					  "0.1558912, 0.1712798, 0.2025750, 0.2593408, 0.3635247, 0.5687586, 1.0226628", \
+					  "0.1558326, 0.1712542, 0.2021416, 0.2591021, 0.3641432, 0.5727486, 1.0249679", \
+					  "0.1553170, 0.1708258, 0.2027996, 0.2585358, 0.3620201, 0.5721270, 1.0229111", \
+					  "0.1558176, 0.1712983, 0.2024845, 0.2590574, 0.3641379, 0.5729082, 1.0246781", \
+					  "0.1558702, 0.1713043, 0.2025763, 0.2587400, 0.3644620, 0.5725735, 1.0249420", \
+					  "0.1557840, 0.1711553, 0.2021203, 0.2590993, 0.3640972, 0.5728572, 1.0247835", \
+					  "0.1558386, 0.1713156, 0.2026467, 0.2590921, 0.3644380, 0.5722706, 1.0250153", \
+					  "0.1559473, 0.1711605, 0.2029829, 0.2587266, 0.3645912, 0.5710592, 1.0228152", \
+					  "0.1552962, 0.1718765, 0.2023803, 0.2591307, 0.3641496, 0.5725644, 1.0221173", \
+					  "0.1549492, 0.1712817, 0.2012217, 0.2590816, 0.3641069, 0.5732244, 1.0232265", \
+					  "0.1559848, 0.1713821, 0.2021109, 0.2588118, 0.3625514, 0.5732739, 1.0234219");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("21.5350160, 21.5525040, 21.5846930, 21.6493670, 21.7795030, 22.0388670, 22.5613930", \
+					  "21.6311320, 21.6493490, 21.6781060, 21.7454040, 21.8727650, 22.1348880, 22.6548100", \
+					  "21.7278300, 21.7442690, 21.7772450, 21.8420410, 21.9719070, 22.2316480, 22.7539520", \
+					  "21.8337900, 21.8529160, 21.8824190, 21.9506870, 22.0770810, 22.3376110, 22.8591240", \
+					  "21.9318130, 21.9472900, 21.9817550, 22.0450610, 22.1764170, 22.4356370, 22.9584620", \
+					  "22.0389910, 22.0553000, 22.0890670, 22.1530860, 22.2836970, 22.5427530, 23.0657680", \
+					  "22.1391690, 22.1578860, 22.1904680, 22.2556340, 22.3851300, 22.6429840, 23.1671750", \
+					  "22.2436660, 22.2624920, 22.2919670, 22.3602720, 22.4866280, 22.7474890, 23.2686720", \
+					  "22.3432260, 22.3590500, 22.3930240, 22.4568260, 22.5876830, 22.8470420, 23.3697290", \
+					  "22.4461050, 22.4642100, 22.4952960, 22.5620070, 22.6899580, 22.9500880, 23.4720050", \
+					  "22.5407040, 22.5599410, 22.5911610, 22.6579020, 22.7858180, 23.0445210, 23.5678610", \
+					  "22.6372560, 22.6584560, 22.6863200, 22.7515820, 22.8809790, 23.1410680, 23.6630220");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1386605, 0.1587379, 0.2001442, 0.2837853, 0.4546486, 0.7983536, 1.4978744", \
+					  "0.1386606, 0.1587384, 0.2001455, 0.2837865, 0.4546473, 0.7983588, 1.4978694", \
+					  "0.1386604, 0.1587391, 0.2001442, 0.2837874, 0.4546490, 0.7983609, 1.4978780", \
+					  "0.1386583, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7984410, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001442, 0.2838156, 0.4546490, 0.7984408, 1.4978782", \
+					  "0.1386578, 0.1587391, 0.2001455, 0.2837839, 0.4546491, 0.7983875, 1.4978693", \
+					  "0.1386606, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7983582, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001458, 0.2838149, 0.4546484, 0.7984412, 1.4978796", \
+					  "0.1386605, 0.1587393, 0.2001442, 0.2837856, 0.4546490, 0.7983527, 1.4978790", \
+					  "0.1386597, 0.1587375, 0.2001440, 0.2837877, 0.4546494, 0.7983671, 1.4978836", \
+					  "0.1386606, 0.1587488, 0.2001458, 0.2835736, 0.4546481, 0.7983456, 1.4978747", \
+					  "0.1386603, 0.1587372, 0.2001444, 0.2837883, 0.4546492, 0.7983644, 1.4978819");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("14.9028260, 14.9139830, 14.9381950, 14.9810010, 15.0582850, 15.1841790, 15.3914230", \
+					  "14.9904600, 15.0034690, 15.0276700, 15.0633670, 15.1447940, 15.2731160, 15.4745190", \
+					  "15.0557470, 15.0687440, 15.0929690, 15.1369890, 15.2106220, 15.3382040, 15.5467750", \
+					  "15.1214630, 15.1344860, 15.1586710, 15.2027530, 15.2788720, 15.4043760, 15.6138440", \
+					  "15.1843620, 15.1973210, 15.2214760, 15.2593810, 15.3355070, 15.4655060, 15.6705300", \
+					  "15.2388660, 15.2520730, 15.2761380, 15.3198570, 15.3937200, 15.5205640, 15.7299760", \
+					  "15.2781240, 15.2911250, 15.3153720, 15.3580300, 15.4340030, 15.5611120, 15.7689560", \
+					  "15.3325900, 15.3455970, 15.3698560, 15.4057760, 15.4887680, 15.6130470, 15.8165210", \
+					  "15.3652810, 15.3784400, 15.4025200, 15.4533060, 15.5212640, 15.6471140, 15.8631400", \
+					  "15.4154590, 15.4285670, 15.4527470, 15.4875630, 15.5699380, 15.6969640, 15.8985200", \
+					  "15.4585250, 15.4716990, 15.4959030, 15.5417760, 15.6144960, 15.7403650, 15.9515940", \
+					  "15.4938620, 15.5070200, 15.5311000, 15.5767670, 15.6528830, 15.7756940, 15.9878560");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1159085, 0.1255768, 0.1447270, 0.1809250, 0.2480104, 0.3630184, 0.5663965", \
+					  "0.1158124, 0.1256810, 0.1452476, 0.1810095, 0.2489983, 0.3627330, 0.5663726", \
+					  "0.1158854, 0.1257243, 0.1452266, 0.1805826, 0.2474456, 0.3624151, 0.5669380", \
+					  "0.1163781, 0.1255762, 0.1444503, 0.1809337, 0.2477774, 0.3627393, 0.5663887", \
+					  "0.1175072, 0.1260286, 0.1442827, 0.1809976, 0.2477801, 0.3633141, 0.5663658", \
+					  "0.1160718, 0.1253969, 0.1440108, 0.1816857, 0.2486090, 0.3631893, 0.5668572", \
+					  "0.1164697, 0.1255783, 0.1449660, 0.1809734, 0.2478307, 0.3626987, 0.5667155", \
+					  "0.1164801, 0.1263675, 0.1450277, 0.1809824, 0.2477925, 0.3630187, 0.5668076", \
+					  "0.1159052, 0.1254795, 0.1441581, 0.1805014, 0.2474492, 0.3630453, 0.5669510", \
+					  "0.1156340, 0.1251579, 0.1450615, 0.1815192, 0.2485884, 0.3631700, 0.5667557", \
+					  "0.1158939, 0.1255759, 0.1447629, 0.1805275, 0.2476408, 0.3630359, 0.5669473", \
+					  "0.1159033, 0.1254804, 0.1441599, 0.1809335, 0.2477776, 0.3630444, 0.5663892");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.28175;
+			max_transition : 3.753953;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723945, 0.07419876, 0.2021133, 0.5505456, 1.499656, 4.08498");
+					values("2.2382321, 2.2195527, 2.2069490, 2.1940418, 2.1653323, 2.0902255, 1.9246199", \
+					  "0.5553259, 0.5422993, 0.5255777, 0.5048283, 0.4804416, 0.4093255, 0.2542618", \
+					  "0.7385323, 0.7252163, 0.6913905, 0.6386926, 0.5304435, 0.4585513, 0.3073788", \
+					  "0.8092088, 0.7956606, 0.7632172, 0.7304675, 0.7029995, 0.5210466, 0.4724246", \
+					  "0.8260599, 0.8194174, 0.8126216, 0.7734339, 0.7418652, 0.6980786, 0.4044293", \
+					  "0.8792609, 0.8646276, 0.8423500, 0.8089802, 0.7769780, 0.7466949, 0.4004327", \
+					  "0.9168188, 0.9000355, 0.8772838, 0.8459449, 0.8146965, 0.7891145, 0.4910791");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723945, 0.07419876, 0.2021133, 0.5505456, 1.499656, 4.08498");
+					values("1.8350217, 1.8404292, 1.8619311, 1.9077415, 1.9428419, 1.9479277, 1.9526006", \
+					  "3.5459777, 3.5514276, 3.5742817, 3.6236522, 3.6603927, 3.6671660, 3.6711424", \
+					  "3.6085035, 3.5965302, 3.5814739, 3.5667144, 3.5627636, 3.6508227, 3.6684310", \
+					  "3.6086010, 3.5991650, 3.5826871, 3.5669889, 3.5630270, 3.5640347, 3.6646146", \
+					  "3.6101107, 3.6013558, 3.5860737, 3.5697621, 3.5666641, 3.5663928, 3.5774010", \
+					  "3.6106920, 3.6017693, 3.5854544, 3.5733825, 3.5678554, 3.5692089, 3.5697213", \
+					  "3.6247884, 3.6015605, 3.5900978, 3.5755539, 3.5667192, 3.5707604, 3.5714120");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.3705142, 2.3579463, 2.3364818, 2.3117807, 2.2736936, 2.1934616, 2.0389023", \
+					  "-0.2606165, -0.2773232, -0.3040988, -0.3465987, -0.4034633, -0.7077900, -0.9266900", \
+					  "0.5005079, 0.4860739, 0.4566958, 0.4177408, 0.3809337, 0.3585110, -0.0887937", \
+					  "1.4009751, 1.3896268, 1.3563487, 1.3195842, 1.2835273, 1.2319321, 0.9024717", \
+					  "2.2940335, 2.2804989, 2.2380821, 2.2099861, 2.1696846, 2.1409502, 2.0923627", \
+					  "3.1405722, 3.1265559, 3.0970623, 3.0584233, 3.0126227, 2.9660217, 2.9351784", \
+					  "3.9323306, 3.9182685, 3.8662402, 3.8510696, 3.7753863, 3.7641179, 3.7387966");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.7261307, 2.7403381, 2.8007785, 2.9264301, 3.0371978, 3.0701971, 3.0864267", \
+					  "6.3896115, 6.3781281, 6.3567463, 6.5521191, 6.8087393, 6.8711469, 6.8895380", \
+					  "7.0827567, 7.0754826, 7.0532447, 7.0425532, 7.0342434, 7.0318590, 7.2658971", \
+					  "7.5025897, 7.4975894, 7.4755566, 7.4604489, 7.4557357, 7.4551927, 7.6513041", \
+					  "7.9221144, 7.9551522, 7.8951576, 7.8766516, 7.8736904, 7.8889300, 7.8695826", \
+					  "8.3489283, 8.3792391, 8.3167960, 8.2979370, 8.2968606, 8.3420831, 8.2946297", \
+					  "8.7920678, 8.8083690, 8.7439448, 8.7279246, 8.7221914, 8.7647294, 8.7238298");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.6832254, 2.6714359, 2.6504093, 2.6261581, 2.5928738, 2.5159703, 2.3477003", \
+					  "3.8103301, 3.7946365, 3.7629353, 3.7109244, 3.4185109, 3.3607583, 3.1330528", \
+					  "7.7079532, 7.6925775, 7.6627931, 7.5888740, 7.5947035, 7.3861559, 7.2181384", \
+					  "11.3205360, 11.3033650, 11.2771290, 11.2035760, 11.2153640, 11.1983360, 10.6494180", \
+					  "14.8376220, 14.8195760, 14.7909510, 14.7031550, 14.7156000, 14.6787100, 14.3745090", \
+					  "18.2951240, 18.2631390, 18.2513380, 18.1226650, 18.1784360, 18.1367000, 18.0945730", \
+					  "21.6116410, 21.6983980, 21.5521870, 21.5744560, 21.4865100, 21.4347310, 21.4818810");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("2.8946903, 2.9045431, 2.9525468, 3.0514959, 3.1299171, 3.1464720, 3.1555498", \
+					  "6.8228548, 6.8115179, 6.7942206, 6.7782039, 6.8190961, 7.0145751, 7.0264094", \
+					  "7.4686177, 7.4649930, 7.4410218, 7.4259366, 7.4195077, 7.4178927, 7.6341182", \
+					  "8.1210212, 8.1513676, 8.0925700, 8.0820437, 8.0902611, 8.0708478, 8.1349007", \
+					  "8.8039237, 8.8303254, 8.7817045, 8.7595313, 8.7691521, 8.7518161, 8.7898907", \
+					  "9.4952006, 9.5215459, 9.4633867, 9.4941737, 9.4812656, 9.4502522, 9.4789038", \
+					  "10.1927230, 10.2178430, 10.1631420, 10.1831730, 10.1790100, 10.1499130, 10.1756690");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("5.0020615, 4.9856232, 4.9896985, 5.0820782, 5.3807872, 5.3642041, 5.2096780", \
+					  "4.9957827, 4.9949383, 4.9934235, 5.0857428, 5.3672408, 5.3470126, 5.1988902", \
+					  "4.9949489, 4.9773193, 4.9878369, 5.0739273, 5.3731468, 5.3563334, 5.1868270", \
+					  "4.9918113, 4.9655619, 4.9912939, 5.0857695, 5.3618937, 5.3485849, 5.1939035", \
+					  "4.9922023, 4.9911111, 4.9881435, 5.0802686, 5.3624630, 5.3429955, 5.1944194", \
+					  "4.9925727, 4.9874223, 4.9878075, 5.0836835, 5.3532647, 5.3473614, 5.1895536", \
+					  "4.9850441, 4.9877082, 4.9863716, 5.0847127, 5.3542952, 5.3430230, 5.1901613");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02723627, 0.07418144, 0.2020426, 0.5502886, 1.498781, 4.08212");
+					values("3.6842937, 3.6757389, 3.6472643, 3.6546715, 3.7324548, 3.7521088, 3.7321495", \
+					  "3.6829525, 3.6717583, 3.6380140, 3.6559662, 3.7160709, 3.7458019, 3.7230263", \
+					  "3.6701134, 3.6695384, 3.6504811, 3.6447613, 3.7135054, 3.7538746, 3.7317286", \
+					  "3.6858005, 3.6683817, 3.6365013, 3.6601578, 3.7130816, 3.7468276, 3.7158532", \
+					  "3.6887784, 3.6662608, 3.6398076, 3.6440213, 3.7215079, 3.7556052, 3.7270998", \
+					  "3.6878177, 3.6691621, 3.6374613, 3.6572007, 3.7091514, 3.7405815, 3.7205966", \
+					  "3.6809681, 3.6715850, 3.6098253, 3.6488358, 3.7137153, 3.7564498, 3.7313817");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("3.4189851, 3.4379843, 3.4848742, 3.5984553, 3.8489678, 4.4348862, 5.9861955", \
+					  "5.5780895, 5.5972595, 5.6447185, 5.7573422, 6.0074865, 6.5949104, 8.1390423", \
+					  "7.2400161, 7.2592323, 7.3066415, 7.4196991, 7.6711091, 8.2568965, 9.7990949", \
+					  "7.6005611, 7.6194633, 7.6671318, 7.7804554, 8.0306712, 8.6173939, 10.1572980", \
+					  "9.7293293, 9.7485673, 9.7961985, 9.9088631, 10.1602010, 10.7459420, 12.2888540", \
+					  "11.4197440, 11.4444340, 11.4865290, 11.5998450, 11.8500010, 12.4362910, 13.9796300", \
+					  "12.6933670, 12.7199600, 12.7615300, 12.8742310, 13.1254920, 13.7114060, 15.2544410", \
+					  "14.4589950, 14.4935880, 14.5255510, 14.6388490, 14.8890690, 15.4749970, 17.0201910", \
+					  "37.2256750, 37.2256756, 37.2256794, 37.4053960, 37.6048740, 38.1694180, 39.7943400", \
+					  "50.3586190, 50.6023990, 50.6063350, 50.6063366, 51.0036240, 51.4381820, 52.9562920", \
+					  "103.7301200, 103.7301217, 103.8267100, 103.9083300, 104.1111900, 104.7480100, 106.2526800", \
+					  "282.1932700, 282.2925800, 282.2925873, 282.4834000, 282.6896000, 283.2231300, 284.8681200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("0.1955550, 0.2126646, 0.2592456, 0.3727667, 0.6557219, 1.4579247, 3.7486259", \
+					  "0.1955819, 0.2119907, 0.2597987, 0.3718576, 0.6554748, 1.4592568, 3.7497578", \
+					  "0.1954815, 0.2122697, 0.2593390, 0.3724305, 0.6560655, 1.4563112, 3.7376870", \
+					  "0.1982491, 0.2151525, 0.2595143, 0.3723035, 0.6560109, 1.4582693, 3.7385606", \
+					  "0.1949985, 0.2127848, 0.2602722, 0.3718633, 0.6569466, 1.4579912, 3.7513166", \
+					  "0.1981003, 0.2121190, 0.2594850, 0.3720302, 0.6573372, 1.4584495, 3.7479877", \
+					  "0.1968076, 0.2128549, 0.2603078, 0.3718714, 0.6569970, 1.4571192, 3.7476431", \
+					  "0.1982593, 0.2118304, 0.2595163, 0.3724354, 0.6559990, 1.4604929, 3.7521803", \
+					  "0.1952877, 0.2120627, 0.2592885, 0.3724634, 0.6569500, 1.4583980, 3.7497059", \
+					  "0.1954792, 0.2132750, 0.2597931, 0.3724496, 0.6560045, 1.4582939, 3.7481662", \
+					  "0.1955835, 0.2123474, 0.2593286, 0.3724480, 0.6554229, 1.4574385, 3.7478518", \
+					  "0.1951417, 0.2128469, 0.2591832, 0.3726594, 0.6559304, 1.4579309, 3.7504848");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("2.4462135, 2.4642253, 2.5095379, 2.6107996, 2.8255003, 3.3033976, 4.5096211", \
+					  "4.4698374, 4.4877555, 4.5323616, 4.6334891, 4.8492321, 5.3263509, 6.5344121", \
+					  "5.9471854, 5.9651821, 6.0095737, 6.1109917, 6.3267493, 6.8037043, 8.0121412", \
+					  "6.2502798, 6.2681318, 6.3121259, 6.4138757, 6.6291896, 7.1068400, 8.3138900", \
+					  "7.9540516, 7.9718392, 8.0162490, 8.1176106, 8.3336138, 8.8105052, 10.0164290", \
+					  "9.2381454, 9.2558823, 9.3003019, 9.4017043, 9.6174224, 10.0944020, 11.3028240", \
+					  "10.1546680, 10.1724090, 10.2167630, 10.3182480, 10.5339070, 11.0108690, 12.2193680", \
+					  "11.3861550, 11.4039330, 11.4481420, 11.5497170, 11.7653390, 12.2422840, 13.4508160", \
+					  "24.6280440, 24.6498630, 24.6908880, 24.7923780, 24.9960430, 25.4831920, 26.6933550", \
+					  "31.4152860, 31.4254360, 31.4774770, 31.5755460, 31.7937680, 32.2714730, 33.4802340", \
+					  "56.1544020, 56.1544056, 56.2034720, 56.3008020, 56.4847260, 56.9521710, 58.2191970", \
+					  "132.3064000, 132.3319200, 132.3699300, 132.4180100, 132.6330600, 133.1768700, 134.3795000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272382, 0.0741918, 0.202085, 0.550442, 1.4993, 4.08383");
+					values("0.1597063, 0.1741106, 0.2111846, 0.2986607, 0.5096386, 1.0740577, 2.6991520", \
+					  "0.1594085, 0.1737715, 0.2110081, 0.2985108, 0.5098765, 1.0718759, 2.6993958", \
+					  "0.1603089, 0.1741148, 0.2120475, 0.2982840, 0.5095762, 1.0745210, 2.6970406", \
+					  "0.1604871, 0.1745838, 0.2118817, 0.2988863, 0.5089483, 1.0746463, 2.6957299", \
+					  "0.1602202, 0.1750326, 0.2115756, 0.2987830, 0.5091224, 1.0722726, 2.6977098", \
+					  "0.1603463, 0.1741694, 0.2120521, 0.2982590, 0.5097306, 1.0745101, 2.6928386", \
+					  "0.1603428, 0.1741655, 0.2120520, 0.2982609, 0.5097141, 1.0745127, 2.6937512", \
+					  "0.1603382, 0.1741577, 0.2120518, 0.2982646, 0.5097005, 1.0745129, 2.6935632", \
+					  "0.1601089, 0.1750829, 0.2115938, 0.2987514, 0.5091875, 1.0744491, 2.6947466", \
+					  "0.1598664, 0.1747716, 0.2115984, 0.2987537, 0.5091221, 1.0745240, 2.6975233", \
+					  "0.1603399, 0.1740895, 0.2120012, 0.2982868, 0.5095666, 1.0745336, 2.6938713", \
+					  "0.1598824, 0.1751188, 0.2110148, 0.2983935, 0.5091783, 1.0745517, 2.6929150");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.8482961, 1.8677238, 1.9152067, 2.0277917, 2.2772801, 2.8635716, 4.4092663", \
+					  "2.1022242, 2.1214130, 2.1690909, 2.2817999, 2.5313818, 3.1187215, 4.6579283", \
+					  "1.9536026, 1.9726472, 2.0203914, 2.1331084, 2.3838909, 2.9700007, 4.5186130", \
+					  "1.9162480, 1.9354642, 1.9829600, 2.0958337, 2.3466586, 2.9327518, 4.4758304", \
+					  "1.6364837, 1.6555747, 1.7055621, 1.8160777, 2.0715445, 2.6525251, 4.1976920", \
+					  "1.3122493, 1.3312647, 1.3839420, 1.4917384, 1.7411017, 2.3264475, 3.8718948", \
+					  "1.0186154, 1.0378577, 1.0764936, 1.1982778, 1.4428084, 2.0272211, 3.5782708", \
+					  "0.5326876, 0.5502500, 0.5977327, 0.7237337, 0.9613923, 1.5566878, 3.0909286", \
+					  "-8.1062277, -8.0931821, -8.0387936, -7.9272757, -7.6755651, -7.0902031, -5.5456075", \
+					  "-14.4959940, -14.4796260, -14.4398880, -14.3136470, -14.0765800, -13.4801260, -11.9336560", \
+					  "-44.5532110, -44.5532093, -44.4951350, -44.3632370, -44.1409860, -43.5363280, -41.9933780", \
+					  "-166.1155700, -166.1155624, -166.0548900, -165.9413200, -165.7235900, -165.1242500, -163.5616500");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1959194, 0.2136364, 0.2583008, 0.3706364, 0.6569070, 1.4587090, 3.7486059", \
+					  "0.1973301, 0.2140806, 0.2586630, 0.3723721, 0.6556294, 1.4592431, 3.7374175", \
+					  "0.1970065, 0.2143766, 0.2586116, 0.3713391, 0.6566414, 1.4588393, 3.7467047", \
+					  "0.1971662, 0.2141326, 0.2589706, 0.3717417, 0.6564563, 1.4589518, 3.7428873", \
+					  "0.1972853, 0.2139674, 0.2587175, 0.3718319, 0.6566248, 1.4575692, 3.7439372", \
+					  "0.1970035, 0.2143559, 0.2589174, 0.3713771, 0.6563113, 1.4600077, 3.7498022", \
+					  "0.1971702, 0.2141548, 0.2589158, 0.3715289, 0.6566231, 1.4591706, 3.7498564", \
+					  "0.1969079, 0.2141339, 0.2586032, 0.3713815, 0.6575228, 1.4589272, 3.7496894", \
+					  "0.1970050, 0.2143809, 0.2596064, 0.3713400, 0.6566354, 1.4588192, 3.7495762", \
+					  "0.1969429, 0.2137076, 0.2586138, 0.3714103, 0.6566127, 1.4588801, 3.7501944", \
+					  "0.1970263, 0.2140848, 0.2586073, 0.3719036, 0.6566257, 1.4588785, 3.7496233", \
+					  "0.1970020, 0.2143594, 0.2586150, 0.3713485, 0.6566148, 1.4589624, 3.7496952");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.9470563, 1.9653326, 2.0120761, 2.1169750, 2.3383495, 2.8227525, 4.0338359", \
+					  "3.5305057, 3.5490901, 3.5955157, 3.7001595, 3.9220720, 4.4063979, 5.6169802", \
+					  "4.8276090, 4.8479127, 4.8925850, 4.9973483, 5.2191305, 5.7036793, 6.9129933", \
+					  "5.1263056, 5.1449276, 5.1912945, 5.2959597, 5.5177916, 5.9997762, 7.2127722", \
+					  "6.9148594, 6.9341497, 6.9797212, 7.0844144, 7.3062911, 7.7915168, 8.9997068", \
+					  "8.4019272, 8.4199367, 8.4669614, 8.5716900, 8.7936183, 9.2773478, 10.4877590", \
+					  "9.5351949, 9.5470476, 9.6008570, 9.7056203, 9.9275077, 10.4039630, 11.6216610", \
+					  "11.1398690, 11.1615530, 11.2032780, 11.3080130, 11.5299310, 12.0202760, 13.2236820", \
+					  "33.6925260, 33.7100090, 33.7527340, 33.8592830, 34.0811110, 34.5671720, 35.7738270", \
+					  "48.3931220, 48.4123820, 48.4645530, 48.5629990, 48.7848660, 49.2696480, 50.4794500", \
+					  "113.3113300, 113.3301200, 113.3734100, 113.4805600, 113.7027100, 114.1918500, 115.3970900", \
+					  "361.3816100, 361.4004800, 361.4416900, 361.5524800, 361.7684600, 362.2552900, 363.4683300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1645753, 0.1798419, 0.2181413, 0.3060940, 0.5175130, 1.0825136, 2.6935834", \
+					  "0.1650947, 0.1798488, 0.2177133, 0.3082881, 0.5178197, 1.0810371, 2.6937295", \
+					  "0.1647919, 0.1800001, 0.2180078, 0.3081703, 0.5178190, 1.0809929, 2.6977907", \
+					  "0.1651747, 0.1798904, 0.2179619, 0.3075387, 0.5178530, 1.0819480, 2.6926812", \
+					  "0.1651708, 0.1798936, 0.2179612, 0.3085015, 0.5178215, 1.0813378, 2.6917302", \
+					  "0.1652119, 0.1798968, 0.2180212, 0.3085154, 0.5178748, 1.0821791, 2.6909970", \
+					  "0.1647955, 0.1798750, 0.2180019, 0.3086340, 0.5179017, 1.0819937, 2.6973397", \
+					  "0.1651212, 0.1803823, 0.2180133, 0.3085457, 0.5178692, 1.0807767, 2.6932545", \
+					  "0.1648347, 0.1799311, 0.2181851, 0.3080909, 0.5181567, 1.0820531, 2.6926994", \
+					  "0.1648224, 0.1799517, 0.2177542, 0.3083317, 0.5180227, 1.0821697, 2.6937603", \
+					  "0.1648832, 0.1804759, 0.2181387, 0.3082356, 0.5180540, 1.0821276, 2.6905571", \
+					  "0.1649667, 0.1802693, 0.2181877, 0.3088528, 0.5184865, 1.0827218, 2.6925684");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.8617798, 1.8810495, 1.9288016, 2.0413726, 2.2912061, 2.8783700, 4.4287147", \
+					  "3.0624252, 3.0814531, 3.1293002, 3.2422856, 3.4926986, 4.0787915, 5.6206479", \
+					  "3.9553960, 3.9745278, 4.0224317, 4.1332249, 4.3852579, 4.9709117, 6.5180439", \
+					  "4.1489745, 4.1680948, 4.2158872, 4.3306665, 4.5793018, 5.1653553, 6.7162351", \
+					  "5.3223665, 5.3413998, 5.3892379, 5.4985314, 5.7526566, 6.3387482, 7.8835125", \
+					  "6.2774861, 6.2961654, 6.3443686, 6.4476535, 6.7077837, 7.2938695, 8.8368157", \
+					  "6.9885342, 7.0304931, 7.0553150, 7.1887398, 7.4188646, 8.0049380, 9.5645589", \
+					  "8.0351699, 8.0533047, 8.1020444, 8.2134646, 8.4654559, 9.0515444, 10.5960360", \
+					  "22.1443360, 22.1881060, 22.2482690, 22.3885960, 22.6464840, 23.2323010, 24.7677190", \
+					  "31.3387220, 31.3659140, 31.4055770, 31.5354710, 31.7690270, 32.3551390, 33.9139490", \
+					  "71.5331300, 71.5559310, 71.6214640, 71.7166560, 72.0114810, 72.5536180, 74.1418160", \
+					  "224.9346400, 225.0131200, 225.0131302, 225.0935200, 225.3724300, 225.7939000, 227.3712000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1962088, 0.2131469, 0.2583355, 0.3709842, 0.6570502, 1.4559163, 3.7488022", \
+					  "0.1970199, 0.2143850, 0.2586477, 0.3713337, 0.6566873, 1.4567623, 3.7406247", \
+					  "0.1972016, 0.2140406, 0.2593221, 0.3713377, 0.6575565, 1.4587109, 3.7449022", \
+					  "0.1970927, 0.2143239, 0.2588691, 0.3716834, 0.6567051, 1.4598375, 3.7438235", \
+					  "0.1969486, 0.2143743, 0.2586552, 0.3718322, 0.6566581, 1.4588286, 3.7400945", \
+					  "0.1969446, 0.2139635, 0.2586555, 0.3718519, 0.6566667, 1.4588211, 3.7496526", \
+					  "0.1970592, 0.2143723, 0.2587823, 0.3722266, 0.6566431, 1.4588295, 3.7367558", \
+					  "0.1969967, 0.2143811, 0.2586585, 0.3713384, 0.6566810, 1.4588072, 3.7495393", \
+					  "0.1970125, 0.2143779, 0.2595980, 0.3718818, 0.6573603, 1.4575879, 3.7432932", \
+					  "0.1970040, 0.2139549, 0.2586784, 0.3713428, 0.6566485, 1.4588547, 3.7496117", \
+					  "0.1969657, 0.2143784, 0.2595896, 0.3713429, 0.6575107, 1.4588452, 3.7495940", \
+					  "0.1970679, 0.2143602, 0.2593117, 0.3719849, 0.6573710, 1.4588375, 3.7489545");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("1.5533144, 1.5718138, 1.6184135, 1.7233652, 1.9449475, 2.4294018, 3.6397485", \
+					  "2.7808928, 2.7998137, 2.8458860, 2.9506502, 3.1725331, 3.6569929, 4.8659894", \
+					  "3.7337191, 3.7475165, 3.7987586, 3.9034616, 4.1254249, 4.6097850, 5.8177090", \
+					  "3.9486241, 3.9660888, 4.0137338, 4.1184105, 4.3389710, 4.8247761, 6.0497292", \
+					  "5.2240146, 5.2426583, 5.2890270, 5.4111164, 5.6066822, 6.1002305, 7.3092217", \
+					  "6.2823085, 6.3007617, 6.3472787, 6.4493060, 6.6731074, 7.1634294, 8.3680316", \
+					  "7.0867032, 7.1040058, 7.1516779, 7.2568058, 7.4758901, 7.9500992, 9.1718606", \
+					  "8.2235436, 8.2425862, 8.2885609, 8.3959515, 8.6042018, 9.0994074, 10.2947720", \
+					  "24.0036030, 24.0320620, 24.0462100, 24.1870040, 24.3975470, 24.8875230, 26.1005140", \
+					  "34.1993920, 34.2478010, 34.2627970, 34.4225270, 34.6400030, 35.1292970, 36.3173420", \
+					  "79.2477980, 79.2683040, 79.2766670, 79.4348620, 79.5501190, 80.1437570, 81.3091390", \
+					  "250.6870700, 250.6991400, 250.7520100, 250.8297400, 251.0619800, 251.5338600, 252.7573600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0272363, 0.0741814, 0.202043, 0.550289, 1.49878, 4.08212");
+					values("0.1646162, 0.1795851, 0.2176863, 0.3063402, 0.5173905, 1.0822750, 2.6973386", \
+					  "0.1648404, 0.1798582, 0.2179914, 0.3086854, 0.5179308, 1.0813331, 2.6931821", \
+					  "0.1652076, 0.1798186, 0.2179783, 0.3085216, 0.5180640, 1.0811789, 2.6995151", \
+					  "0.1652551, 0.1803980, 0.2179102, 0.3083731, 0.5178966, 1.0825467, 2.6956639", \
+					  "0.1652585, 0.1799264, 0.2180791, 0.3085904, 0.5178908, 1.0821923, 2.6986586", \
+					  "0.1651391, 0.1799330, 0.2179931, 0.3083810, 0.5179290, 1.0821686, 2.6991431", \
+					  "0.1647106, 0.1799384, 0.2184545, 0.3087714, 0.5179343, 1.0822199, 2.6986955", \
+					  "0.1652593, 0.1799425, 0.2180834, 0.3084605, 0.5179360, 1.0820997, 2.6990783", \
+					  "0.1652566, 0.1803548, 0.2180949, 0.3086817, 0.5181270, 1.0822099, 2.6993805", \
+					  "0.1647130, 0.1799476, 0.2184425, 0.3085013, 0.5179442, 1.0810807, 2.6986822", \
+					  "0.1652850, 0.1799850, 0.2180880, 0.3086013, 0.5179852, 1.0821991, 2.6989917", \
+					  "0.1649342, 0.1805651, 0.2181083, 0.3087228, 0.5180252, 1.0818002, 2.7000824");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("19.7117020, 19.7479900, 19.8242740, 19.9792380, 20.2891590, 20.9349960, 22.3499870", \
+					  "19.8100170, 19.8467200, 19.9229770, 20.0747380, 20.3845140, 21.0328790, 22.4491340", \
+					  "19.9050070, 19.9405670, 20.0168130, 20.1717540, 20.4818850, 21.1276120, 22.5426680", \
+					  "20.0111540, 20.0491160, 20.1253130, 20.2802040, 20.5901380, 21.2360260, 22.6505890", \
+					  "20.1083150, 20.1440230, 20.2202570, 20.3751770, 20.6851120, 21.3310450, 22.7461040", \
+					  "20.2157260, 20.2512320, 20.3274360, 20.4824730, 20.7924020, 21.4383110, 22.8529210", \
+					  "20.3186800, 20.3540740, 20.4302780, 20.5851690, 20.8951350, 21.5409880, 22.9555520", \
+					  "20.4217850, 20.4591210, 20.5353490, 20.6902690, 21.0002080, 21.6460700, 23.0611870", \
+					  "20.5221000, 20.5554650, 20.6317420, 20.7867090, 21.0966360, 21.7425530, 23.1576960", \
+					  "20.6256750, 20.6629260, 20.7391860, 20.8914890, 21.2039610, 21.8496010, 23.2626980", \
+					  "20.7205100, 20.7566460, 20.8328470, 20.9872390, 21.2943440, 21.9431070, 23.3582170", \
+					  "20.8174600, 20.8548750, 20.9329600, 21.0813390, 21.3913310, 22.0420220, 23.4571780");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4200498, 0.4529484, 0.5251278, 0.6790626, 1.0291470, 1.8421012, 3.7293959", \
+					  "0.4172782, 0.4529352, 0.5251082, 0.6788649, 1.0291471, 1.8422085, 3.7295620", \
+					  "0.4200567, 0.4530295, 0.5250550, 0.6789032, 1.0291835, 1.8421187, 3.7323957", \
+					  "0.4200578, 0.4532228, 0.5248732, 0.6785916, 1.0296308, 1.8423063, 3.7199408", \
+					  "0.4200643, 0.4531083, 0.5249829, 0.6787820, 1.0291997, 1.8423019, 3.7308836", \
+					  "0.4201170, 0.4529675, 0.5250096, 0.6790792, 1.0290975, 1.8421029, 3.7264343", \
+					  "0.4200601, 0.4532210, 0.5248729, 0.6785919, 1.0296335, 1.8423069, 3.7199068", \
+					  "0.4200642, 0.4531712, 0.5249249, 0.6786732, 1.0293307, 1.8423101, 3.7313450", \
+					  "0.4201116, 0.4529477, 0.5251275, 0.6790622, 1.0291471, 1.8421009, 3.7294825", \
+					  "0.4201669, 0.4531636, 0.5246720, 0.6773505, 1.0298278, 1.8420981, 3.7291099", \
+					  "0.4201238, 0.4492913, 0.5218485, 0.6780007, 1.0290975, 1.8418246, 3.7312722", \
+					  "0.4201419, 0.4531530, 0.5250170, 0.6788443, 1.0291896, 1.8420975, 3.7322434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("18.6871980, 18.7212290, 18.7894940, 18.9244850, 19.1919960, 19.6751010, 20.4743640", \
+					  "18.7751660, 18.8044980, 18.8762430, 19.0071000, 19.2791400, 19.7573390, 20.5617460", \
+					  "18.8424510, 18.8736690, 18.9452100, 19.0779910, 19.3469080, 19.8290100, 20.6307540", \
+					  "18.9062950, 18.9410780, 19.0091040, 19.1461820, 19.4106580, 19.8960630, 20.6947150", \
+					  "18.9680680, 18.9997650, 19.0701960, 19.2030960, 19.4725500, 19.9533170, 20.7544430", \
+					  "19.0262810, 19.0591130, 19.1291750, 19.2628310, 19.5307770, 20.0131360, 20.8147140", \
+					  "19.0634440, 19.0978580, 19.1651530, 19.3016220, 19.5676350, 20.0515070, 20.8500110", \
+					  "19.1166580, 19.1482440, 19.2190990, 19.3492480, 19.6205580, 20.0990890, 20.9044850", \
+					  "19.1502070, 19.1841140, 19.2532030, 19.3953210, 19.6546480, 20.1456150, 20.9386840", \
+					  "19.2004330, 19.2288900, 19.3023800, 19.4339600, 19.7048010, 20.1837320, 20.9868210", \
+					  "19.2434580, 19.2741400, 19.3464590, 19.4837760, 19.7478940, 20.2341130, 21.0319250", \
+					  "19.2787870, 19.3121360, 19.3817850, 19.5201950, 19.7832290, 20.2700720, 21.0672650");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4804170, 0.5019549, 0.5508025, 0.6621381, 0.9006913, 1.3049970, 2.0055955", \
+					  "0.4768005, 0.5021137, 0.5490564, 0.6646866, 0.9023517, 1.3035829, 2.0115358", \
+					  "0.4804821, 0.5025371, 0.5511553, 0.6647458, 0.9022900, 1.3030903, 2.0013031", \
+					  "0.4803619, 0.5017618, 0.5497886, 0.6628396, 0.9010752, 1.3050586, 2.0045097", \
+					  "0.4766956, 0.5024637, 0.5515417, 0.6646403, 0.9036484, 1.3038127, 2.0097393", \
+					  "0.4805230, 0.5012646, 0.5510536, 0.6646296, 0.9016126, 1.3031647, 2.0021187", \
+					  "0.4783475, 0.5020228, 0.5505798, 0.6626954, 0.9030012, 1.3050325, 2.0115445", \
+					  "0.4781941, 0.5025282, 0.5507863, 0.6623032, 0.9007059, 1.3049186, 2.0094526", \
+					  "0.4805151, 0.5024395, 0.5506948, 0.6646216, 0.9008241, 1.3032648, 2.0038264", \
+					  "0.4765897, 0.5025304, 0.5516747, 0.6642417, 0.9034085, 1.3050283, 2.0111992", \
+					  "0.4805217, 0.5021517, 0.5506903, 0.6645453, 0.9008329, 1.3019003, 2.0038242", \
+					  "0.4805165, 0.5025293, 0.5506927, 0.6628431, 0.9008312, 1.3050446, 2.0038287");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.163007;
+			max_capacitance : 551.163000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.7287541, 21.7239251, 21.7536031, 21.8244681, 21.8773231, 18.6666261, -36.3452999", \
+					  "21.7276771, 21.7017751, 21.6988951, 21.7812801, 21.9992661, 18.6068471, -36.4515289", \
+					  "21.6653631, 21.6792291, 21.6998771, 21.7736651, 21.8538931, 18.5851361, -36.5543439", \
+					  "21.6477881, 21.6786261, 21.6651401, 21.7349131, 21.7917861, 18.7237361, -36.9685299", \
+					  "21.6434742, 21.6342872, 21.6416542, 21.7275212, 21.7032272, 18.5025922, -36.8892128", \
+					  "21.6091622, 21.6097872, 21.6279042, 21.7023032, 21.7803472, 18.4312292, -35.6947798", \
+					  "21.5789743, 21.5631103, 21.6282493, 21.6778833, 21.7310593, 18.2908063, -36.3082237");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.3332079, 14.3628809, 14.5816029, 15.7022669, 19.9152369, 28.9023159, 31.9836559", \
+					  "14.2222561, 14.2384761, 14.4725901, 15.5891971, 19.7941791, 28.8005871, 31.8831081", \
+					  "14.1142354, 14.1523884, 14.3648084, 15.4799334, 19.6888814, 28.6922384, 31.7778864", \
+					  "14.1086613, 14.1451313, 14.3609143, 15.4687193, 19.5959593, 28.6851593, 31.7562183", \
+					  "14.1042766, 14.1354826, 14.3544156, 15.4751456, 19.6773086, 28.6775756, 31.7690196", \
+					  "14.1027884, 14.1348934, 14.3515654, 15.4703794, 19.6726804, 28.6745544, 31.7447604", \
+					  "14.0971712, 14.1299102, 14.3454282, 15.4642002, 19.6688022, 28.6648512, 31.7549312");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7477090, 0.7476010, 0.7475523, 0.7473421, 0.7493293, 0.7474306, 0.7477519", \
+					  "1.4136890, 1.4141301, 1.4137546, 1.4138814, 1.4142852, 1.4177624, 1.4155133", \
+					  "2.9188869, 2.9183878, 2.9194811, 2.9184647, 2.9063030, 2.9014410, 2.9037031", \
+					  "4.9446075, 4.9535686, 5.0044485, 5.2273176, 5.5793489, 5.7308878, 5.7506511", \
+					  "5.9619072, 5.9753661, 6.0522104, 6.3731469, 6.8751034, 7.0813972, 7.1222746", \
+					  "6.1796296, 6.1934870, 6.2724980, 6.6002593, 7.1109831, 7.3194952, 7.3624383", \
+					  "6.2031726, 6.2167936, 6.2962808, 6.6242641, 7.1357461, 7.3471893, 7.3876162");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.2024544, 19.2112044, 19.2282044, 19.3243014, 19.7011314, 17.7991144, -2.7514158", \
+					  "19.2105872, 19.2056812, 19.2229312, 19.3186242, 19.7113602, 17.1623382, -2.8721128", \
+					  "19.2087160, 19.2197310, 19.2370040, 19.3416580, 19.6773370, 17.3956850, -4.2250487", \
+					  "19.2066280, 19.2038810, 19.2347720, 19.3314460, 19.7588450, 17.7886440, -3.9129256", \
+					  "19.2140657, 19.2200557, 19.2341467, 19.3240407, 19.6992597, 17.8467257, -1.3452976", \
+					  "19.2090127, 19.2080347, 19.2366077, 19.3223477, 19.7165797, 17.3882407, -1.1919276", \
+					  "19.2010736, 19.2163836, 19.2345306, 19.3230366, 19.6845986, 17.8410986, -1.4090319");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.8815609, 12.8688609, 12.9173999, 12.8226739, 13.6224279, 11.6387169, 13.7232549", \
+					  "12.7172851, 12.7436581, 12.8275181, 13.2390421, 13.2856051, 13.7542091, 13.6812531", \
+					  "12.5847114, 12.6379644, 12.7043644, 12.9817004, 13.4610584, 19.7908064, 13.4392924", \
+					  "12.6411293, 12.6572053, 12.7016973, 12.9895543, 13.4399163, 13.7878653, 13.6614713", \
+					  "12.6295386, 12.6360886, 12.6661356, 12.9617376, 13.4452516, 13.8643556, 13.6905306", \
+					  "12.6391904, 12.6566834, 12.7107614, 12.9310244, 13.3459194, 18.2986164, 13.4722474", \
+					  "12.5749502, 12.6732882, 12.6919802, 12.5624522, 13.6910602, 10.9478692, 13.7562492");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.3757921, 21.3812101, 21.4044011, 21.4925741, 21.8399621, 19.3297061, -0.1863904", \
+					  "21.3377581, 21.3491331, 21.3755111, 21.4665581, 21.8262291, 19.9921491, 0.7058135", \
+					  "21.3160831, 21.3210661, 21.3490171, 21.4269511, 21.8014171, 19.4298131, 0.5321973", \
+					  "21.2813121, 21.3101151, 21.3244631, 21.4051821, 21.7805901, 20.1796691, 0.6662268", \
+					  "21.2774632, 21.2784122, 21.3030092, 21.3786132, 21.7575982, 19.9319332, 0.7111265", \
+					  "21.2511852, 21.2532662, 21.2835322, 21.3575742, 21.7220362, 20.0040232, -1.5665158", \
+					  "21.2222723, 21.2389993, 21.2439003, 21.3501053, 21.7191813, 19.4756373, -0.9653961");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7480610, 0.7475271, 0.7478405, 0.7475363, 0.7475750, 0.7479284, 0.7476249", \
+					  "1.4182099, 1.4155598, 1.4195920, 1.4155683, 1.4198134, 1.4197736, 1.4182624", \
+					  "2.7869217, 2.7887133, 2.7862367, 2.7862133, 2.7822297, 2.7827350, 2.7814307", \
+					  "3.7968984, 3.7988695, 3.7976734, 3.8012105, 3.8006944, 3.8011762, 3.8012729", \
+					  "3.9332440, 3.9339348, 3.9356414, 3.9415151, 3.9464695, 3.9476509, 3.9480325", \
+					  "3.9360892, 3.9396935, 3.9387229, 3.9499141, 3.9518843, 3.9542188, 3.9543923", \
+					  "3.9423734, 3.9414618, 3.9466356, 3.9528741, 3.9632556, 3.9651265, 3.9655216");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.5411824, 18.5899164, 18.6835344, 18.4485344, 18.0008444, 12.6687504, -44.6173026", \
+					  "18.5413812, 18.5671552, 18.5132182, 18.4073352, 17.9213162, 13.8494592, -43.4073848", \
+					  "18.5835790, 18.5688090, 18.6080040, 18.4165350, 18.0394950, 10.8155360, -43.0783970", \
+					  "18.5431290, 18.5584130, 18.5374820, 18.4200760, 17.8088390, 13.2599900, -43.5466490", \
+					  "18.5367887, 18.5516077, 18.5360717, 18.4387487, 17.9303167, 13.7592427, -43.5299903", \
+					  "18.5696387, 18.5664017, 18.5272237, 18.4513277, 17.9338887, 13.1486117, -43.6486783", \
+					  "18.5611776, 18.5569676, 18.5335986, 18.4451246, 17.9177116, 14.0496736, -43.4674534");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9791079, 15.0858799, 15.8169219, 19.2618149, 26.2984579, 27.2251399, 28.5898659", \
+					  "14.8596921, 15.0001371, 15.7232791, 19.1873331, 26.1774241, 26.9881781, 28.5631231", \
+					  "14.7136494, 14.8368594, 15.5530224, 19.1115654, 26.0775714, 26.9967314, 28.4149604", \
+					  "14.6983443, 14.8109673, 15.6004023, 19.0228903, 26.0673333, 27.0128163, 28.4060643", \
+					  "14.7246036, 14.8297126, 15.5487236, 19.0348136, 26.0755516, 26.9882976, 28.4419516", \
+					  "14.6989944, 14.8316274, 15.5488974, 19.0991394, 26.0667044, 26.9800174, 28.4576464", \
+					  "14.7127232, 14.8278812, 15.6053112, 19.0554492, 26.0636522, 26.9776152, 28.6430962");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7558923, 0.7559933, 0.7559567, 0.7559581, 0.7558853, 0.7561256, 0.7559504", \
+					  "2.0848674, 2.0849045, 2.0848002, 2.0848242, 2.0848982, 2.0848758, 2.0848776", \
+					  "3.1872159, 3.1872305, 3.1872483, 3.1873176, 3.1869846, 3.1861534, 3.1858815", \
+					  "3.9043082, 3.9043303, 3.9041585, 3.9034920, 3.9016419, 3.8998074, 3.8990966", \
+					  "4.9836662, 4.9836094, 4.9832290, 4.9810632, 4.9746261, 4.9677314, 4.9654021", \
+					  "5.7050625, 5.7036768, 5.6961586, 5.6723881, 5.6422338, 5.6258051, 5.6212424", \
+					  "5.7822940, 5.7802631, 5.7711649, 5.7384107, 5.6996591, 5.6803213, 5.6755231");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.0432389, 13.0730269, 13.2275869, 13.9979079, 17.9171829, 28.4056109, 30.3855699", \
+					  "12.9187681, 12.9632131, 13.1170781, 13.8792381, 17.8047171, 28.2850621, 30.2622171", \
+					  "12.8248154, 12.8519944, 13.0203654, 13.7737074, 17.7012834, 28.1459514, 30.1493724", \
+					  "12.8184503, 12.8458243, 13.0009193, 13.7621593, 17.6930993, 28.1193743, 30.1507703", \
+					  "12.8150276, 12.8452076, 13.0041336, 13.7658176, 17.6859556, 28.1802416, 30.2529236", \
+					  "12.8109624, 12.8400394, 13.0040804, 13.7618614, 17.6888194, 28.1611684, 30.1422674", \
+					  "12.8045032, 12.8329062, 12.9994732, 13.7577892, 17.6751832, 28.1818122, 30.2128282");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7557867, 0.7559534, 0.7558206, 0.7557895, 0.7559291, 0.7558620, 0.7558537", \
+					  "2.1072505, 2.1082174, 2.1081942, 2.1069526, 2.1083688, 2.1069517, 2.1089703", \
+					  "3.3402778, 3.3402990, 3.3403549, 3.3403860, 3.3383977, 3.3357438, 3.3340432", \
+					  "4.0904041, 4.0906548, 4.0905476, 4.0900446, 4.0895280, 4.0871081, 4.0855863", \
+					  "5.1696186, 5.1695284, 5.1690175, 5.1666535, 5.1607125, 5.1542186, 5.1511767", \
+					  "5.8921493, 5.8904016, 5.8833621, 5.8583049, 5.8283371, 5.8124275, 5.8072728", \
+					  "5.9670325, 5.9651928, 5.9550213, 5.9228617, 5.8847082, 5.8661778, 5.8603722");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.5941494, 18.5342714, 18.5613174, 18.4498354, 18.1978234, 14.1685734, -42.0431156", \
+					  "18.4990542, 18.5670432, 18.5469942, 18.4032972, 17.6992582, 14.1809542, -41.0068118", \
+					  "18.5964190, 18.5683650, 18.5883160, 18.4727260, 18.0420380, 13.8623540, -40.6742860", \
+					  "18.5980190, 18.5738660, 18.5358390, 18.3539880, 18.2212920, 14.0666130, -41.4250410", \
+					  "18.5611737, 18.5639297, 18.5365297, 18.4389397, 17.9309237, 14.0799037, -41.4200363", \
+					  "18.5628597, 18.5156147, 18.4931397, 18.4473967, 18.1882047, 14.2683637, -41.5552833", \
+					  "18.5598726, 18.5853816, 18.5859106, 18.4375606, 17.9233196, 14.4711396, -40.9230334");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7475766, 0.7474587, 0.7472565, 0.7475993, 0.7471688, 0.7475966, 0.7476997", \
+					  "1.4155191, 1.4148315, 1.4148426, 1.4155355, 1.4155301, 1.4148157, 1.4136985", \
+					  "2.7888004, 2.7869658, 2.7863243, 2.7861908, 2.7845484, 2.7841009, 2.7841334", \
+					  "3.7988101, 3.7971400, 3.7977801, 3.8012868, 3.8025818, 3.8029287, 3.8031291", \
+					  "3.9335810, 3.9339127, 3.9358803, 3.9415529, 3.9463429, 3.9476986, 3.9480954", \
+					  "3.9391974, 3.9397820, 3.9423719, 3.9499254, 3.9566574, 3.9585812, 3.9591151", \
+					  "3.9409197, 3.9414735, 3.9443042, 3.9528919, 3.9605052, 3.9626250, 3.9632252");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7250008, 0.7251310, 0.7247349, 0.7250678, 0.7251171, 0.7249372, 0.7250967", \
+					  "1.2037681, 1.2035199, 1.2035031, 1.2036022, 1.2027537, 1.2033475, 1.2027501", \
+					  "2.1432272, 2.1433721, 2.1433701, 2.1434521, 2.1424006, 2.1433710, 2.1435612", \
+					  "3.7825115, 3.7825280, 3.7825167, 3.7825132, 3.7824815, 3.7822617, 3.7825674", \
+					  "4.1328647, 4.1336254, 4.1331869, 4.1318867, 4.1308398, 4.1293102, 4.1304794", \
+					  "4.2135725, 4.2133405, 4.2120447, 4.2084039, 4.2054978, 4.2049340, 4.2044774", \
+					  "4.2263117, 4.2259465, 4.2243779, 4.2202687, 4.2153655, 4.2139370, 4.2138602");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.6483072, 8.6566312, 8.6033622, 8.4324139, 8.9667504, 8.5733845, 8.3385931", \
+					  "8.5693995, 8.6789160, 8.6068860, 8.6210881, 8.9032843, 8.3999092, 8.6923844", \
+					  "8.5785593, 8.5915212, 8.6033789, 8.6305637, 8.3709745, 5.6792097, 8.3186656", \
+					  "8.5758673, 8.5791009, 8.6287235, 8.5448843, 8.3743619, 5.5869670, 8.1982363", \
+					  "8.5632498, 8.5942484, 8.6100743, 8.6436195, 8.2313365, 10.8150607, 8.6915551", \
+					  "8.5709714, 8.5887211, 8.6045175, 8.6018148, 8.3935664, 8.5276657, 8.5056001", \
+					  "8.6230879, 8.6078483, 8.5936904, 8.6319463, 8.6180451, 5.9116843, 8.3927270");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.7222311, 20.6894901, 20.6782341, 20.6187141, 20.0479411, 16.3271721, -39.3872619", \
+					  "20.6926361, 20.6941521, 20.6576151, 20.5838651, 19.9478741, 16.3239381, -39.3475839", \
+					  "20.6686671, 20.6597201, 20.6468241, 20.5455851, 20.0342891, 16.2409941, -40.0093159", \
+					  "20.6642431, 20.6444381, 20.6052361, 20.5151751, 19.9849461, 16.2228431, -39.8740709", \
+					  "20.6187382, 20.6214762, 20.5970592, 20.5049302, 19.9918652, 16.2677832, -39.0356868", \
+					  "20.6032332, 20.6003012, 20.5741782, 20.5054032, 19.9912832, 16.0783682, -38.9211678", \
+					  "20.5860633, 20.5759173, 20.5734263, 20.4183403, 19.9049293, 15.8680753, -38.1074447");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.4864899, 10.3545759, 10.3738569, 10.4221179, 9.3722132, 5.8731749, 10.4965829", \
+					  "10.2855901, 10.2444701, 10.2897701, 10.2410231, 10.0590611, 9.7331368, 10.2717191", \
+					  "10.1656684, 10.1356604, 10.0649474, 10.1427604, 10.3069474, 4.1572725, 9.7106864", \
+					  "10.0946923, 10.1408033, 10.1299733, 10.2446763, 10.0688353, 9.6184128, 10.0208323", \
+					  "10.2007106, 10.1255936, 10.2074616, 10.1268356, 9.6495816, 5.7126145, 10.2253766", \
+					  "10.1144104, 10.1284164, 10.1093664, 10.1573204, 10.4455674, 7.7137182, 10.3939234", \
+					  "10.0693662, 10.1235992, 10.1201402, 10.0975702, 10.3305182, 11.2258712, 10.2996512");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7469722, 0.7476897, 0.7475143, 0.7477177, 0.7472166, 0.7478218, 0.7465203", \
+					  "1.4182070, 1.4158670, 1.4158668, 1.4152540, 1.4155155, 1.4105148, 1.4154884", \
+					  "2.9224474, 2.9182880, 2.9233972, 2.9182763, 2.9069991, 2.9029687, 2.8984304", \
+					  "4.9562865, 4.9575403, 5.0074561, 5.2332503, 5.5823508, 5.7262227, 5.7544198", \
+					  "5.9753392, 5.9883518, 6.0654347, 6.3861326, 6.8886191, 7.0955811, 7.1365752", \
+					  "6.1913750, 6.2059250, 6.2852665, 6.6153050, 7.1270940, 7.3354121, 7.3747444", \
+					  "6.2126316, 6.2258810, 6.3084712, 6.6387673, 7.1479655, 7.3583679, 7.4012329");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.6227274, 24.6127914, 24.7773764, 25.2348944, 24.9107504, 20.5533474, -0.4316650", \
+					  "24.6235142, 24.6089282, 24.7771722, 25.2337432, 24.9242662, 20.5459252, -0.3805059", \
+					  "24.6244370, 24.6089550, 24.7724890, 25.2363400, 24.9190610, 20.8000330, -1.6166988", \
+					  "24.6099860, 24.5828810, 24.7705570, 25.2345380, 24.9897510, 20.5523170, -0.4554908", \
+					  "24.6245277, 24.6088977, 24.7694537, 25.2318827, 24.9093917, 20.5447707, -0.3644920", \
+					  "24.6208087, 24.6068137, 24.7680437, 25.2375037, 24.9197817, 20.7525787, -1.0621158", \
+					  "24.5917036, 24.6071156, 24.7747696, 25.2307436, 24.9192266, 20.7822826, 0.5363983");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.2013221, 21.1967791, 21.2231471, 21.3268921, 21.6653021, 19.8295601, -0.9241543", \
+					  "21.1726041, 21.1737001, 21.1952541, 21.2720321, 21.6579281, 20.0279241, -0.9724187", \
+					  "21.1452041, 21.1486861, 21.1639261, 21.2554361, 21.6192681, 20.0163241, -0.7822676", \
+					  "21.1185981, 21.1218131, 21.1436251, 21.2454101, 21.6069461, 19.0788051, -1.4687080", \
+					  "21.0885172, 21.0975962, 21.1227712, 21.1935962, 21.5742832, 19.7780892, -1.5274246", \
+					  "21.0736712, 21.0735892, 21.0978942, 21.1966332, 21.5613032, 18.9942562, -0.5479219", \
+					  "21.0469783, 21.0539983, 21.0816583, 21.1756533, 21.5291153, 18.9785783, -1.5712536");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.9793575, 0.9794234, 0.9795503, 0.9795130, 0.9779527, 0.9766595, 0.9763755", \
+					  "1.9882802, 1.9878413, 1.9859264, 1.9765805, 1.9612937, 1.9538429, 1.9521726", \
+					  "3.9706288, 3.9769441, 4.0127631, 4.1596165, 4.3648313, 4.4370027, 4.4516260", \
+					  "5.3382506, 5.3506135, 5.4222563, 5.7270459, 6.2147717, 6.4187192, 6.4553492", \
+					  "6.2699920, 6.2835762, 6.3614848, 6.6868404, 7.1950780, 7.4083145, 7.4438607", \
+					  "7.1514726, 7.1653652, 7.2439299, 7.5715203, 8.0811734, 8.2897808, 8.3310863", \
+					  "7.3948460, 7.4101628, 7.4904571, 7.8143854, 8.3189107, 8.5407445, 8.5712609");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.4864949, 10.3554819, 10.3184169, 10.4306569, 9.5474030, 9.4032396, 9.8026649", \
+					  "10.2373481, 10.2426991, 10.2365711, 10.2853661, 9.9141201, 10.1712331, 10.2672711", \
+					  "10.1648084, 10.1853724, 10.0688334, 10.1427324, 9.8181754, 10.7895294, 10.4420534", \
+					  "10.0951473, 10.1409493, 10.1326473, 10.2435763, 10.1432333, 9.5239311, 10.0470893", \
+					  "10.1562766, 10.1255776, 10.1003936, 10.1189436, 9.9857686, 8.8559760, 10.2374836", \
+					  "10.1135524, 10.1286844, 10.1089174, 10.0494404, 10.4360774, 6.6798208, 10.3585474", \
+					  "10.0796182, 10.1235712, 10.1209632, 10.0982052, 10.4713132, 8.7074844, 10.0027222");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("20.7197441, 20.7178391, 20.7018941, 20.6094921, 20.1484511, 16.1601201, -39.3261409", \
+					  "20.6924151, 20.6936541, 20.6652691, 20.5568551, 20.0225081, 16.1485101, -38.2216889", \
+					  "20.6721161, 20.6599681, 20.6468621, 20.5429001, 20.0356011, 16.2964491, -39.0735929", \
+					  "20.6792451, 20.6445471, 20.6057151, 20.5224541, 20.0769591, 16.3080561, -39.1409209", \
+					  "20.6187332, 20.6215872, 20.5973202, 20.5051212, 19.9926372, 16.1848872, -39.4856568", \
+					  "20.5944952, 20.6001672, 20.5904062, 20.4660772, 19.9769182, 16.1579622, -38.3225598", \
+					  "20.5816233, 20.5748593, 20.5917603, 20.4870003, 19.7002463, 15.6881693, -40.1594147");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("27.7898381, 27.8143241, 27.9950181, 28.6681171, 28.9346221, 25.0274471, 4.0990053", \
+					  "27.7621961, 27.7892541, 27.9680241, 28.6340401, 28.8618941, 25.0409771, 3.7530250", \
+					  "27.7371851, 27.7643651, 27.9429321, 28.6097701, 28.8253401, 25.0104861, 3.9070509", \
+					  "27.7151221, 27.7455681, 27.9212331, 28.5864291, 28.8353461, 24.9923641, 4.8212175", \
+					  "27.6970282, 27.7205942, 27.8977142, 28.5686552, 28.8173282, 24.9935082, 3.8568336", \
+					  "27.6667912, 27.6967222, 27.8740492, 28.5443022, 28.7946752, 24.9566252, 4.1816951", \
+					  "27.6341693, 27.6728883, 27.8460293, 28.5223713, 28.7198493, 24.8401693, 3.8282811");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.8504459, 16.9109359, 17.1926509, 18.5695269, 23.1908879, 32.3339419, 35.4360199", \
+					  "16.7624571, 16.8007681, 17.0872771, 18.4661861, 22.9940311, 32.2212611, 35.3362931", \
+					  "16.6539354, 16.7013284, 16.9623004, 18.3556404, 22.9454794, 32.1119484, 35.2240704", \
+					  "16.6273753, 16.6883913, 16.9716523, 18.3462303, 22.8259103, 32.1102963, 35.2185003", \
+					  "16.6228996, 16.6815256, 16.9747566, 18.3434706, 22.9605956, 32.1129566, 35.1861716", \
+					  "16.6189884, 16.6731394, 16.9636724, 18.3380054, 22.8143564, 32.1009334, 35.2095784", \
+					  "16.6292022, 16.6721232, 16.9575322, 18.3321192, 22.9523922, 32.1068582, 35.2083632");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7247319, 0.7251232, 0.7251533, 0.7250775, 0.7251265, 0.7250105, 0.7251444", \
+					  "1.2029590, 1.2029346, 1.2034788, 1.2029269, 1.2034492, 1.2029388, 1.2045062", \
+					  "2.1424134, 2.1434592, 2.1434759, 2.1424379, 2.1436483, 2.1427163, 2.1438146", \
+					  "3.7331339, 3.7355022, 3.7354445, 3.7326039, 3.7322739, 3.7283322, 3.7289467", \
+					  "4.2677308, 4.2677086, 4.2676805, 4.2677086, 4.2682265, 4.2659748, 4.2645983", \
+					  "4.4804025, 4.4794441, 4.4787931, 4.4780654, 4.4726687, 4.4692760, 4.4648984", \
+					  "4.6485858, 4.6478568, 4.6420962, 4.6227463, 4.5971405, 4.5817912, 4.5772250");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7828404, 11.8049614, 11.9598554, 12.7323774, 16.6256424, 27.1539724, 29.1544364", \
+					  "11.7640502, 11.7943492, 11.9585562, 12.7162512, 16.6311432, 27.1265572, 29.0514392", \
+					  "11.7759950, 11.8023680, 11.9582410, 12.7238310, 16.6387210, 27.1417780, 29.1642450", \
+					  "11.7757690, 11.8016790, 11.9570280, 12.7232540, 16.6473430, 27.1308110, 29.1844880", \
+					  "11.7749887, 11.8034567, 11.9553057, 12.7252937, 16.6277807, 27.1233297, 29.1958907", \
+					  "11.7726417, 11.7877377, 11.9552127, 12.7217427, 16.6463877, 27.1174677, 29.2059847", \
+					  "11.7726526, 11.8025226, 11.9572146, 12.7217616, 16.6622436, 27.1789106, 29.1088116");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7251471, 0.7249111, 0.7247191, 0.7251418, 0.7249641, 0.7247958, 0.7251156", \
+					  "1.2028544, 1.2031632, 1.2035280, 1.2034292, 1.2035897, 1.2028050, 1.2031732", \
+					  "2.1470436, 2.1468183, 2.1477509, 2.1473322, 2.1474764, 2.1469855, 2.1469449", \
+					  "3.9904133, 3.9903222, 3.9901652, 3.9888759, 3.9842663, 3.9809495, 3.9768898", \
+					  "4.5331695, 4.5329574, 4.5326317, 4.5293336, 4.5187769, 4.5064599, 4.5026470", \
+					  "4.7114854, 4.7113792, 4.7012089, 4.6728913, 4.6369279, 4.6149047, 4.6088666", \
+					  "4.7510859, 4.7481330, 4.7384714, 4.7074203, 4.6690994, 4.6452699, 4.6384629");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.8326189, 8.8352791, 8.9003183, 9.1933920, 10.8677484, 14.2538184, 18.2171054", \
+					  "8.8276538, 8.8469630, 8.8961606, 9.1877945, 10.8850102, 14.3509492, 18.3453952", \
+					  "8.8330155, 8.8438835, 8.8954655, 9.1780407, 10.8740070, 14.2873310, 18.1717570", \
+					  "8.8311336, 8.8415663, 8.8951432, 9.1760322, 10.8550990, 14.3077650, 18.3275960", \
+					  "8.8352857, 8.8427771, 8.8905713, 9.1808296, 10.8631427, 14.3132037, 18.2305387", \
+					  "8.8332043, 8.8403470, 8.8847031, 9.1756916, 10.8646807, 14.2512597, 18.0704637", \
+					  "8.8408980, 8.8390072, 8.8839365, 9.1781352, 10.8812186, 14.2935206, 18.1955826");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.7095454, 13.8238904, 14.5746684, 18.0046124, 25.0351614, 26.1136044, 27.3911994", \
+					  "13.7041762, 13.8333622, 14.5579252, 18.0601112, 25.0418292, 25.7319572, 27.1885872", \
+					  "13.7061100, 13.8166880, 14.5735160, 17.9907790, 25.0365640, 25.8531370, 27.4097200", \
+					  "13.6955450, 13.8120040, 14.5516950, 17.9901560, 25.0829890, 25.8476940, 27.3781570", \
+					  "13.6943827, 13.8178517, 14.4998747, 17.9908727, 25.0429157, 25.9826967, 27.3610127", \
+					  "13.7023747, 13.8289677, 14.5666497, 18.0535917, 25.0159777, 25.8493347, 27.4075327", \
+					  "13.7018566, 13.8181456, 14.5554386, 17.9990816, 25.0243496, 25.9318266, 27.0520106");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7248339, 0.7251560, 0.7256462, 0.7251163, 0.7249288, 0.7251635, 0.7250085", \
+					  "1.2030070, 1.2035331, 1.2035242, 1.2035302, 1.2029656, 1.2035290, 1.2035131", \
+					  "2.1401984, 2.1401737, 2.1401628, 2.1402125, 2.1402127, 2.1402886, 2.1402593", \
+					  "3.6062310, 3.6062219, 3.6062133, 3.6061395, 3.6053489, 3.6045765, 3.6043692", \
+					  "4.0845249, 4.0845097, 4.0843401, 4.0840951, 4.0831531, 4.0820594, 4.0816344", \
+					  "4.2942229, 4.2941765, 4.2938824, 4.2923437, 4.2871036, 4.2815095, 4.2796022", \
+					  "4.4622401, 4.4612344, 4.4553962, 4.4373898, 4.4118603, 4.3964134, 4.3920163");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7484383, 0.7476583, 0.7476751, 0.7476703, 0.7475150, 0.7494872, 0.7477327", \
+					  "1.4136237, 1.4137795, 1.4139125, 1.4139549, 1.4143008, 1.4145232, 1.4159698", \
+					  "2.9202944, 2.9206342, 2.9203235, 2.9187280, 2.9064052, 2.8998128, 2.8999437", \
+					  "4.9497748, 4.9584792, 5.0045756, 5.2270547, 5.5790241, 5.7221058, 5.7587405", \
+					  "5.9611587, 5.9747698, 6.0515820, 6.3724178, 6.8746073, 7.0811422, 7.1221276", \
+					  "6.1799128, 6.1941159, 6.2722695, 6.6001491, 7.1107793, 7.3204389, 7.3616628", \
+					  "6.2042061, 6.2179520, 6.2959278, 6.6235802, 7.1353935, 7.3455804, 7.3883188");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.2123454, 19.2082064, 19.2440894, 19.3273164, 19.6754504, 17.7675444, -3.8940836", \
+					  "19.2116162, 19.2185792, 19.2265972, 19.3242112, 19.6312002, 17.7260312, -2.8441187", \
+					  "19.2090580, 19.2083310, 19.2455090, 19.3362490, 19.6750180, 17.3940630, -4.1532086", \
+					  "19.2045280, 19.2067470, 19.2303830, 19.3199500, 19.6922230, 17.2002460, -3.1258493", \
+					  "19.2088717, 19.2161647, 19.2196277, 19.3243337, 19.6864227, 17.8449317, -3.4911613", \
+					  "19.2045787, 19.2195007, 19.2222627, 19.3148177, 19.7026027, 17.2100257, -3.1061553", \
+					  "19.2006886, 19.2048676, 19.2347406, 19.3282406, 19.6846896, 18.1030086, -1.3236760");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.5574631, 26.5803511, 26.7294001, 27.2317101, 26.9555681, 22.5072671, 0.6594860", \
+					  "26.5305231, 26.5521121, 26.7053381, 27.2058931, 26.9385011, 22.4972741, 1.3951429", \
+					  "26.5164601, 26.5329001, 26.6758591, 27.1821981, 26.9009611, 22.5113721, 0.9839078", \
+					  "26.4813281, 26.5088871, 26.6542071, 27.1591311, 26.8105281, 22.4658771, 1.1798188", \
+					  "26.4592032, 26.4841802, 26.6303102, 27.1381172, 26.7882012, 22.4617052, 1.0030656", \
+					  "26.4458942, 26.4626972, 26.6125732, 27.1151572, 26.8401002, 22.3435282, 1.1630666", \
+					  "26.4217893, 26.4386323, 26.5880903, 27.0902393, 26.8150363, 22.3373973, 1.4890349");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.9808974, 0.9788764, 0.9809645, 0.9791898, 0.9787849, 0.9771645, 0.9765650", \
+					  "1.9888706, 1.9874653, 1.9853116, 1.9762841, 1.9598600, 1.9526431, 1.9510762", \
+					  "3.9756296, 3.9803142, 4.0154142, 4.1616184, 4.3652459, 4.4377070, 4.4513472", \
+					  "5.3480592, 5.3610193, 5.4316845, 5.7376139, 6.2256484, 6.4261365, 6.4678331", \
+					  "6.2891802, 6.3029388, 6.3794613, 6.7028944, 7.2116784, 7.4191227, 7.4600665", \
+					  "7.1641847, 7.1782680, 7.2567773, 7.5839904, 8.0934969, 8.3076216, 8.3490685", \
+					  "7.4091202, 7.4181182, 7.4963005, 7.8248372, 8.3361374, 8.5486592, 8.5897236");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.6040814, 24.6106154, 24.7652774, 25.2403084, 24.9099494, 20.5426234, -1.0369030", \
+					  "24.5834942, 24.6089932, 24.7780312, 25.2401302, 24.9929012, 20.5850552, -0.3539471", \
+					  "24.6055410, 24.6104590, 24.7743770, 25.2384710, 24.9070900, 20.5200750, -1.1470525", \
+					  "24.5888990, 24.6080600, 24.7703870, 25.2373170, 24.9058500, 20.6489560, -1.4319833", \
+					  "24.5808057, 24.6075377, 24.7695507, 25.2365487, 24.9910437, 20.6201597, -0.3473747", \
+					  "24.5996037, 24.6064647, 24.7683677, 25.2347107, 24.9194447, 20.5552907, -0.7402001", \
+					  "24.5820036, 24.6062536, 24.7690226, 25.2384856, 24.9925346, 20.6262426, -1.6882867");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7477085, 0.7476854, 0.7471703, 0.7476397, 0.7477333, 0.7476989, 0.7475590", \
+					  "1.4160044, 1.4159654, 1.4159105, 1.4159349, 1.4156397, 1.4159088, 1.4160020", \
+					  "2.9212540, 2.9213955, 2.9223165, 2.9213938, 2.9098690, 2.9030192, 2.8985857", \
+					  "4.9564474, 4.9652563, 5.0166263, 5.2398305, 5.5932783, 5.7371892, 5.7568883", \
+					  "5.9749706, 5.9883353, 6.0641423, 6.3859756, 6.8886755, 7.0950277, 7.1363389", \
+					  "6.1945925, 6.2083766, 6.2871565, 6.6152356, 7.1258909, 7.3358085, 7.3777437", \
+					  "6.2157971, 6.2309915, 6.3100389, 6.6386892, 7.1511994, 7.3617992, 7.4035097");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7250263, 0.7249284, 0.7253619, 0.7250097, 0.7249257, 0.7251643, 0.7252109", \
+					  "1.2031039, 1.2037282, 1.2035147, 1.2034816, 1.2028042, 1.2029198, 1.2034663", \
+					  "2.1468994, 2.1468380, 2.1476655, 2.1475612, 2.1472719, 2.1479647, 2.1460154", \
+					  "3.9971132, 3.9937180, 3.9967954, 3.9954939, 3.9874500, 3.9874829, 3.9834402", \
+					  "4.5372153, 4.5369048, 4.5363562, 4.5328945, 4.5220811, 4.5096189, 4.5058029", \
+					  "4.7125553, 4.7108683, 4.7022732, 4.6742041, 4.6386917, 4.6159226, 4.6098385", \
+					  "4.7501821, 4.7484465, 4.7382997, 4.7060303, 4.6693188, 4.6456841, 4.6394252");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.8344508, 8.8434766, 8.8930862, 9.1742354, 10.7924924, 14.2458444, 18.3329744", \
+					  "8.8390423, 8.8358590, 8.8942065, 9.1744112, 10.9037922, 14.3501482, 18.3540862", \
+					  "8.8325350, 8.8423179, 8.8952218, 9.1786600, 10.8740920, 14.2571020, 18.1558080", \
+					  "8.8393831, 8.8407006, 8.8906806, 9.1819173, 10.8822400, 14.3554170, 18.3261790", \
+					  "8.8243682, 8.8502945, 8.8922713, 9.1808079, 10.8497377, 14.2786897, 18.2664177", \
+					  "8.8317758, 8.8423281, 8.8936889, 9.1783197, 10.8771657, 14.2745847, 18.1834027", \
+					  "8.8302434, 8.8438514, 8.8900254, 9.1887561, 10.9009426, 14.2769586, 18.2079966");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.7247186, 0.7251129, 0.7251136, 0.7251209, 0.7245720, 0.7249536, 0.7251139", \
+					  "1.2035010, 1.2028855, 1.2029224, 1.2029564, 1.2038705, 1.2029376, 1.2038846", \
+					  "2.1437845, 2.1426938, 2.1427734, 2.1428378, 2.1440209, 2.1439205, 2.1440575", \
+					  "3.7894625, 3.7894629, 3.7894790, 3.7894833, 3.7895501, 3.7895462, 3.7891451", \
+					  "4.1370670, 4.1369712, 4.1365262, 4.1352478, 4.1342421, 4.1339589, 4.1322402", \
+					  "4.2146472, 4.2144017, 4.2131488, 4.2094608, 4.2065703, 4.2057246, 4.2055421", \
+					  "4.2266434, 4.2263043, 4.2245722, 4.2198533, 4.2162385, 4.2143273, 4.2140654");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.5490090, 8.5914603, 8.6001235, 8.6955610, 8.9126357, 8.4365885, 8.2738784", \
+					  "8.5924609, 8.6255655, 8.6897780, 8.6642897, 8.5785597, 8.5970440, 8.4087268", \
+					  "8.6235268, 8.6404447, 8.6845908, 8.5424771, 8.7599220, 8.7654558, 8.3618993", \
+					  "8.6214776, 8.6308659, 8.6856675, 8.5219608, 8.7593707, 8.7572015, 8.8043703", \
+					  "8.6227361, 8.6272455, 8.7047122, 8.5704350, 8.4605238, 8.1689298, 8.7054416", \
+					  "8.6506065, 8.5893889, 8.7392907, 8.7692641, 8.6645701, 8.7452712, 8.7894511", \
+					  "8.6016918, 8.5940694, 8.5992216, 8.5157233, 8.6378591, 8.6719108, 8.5169228");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.7462981, 26.7618451, 26.9099541, 27.4140121, 27.0571811, 22.7352831, 1.6062942", \
+					  "26.7218601, 26.7390261, 26.8833211, 27.3840941, 27.1132201, 22.7145061, 1.1457341", \
+					  "26.6844001, 26.7105881, 26.8526031, 27.3624031, 27.0150181, 22.5888361, 1.3547321", \
+					  "26.6625301, 26.6887471, 26.8336661, 27.3363101, 27.0280411, 22.5131701, 1.2798655", \
+					  "26.6346482, 26.6665832, 26.8203562, 27.3159702, 26.9676652, 22.5410032, 2.2657088", \
+					  "26.6207092, 26.6442562, 26.7929652, 27.2919382, 26.9417512, 22.5211672, 1.2555428", \
+					  "26.6028723, 26.6194043, 26.7672673, 27.2675713, 26.9858473, 22.4964223, 0.9286674");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.8645919, 12.8974519, 12.9506699, 13.0973809, 13.0769629, 17.3624249, 13.3907219", \
+					  "12.7553911, 12.7647301, 12.7744601, 13.1829151, 13.5104711, 14.2285031, 13.5862581", \
+					  "12.6581424, 12.6728514, 12.7693324, 12.9005354, 13.0879964, 13.9587174, 13.6973774", \
+					  "12.6324033, 12.6348623, 12.7155513, 12.8660333, 13.5250673, 15.0301413, 13.5265843", \
+					  "12.7447956, 12.7580796, 12.7847956, 12.9321766, 13.4907346, 13.6367376, 13.6478406", \
+					  "12.6381834, 12.6565714, 12.7364494, 12.8900274, 12.2941574, 15.3258734, 13.6949714", \
+					  "12.6148742, 12.6529852, 12.7396272, 12.9916892, 12.6875442, 13.7930722, 13.6610532");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("22.3701111, 22.3859491, 22.4437421, 22.7099751, 23.6352541, 22.4807261, 2.0422306", \
+					  "22.3481191, 22.3520721, 22.4131031, 22.6945201, 23.5883261, 22.1979841, 2.0664461", \
+					  "22.3215781, 22.3282791, 22.3850581, 22.6584591, 23.5959541, 21.5962001, 1.4914499", \
+					  "22.2990191, 22.3094051, 22.3699291, 22.6445741, 23.5725681, 21.5796671, 1.4264873", \
+					  "22.2769922, 22.2864092, 22.3392442, 22.6145712, 23.5420162, 22.2228012, 1.3715524", \
+					  "22.2522872, 22.2629312, 22.3190412, 22.5955302, 23.5110302, 21.4955732, 1.8465866", \
+					  "22.2317943, 22.2411063, 22.2920763, 22.5719923, 23.4862613, 22.0150043, 1.8146653");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.0857219, 13.1032509, 13.2178459, 13.7683699, 15.8358859, 19.4285729, 23.4048359", \
+					  "12.9701961, 12.9884361, 13.1040291, 13.6536271, 15.7834911, 19.3431131, 23.2932451", \
+					  "12.8670804, 12.8840874, 12.9971704, 13.5418064, 15.6744954, 19.1440134, 23.2451734", \
+					  "12.8650423, 12.8818333, 12.9979023, 13.5410913, 15.6835453, 19.2161603, 23.2957553", \
+					  "12.8613396, 12.8770606, 12.9866736, 13.5446846, 15.5892596, 19.1973436, 23.3060026", \
+					  "12.8545664, 12.8725954, 12.9818074, 13.5303774, 15.6138754, 19.1894114, 23.2659294", \
+					  "12.8570322, 12.8695762, 12.9726002, 13.5246402, 15.6717822, 19.2670712, 23.1619752");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.5661029, 10.5949029, 10.6425239, 10.9461279, 12.5358419, 15.9527279, 19.9142739", \
+					  "10.4713501, 10.4853381, 10.5366481, 10.8256861, 12.5391321, 15.8415911, 19.9767951", \
+					  "10.3582714, 10.3687044, 10.4448144, 10.7081174, 12.3773204, 15.7889274, 19.8762864", \
+					  "10.3684633, 10.3697593, 10.4216103, 10.7129083, 12.4177093, 15.6945753, 19.8515653", \
+					  "10.3539526, 10.3540276, 10.4277806, 10.7037496, 12.4101066, 15.7838156, 19.9143116", \
+					  "10.3574694, 10.3583404, 10.4202404, 10.6839604, 12.4544704, 15.7389484, 19.8384124", \
+					  "10.3487102, 10.3591842, 10.4091462, 10.6975622, 12.4015822, 15.7420842, 19.7330842");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.7285551, 21.6854041, 21.7384951, 21.8049501, 21.7519391, 18.6699671, -35.5097179", \
+					  "21.6733361, 21.6873781, 21.7015531, 21.7787201, 21.8772121, 18.7477071, -35.9523069", \
+					  "21.6560611, 21.6623061, 21.6713601, 21.7555301, 21.8186261, 18.5890221, -37.5331929", \
+					  "21.6474981, 21.6305151, 21.6363511, 21.7253341, 21.6904731, 18.5972281, -36.3338959", \
+					  "21.6159822, 21.6292862, 21.6272132, 21.6888612, 21.9000972, 18.5168362, -37.4036768", \
+					  "21.5854752, 21.5899692, 21.6012542, 21.6818722, 21.7373252, 18.4622892, -36.9049958", \
+					  "21.5776383, 21.5403043, 21.5838353, 21.6524503, 21.6615573, 18.6461743, -35.9118327");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.0211889, 11.0482927, 11.1845833, 11.8908806, 14.2526783, 17.0436896, 19.4551178", \
+					  "11.6785455, 11.7228200, 11.8684433, 12.5372971, 14.9495845, 18.2330645, 20.2149596", \
+					  "13.1350855, 13.1654037, 13.3269930, 14.0104392, 16.2824017, 19.0947119, 21.5753276", \
+					  "14.7652405, 14.7838995, 14.9850881, 15.7865183, 18.4032178, 21.6612072, 23.7337045", \
+					  "15.4195455, 15.4597401, 15.6555205, 16.5221633, 19.0610445, 22.7692948, 24.6586098", \
+					  "15.5654896, 15.5828195, 15.7961189, 16.7162404, 19.3165315, 22.7904763, 24.8425434", \
+					  "15.5674235, 15.6006972, 15.7953001, 16.6853822, 19.3471352, 22.7528912, 24.7381071");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.2940112, 21.2904141, 21.3556904, 21.4658360, 21.2845130, 17.6702391, -17.6836632", \
+					  "21.7580129, 21.7759389, 21.8137824, 21.9432308, 21.7141436, 17.9667049, -16.8538262", \
+					  "22.7287741, 22.7205652, 22.7915943, 22.9029652, 22.7361009, 18.4337824, -16.1352853", \
+					  "24.4247866, 24.4111448, 24.4726772, 24.5713657, 24.4884344, 20.8364506, -14.4622355", \
+					  "24.8748620, 24.8724591, 24.9216130, 25.0479552, 24.8648420, 21.4782788, -13.7107390", \
+					  "25.0210045, 25.0151453, 25.0553702, 25.1753490, 25.0491685, 21.1790497, -13.7649003", \
+					  "25.0681054, 25.0759086, 25.1203696, 25.2261767, 25.0175708, 21.7085875, -13.1810685");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("38.7419647, 38.7415106, 38.8482777, 39.2021088, 39.2375597, 33.8743104, -23.6266908", \
+					  "39.1418738, 39.1542298, 39.2428131, 39.5916058, 39.6710377, 34.4149407, -22.9475863", \
+					  "39.4851019, 39.4991063, 39.5936537, 39.9401003, 40.0042917, 34.5644217, -23.1939084", \
+					  "39.6985661, 39.7129412, 39.7923787, 40.1447416, 40.1948923, 34.7747219, -22.7379711", \
+					  "40.0140108, 40.0322583, 40.1214831, 40.4639456, 40.5283763, 35.2538482, -22.5027987", \
+					  "40.2166860, 40.2312942, 40.3255531, 40.6645807, 40.7212235, 35.1472091, -22.0137558", \
+					  "40.2055836, 40.2107793, 40.3204132, 40.6458132, 40.6395750, 35.0304889, -22.2378369");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.9228696, 21.9275913, 22.1999985, 23.4962578, 27.2115397, 33.0799483, 36.5133978", \
+					  "22.0295808, 22.0745388, 22.3567432, 23.7504131, 27.6305643, 33.8788934, 36.8374220", \
+					  "22.5085961, 22.5640782, 22.8296894, 24.2432890, 28.3115477, 34.7418076, 37.4800220", \
+					  "22.9393365, 23.0045600, 23.3145351, 24.7770831, 28.9835049, 35.2739313, 38.1430056", \
+					  "23.2954322, 23.3258118, 23.6327027, 25.0661850, 29.2294784, 34.6395586, 38.5959232", \
+					  "23.5441272, 23.6041040, 23.9060775, 25.3445078, 29.5012164, 35.9100774, 38.8764065", \
+					  "23.5986862, 23.6822177, 23.9914933, 25.3701499, 29.7114797, 35.4506655, 38.9324898");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3754675, 3.3793361, 3.3793363, 3.3798568, 3.3798570, 3.3798572, 3.4581038", \
+					  "3.5125627, 3.5125629, 3.5125632, 3.5129766, 3.5129768, 3.5129771, 3.5833141", \
+					  "3.6214627, 3.6220897, 3.6220898, 3.6238692, 3.6238693, 3.6238696, 3.7071644", \
+					  "3.7021275, 3.7043679, 3.7043680, 3.7043683, 3.7043685, 3.7043688, 3.7690051", \
+					  "3.7795695, 3.7795696, 3.7795698, 3.7795700, 3.7795703, 3.7795705, 3.8376453", \
+					  "3.8330894, 3.8348404, 3.8348405, 3.8348407, 3.8348409, 3.8348412, 3.8952988", \
+					  "3.8896477, 3.8916310, 3.8916311, 3.8916313, 3.8916315, 3.8916318, 3.9838954");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("3.2385536, 3.2455438, 3.2890755, 3.5668772, 5.3667861, 16.7783460, 87.5607570", \
+					  "3.3750807, 3.3821559, 3.4257157, 3.7034631, 5.5034031, 16.9135430, 87.6520470", \
+					  "3.4861967, 3.4931478, 3.5367653, 3.8144554, 5.6144440, 17.0217140, 87.7452850", \
+					  "3.5690877, 3.5757697, 3.6193899, 3.8975676, 5.6973599, 17.1106330, 87.8575930", \
+					  "3.6390437, 3.6460981, 3.6896803, 3.9673709, 5.7674732, 17.1726670, 87.9701080", \
+					  "3.7013717, 3.7084340, 3.7520129, 4.0297219, 5.8296741, 17.2342640, 88.0223250", \
+					  "3.7568877, 3.7635424, 3.8071870, 4.0854604, 5.8851808, 17.2971170, 88.0431370");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("0.7818926, 0.7976337, 0.9010344, 1.5459558, 5.7094360, 31.5318940, 191.0374900", \
+					  "0.7812317, 0.7975455, 0.9010364, 1.5477559, 5.7092460, 31.5525990, 191.3548600", \
+					  "0.7814210, 0.7982065, 0.9006689, 1.5481932, 5.7211063, 31.5428570, 191.4959000", \
+					  "0.7816081, 0.7979118, 0.8999757, 1.5487341, 5.7243814, 31.5446830, 191.5141800", \
+					  "0.7813326, 0.7976589, 0.9010407, 1.5481385, 5.7216272, 31.5515080, 191.2071600", \
+					  "0.7812953, 0.7976138, 0.9010476, 1.5480683, 5.7163669, 31.5535760, 191.3560500", \
+					  "0.7815188, 0.7977968, 0.9000112, 1.5466851, 5.7108136, 31.5351870, 191.4720500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("119.1969200, 119.2091600, 119.2678700, 119.6433700, 122.0873000, 138.2392700, 205.4775600", \
+					  "119.2112900, 119.2246900, 119.4231900, 119.7975300, 122.2047000, 138.3385100, 205.5751600", \
+					  "119.5262100, 119.5262108, 119.5885300, 119.9520800, 122.3399400, 138.4714500, 205.7266600", \
+					  "119.6931500, 119.7033600, 119.7636100, 120.1350100, 122.3403100, 138.6322500, 205.8840100", \
+					  "119.7469900, 119.7676300, 119.8353800, 120.1988100, 122.5868400, 138.7167700, 205.9721300", \
+					  "119.7132200, 119.8804400, 119.9461400, 120.3062000, 122.6940800, 138.8262700, 206.0818100", \
+					  "119.9668600, 119.9770300, 120.0360100, 120.4511500, 122.8123200, 139.0007500, 206.2418900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("225.6539900, 225.6348100, 225.5197600, 224.6552200, 219.1168100, 211.5707600, 207.5836700", \
+					  "225.5456600, 225.5332400, 225.5526700, 224.6679000, 219.0659400, 211.3742000, 207.5562500", \
+					  "225.7133100, 225.6614500, 225.5171400, 224.6705400, 218.9820400, 211.2794800, 207.5571100", \
+					  "225.7536200, 225.7277500, 225.5989500, 224.7153400, 218.9366900, 211.7043300, 207.5408500", \
+					  "225.6899200, 225.6462700, 225.5169300, 224.6706000, 218.9829800, 211.2868500, 207.5584900", \
+					  "225.5401100, 225.6645200, 225.5174100, 224.6705900, 218.9821800, 211.2811900, 207.5571400", \
+					  "225.6746000, 225.6536900, 225.5175000, 224.7087100, 219.0229400, 211.4717400, 207.5676100");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("3.2285097, 3.2355554, 3.2788596, 3.5565153, 5.3573235, 16.9558680, 89.1404210", \
+					  "3.3651388, 3.3723202, 3.4156364, 3.6931944, 5.4938893, 17.0927090, 89.2889100", \
+					  "3.4764367, 3.4834686, 3.5268515, 3.8041952, 5.6048770, 17.2035350, 89.3950440", \
+					  "3.5594181, 3.5664949, 3.6098617, 3.8873122, 5.6879110, 17.2848100, 89.5429300", \
+					  "3.6290686, 3.6362349, 3.6795629, 3.9570951, 5.7578049, 17.3567920, 89.5483300", \
+					  "3.6915133, 3.6986656, 3.7420000, 4.0194865, 5.8202088, 17.4192200, 89.6159090", \
+					  "3.7471821, 3.7543080, 3.7977022, 4.0750314, 5.8757843, 17.4747750, 89.6369700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("0.7838411, 0.8001773, 0.9032902, 1.5500067, 5.7237823, 31.5535190, 191.5001100", \
+					  "0.7833459, 0.7994452, 0.9033893, 1.5500902, 5.7252532, 31.5513340, 191.4653300", \
+					  "0.7839426, 0.8009182, 0.9036952, 1.5500036, 5.7245240, 31.5177720, 191.5643300", \
+					  "0.7834868, 0.7999875, 0.9034744, 1.5497745, 5.7240523, 31.4991380, 191.5609300", \
+					  "0.7832510, 0.7995090, 0.9034357, 1.5500959, 5.7226637, 31.5467010, 191.5822000", \
+					  "0.7830499, 0.7995819, 0.9034453, 1.5501859, 5.7172787, 31.5432940, 191.5619800", \
+					  "0.7831540, 0.7995811, 0.9034700, 1.5501811, 5.7156053, 31.5438410, 191.5881600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("6.2976575, 6.3077481, 6.3710046, 6.7887060, 9.4249684, 25.6832720, 81.9566990", \
+					  "6.4536443, 6.4651835, 6.5354779, 6.9434565, 9.5711776, 25.8449670, 82.1144970", \
+					  "6.6062613, 6.6184604, 6.6818573, 7.0964905, 9.7241895, 25.9986730, 82.2675760", \
+					  "6.7404966, 6.7478103, 6.8212547, 7.2320101, 9.8750066, 26.1338720, 82.3965820", \
+					  "6.8529831, 6.8621731, 6.9254713, 7.3432012, 9.9795905, 26.2381940, 82.5107520", \
+					  "6.9598207, 6.9726545, 7.0360464, 7.4506872, 10.0784060, 26.3528310, 82.6208860", \
+					  "7.0616379, 7.0725039, 7.1357595, 7.5512636, 10.1787240, 26.4507620, 82.7222810");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.16787, 1.21954, 1.53816, 3.50308, 15.6203, 90.345, 551.158");
+					values("51.2466190, 51.2534410, 51.2203330, 51.1006740, 50.9128420, 55.7919220, 89.2240810", \
+					  "51.2347390, 51.2052410, 51.1948950, 51.1057080, 50.9092390, 55.7922380, 89.2072480", \
+					  "51.2428570, 51.1954110, 51.1681610, 51.1054060, 50.9092320, 55.7937520, 89.2067360", \
+					  "51.1886280, 51.2290870, 51.1874100, 51.0671720, 50.9079530, 55.8008360, 89.1970590", \
+					  "51.2427820, 51.2548050, 51.2131210, 51.1000380, 50.9108170, 55.7914890, 89.2275910", \
+					  "51.2427110, 51.2007290, 51.2159200, 51.1039740, 50.9079960, 55.7927110, 89.2049740", \
+					  "51.2185460, 51.2084210, 51.1733200, 51.1088450, 50.9111970, 55.7903130, 89.2128750");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.6786220, 11.8892110, 13.1882780, 21.1727190, 70.0717490, 369.4412700, 2214.4341000", \
+					  "11.8145300, 12.0244350, 13.3229810, 21.2962090, 70.1357550, 369.5517100, 2213.7219000", \
+					  "11.9240540, 12.1347760, 13.4336600, 21.4096990, 70.2682780, 370.0373800, 2214.3529000", \
+					  "12.0062580, 12.2168560, 13.5158840, 21.5021720, 70.3896320, 369.8740700, 2214.6403000", \
+					  "12.0759230, 12.2861140, 13.5855530, 21.5574720, 70.4971730, 370.1080100, 2214.3608000", \
+					  "12.1381310, 12.3490880, 13.6476310, 21.6240020, 70.5528860, 370.1607900, 2215.1614000", \
+					  "12.1916710, 12.4033460, 13.7015530, 21.6869440, 70.6008900, 370.2033100, 2214.4129000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2569230, 15.6795870, 18.1723810, 33.8588180, 131.1018400, 728.4046400, 4418.2639000", \
+					  "15.2632220, 15.6646430, 18.2026870, 33.8800560, 130.9548300, 728.6569100, 4419.0028000", \
+					  "15.2656910, 15.6797060, 18.1825150, 33.8727020, 130.9860100, 729.0551600, 4419.1938000", \
+					  "15.2585130, 15.6796250, 18.1708570, 33.8504160, 131.0366400, 729.0408300, 4417.8801000", \
+					  "15.2655010, 15.6768800, 18.1735820, 33.8764930, 131.0710900, 729.1742500, 4418.6322000", \
+					  "15.2655010, 15.6763620, 18.2077380, 33.8748160, 131.0637600, 729.1624200, 4420.1511000", \
+					  "15.2532580, 15.6721520, 18.2015500, 33.8620010, 131.0609900, 729.3534200, 4419.8487000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.0302718, 5.0558886, 5.1894280, 5.7391243, 7.7836138, 14.8527870, 32.1871350", \
+					  "5.1866191, 5.2120921, 5.3461449, 5.8953695, 7.9398497, 15.0085880, 32.3431980", \
+					  "5.3396607, 5.3655080, 5.4990328, 6.0485079, 8.0930054, 15.1621720, 32.4965480", \
+					  "5.4720699, 5.4990199, 5.6325429, 6.1836234, 8.2255367, 15.2928050, 32.6298210", \
+					  "5.5847797, 5.6120975, 5.7456422, 6.2936785, 8.3381080, 15.4088440, 32.7416710", \
+					  "5.6937850, 5.7194178, 5.8528045, 6.4026238, 8.4470955, 15.5162590, 32.8507250", \
+					  "5.7940301, 5.8195666, 5.9530896, 6.5028196, 8.5473220, 15.6151940, 32.9508580");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.3992797, 1.4095919, 1.4623466, 1.6664448, 3.6691796, 8.4742382, 25.3440100", \
+					  "1.3993200, 1.4057225, 1.4631992, 1.6661423, 3.6692618, 8.4742187, 25.3457240", \
+					  "1.3992908, 1.4095706, 1.4623790, 1.6664503, 3.6691701, 8.4741600, 25.3486670", \
+					  "1.3964971, 1.4081173, 1.4633448, 1.6695207, 3.6738406, 8.4631786, 25.3406420", \
+					  "1.3992567, 1.4096015, 1.4623254, 1.6660967, 3.6696193, 8.4740170, 25.3462830", \
+					  "1.3991402, 1.4094722, 1.4623498, 1.6663060, 3.6694658, 8.4740082, 25.3459710", \
+					  "1.3993267, 1.4082152, 1.4632907, 1.6663289, 3.6690300, 8.4574099, 25.3440290");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9176836, 4.9182365, 4.9187809, 4.9187811, 4.9187816, 4.9187820, 4.9187825", \
+					  "5.0544045, 5.0544050, 5.0544055, 5.0544060, 5.0544065, 5.0544069, 5.0544074", \
+					  "5.1710982, 5.1710985, 5.1710990, 5.1710995, 5.1711000, 5.1711004, 5.1711009", \
+					  "5.2544853, 5.2544854, 5.2544859, 5.2544864, 5.2544868, 5.2544873, 5.2544878", \
+					  "5.3211577, 5.3211582, 5.3211587, 5.3211592, 5.3211597, 5.3211601, 5.3211606", \
+					  "5.3838307, 5.3838308, 5.3838313, 5.3838318, 5.3838323, 5.3838327, 5.3838332", \
+					  "5.4376255, 5.4376257, 5.4376262, 5.4376267, 5.4376271, 5.4376276, 5.4376281");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4726209, 4.4726213, 4.4726217, 4.4726222, 4.4726227, 4.4726232, 4.4726236", \
+					  "4.5853454, 4.5891277, 4.5986717, 4.5986722, 4.5986727, 4.5986732, 4.5986736", \
+					  "4.6961368, 4.7175823, 4.7175825, 4.7175830, 4.7175835, 4.7175839, 4.7175844", \
+					  "4.7798516, 4.7832578, 4.7832582, 4.7832587, 4.7832592, 4.7832597, 4.7832602", \
+					  "4.8725570, 4.8773519, 4.8773520, 4.8773525, 4.8773530, 4.8773535, 4.8773540", \
+					  "4.9330029, 4.9358850, 4.9358852, 4.9358857, 4.9358862, 4.9358867, 4.9358871", \
+					  "4.9779305, 4.9811217, 4.9811218, 4.9811223, 4.9811228, 4.9811233, 4.9811237");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5027830, 4.5350084, 4.7269393, 5.8854663, 12.9311890, 56.1813880, 147.0881400", \
+					  "4.6384874, 4.6703730, 4.8623350, 6.0209264, 13.0657610, 56.2821330, 147.2414700", \
+					  "4.7483559, 4.7799729, 4.9720805, 6.1307577, 13.1766570, 56.4256800, 147.3442900", \
+					  "4.8308020, 4.8623559, 5.0543534, 6.2130221, 13.2583910, 56.4844420, 147.4342400", \
+					  "4.9005897, 4.9319615, 5.1240461, 6.2827010, 13.3286060, 56.5820950, 147.5127100", \
+					  "4.9628310, 4.9941296, 5.1862750, 6.3449379, 13.3910900, 56.6300570, 147.5601700", \
+					  "5.0177431, 5.0494169, 5.2414704, 6.4006032, 13.4458750, 56.6941050, 147.6253400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1847428, 2.2433608, 2.5899296, 4.7987347, 18.5251210, 74.3201980, 109.2371600", \
+					  "2.1816622, 2.2427966, 2.5899614, 4.8099187, 18.5396300, 74.2742540, 109.1276500", \
+					  "2.1867792, 2.2435818, 2.5903419, 4.8016919, 18.5286830, 74.3497990, 109.0291700", \
+					  "2.1867339, 2.2393123, 2.5899669, 4.8003423, 18.5341200, 74.3007930, 109.0723000", \
+					  "2.1869129, 2.2427176, 2.5906659, 4.8032933, 18.5287090, 74.3130710, 109.0105500", \
+					  "2.1858255, 2.2438360, 2.5903606, 4.8066804, 18.5388910, 74.3206100, 108.9005300", \
+					  "2.1865756, 2.2419047, 2.5904565, 4.7987938, 18.5237800, 74.3422320, 108.8508200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1946530, 12.4138320, 13.7349350, 21.7434500, 70.4203840, 369.2684600, 2211.1035000", \
+					  "12.3523200, 12.5615060, 13.8782110, 21.9027760, 70.5274060, 369.5680800, 2211.2645000", \
+					  "12.5032780, 12.7107990, 14.0258780, 22.0864360, 70.7271330, 369.4444200, 2210.2558000", \
+					  "12.6370590, 12.8421330, 14.1595600, 22.2202350, 70.8634000, 369.2146900, 2209.9382000", \
+					  "12.7499000, 12.9550650, 14.2722590, 22.3325840, 70.9728100, 369.8109500, 2210.6899000", \
+					  "12.8566960, 13.0637940, 14.3790610, 22.4395100, 71.0828090, 369.4266900, 2209.2677000", \
+					  "12.9528660, 13.1750420, 14.4995320, 22.5318150, 71.1746110, 369.8655700, 2210.8150000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.9072560, 15.3062470, 17.8425930, 33.4782870, 130.5004400, 727.0718400, 4401.5756000", \
+					  "14.9074070, 15.3161060, 17.8435240, 33.4253340, 130.1914400, 727.2734000, 4404.0189000", \
+					  "14.9070430, 15.3005660, 17.8514590, 33.4487850, 130.4935300, 727.0440400, 4403.5301000", \
+					  "14.9071440, 15.3027530, 17.8504980, 33.4488280, 130.5012300, 726.2706300, 4408.7978000", \
+					  "14.9072430, 15.3003970, 17.8514430, 33.4484580, 130.4909500, 727.2804500, 4407.8729000", \
+					  "14.9072990, 15.3007540, 17.8502690, 33.4486290, 130.5007200, 726.2503900, 4401.9557000", \
+					  "14.8851240, 15.3051070, 17.8501730, 33.4625870, 130.1047700, 727.0378400, 4404.1208000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9107785, 4.9218229, 4.9218233, 4.9218238, 4.9218243, 4.9218247, 4.9218252", \
+					  "5.0606643, 5.0606645, 5.0606649, 5.0606654, 5.0606659, 5.0606664, 5.0606668", \
+					  "5.1701172, 5.1701177, 5.1701181, 5.1701186, 5.1701191, 5.1701196, 5.1701201", \
+					  "5.2419913, 5.2419918, 5.2531988, 5.2531989, 5.2531994, 5.2531999, 5.2532003", \
+					  "5.3096172, 5.3096173, 5.3096178, 5.3096183, 5.3096188, 5.3096192, 5.3096197", \
+					  "5.3823985, 5.3823989, 5.3823994, 5.3823998, 5.3824003, 5.3824008, 5.3824013", \
+					  "5.4424678, 5.4424680, 5.4424685, 5.4424689, 5.4424694, 5.4424699, 5.4424704");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3717693, 3.3735998, 3.3735999, 3.3736002, 3.3736004, 3.3736007, 3.5077767", \
+					  "3.5015040, 3.5080376, 3.5080377, 3.5080380, 3.5080382, 3.5080385, 3.5843650", \
+					  "3.6166532, 3.6183074, 3.6183075, 3.6183078, 3.6183080, 3.6183082, 3.6842842", \
+					  "3.6927905, 3.6957539, 3.6957540, 3.6957542, 3.6957545, 3.6957547, 3.7883279", \
+					  "3.7595504, 3.7603423, 3.7657197, 3.7677044, 3.7677047, 3.7677049, 3.8370964", \
+					  "3.8211216, 3.8325556, 3.8325557, 3.8325559, 3.8325562, 3.8325564, 3.9118921", \
+					  "3.8813397, 3.8813400, 3.8813402, 3.8813404, 3.8813407, 3.8813409, 3.9644577");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.0266595, 5.0619304, 5.2785558, 6.5794645, 14.4314390, 48.0635660, 158.6961400", \
+					  "5.1818572, 5.2168168, 5.4329030, 6.7301396, 14.5870670, 48.2192560, 158.8502300", \
+					  "5.3355609, 5.3702625, 5.5886007, 6.8832002, 14.7299290, 48.3778460, 158.9936700", \
+					  "5.4701017, 5.5050107, 5.7210996, 7.0228023, 14.8753620, 48.5158050, 159.1365800", \
+					  "5.5831406, 5.6173706, 5.8339360, 7.1322934, 14.9817650, 48.6203680, 159.2288500", \
+					  "5.6900435, 5.7251004, 5.9416303, 7.2368307, 15.0839840, 48.7302860, 159.3473700", \
+					  "5.7904588, 5.8251270, 6.0403491, 7.3385635, 15.1840550, 48.8305110, 159.4828200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3557072, 2.4139857, 2.7953972, 5.1917852, 17.2608860, 57.8235170, 137.6550200", \
+					  "2.3519915, 2.4179307, 2.7991629, 5.1868922, 17.2630140, 57.8222450, 137.6417600", \
+					  "2.3571882, 2.4187772, 2.8018288, 5.1831992, 17.2643020, 57.8336490, 137.6442600", \
+					  "2.3575752, 2.4194378, 2.7955571, 5.1939946, 17.2634140, 57.9864550, 137.6548700", \
+					  "2.3538493, 2.4186046, 2.8016542, 5.1914286, 17.2708390, 57.8348000, 137.6390500", \
+					  "2.3573810, 2.4185465, 2.8015916, 5.1850044, 17.2643090, 57.8343280, 137.6457400", \
+					  "2.3538640, 2.4185682, 2.8011726, 5.1869704, 17.2642030, 57.8344950, 137.6664300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3636929, 3.3763305, 3.3763308, 3.3763310, 3.3763313, 3.3763315, 3.4614704", \
+					  "3.5020127, 3.5092163, 3.5092165, 3.5137977, 3.5137979, 3.5137982, 3.5722785", \
+					  "3.6176115, 3.6194132, 3.6194133, 3.6216894, 3.6216895, 3.6216897, 3.6975289", \
+					  "3.6940306, 3.6969512, 3.6969513, 3.7059011, 3.7059013, 3.7059016, 3.7815013", \
+					  "3.7641130, 3.7641131, 3.7664711, 3.7710257, 3.7710258, 3.7710261, 3.8482151", \
+					  "3.8217896, 3.8333030, 3.8333031, 3.8333034, 3.8333036, 3.8333039, 3.9169241", \
+					  "3.8819552, 3.8819553, 3.8819556, 3.8819558, 3.8819560, 3.8819563, 3.9665196");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9787512, 5.0043463, 5.1399631, 5.6993001, 7.7598852, 18.6885390, 70.1692140", \
+					  "5.1355511, 5.1610298, 5.2961751, 5.8545577, 7.9136351, 18.8449330, 70.3251150", \
+					  "5.2877689, 5.3150728, 5.4498326, 6.0086602, 8.0697777, 18.9994370, 70.4792030", \
+					  "5.4232001, 5.4486837, 5.5833862, 6.1420367, 8.2026403, 19.1320420, 70.6119680", \
+					  "5.5344715, 5.5598957, 5.6964078, 6.2550338, 8.3150988, 19.2438010, 70.7251290", \
+					  "5.6432925, 5.6677423, 5.8036154, 6.3623085, 8.4225578, 19.3537100, 70.8320370", \
+					  "5.7433871, 5.7678258, 5.9041065, 6.4624799, 8.5224956, 19.4511040, 70.9332040");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4079006, 1.4186068, 1.4751029, 1.6865798, 3.7011425, 18.3953330, 67.2099670", \
+					  "1.4039202, 1.4175326, 1.4751053, 1.6853083, 3.7042351, 18.3954720, 67.2106140", \
+					  "1.4078918, 1.4185857, 1.4744556, 1.6854231, 3.7020418, 18.3919460, 67.3144240", \
+					  "1.4080369, 1.4188368, 1.4754824, 1.6847752, 3.7027552, 18.3952760, 67.2635920", \
+					  "1.4078403, 1.4184910, 1.4744773, 1.6854196, 3.7018772, 18.3949530, 67.2078710", \
+					  "1.4089410, 1.4184760, 1.4744475, 1.6854133, 3.7050365, 18.3920490, 67.3152130", \
+					  "1.4088375, 1.4177759, 1.4744673, 1.6854251, 3.7042038, 18.3947990, 67.2903370");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4259220, 11.6319750, 12.9053950, 20.7680110, 69.3356770, 368.4443200, 2213.4207000", \
+					  "11.5788650, 11.7845270, 13.0603480, 20.9206840, 69.4882090, 368.4817800, 2213.1878000", \
+					  "11.7357620, 11.9382600, 13.2131040, 21.0726600, 69.5672360, 368.5945800, 2212.5253000", \
+					  "11.8635930, 12.0710050, 13.3493400, 21.2096240, 69.7741460, 368.6958200, 2212.6783000", \
+					  "11.9790230, 12.1839530, 13.4570990, 21.3184150, 69.8791920, 368.9093000, 2212.9248000", \
+					  "12.0860640, 12.2920820, 13.5616630, 21.4349910, 69.9964600, 368.9730500, 2213.1665000", \
+					  "12.1843280, 12.3915930, 13.6638050, 21.5342610, 70.0490830, 369.2303500, 2213.0628000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2607080, 15.6790440, 18.2081030, 33.8639200, 130.9836400, 728.8647300, 4417.1547000", \
+					  "15.2686170, 15.6631510, 18.2136000, 33.8697850, 130.8713400, 728.7085900, 4418.4621000", \
+					  "15.2668120, 15.6716100, 18.1947930, 33.8756650, 130.9784900, 729.2630400, 4421.2416000", \
+					  "15.2670530, 15.6623250, 18.2083270, 33.8664460, 130.9564800, 730.2446100, 4419.4244000", \
+					  "15.2651070, 15.6674370, 18.1806370, 33.8741870, 131.0866100, 727.9638200, 4418.4753000", \
+					  "15.2510930, 15.6716380, 18.2057960, 33.8483370, 130.9533700, 728.4303400, 4418.5117000", \
+					  "15.2667920, 15.6784110, 18.1953120, 33.8745530, 131.0514500, 729.2706500, 4418.0256000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5241904, 5.5497571, 5.6835159, 6.2376184, 8.2833385, 15.3501530, 32.6895420", \
+					  "5.6783036, 5.7030523, 5.8376393, 6.3897589, 8.4359053, 15.5053570, 32.8442060", \
+					  "5.8310952, 5.8562106, 5.9937606, 6.5458683, 8.5922469, 15.6605520, 32.9959600", \
+					  "5.9647443, 5.9915317, 6.1263070, 6.6787877, 8.7202014, 15.7923430, 33.1277160", \
+					  "6.0779697, 6.1022907, 6.2355294, 6.7870549, 8.8371683, 15.9061490, 33.2400990", \
+					  "6.1842562, 6.2104784, 6.3451275, 6.8966775, 8.9451158, 16.0111270, 33.3479060", \
+					  "6.2808918, 6.3106662, 6.4415593, 6.9983725, 9.0414005, 16.1109060, 33.4519970");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4082362, 1.4146029, 1.4711297, 1.6766095, 3.6756094, 8.4643164, 25.3455460", \
+					  "1.4064718, 1.4143677, 1.4715950, 1.6739089, 3.6700967, 8.4756095, 25.3453380", \
+					  "1.4041085, 1.4124488, 1.4715469, 1.6742537, 3.6761445, 8.4794891, 25.3276900", \
+					  "1.4072066, 1.4143878, 1.4685234, 1.6735485, 3.6758345, 8.4800478, 25.3455980", \
+					  "1.4074552, 1.4139834, 1.4722942, 1.6743286, 3.6703556, 8.4586116, 25.3454560", \
+					  "1.4040621, 1.4144520, 1.4716655, 1.6736770, 3.6756918, 8.4805549, 25.3458590", \
+					  "1.4088186, 1.4164366, 1.4720793, 1.6728090, 3.6761170, 8.4837497, 25.3424020");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.3361610, 11.5433520, 12.8178270, 20.6847450, 69.1513410, 368.5118800, 2212.5637000", \
+					  "11.4712920, 11.6784160, 12.9539650, 20.8205630, 69.2962770, 368.3794900, 2212.5569000", \
+					  "11.5803650, 11.7889620, 13.0623950, 20.9298200, 69.4455980, 368.7457600, 2212.4841000", \
+					  "11.6636160, 11.8704980, 13.1452360, 21.0048330, 69.5587450, 368.8030600, 2214.8311000", \
+					  "11.7339700, 11.9393850, 13.2146240, 21.0820180, 69.5834000, 369.0338400, 2213.1605000", \
+					  "11.7946050, 12.0029070, 13.2773370, 21.1440570, 69.6619860, 368.9395100, 2213.0941000", \
+					  "11.8495320, 12.0576790, 13.3315770, 21.1992590, 69.7481400, 368.9827400, 2213.2651000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2372830, 15.6761060, 18.1949580, 33.8546290, 130.9557500, 729.1748700, 4420.8241000", \
+					  "15.2665740, 15.6746120, 18.1739230, 33.8567360, 130.8778900, 727.9976400, 4418.2439000", \
+					  "15.2536900, 15.6595220, 18.1982130, 33.8578050, 131.0307200, 728.3340400, 4416.7778000", \
+					  "15.2583140, 15.6772890, 18.1823920, 33.8727550, 131.0917000, 728.7758900, 4418.8332000", \
+					  "15.2557500, 15.6414900, 18.1981220, 33.8572160, 131.0052400, 730.1492200, 4418.9792000", \
+					  "15.2455350, 15.6681890, 18.2136280, 33.8351920, 131.0360300, 729.1546400, 4417.8059000", \
+					  "15.2542620, 15.6773920, 18.1969230, 33.8340270, 131.0924800, 728.1855100, 4420.8114000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.7030300, 11.9038520, 13.1749720, 21.0656540, 69.3682980, 368.2723100, 2208.6108000", \
+					  "11.8551030, 12.0792860, 13.3597250, 21.2037640, 69.5904490, 368.5029500, 2209.8639000", \
+					  "12.0289620, 12.2314510, 13.4895560, 21.3554350, 69.7479760, 368.1944300, 2208.9030000", \
+					  "12.1579240, 12.3579450, 13.6172860, 21.4552410, 69.8913420, 368.3428000, 2209.3220000", \
+					  "12.2598960, 12.4760700, 13.7348420, 21.6249030, 69.9738220, 368.5608500, 2210.0862000", \
+					  "12.3778510, 12.5726560, 13.8383050, 21.7139000, 70.1115750, 368.4770000, 2209.0871000", \
+					  "12.4702600, 12.6654530, 13.9360690, 21.8179130, 70.2137870, 369.0118700, 2209.2488000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8843590, 15.3103930, 17.8590960, 33.4920220, 130.2463600, 727.3491700, 4405.9002000", \
+					  "14.8769670, 15.3194510, 17.8606870, 33.4207830, 130.5274700, 727.3180200, 4401.3295000", \
+					  "14.8980820, 15.3193970, 17.8531890, 33.4165970, 130.5248100, 726.4383200, 4409.2053000", \
+					  "14.9149740, 15.3141430, 17.8514430, 33.4260800, 130.5315900, 726.0887900, 4402.1122000", \
+					  "14.9054490, 15.3193580, 17.8156800, 33.4840640, 130.4692300, 726.1089100, 4401.3082000", \
+					  "14.9149560, 15.2914550, 17.8527560, 33.4838890, 130.5306700, 726.4391700, 4405.1822000", \
+					  "14.8956030, 15.2954060, 17.8439130, 33.4849690, 130.5314900, 727.3293400, 4407.9607000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4974263, 3.4974264, 3.4981325, 3.5017562, 3.5017564, 3.5017566, 3.5451915", \
+					  "3.6398804, 3.6398806, 3.6398808, 3.6398811, 3.6398813, 3.6398815, 3.6934249", \
+					  "3.7438914, 3.7441374, 3.7441375, 3.7470427, 3.7470428, 3.7470431, 3.7965133", \
+					  "3.8263801, 3.8265320, 3.8299168, 3.8299169, 3.8299171, 3.8299174, 3.8788048", \
+					  "3.8965937, 3.8965940, 3.8966617, 3.8966619, 3.8966622, 3.8966624, 3.9532235", \
+					  "3.9661030, 3.9661032, 3.9661035, 3.9661037, 3.9661040, 3.9661042, 4.0138457", \
+					  "4.0230161, 4.0230163, 4.0265022, 4.0265024, 4.0265029, 4.0265034, 4.0708321");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4462727, 4.4462731, 4.4535398, 4.4535401, 4.4535406, 4.4535411, 4.4535416", \
+					  "4.5862012, 4.5893063, 4.5898219, 4.5970237, 4.5970238, 4.5970243, 4.5970247", \
+					  "4.6957007, 4.7163282, 4.7180575, 4.7180579, 4.7180584, 4.7180589, 4.7180594", \
+					  "4.7789794, 4.7830202, 4.7948254, 4.7948258, 4.7948263, 4.7948268, 4.7948273", \
+					  "4.8722679, 4.8767572, 4.8767574, 4.8767579, 4.8767584, 4.8767589, 4.8767593", \
+					  "4.9325863, 4.9364837, 4.9364841, 4.9364846, 4.9364851, 4.9364856, 4.9364861", \
+					  "4.9772022, 4.9801123, 4.9801124, 4.9801128, 4.9801133, 4.9801138, 4.9801143");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6348561, 4.6663100, 4.8584528, 6.0178595, 13.0640040, 56.2817030, 147.3131600", \
+					  "4.7881281, 4.8208375, 5.0136593, 6.1723147, 13.2171150, 56.4673570, 147.4755700", \
+					  "4.9425202, 4.9728115, 5.1658602, 6.3268311, 13.3700730, 56.6235590, 147.6060400", \
+					  "5.0773181, 5.1078164, 5.3014464, 6.4562795, 13.5016130, 56.7453070, 147.7372100", \
+					  "5.1900848, 5.2203162, 5.4133555, 6.5712520, 13.6176150, 56.8358910, 147.8498000", \
+					  "5.2951492, 5.3254050, 5.5156129, 6.6782233, 13.7240860, 56.9747410, 147.9780600", \
+					  "5.3981899, 5.4262754, 5.6123977, 6.7751587, 13.8261380, 57.0457070, 148.0652700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1868962, 2.2423086, 2.5988243, 4.7949706, 18.5303200, 74.3768070, 108.9592400", \
+					  "2.1813096, 2.2409439, 2.5990563, 4.7895514, 18.4994740, 74.3305220, 108.8331600", \
+					  "2.1841069, 2.2413005, 2.5992315, 4.7878279, 18.5100470, 74.3994380, 109.1890300", \
+					  "2.1841091, 2.2432793, 2.5935936, 4.7928959, 18.5081760, 74.3497000, 109.2165700", \
+					  "2.1868276, 2.2403761, 2.5975251, 4.7903259, 18.5299170, 74.3410310, 109.0331100", \
+					  "2.1859075, 2.2397568, 2.5906415, 4.7949249, 18.5251620, 74.3991040, 109.1534700", \
+					  "2.1868067, 2.2412112, 2.5967060, 4.7902167, 18.5097410, 74.3418480, 109.0594600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.1897521, 4.1898081, 4.1898082, 4.1911523, 4.1911524, 4.1911529, 4.2503121", \
+					  "4.3414814, 4.3415160, 4.3415163, 4.3415168, 4.3415173, 4.3415177, 4.3775117", \
+					  "4.4371857, 4.4374127, 4.4374130, 4.4375410, 4.4375413, 4.4375418, 4.4916173", \
+					  "4.5205582, 4.5319850, 4.5319851, 4.5319856, 4.5319860, 4.5319865, 4.5773034", \
+					  "4.5909450, 4.5909827, 4.5909832, 4.5909837, 4.5909842, 4.5909846, 4.6443662", \
+					  "4.6524695, 4.6526054, 4.6526058, 4.6526063, 4.6582316, 4.6582320, 4.7053903", \
+					  "4.7057487, 4.7057500, 4.7095106, 4.7095111, 4.7095116, 4.7095120, 4.7601474");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.3366950, 11.5438410, 12.8184260, 20.6737440, 69.1529680, 368.2740200, 2212.9598000", \
+					  "11.4713690, 11.6785150, 12.9538600, 20.8095800, 69.2993250, 368.3763100, 2213.2313000", \
+					  "11.5804110, 11.7879470, 13.0624740, 20.9288230, 69.4477440, 368.7429200, 2214.8683000", \
+					  "11.6636710, 11.8705620, 13.1452900, 21.0138660, 69.5490740, 368.5726700, 2213.5121000", \
+					  "11.7340340, 11.9394310, 13.2147040, 21.0826270, 69.5853190, 369.0342700, 2213.2042000", \
+					  "11.7946500, 12.0029550, 13.2773260, 21.1436010, 69.6771990, 368.7702000, 2212.8158000", \
+					  "11.8501630, 12.0577050, 13.3309200, 21.1983750, 69.7502570, 368.8617800, 2212.5955000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2563060, 15.6663140, 18.1847800, 33.8783470, 130.9593200, 728.0618200, 4417.5690000", \
+					  "15.2666790, 15.6752830, 18.1849020, 33.8782410, 130.9913200, 727.9908800, 4419.1715000", \
+					  "15.2542170, 15.6618560, 18.1985470, 33.8543840, 131.0336200, 729.0227000, 4417.8564000", \
+					  "15.2579460, 15.6773240, 18.1831810, 33.8574500, 131.0867600, 728.6606700, 4417.9087000", \
+					  "15.2552970, 15.6424530, 18.1977300, 33.8578520, 131.0084500, 729.0885300, 4418.6845000", \
+					  "15.2462030, 15.6690640, 18.1774360, 33.8299730, 131.0499000, 728.9237900, 4420.8487000", \
+					  "15.2652580, 15.6777150, 18.2101180, 33.8463800, 130.8871000, 728.2624200, 4418.9132000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.7029660, 11.9028840, 13.1749340, 21.0660770, 69.3672040, 368.2648100, 2208.5940000", \
+					  "11.8547850, 12.0788880, 13.3589170, 21.2039420, 69.5448460, 368.5065900, 2209.2381000", \
+					  "12.0289260, 12.2315430, 13.4894540, 21.3558700, 69.7610640, 368.1946300, 2208.9052000", \
+					  "12.1578950, 12.3579590, 13.6172740, 21.4550140, 69.8882090, 368.3606700, 2209.3232000", \
+					  "12.2600290, 12.4761240, 13.7345660, 21.6246010, 69.9914790, 368.5598000, 2210.4725000", \
+					  "12.3778530, 12.5717940, 13.8383210, 21.7138410, 70.1116320, 368.4886300, 2208.7943000", \
+					  "12.4702600, 12.6654370, 13.9360860, 21.8178770, 70.1518700, 369.0268200, 2208.4644000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8839480, 15.3095470, 17.8591740, 33.4916240, 130.2410600, 727.3417900, 4409.1730000", \
+					  "14.8780130, 15.3194470, 17.8600740, 33.4207860, 130.3379500, 727.3145000, 4407.9602000", \
+					  "14.8971640, 15.3194240, 17.8534480, 33.4172970, 130.4835300, 726.4353000, 4403.2254000", \
+					  "14.9149460, 15.3143840, 17.8514310, 33.4271670, 130.5329100, 726.1519400, 4402.3564000", \
+					  "14.9056570, 15.3193830, 17.8168160, 33.4831040, 130.5219000, 726.1157300, 4406.3231000", \
+					  "14.9149580, 15.2888050, 17.8527750, 33.4832930, 130.5310500, 726.4111400, 4403.3077000", \
+					  "14.8955690, 15.2953560, 17.8441000, 33.4843340, 130.0989000, 727.3254700, 4408.0639000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4951700, 4.5268904, 4.7188129, 5.8775638, 12.9239300, 56.1546220, 147.1768200", \
+					  "4.6316742, 4.6633111, 4.8545177, 6.0140919, 13.0603560, 56.2990440, 147.2834400", \
+					  "4.7410280, 4.7706465, 4.9640576, 6.1211156, 13.1697450, 56.4054970, 147.4192100", \
+					  "4.8243796, 4.8563460, 5.0482676, 6.2070957, 13.2527040, 56.5070910, 147.4818300", \
+					  "4.8922932, 4.9242015, 5.1160209, 6.2746026, 13.3208750, 56.5715900, 147.5560900", \
+					  "4.9542508, 4.9860027, 5.1777569, 6.3368359, 13.3817750, 56.6364630, 147.6094000", \
+					  "5.0093054, 5.0410414, 5.2329141, 6.3912582, 13.4367850, 56.6916650, 147.6684300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1866884, 2.2415332, 2.5918336, 4.7929523, 18.5413850, 74.3677550, 108.8827400", \
+					  "2.1866529, 2.2430553, 2.5908997, 4.8066853, 18.5088100, 74.3651610, 109.2424500", \
+					  "2.1844413, 2.2437234, 2.5905000, 4.7970534, 18.5466110, 74.3552280, 109.0426200", \
+					  "2.1844102, 2.2410853, 2.5900309, 4.8042743, 18.5121870, 74.3729700, 108.9309700", \
+					  "2.1867679, 2.2432467, 2.5907627, 4.8025144, 18.5352320, 74.3853260, 109.1713400", \
+					  "2.1847686, 2.2417119, 2.5898121, 4.8009556, 18.5366740, 74.3731260, 109.2678500", \
+					  "2.1868829, 2.2432811, 2.5926414, 4.8105888, 18.5413050, 74.3746970, 108.9241300");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.6089511, 3.6091270, 3.6091272, 3.6091303, 3.6091306, 3.6091308, 3.6658105", \
+					  "3.7452286, 3.7452970, 3.7454488, 3.7454490, 3.7454492, 3.7454495, 3.7765098", \
+					  "3.8554346, 3.8554773, 3.8554817, 3.8554990, 3.8554992, 3.8554994, 3.8986907", \
+					  "3.9400172, 3.9402002, 3.9402003, 3.9402005, 3.9402007, 3.9402010, 3.9829375", \
+					  "4.0089310, 4.0090358, 4.0094159, 4.0094164, 4.0094169, 4.0094173, 4.0504129", \
+					  "4.0713952, 4.0714450, 4.0714453, 4.0714458, 4.0714462, 4.0714467, 4.1194914", \
+					  "4.1265258, 4.1265262, 4.1265760, 4.1265762, 4.1265767, 4.1265772, 4.1678727");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5722865, 4.6057913, 4.8111026, 5.7118790, 7.5487439, 14.2193190, 52.6812940", \
+					  "4.7081468, 4.7409556, 4.9465831, 5.8475793, 7.6840623, 14.3549730, 52.7950480", \
+					  "4.8164859, 4.8509929, 5.0565001, 5.9572605, 7.7942115, 14.4649620, 52.8837030", \
+					  "4.9014717, 4.9332356, 5.1388742, 6.0396257, 7.8764311, 14.5469530, 53.0022320", \
+					  "4.9701514, 5.0028910, 5.2083431, 6.1088978, 7.9458218, 14.6177370, 53.0651150", \
+					  "5.0313429, 5.0651288, 5.2705866, 6.1712706, 8.0080742, 14.6804500, 53.1187720", \
+					  "5.0856284, 5.1202598, 5.3255604, 6.2264407, 8.0634184, 14.7337740, 53.1524370");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.8722086, 1.8886028, 1.9731086, 2.2595523, 3.0097369, 12.0744560, 69.7522680", \
+					  "1.8721835, 1.8876318, 1.9727857, 2.2599438, 3.0100853, 12.0900430, 69.8150940", \
+					  "1.8732080, 1.8878854, 1.9730124, 2.2601148, 3.0101719, 12.0904090, 69.7192140", \
+					  "1.8725896, 1.8875586, 1.9727753, 2.2600399, 3.0103426, 12.0754000, 69.7047260", \
+					  "1.8719866, 1.8880293, 1.9727229, 2.2603035, 3.0102720, 12.0984670, 69.8269890", \
+					  "1.8721711, 1.8880377, 1.9727509, 2.2599491, 3.0102445, 12.0764780, 69.7944670", \
+					  "1.8730835, 1.8881232, 1.9736193, 2.2598066, 3.0121119, 12.0694690, 69.7258710");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.1008517, 5.1234670, 5.2500515, 5.7900622, 7.8313125, 14.8755390, 32.2006030", \
+					  "5.2569429, 5.2806493, 5.4065530, 5.9458842, 7.9863280, 15.0284250, 32.3564540", \
+					  "5.4096865, 5.4329936, 5.5595062, 6.1001790, 8.1402254, 15.1818380, 32.5099240", \
+					  "5.5426264, 5.5652380, 5.6917946, 6.2318888, 8.2731964, 15.3175290, 32.6439460", \
+					  "5.6553273, 5.6779443, 5.8061169, 6.3441821, 8.3856611, 15.4300070, 32.7567480", \
+					  "5.7642673, 5.7868834, 5.9134684, 6.4535373, 8.4948342, 15.5391660, 32.8641540", \
+					  "5.8644698, 5.8870522, 6.0137890, 6.5537966, 8.5951166, 15.6393760, 32.9646140");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.3244945, 1.3314985, 1.3797318, 1.5830740, 3.6558223, 8.4503604, 25.3398140", \
+					  "1.3242584, 1.3332196, 1.3813369, 1.5820008, 3.6564018, 8.4386622, 25.3401800", \
+					  "1.3230408, 1.3307720, 1.3804682, 1.5827861, 3.6540897, 8.4387022, 25.3398720", \
+					  "1.3245094, 1.3315191, 1.3797440, 1.5831577, 3.6561050, 8.4504324, 25.3401660", \
+					  "1.3245303, 1.3315303, 1.3813762, 1.5823814, 3.6555599, 8.4503284, 25.3400150", \
+					  "1.3245040, 1.3315329, 1.3797242, 1.5832025, 3.6560968, 8.4504297, 25.3401550", \
+					  "1.3295145, 1.3316093, 1.3797349, 1.5833427, 3.6559957, 8.4503604, 25.3428110");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.6459471, 3.6459474, 3.6459476, 3.6459479, 3.6459481, 3.6459483, 3.7257282", \
+					  "3.7829158, 3.7829160, 3.7829162, 3.7829165, 3.7829167, 3.7829170, 3.8345362", \
+					  "3.8929633, 3.8931112, 3.8931114, 3.8931116, 3.8931119, 3.8931121, 3.9462846", \
+					  "3.9777324, 3.9778607, 3.9778609, 3.9778611, 3.9778613, 3.9778616, 4.0355355", \
+					  "4.0466794, 4.0466798, 4.0466803, 4.0466807, 4.0466812, 4.0466817, 4.1010884", \
+					  "4.1135051, 4.1135052, 4.1135057, 4.1135061, 4.1135066, 4.1135071, 4.1607415", \
+					  "4.1641215, 4.1641588, 4.1641591, 4.1641596, 4.1641601, 4.1641605, 4.2228163");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4983025, 3.4983026, 3.4983029, 3.4987437, 3.4987439, 3.4987442, 3.5556245", \
+					  "3.6449477, 3.6449479, 3.6449482, 3.6449484, 3.6449487, 3.6449489, 3.6911796", \
+					  "3.7447796, 3.7449213, 3.7449214, 3.7449216, 3.7449219, 3.7449221, 3.7957810", \
+					  "3.8276116, 3.8277741, 3.8332395, 3.8332397, 3.8332400, 3.8332402, 3.8788408", \
+					  "3.8976276, 3.8976278, 3.8976511, 3.8976514, 3.8976516, 3.8976518, 3.9508157", \
+					  "3.9606127, 3.9606129, 3.9689243, 3.9689245, 3.9689247, 3.9689249, 4.0129122", \
+					  "4.0214507, 4.0214508, 4.0214513, 4.0214518, 4.0214522, 4.0214527, 4.0685437");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4511363, 4.4710329, 4.4710334, 4.4710339, 4.4710343, 4.4710348, 4.4710353", \
+					  "4.5834385, 4.5889363, 4.5898441, 4.5947970, 4.5947974, 4.5947979, 4.5947984", \
+					  "4.6960884, 4.7156825, 4.7180384, 4.7180388, 4.7180393, 4.7180398, 4.7180403", \
+					  "4.7790403, 4.7830109, 4.7940567, 4.7940572, 4.7940577, 4.7940581, 4.7940586", \
+					  "4.8723732, 4.8767470, 4.8767474, 4.8767479, 4.8767484, 4.8767489, 4.8767493", \
+					  "4.9324549, 4.9364361, 4.9364365, 4.9364369, 4.9364374, 4.9364379, 4.9364384", \
+					  "4.9757838, 4.9789555, 4.9789556, 4.9789560, 4.9789565, 4.9789570, 4.9789575");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6460560, 4.6787689, 4.8803139, 5.8079697, 7.6567428, 14.3267040, 52.7857720", \
+					  "4.8004236, 4.8337028, 5.0336322, 5.9620819, 7.8105318, 14.4809240, 52.9321050", \
+					  "4.9560334, 4.9852753, 5.1837405, 6.1176584, 7.9638758, 14.6361310, 53.0836710", \
+					  "5.0854014, 5.1189777, 5.3227240, 6.2470500, 8.0988505, 14.7689380, 53.2138960", \
+					  "5.1999081, 5.2327831, 5.4340159, 6.3613816, 8.2106224, 14.8801020, 53.3306980", \
+					  "5.3068532, 5.3374736, 5.5419007, 6.4709091, 8.3172740, 14.9878470, 53.4388570", \
+					  "5.4062679, 5.4373466, 5.6421072, 6.5677273, 8.4159772, 15.0855790, 53.5220930");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9070614, 1.9247348, 2.0142752, 2.3203115, 3.0434977, 12.0840540, 69.7763060", \
+					  "1.9077906, 1.9235424, 2.0142743, 2.3197630, 3.0363163, 12.0840490, 69.8158260", \
+					  "1.9071202, 1.9251778, 2.0147568, 2.3195699, 3.0432801, 12.0832410, 69.7761140", \
+					  "1.9069430, 1.9247355, 2.0133064, 2.3198405, 3.0433815, 12.0939980, 69.8275780", \
+					  "1.9070676, 1.9234951, 2.0142858, 2.3197657, 3.0433092, 12.0937610, 69.8334330", \
+					  "1.9071080, 1.9244091, 2.0134019, 2.3197531, 3.0368306, 12.0940670, 69.8157480", \
+					  "1.9070920, 1.9235609, 2.0146125, 2.3197679, 3.0432894, 12.0939420, 69.7861610");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1347050, 12.3456780, 13.6120690, 21.4845240, 69.8881880, 368.1189500, 2208.3595000", \
+					  "12.2901340, 12.5135110, 13.7803950, 21.6106620, 70.0231200, 368.6648700, 2210.3691000", \
+					  "12.4651410, 12.6657090, 13.9194790, 21.7820660, 70.1864660, 368.5317700, 2208.7097000", \
+					  "12.5982950, 12.8013450, 14.0853350, 21.9481300, 70.3164740, 368.6521900, 2209.2538000", \
+					  "12.7050570, 12.9101750, 14.1667300, 22.0316780, 70.4197300, 369.3136300, 2208.7809000", \
+					  "12.7972550, 13.0180750, 14.2846950, 22.1312040, 70.5409630, 369.1705800, 2211.4966000", \
+					  "12.9187900, 13.1273340, 14.3893820, 22.2725540, 70.6423510, 369.2881300, 2209.0844000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8930250, 15.3161090, 17.8460910, 33.4746380, 130.4868700, 727.4122100, 4403.5692000", \
+					  "14.9038080, 15.3257350, 17.8319100, 33.4467560, 130.5117600, 727.2708400, 4407.3058000", \
+					  "14.9162660, 15.3192070, 17.8461510, 33.4673690, 130.4993600, 727.1771100, 4409.5677000", \
+					  "14.9162180, 15.3250890, 17.8565200, 33.4853960, 130.5045500, 727.1993900, 4409.2244000", \
+					  "14.9136400, 15.3146600, 17.8512400, 33.4724780, 130.4737800, 727.1349900, 4403.9589000", \
+					  "14.9038360, 15.3187900, 17.8270200, 33.4583100, 130.5071000, 727.2819300, 4402.2254000", \
+					  "14.9105350, 15.3115690, 17.8548250, 33.4939440, 130.4694700, 726.5067000, 4409.5100000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9087463, 4.9145652, 4.9145654, 4.9145659, 4.9145663, 4.9145668, 4.9145673", \
+					  "5.0607185, 5.0607188, 5.0607193, 5.0607198, 5.0607203, 5.0607207, 5.0607212", \
+					  "5.1701052, 5.1701053, 5.1701057, 5.1701062, 5.1701067, 5.1701072, 5.1701077", \
+					  "5.2426157, 5.2426160, 5.2531250, 5.2531255, 5.2531260, 5.2531264, 5.2531269", \
+					  "5.3095839, 5.3095840, 5.3095844, 5.3095849, 5.3095854, 5.3095859, 5.3095863", \
+					  "5.3824207, 5.3824208, 5.3824213, 5.3824218, 5.3824223, 5.3824227, 5.3824232", \
+					  "5.4426961, 5.4426964, 5.4426969, 5.4426973, 5.4426978, 5.4426983, 5.4426988");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3801499, 3.3801500, 3.3801502, 3.3807872, 3.3807873, 3.3807875, 3.4491223", \
+					  "3.5116170, 3.5116171, 3.5116174, 3.5116176, 3.5116178, 3.5116181, 3.6268810", \
+					  "3.6204568, 3.6210963, 3.6215877, 3.6241927, 3.6241929, 3.6241931, 3.6897307", \
+					  "3.7005414, 3.7033099, 3.7110863, 3.7110864, 3.7110867, 3.7110869, 3.7640748", \
+					  "3.7770058, 3.7770061, 3.7770063, 3.7770066, 3.7770068, 3.7770070, 3.8356855", \
+					  "3.8320427, 3.8338026, 3.8338029, 3.8338031, 3.8338033, 3.8338036, 3.9213268", \
+					  "3.8884882, 3.8897139, 3.8897356, 3.8925196, 3.8925199, 3.8925201, 3.9818926");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5282405, 5.5638223, 5.7784106, 7.0812596, 14.9259090, 48.5698340, 159.2239000", \
+					  "5.6804388, 5.7163181, 5.9320704, 7.2272872, 15.0755660, 48.7285660, 159.3541600", \
+					  "5.8344525, 5.8728316, 6.0876535, 7.3881772, 15.2356600, 48.8743520, 159.5320800", \
+					  "5.9690000, 6.0008558, 6.2173617, 7.5227149, 15.3680820, 49.0095040, 159.6278500", \
+					  "6.0809331, 6.1164815, 6.3244308, 7.6356992, 15.4795160, 49.1139180, 159.7435100", \
+					  "6.1879355, 6.2240137, 6.4382180, 7.7335951, 15.5814170, 49.2280740, 159.8777400", \
+					  "6.2891590, 6.3218799, 6.5361614, 7.8368405, 15.6794490, 49.3306120, 159.9490500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3566198, 2.4181619, 2.8013007, 5.1903122, 17.2625790, 57.8263300, 137.6702400", \
+					  "2.3514395, 2.4181652, 2.7989007, 5.1816844, 17.2620440, 57.9729190, 137.6457800", \
+					  "2.3566056, 2.4181639, 2.8013012, 5.1886526, 17.2626390, 57.8116010, 137.6702800", \
+					  "2.3518021, 2.4181745, 2.7975493, 5.1886611, 17.2627050, 57.8116930, 137.6717500", \
+					  "2.3565943, 2.4181821, 2.8019269, 5.1886393, 17.2710950, 57.8789890, 137.6494900", \
+					  "2.3566071, 2.4183906, 2.8013010, 5.1803353, 17.2689860, 57.8115230, 137.6702300", \
+					  "2.3565669, 2.4182027, 2.7981599, 5.1932094, 17.2656020, 57.8263840, 137.6527800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4903667, 3.4942242, 3.4942245, 3.4942247, 3.4942250, 3.4942252, 3.5424941", \
+					  "3.6382368, 3.6382369, 3.6382372, 3.6382374, 3.6382376, 3.6382379, 3.6855361", \
+					  "3.7367425, 3.7370222, 3.7370223, 3.7370226, 3.7370228, 3.7370230, 3.7880352", \
+					  "3.8194616, 3.8195580, 3.8280032, 3.8280033, 3.8280036, 3.8280038, 3.8705603", \
+					  "3.8897356, 3.8897359, 3.8897889, 3.8897890, 3.8897893, 3.8897895, 3.9446788", \
+					  "3.9562260, 3.9562263, 3.9621142, 3.9621143, 3.9621145, 3.9621147, 4.0060462", \
+					  "4.0096144, 4.0096148, 4.0096152, 4.0096157, 4.0096162, 4.0096167, 4.0599955");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3812777, 3.3816208, 3.3816211, 3.3816736, 3.3816737, 3.3816740, 3.4645105", \
+					  "3.5129781, 3.5129782, 3.5130709, 3.5130710, 3.5130712, 3.5130715, 3.5776926", \
+					  "3.6215999, 3.6220427, 3.6223802, 3.6249188, 3.6249189, 3.6249191, 3.6892502", \
+					  "3.6965209, 3.6965210, 3.7104502, 3.7104503, 3.7104506, 3.7104508, 3.7974446", \
+					  "3.7776169, 3.7776171, 3.7776174, 3.7776176, 3.7776179, 3.7776181, 3.8712300", \
+					  "3.8335313, 3.8352814, 3.8352815, 3.8352818, 3.8352820, 3.8352823, 3.9145167", \
+					  "3.8901495, 3.8910524, 3.8910527, 3.8910529, 3.8910531, 3.8910534, 3.9839869");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.9173101, 4.9184021, 4.9193205, 4.9193209, 4.9193213, 4.9193218, 4.9193223", \
+					  "5.0544284, 5.0544289, 5.0544293, 5.0544298, 5.0544303, 5.0544308, 5.0544313", \
+					  "5.1711018, 5.1711019, 5.1711023, 5.1711028, 5.1711033, 5.1711038, 5.1711042", \
+					  "5.2546802, 5.2546804, 5.2546809, 5.2546814, 5.2546819, 5.2546824, 5.2546828", \
+					  "5.3212021, 5.3212026, 5.3212030, 5.3212035, 5.3212040, 5.3212045, 5.3212050", \
+					  "5.3838503, 5.3838504, 5.3838509, 5.3838513, 5.3838518, 5.3838523, 5.3838528", \
+					  "5.4376308, 5.4376309, 5.4376314, 5.4376319, 5.4376324, 5.4376328, 5.4376333");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.4457420, 4.4733555, 4.4733556, 4.4733561, 4.4733565, 4.4733570, 4.4733575", \
+					  "4.5849649, 4.5894639, 4.5894640, 4.5894645, 4.5894650, 4.5894654, 4.5894659", \
+					  "4.6959290, 4.7175903, 4.7175906, 4.7175911, 4.7175916, 4.7175921, 4.7175925", \
+					  "4.7797895, 4.7832938, 4.7832940, 4.7832945, 4.7832950, 4.7832954, 4.7832959", \
+					  "4.8729065, 4.8807460, 4.8807462, 4.8807467, 4.8807471, 4.8807476, 4.8807481", \
+					  "4.9331845, 4.9364663, 4.9364665, 4.9364670, 4.9364675, 4.9364679, 4.9364684", \
+					  "4.9781788, 4.9809930, 4.9809931, 4.9809936, 4.9809940, 4.9809945, 4.9809950");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5064104, 4.5389981, 4.7409845, 5.6679954, 7.5174009, 14.1855350, 52.6258400", \
+					  "4.6418731, 4.6743414, 4.8768900, 5.8039409, 7.6536928, 14.3209740, 52.7683670", \
+					  "4.7507109, 4.7846198, 4.9849471, 5.9134937, 7.7629414, 14.4277440, 52.8639500", \
+					  "4.8355018, 4.8687334, 5.0702451, 5.9983614, 7.8449354, 14.5142040, 52.9368870", \
+					  "4.9038720, 4.9361057, 5.1383986, 6.0658198, 7.9143145, 14.5817920, 53.0345400", \
+					  "4.9644768, 4.9977698, 5.1992699, 6.1266832, 7.9761087, 14.6437410, 53.0611570", \
+					  "5.0193463, 5.0529039, 5.2542268, 6.1818679, 8.0316935, 14.7004100, 53.1348690");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9077415, 1.9247683, 2.0139186, 2.3202602, 3.0434457, 12.0741910, 69.8137010", \
+					  "1.9081479, 1.9247939, 2.0144044, 2.3199029, 3.0367580, 12.0848760, 69.7495250", \
+					  "1.9069575, 1.9236739, 2.0142172, 2.3199660, 3.0386320, 12.0748020, 69.8058070", \
+					  "1.9072139, 1.9236832, 2.0134678, 2.3199647, 3.0397407, 12.0888880, 69.7630680", \
+					  "1.9081967, 1.9248503, 2.0138375, 2.3201887, 3.0396590, 12.0750920, 69.7837520", \
+					  "1.9071122, 1.9236472, 2.0143907, 2.3199089, 3.0352021, 12.0649680, 69.7266240", \
+					  "1.9070925, 1.9236699, 2.0143838, 2.3199551, 3.0340566, 12.0760400, 69.7866010");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.2331711, 4.2332786, 4.2332790, 4.2332795, 4.2332800, 4.2332805, 4.2910395", \
+					  "4.3694968, 4.3694971, 4.3694976, 4.3694981, 4.3694985, 4.3694990, 4.4260591", \
+					  "4.4812136, 4.4812139, 4.4812143, 4.4812148, 4.4812153, 4.4812158, 4.5377595", \
+					  "4.5645345, 4.5647748, 4.5656634, 4.5656636, 4.5656641, 4.5656646, 4.6229531", \
+					  "4.6345532, 4.6345537, 4.6351727, 4.6351731, 4.6351736, 4.6351741, 4.6902215", \
+					  "4.6965654, 4.7056643, 4.7056644, 4.7056649, 4.7056654, 4.7056659, 4.7546580", \
+					  "4.7534128, 4.7534918, 4.7535402, 4.7535403, 4.7535408, 4.7535413, 4.8121493");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6460014, 4.6787418, 4.8808433, 5.8081806, 7.6573645, 14.3265040, 52.7559650", \
+					  "4.7997695, 4.8314805, 5.0337841, 5.9616965, 7.8109021, 14.4802280, 52.9299060", \
+					  "4.9530979, 4.9882320, 5.1905502, 6.1145591, 7.9674125, 14.6361280, 53.0949540", \
+					  "5.0884266, 5.1200968, 5.3205378, 6.2473271, 8.0951894, 14.7680080, 53.2269770", \
+					  "5.1996984, 5.2339706, 5.4346900, 6.3606218, 8.2088576, 14.8778090, 53.3288760", \
+					  "5.3058860, 5.3388898, 5.5405809, 6.4678414, 8.3184402, 14.9874300, 53.4391200", \
+					  "5.4049467, 5.4355898, 5.6413776, 6.5681118, 8.4164400, 15.0900480, 53.5391220");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9072841, 1.9251714, 2.0150135, 2.3198679, 3.0431535, 12.0885240, 69.7936360", \
+					  "1.9072861, 1.9251658, 2.0141311, 2.3203333, 3.0361251, 12.0812700, 69.8140070", \
+					  "1.9071371, 1.9236672, 2.0143340, 2.3198128, 3.0431391, 12.0762590, 69.7908790", \
+					  "1.9076192, 1.9237631, 2.0144417, 2.3199351, 3.0431433, 12.0899260, 69.8143620", \
+					  "1.9079052, 1.9237720, 2.0144997, 2.3199520, 3.0361516, 12.0880750, 69.8148100", \
+					  "1.9072846, 1.9238084, 2.0145150, 2.3199691, 3.0384937, 12.0863670, 69.8331420", \
+					  "1.9084101, 1.9237500, 2.0144442, 2.3202473, 3.0393936, 12.0857960, 69.8311030");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5235079, 5.5498686, 5.6831531, 6.2356773, 8.2816838, 15.3523490, 32.6888060", \
+					  "5.6761036, 5.7018603, 5.8369563, 6.3905206, 8.4342924, 15.5045840, 32.8433250", \
+					  "5.8307101, 5.8581748, 5.9936647, 6.5433041, 8.5883727, 15.6620800, 32.9959600", \
+					  "5.9646386, 5.9907359, 6.1264802, 6.6760446, 8.7204386, 15.7926380, 33.1287420", \
+					  "6.0744352, 6.1013874, 6.2362706, 6.7897448, 8.8364001, 15.9057500, 33.2408660", \
+					  "6.1837326, 6.2040431, 6.3444623, 6.8969452, 8.9445757, 16.0112510, 33.3496430", \
+					  "6.2810797, 6.3105494, 6.4414909, 6.9885258, 9.0409662, 16.1056590, 33.4462450");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4038328, 1.4144319, 1.4713321, 1.6738532, 3.6707946, 8.4749878, 25.3455340", \
+					  "1.4074831, 1.4179169, 1.4712344, 1.6739261, 3.6708125, 8.4751166, 25.3456670", \
+					  "1.4065110, 1.4130888, 1.4693969, 1.6742425, 3.6705445, 8.4751957, 25.3452640", \
+					  "1.4083913, 1.4144107, 1.4716477, 1.6737072, 3.6701000, 8.4584579, 25.3427480", \
+					  "1.4076280, 1.4123424, 1.4686599, 1.6763305, 3.6702528, 8.4750402, 25.3558420", \
+					  "1.4082721, 1.4227524, 1.4719573, 1.6739878, 3.6700660, 8.4580334, 25.3452830", \
+					  "1.4048216, 1.4144214, 1.4710821, 1.6725243, 3.6704535, 8.4643803, 25.3451340");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6351509, 4.6666084, 4.8588888, 6.0174155, 13.0636040, 56.2831740, 147.3012200", \
+					  "4.7888435, 4.8215139, 5.0123337, 6.1711538, 13.2174240, 56.4360970, 147.4547100", \
+					  "4.9444870, 4.9740589, 5.1680540, 6.3266357, 13.3704680, 56.6276020, 147.6201800", \
+					  "5.0764655, 5.1091367, 5.3012048, 6.4597439, 13.5049810, 56.7459750, 147.7240400", \
+					  "5.1904951, 5.2204303, 5.4110800, 6.5709265, 13.6167660, 56.8476540, 147.8698800", \
+					  "5.2950893, 5.3265801, 5.5188063, 6.6788974, 13.7220270, 56.9541850, 147.9538800", \
+					  "5.3939608, 5.4250049, 5.6205693, 6.7719061, 13.8262650, 57.0421080, 148.0594300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1872408, 2.2420061, 2.5906650, 4.7957429, 18.5051120, 74.3709020, 109.0934700", \
+					  "2.1850917, 2.2402347, 2.5901430, 4.7949928, 18.5445510, 74.3700710, 109.1018000", \
+					  "2.1845081, 2.2392446, 2.5991991, 4.7932969, 18.5099540, 74.4002760, 108.9681700", \
+					  "2.1873250, 2.2390567, 2.5926035, 4.7880819, 18.5176600, 74.4016120, 109.1479000", \
+					  "2.1855746, 2.2439859, 2.5997267, 4.7887720, 18.5236200, 74.3535940, 109.0747900", \
+					  "2.1864495, 2.2418833, 2.5997255, 4.7900381, 18.5435840, 74.3639960, 109.1385600", \
+					  "2.1870732, 2.2418480, 2.5992006, 4.7933177, 18.5177460, 74.3291790, 109.1311100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5619140, 5.5963398, 5.8140039, 7.1109714, 14.9729790, 62.9475570, 136.1216700", \
+					  "5.7143836, 5.7520704, 5.9629239, 7.2596147, 15.1291300, 63.1055100, 136.2751500", \
+					  "5.8674190, 5.9029848, 6.1228507, 7.4182607, 15.2737040, 63.2564290, 136.4306600", \
+					  "6.0007448, 6.0372489, 6.2559513, 7.5559727, 15.4087550, 63.3840290, 136.5621400", \
+					  "6.1153096, 6.1504930, 6.3672729, 7.6645961, 15.5597300, 63.4966850, 136.6723600", \
+					  "6.2230057, 6.2517236, 6.4707542, 7.7697031, 15.6247070, 63.6091850, 136.7808800", \
+					  "6.3203442, 6.3514447, 6.5718417, 7.8739379, 15.7581330, 63.6993750, 136.8811600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3418734, 2.4053828, 2.7878759, 5.1784341, 20.1265960, 75.1231250, 75.4351390", \
+					  "2.3424058, 2.4026166, 2.7837777, 5.1779696, 20.1519190, 75.1392260, 75.4019920", \
+					  "2.3419142, 2.4053686, 2.7879008, 5.1772271, 20.1449120, 75.1327040, 75.4493070", \
+					  "2.3424722, 2.4053139, 2.7837204, 5.1816014, 20.1449120, 75.1247600, 75.4651030", \
+					  "2.3420983, 2.4055005, 2.7879197, 5.1818487, 20.1402730, 75.1360860, 75.4646620", \
+					  "2.3427618, 2.4056703, 2.7878342, 5.1782267, 20.1448550, 75.1458700, 75.4053390", \
+					  "2.3418754, 2.4045013, 2.7859772, 5.1815343, 20.1197050, 75.1269980, 75.4311880");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.4901162, 3.4905137, 3.4905140, 3.4915697, 3.4915699, 3.4915702, 3.5377594", \
+					  "3.6358407, 3.6358408, 3.6358411, 3.6358413, 3.6358415, 3.6358418, 3.6809535", \
+					  "3.7370627, 3.7370629, 3.7371337, 3.7371339, 3.7371341, 3.7371344, 3.7892742", \
+					  "3.8198742, 3.8201291, 3.8264774, 3.8264775, 3.8264777, 3.8264779, 3.8722185", \
+					  "3.8906314, 3.8906316, 3.8906318, 3.8906321, 3.8906323, 3.8906326, 3.9421106", \
+					  "3.9512780, 3.9609209, 3.9609210, 3.9609212, 3.9609215, 3.9609217, 4.0054551", \
+					  "4.0106238, 4.0106505, 4.0111745, 4.0111750, 4.0111754, 4.0111759, 4.0605910");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("3.3741594, 3.3747942, 3.3747944, 3.3770360, 3.3770363, 3.3770365, 3.5048884", \
+					  "3.5019452, 3.5098013, 3.5098016, 3.5148315, 3.5148317, 3.5148320, 3.5955525", \
+					  "3.6179850, 3.6197267, 3.6207699, 3.6220511, 3.6220512, 3.6220514, 3.6881658", \
+					  "3.6942152, 3.6974075, 3.7006251, 3.7006254, 3.7006256, 3.7006258, 3.7859436", \
+					  "3.7610488, 3.7620804, 3.7675334, 3.7709231, 3.7709233, 3.7709235, 3.8531205", \
+					  "3.8217687, 3.8335397, 3.8335399, 3.8335401, 3.8335404, 3.8335406, 3.9153520", \
+					  "3.8820667, 3.8820669, 3.8830770, 3.8830771, 3.8830773, 3.8830776, 3.9704551");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.9844456, 2.9851315, 2.9930853, 2.9930856, 2.9930858, 2.9930860, 3.0239335", \
+					  "3.1315033, 3.1315035, 3.1315038, 3.1315040, 3.1315042, 3.1315045, 3.2363290", \
+					  "3.2489078, 3.2489080, 3.2489082, 3.2489085, 3.2489087, 3.2489089, 3.3954226", \
+					  "3.4141838, 3.4162011, 3.4162013, 3.4162015, 3.4162018, 3.4162020, 3.5245349", \
+					  "3.5357908, 3.5357909, 3.5357911, 3.5357913, 3.5357916, 3.5357918, 3.6406580", \
+					  "3.6457726, 3.6461780, 3.6461782, 3.6461784, 3.6461787, 3.6461789, 3.7426782", \
+					  "3.7473984, 3.7480139, 3.7480141, 3.7480144, 3.7480146, 3.7480149, 3.8525134");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.4799254, 5.5056764, 5.6423279, 6.2000809, 8.2597627, 19.1922340, 70.6741050", \
+					  "5.6343792, 5.6583511, 5.7943748, 6.3543237, 8.4137630, 19.3434100, 70.8257910", \
+					  "5.7865353, 5.8163772, 5.9508021, 6.5074834, 8.5667144, 19.4989460, 70.9831480", \
+					  "5.9222112, 5.9481380, 6.0790852, 6.6415868, 8.7013063, 19.6324430, 71.1108830", \
+					  "6.0348264, 6.0586336, 6.1921606, 6.7542385, 8.8143679, 19.7419610, 71.2260900", \
+					  "6.1411005, 6.1658563, 6.3022161, 6.8592092, 8.9193932, 19.8530740, 71.3383560", \
+					  "6.2378875, 6.2647492, 6.4005676, 6.9593313, 9.0181418, 19.9493560, 71.4323680");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.4107021, 1.4189033, 1.4751579, 1.6846005, 3.7056489, 18.3966920, 67.2270990", \
+					  "1.4044359, 1.4151709, 1.4703652, 1.6849311, 3.7028696, 18.3973090, 67.2440950", \
+					  "1.4084659, 1.4189608, 1.4751639, 1.6850074, 3.7040355, 18.3919140, 67.2249600", \
+					  "1.4085135, 1.4190017, 1.4751438, 1.6850522, 3.7019954, 18.3958400, 67.2224520", \
+					  "1.4084711, 1.4187433, 1.4759399, 1.6851103, 3.7039258, 18.3920520, 67.2141670", \
+					  "1.4090779, 1.4135725, 1.4751635, 1.6850197, 3.7018144, 18.3919510, 67.2674360", \
+					  "1.4081852, 1.4186536, 1.4751633, 1.6855114, 3.7025794, 18.3958360, 67.2311490");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.9939116, 3.0028726, 3.0028729, 3.0028731, 3.0028734, 3.0028736, 3.0599303", \
+					  "3.1298986, 3.1342582, 3.1342584, 3.1342587, 3.1342589, 3.1342592, 3.2311878", \
+					  "3.2783620, 3.2783622, 3.2783624, 3.2783627, 3.2783629, 3.2783632, 3.4074232", \
+					  "3.4195700, 3.4219843, 3.4219844, 3.4219846, 3.4219848, 3.4219851, 3.5436889", \
+					  "3.5295142, 3.5313177, 3.5313179, 3.5313181, 3.5313184, 3.5313186, 3.6468288", \
+					  "3.6447152, 3.6449890, 3.6449892, 3.6449894, 3.6449897, 3.6449899, 3.7522638", \
+					  "3.7615768, 3.7627377, 3.7627379, 3.7627382, 3.7627384, 3.7627386, 3.8540411");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4243220, 11.6325740, 12.9058980, 20.7673860, 69.2766240, 368.5775900, 2212.4548000", \
+					  "11.5796090, 11.7843600, 13.0615360, 20.9215120, 69.4459890, 368.8942100, 2213.6467000", \
+					  "11.7314120, 11.9379860, 13.2160300, 21.0740580, 69.5648270, 368.5676400, 2212.5898000", \
+					  "11.8653790, 12.0738020, 13.3434640, 21.2086330, 69.6760270, 369.0063900, 2212.9586000", \
+					  "11.9780950, 12.1855980, 13.4606900, 21.3224250, 69.8590340, 369.2792100, 2213.9707000", \
+					  "12.0854960, 12.2928500, 13.5665830, 21.4199410, 69.9953450, 369.1122600, 2214.0885000", \
+					  "12.1836660, 12.3893450, 13.6669960, 21.5322210, 70.0768230, 368.9603700, 2214.1869000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2496430, 15.6633940, 18.1975450, 33.8666090, 131.1287400, 728.9734200, 4416.4990000", \
+					  "15.2423120, 15.6611740, 18.2133050, 33.8684390, 131.0639300, 729.1911300, 4416.7491000", \
+					  "15.2325760, 15.6701850, 18.1970990, 33.8665630, 130.9803300, 729.2810600, 4416.2716000", \
+					  "15.2603810, 15.6779110, 18.2023210, 33.8663960, 130.9491900, 729.0390200, 4418.9833000", \
+					  "15.2493410, 15.6672400, 18.1789180, 33.8747090, 130.7281300, 729.1878900, 4417.1384000", \
+					  "15.2369280, 15.6766560, 18.2133150, 33.8682260, 130.9548700, 728.4066900, 4415.9942000", \
+					  "15.2654070, 15.6635430, 18.2042700, 33.8552300, 131.0658700, 728.6130300, 4414.8710000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1578500, 12.3654540, 13.6181220, 21.5022700, 69.8774300, 368.2344000, 2209.1344000", \
+					  "12.3101110, 12.4996200, 13.7660670, 21.6396380, 69.9960690, 368.9365300, 2210.0328000", \
+					  "12.4598840, 12.6623710, 13.9191300, 21.7923920, 70.1595080, 368.6201600, 2209.2947000", \
+					  "12.5787880, 12.7954810, 14.0523400, 21.9253020, 70.2931830, 369.0256000, 2208.2993000", \
+					  "12.6876380, 12.9125150, 14.1806600, 22.0196160, 70.4442580, 368.7994200, 2209.3693000", \
+					  "12.8117080, 13.0044270, 14.2700700, 22.1468500, 70.5107580, 368.9301900, 2208.7272000", \
+					  "12.9153900, 13.1168050, 14.3761070, 22.2265480, 70.6197410, 369.0249500, 2209.9903000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8963950, 15.3208700, 17.8558480, 33.4931940, 130.5343900, 726.9733800, 4409.2494000", \
+					  "14.9095880, 15.2870680, 17.8515100, 33.4822370, 130.0052200, 727.1391400, 4410.9288000", \
+					  "14.9143600, 15.3044310, 17.8411970, 33.4780910, 130.0328300, 727.0186900, 4404.4255000", \
+					  "14.9060820, 15.3057530, 17.8413040, 33.4775730, 130.0404900, 726.9605400, 4403.6533000", \
+					  "14.8917510, 15.3219690, 17.8443500, 33.4694440, 130.5342500, 727.2506100, 4408.8065000", \
+					  "14.9076240, 15.2868100, 17.8408220, 33.4815270, 130.0238900, 727.1190200, 4408.1353000", \
+					  "14.9163080, 15.3217600, 17.8527060, 33.4464440, 130.1099700, 726.5964500, 4405.6287000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5148054, 4.5471951, 4.7492863, 5.6712044, 7.5188584, 14.1882710, 52.6397880", \
+					  "4.6500963, 4.6829620, 4.8846163, 5.8066143, 7.6540134, 14.3235040, 52.7765280", \
+					  "4.7599134, 4.7926885, 4.9947118, 5.9166325, 7.7636226, 14.4326950, 52.8549400", \
+					  "4.8422626, 4.8746940, 5.0766440, 5.9989063, 7.8459328, 14.5149880, 52.9382900", \
+					  "4.9118141, 4.9447033, 5.1466698, 6.0683845, 7.9154479, 14.5847120, 53.0434680", \
+					  "4.9740998, 5.0069495, 5.2085865, 6.1306715, 7.9777784, 14.6468610, 53.0691370", \
+					  "5.0291757, 5.0620184, 5.2636948, 6.1857404, 8.0330684, 14.7018800, 53.1395370");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9013546, 1.9192818, 2.0080833, 2.3136315, 3.0423961, 12.1011980, 69.7442810", \
+					  "1.9014823, 1.9180766, 2.0080368, 2.3131137, 3.0353220, 12.0867680, 69.7828210", \
+					  "1.9028175, 1.9184883, 2.0083279, 2.3136046, 3.0381355, 12.0761920, 69.7344400", \
+					  "1.9014290, 1.9194772, 2.0085316, 2.3135045, 3.0391130, 12.0706940, 69.7176570", \
+					  "1.9023654, 1.9179642, 2.0083257, 2.3135198, 3.0383311, 12.0709280, 69.7254810", \
+					  "1.9014914, 1.9180659, 2.0073943, 2.3130289, 3.0386582, 12.0702980, 69.7340640", \
+					  "1.9015400, 1.9181445, 2.0081292, 2.3131785, 3.0355261, 12.0700450, 69.8102200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1936740, 12.4004490, 13.7193400, 21.7769160, 70.4189130, 369.0459500, 2210.4339000", \
+					  "12.3690710, 12.5690720, 13.8982610, 21.8959570, 70.6009400, 369.3944600, 2208.8107000", \
+					  "12.5027080, 12.7208280, 14.0512770, 22.0793820, 70.7226770, 369.4450800, 2211.1624000", \
+					  "12.6399880, 12.8502290, 14.1771520, 22.1872880, 70.8197520, 369.9125000, 2212.1212000", \
+					  "12.7488340, 12.9676310, 14.2972920, 22.3254060, 70.9530210, 369.7008400, 2212.0273000", \
+					  "12.8593090, 13.0691250, 14.3840950, 22.4065340, 71.0383930, 370.1931300, 2212.4279000", \
+					  "12.9571670, 13.1639430, 14.4797210, 22.5391870, 71.1337760, 370.2917300, 2212.4650000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.9070210, 15.3018260, 17.8110890, 33.4482810, 130.4970000, 726.7507800, 4405.1681000", \
+					  "14.9092490, 15.2943260, 17.8594920, 33.4139580, 130.4531300, 726.8830000, 4405.0485000", \
+					  "14.8744810, 15.3046610, 17.8591580, 33.4648860, 130.5247500, 727.1784200, 4402.7565000", \
+					  "14.9055260, 15.3178870, 17.8419860, 33.4305130, 130.1556800, 727.1361800, 4409.2776000", \
+					  "14.8745010, 15.3049470, 17.8586480, 33.4643890, 129.9819000, 727.1762000, 4407.1294000", \
+					  "14.9064370, 15.3173310, 17.8424260, 33.4308620, 130.1596800, 727.1531800, 4408.9452000", \
+					  "14.9070050, 15.3017940, 17.8515370, 33.4473320, 130.3021400, 727.1482300, 4406.9484000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6348720, 4.6663287, 4.8587463, 6.0180199, 13.0629300, 56.3096450, 147.2932600", \
+					  "4.7880571, 4.8211324, 5.0109845, 6.1718870, 13.2173330, 56.4623480, 147.4755600", \
+					  "4.9450293, 4.9746576, 5.1651337, 6.3266081, 13.3729890, 56.6213210, 147.6040600", \
+					  "5.0751088, 5.1062110, 5.2972937, 6.4559940, 13.5043130, 56.7570810, 147.7319000", \
+					  "5.1870233, 5.2196847, 5.4129581, 6.5686283, 13.6133640, 56.8405700, 147.8477000", \
+					  "5.2954223, 5.3291949, 5.5167990, 6.6761578, 13.7239230, 56.9770900, 147.9584200", \
+					  "5.3929257, 5.4231188, 5.6170846, 6.7795298, 13.8229860, 57.0447090, 148.0700900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1866051, 2.2425914, 2.5898441, 4.7948423, 18.5025380, 74.3796140, 109.1532100", \
+					  "2.1859052, 2.2412368, 2.5990889, 4.7948743, 18.5194630, 74.3877990, 108.8429500", \
+					  "2.1841164, 2.2378344, 2.5932341, 4.7931619, 18.5095190, 74.3993950, 109.1982700", \
+					  "2.1864239, 2.2436204, 2.5941433, 4.7893476, 18.5055370, 74.3954370, 109.1794700", \
+					  "2.1866147, 2.2407443, 2.5990980, 4.7888715, 18.5105320, 74.3413440, 108.9551300", \
+					  "2.1864250, 2.2403313, 2.5990856, 4.7899325, 18.5116850, 74.3689060, 108.8595000", \
+					  "2.1868165, 2.2424111, 2.5965432, 4.7933018, 18.5092310, 74.3412800, 109.1477900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1391380, 12.3464450, 13.6192680, 21.4980180, 69.8856160, 368.5834700, 2208.0096000", \
+					  "12.3112730, 12.5140230, 13.7809630, 21.6098320, 70.0243420, 368.6548700, 2209.7784000", \
+					  "12.4644090, 12.6647040, 13.9239980, 21.7786160, 70.1820540, 368.5457800, 2209.2845000", \
+					  "12.6001160, 12.8055100, 14.0575200, 21.9499920, 70.2887210, 369.0189500, 2208.7766000", \
+					  "12.7051200, 12.9138130, 14.1681220, 22.0195190, 70.3990180, 369.0233000, 2212.0901000", \
+					  "12.8147350, 13.0234270, 14.2869490, 22.1270340, 70.5305330, 369.1775100, 2209.7065000", \
+					  "12.9212160, 13.1165480, 14.3875250, 22.2333330, 70.6477150, 369.2564100, 2208.8238000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8825280, 15.3167770, 17.8139280, 33.4951200, 130.5401000, 726.8367300, 4405.8775000", \
+					  "14.9124570, 15.3257870, 17.8330810, 33.4453070, 130.5116100, 727.1393700, 4408.3636000", \
+					  "14.9164330, 15.3184660, 17.8520660, 33.4590740, 130.4955900, 727.1555100, 4407.0794000", \
+					  "14.9105870, 15.3028200, 17.8522050, 33.4853530, 130.0124100, 726.9296100, 4404.1835000", \
+					  "14.9136350, 15.3257190, 17.8524560, 33.4984090, 130.0182600, 726.3141700, 4410.9406000", \
+					  "14.9105330, 15.3269330, 17.8531820, 33.4984060, 130.5114700, 727.2824400, 4405.3377000", \
+					  "14.8980750, 15.3259290, 17.8531450, 33.4581220, 130.4723900, 727.1208300, 4409.0584000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4257650, 11.6307190, 12.9068080, 20.7648410, 69.3349160, 368.2236800, 2212.5857000", \
+					  "11.5774760, 11.7838490, 13.0599660, 20.9183850, 69.4898860, 368.5253800, 2213.9682000", \
+					  "11.7332510, 11.9376000, 13.2152360, 21.0766120, 69.5689550, 368.8396400, 2213.5598000", \
+					  "11.8643260, 12.0695280, 13.3446310, 21.2044520, 69.6994600, 368.9667700, 2212.9843000", \
+					  "11.9800380, 12.1851450, 13.4615940, 21.3273910, 69.7845830, 368.9140700, 2213.6787000", \
+					  "12.0884290, 12.2919800, 13.5679860, 21.4358970, 69.9977890, 368.9728500, 2212.9393000", \
+					  "12.1842060, 12.3909380, 13.6655750, 21.5308980, 69.9967520, 369.4763100, 2214.0966000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2693160, 15.6657420, 18.1909080, 33.8642110, 130.9426200, 730.6409800, 4419.9151000", \
+					  "15.2512970, 15.6507090, 18.2033710, 33.8739170, 130.9470000, 728.9394300, 4417.5767000", \
+					  "15.2337040, 15.6714070, 18.1940400, 33.8694900, 130.9880000, 729.2234200, 4419.3339000", \
+					  "15.2668150, 15.6662350, 18.2053860, 33.8698460, 130.9712300, 729.2239300, 4417.8732000", \
+					  "15.2661300, 15.6590070, 18.1688710, 33.8744570, 130.9523700, 727.9497800, 4418.5085000", \
+					  "15.2668120, 15.6663220, 18.2087220, 33.8102490, 130.9549300, 728.4301700, 4416.9028000", \
+					  "15.2662500, 15.6775230, 18.2096510, 33.8540570, 130.9500000, 729.1755000, 4418.2761000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.5614913, 5.5976277, 5.8139489, 7.1123702, 14.9683390, 62.9480970, 136.1294300", \
+					  "5.7161262, 5.7513998, 5.9643530, 7.2616517, 15.1248470, 63.1047430, 136.2844800", \
+					  "5.8709388, 5.9054410, 6.1230732, 7.4178055, 15.2780140, 63.2614390, 136.4327300", \
+					  "6.0039778, 6.0383765, 6.2556609, 7.5529138, 15.4059200, 63.3848170, 136.5720100", \
+					  "6.1134511, 6.1485914, 6.3611942, 7.6683007, 15.5166480, 63.4951930, 136.6790100", \
+					  "6.2168199, 6.2571583, 6.4755201, 7.7714049, 15.6295630, 63.6011560, 136.7848100", \
+					  "6.3212213, 6.3566645, 6.5736092, 7.8768689, 15.7253980, 63.6996380, 136.8881200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3420199, 2.4016011, 2.7876210, 5.1796705, 20.1448440, 75.1278580, 75.4296750", \
+					  "2.3420852, 2.4025342, 2.7826732, 5.1791638, 20.1520790, 75.1408250, 75.4323890", \
+					  "2.3417968, 2.4048865, 2.7876070, 5.1773772, 20.1453660, 75.1440650, 75.4093110", \
+					  "2.3417930, 2.4051592, 2.7833094, 5.1769939, 20.1454910, 75.1406970, 75.4303070", \
+					  "2.3434947, 2.4049276, 2.7870684, 5.1812865, 20.1444230, 75.1336130, 75.4319910", \
+					  "2.3436235, 2.4051692, 2.7858205, 5.1774074, 20.1452150, 75.1285330, 75.4095590", \
+					  "2.3429008, 2.4043243, 2.7858442, 5.1811266, 20.1459380, 75.1254350, 75.4303500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.6456807, 4.6788964, 4.8809747, 5.8071903, 7.6568538, 14.3266560, 52.7773240", \
+					  "4.7990603, 4.8326605, 5.0340616, 5.9614815, 7.8106295, 14.4809010, 52.9314330", \
+					  "4.9533262, 4.9887068, 5.1879541, 6.1173693, 7.9659985, 14.6336470, 53.0912170", \
+					  "5.0880984, 5.1204272, 5.3185869, 6.2490808, 8.0987448, 14.7687660, 53.2150500", \
+					  "5.1953659, 5.2328710, 5.4328613, 6.3611711, 8.2105291, 14.8806870, 53.3325560", \
+					  "5.3060583, 5.3395319, 5.5409148, 6.4693211, 8.3175165, 14.9871580, 53.4204500", \
+					  "5.4078648, 5.4383240, 5.6392269, 6.5712418, 8.4162721, 15.0849200, 53.5469410");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("1.9069892, 1.9235609, 2.0139952, 2.3199334, 3.0429890, 12.0838670, 69.8158050", \
+					  "1.9069970, 1.9235371, 2.0140294, 2.3197859, 3.0392506, 12.0838250, 69.8171550", \
+					  "1.9068969, 1.9234101, 2.0142263, 2.3195819, 3.0432583, 12.0942060, 69.8264560", \
+					  "1.9071147, 1.9252433, 2.0143125, 2.3196240, 3.0362974, 12.0836320, 69.7743300", \
+					  "1.9070601, 1.9234985, 2.0143949, 2.3197790, 3.0431768, 12.0852790, 69.8163450", \
+					  "1.9070179, 1.9235376, 2.0143922, 2.3197422, 3.0396845, 12.0952170, 69.7935650", \
+					  "1.9068365, 1.9235706, 2.0140261, 2.3197786, 3.0395577, 12.0941250, 69.7331400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.4254060, 11.6318980, 12.9061610, 20.7668940, 69.3005260, 368.5709100, 2212.4839000", \
+					  "11.5798680, 11.7853410, 13.0606940, 20.9210520, 69.4890900, 368.5827600, 2213.6355000", \
+					  "11.7316810, 11.9382740, 13.2128210, 21.0768130, 69.5516390, 368.5615900, 2212.7438000", \
+					  "11.8657550, 12.0730550, 13.3479280, 21.2071460, 69.7739820, 368.7963800, 2212.8122000", \
+					  "11.9753820, 12.1848400, 13.4603130, 21.3240450, 69.7944880, 368.7480000, 2212.8222000", \
+					  "12.0854160, 12.2915260, 13.5670670, 21.4349000, 69.9602230, 369.0292100, 2212.8454000", \
+					  "12.1838500, 12.3906730, 13.6650690, 21.5319850, 70.0585970, 368.9577300, 2214.1835000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2591130, 15.6779350, 18.2053310, 33.8707730, 131.0602800, 728.6925000, 4416.4517000", \
+					  "15.2603460, 15.6679770, 18.2124200, 33.8700230, 130.8826300, 728.9417000, 4413.1107000", \
+					  "15.2319290, 15.6703630, 18.1966480, 33.8699560, 130.9559100, 729.2815700, 4416.0629000", \
+					  "15.2349720, 15.6704440, 18.1972180, 33.8700910, 130.9563800, 729.1481600, 4416.2111000", \
+					  "15.2325780, 15.6779030, 18.1789620, 33.8503380, 130.9887700, 728.5969400, 4415.7296000", \
+					  "15.2422130, 15.6679880, 18.2133650, 33.8202960, 131.0608700, 728.2185400, 4415.6191000", \
+					  "15.2654710, 15.6700000, 18.1795270, 33.8526220, 131.0607700, 728.6073500, 4414.1798000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("12.1582230, 12.3661880, 13.6194660, 21.4581190, 69.8388900, 368.4985200, 2208.8725000", \
+					  "12.3020390, 12.5204510, 13.7837230, 21.6168190, 70.0251590, 368.6857900, 2208.3939000", \
+					  "12.4626240, 12.6591250, 13.9170090, 21.7971280, 70.1465840, 368.7702300, 2209.3311000", \
+					  "12.5907500, 12.7980470, 14.0519740, 21.9321170, 70.2726200, 368.7910100, 2209.4439000", \
+					  "12.6856900, 12.9080930, 14.2017900, 22.0447110, 70.4261110, 369.0159100, 2211.9093000", \
+					  "12.8114120, 13.0067840, 14.3089990, 22.1136800, 70.5406220, 368.7920900, 2209.7809000", \
+					  "12.9107770, 13.1169830, 14.3749840, 22.2487880, 70.5966560, 369.4344500, 2209.9182000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("14.8911750, 15.3191680, 17.8555820, 33.4276960, 130.0569300, 726.5861700, 4407.5118000", \
+					  "14.9056250, 15.3093560, 17.8535820, 33.4981110, 130.5257900, 726.2557900, 4408.8861000", \
+					  "14.9141870, 15.3041740, 17.8414280, 33.4889270, 130.0726200, 726.4658300, 4407.4550000", \
+					  "14.9145350, 15.3213340, 17.8451030, 33.4882380, 130.1184400, 725.9744700, 4407.6755000", \
+					  "14.8954570, 15.3108440, 17.8317610, 33.4867250, 130.4987100, 727.1083300, 4402.7943000", \
+					  "14.9106790, 15.2872040, 17.8381400, 33.4229280, 130.4330800, 727.3905600, 4405.5982000", \
+					  "14.9159480, 15.3209130, 17.8520700, 33.4878510, 130.0736600, 727.4113600, 4404.1167000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("4.5584994, 4.5905373, 4.7856870, 5.9608758, 13.0592960, 56.3504410, 147.2304800", \
+					  "4.6940700, 4.7263602, 4.9211577, 6.0962098, 13.1966630, 56.4849430, 147.3418500", \
+					  "4.8040434, 4.8345812, 5.0311928, 6.2061258, 13.3042080, 56.6062080, 147.4549500", \
+					  "4.8863389, 4.9196598, 5.1134852, 6.2885195, 13.3891280, 56.6860870, 147.5386900", \
+					  "4.9557745, 4.9880815, 5.1830447, 6.3579475, 13.4576320, 56.7510660, 147.6083200", \
+					  "5.0182654, 5.0493547, 5.2451363, 6.4202634, 13.5198530, 56.8182190, 147.6842500", \
+					  "5.0731392, 5.1039399, 5.3002736, 6.4752124, 13.5745030, 56.8649200, 147.7389000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.1844773, 2.2416320, 2.5879376, 4.8081530, 18.5385320, 74.2905470, 108.8140400", \
+					  "2.1800013, 2.2416429, 2.5882201, 4.8039159, 18.5160350, 74.2690320, 109.2244900", \
+					  "2.1846664, 2.2412860, 2.5912854, 4.8086424, 18.5288050, 74.2608820, 108.7876000", \
+					  "2.1791180, 2.2415119, 2.5878095, 4.7902127, 18.5310040, 74.2711280, 108.8079900", \
+					  "2.1845179, 2.2414939, 2.5909637, 4.8067137, 18.5295850, 74.2740340, 108.7905700", \
+					  "2.1809794, 2.2377469, 2.5890801, 4.8098587, 18.5110040, 74.3129720, 109.0703700", \
+					  "2.1846647, 2.2412796, 2.5901652, 4.8096749, 18.5114640, 74.2587430, 109.0701400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.1718563, 5.2077440, 5.4300378, 6.7601111, 14.6738240, 62.6320040, 135.6447700", \
+					  "5.3280740, 5.3637876, 5.5851546, 6.9130621, 14.8116910, 62.7939730, 135.8008600", \
+					  "5.4812164, 5.5169362, 5.7383747, 7.0645174, 14.9673800, 62.9428950, 135.9547400", \
+					  "5.6176064, 5.6536331, 5.8710654, 7.1928516, 15.0986570, 63.0816860, 136.0872500", \
+					  "5.7263509, 5.7621712, 5.9827858, 7.3059607, 15.2095780, 63.1928290, 136.1997400", \
+					  "5.8388161, 5.8745018, 6.0928804, 7.4131314, 15.3178090, 63.3031190, 136.3074500", \
+					  "5.9356373, 5.9718048, 6.1950520, 7.5242950, 15.4414440, 63.3949070, 136.4083600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3281890, 2.3932223, 2.7796669, 5.1769793, 20.1428010, 75.0232730, 75.3446730", \
+					  "2.3295188, 2.3945510, 2.7799762, 5.1711768, 20.1556900, 75.0305630, 75.3606880", \
+					  "2.3294644, 2.3945368, 2.7799645, 5.1749049, 20.1244660, 75.0119970, 75.3559060", \
+					  "2.3332503, 2.3948250, 2.7752609, 5.1763749, 20.1389310, 74.9894650, 75.3698900", \
+					  "2.3287123, 2.3938971, 2.7790852, 5.1744298, 20.1436650, 75.0366520, 75.3631110", \
+					  "2.3330797, 2.3935212, 2.7742844, 5.1750324, 20.1417760, 75.0037540, 75.3661100", \
+					  "2.3305575, 2.3910376, 2.7790386, 5.1774145, 20.1361590, 75.0034060, 75.3803280");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("11.6786910, 11.8894310, 13.1878650, 21.1621090, 69.9950110, 369.3668300, 2214.2708000", \
+					  "11.8142260, 12.0235760, 13.3238130, 21.3010230, 70.1590610, 369.8687000, 2214.6373000", \
+					  "11.9235400, 12.1344190, 13.4336990, 21.4179630, 70.2974320, 369.6921500, 2214.7913000", \
+					  "12.0063450, 12.2154890, 13.5153070, 21.4909780, 70.3488180, 369.6231400, 2213.9175000", \
+					  "12.0753290, 12.2862240, 13.5854990, 21.5699940, 70.4990270, 370.0820300, 2214.0027000", \
+					  "12.1385050, 12.3477350, 13.6466070, 21.6219420, 70.4672230, 370.3287300, 2214.7779000", \
+					  "12.1928910, 12.4033660, 13.7018290, 21.6799380, 70.5141260, 369.8837600, 2215.0070000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("15.2658700, 15.6784670, 18.1949090, 33.8762120, 130.9249300, 728.4978700, 4419.4776000", \
+					  "15.2653090, 15.6397140, 18.1752960, 33.8724640, 130.9863900, 728.5390200, 4420.5190000", \
+					  "15.2352920, 15.6731590, 18.1803590, 33.8587110, 131.0730200, 728.1211100, 4416.5113000", \
+					  "15.2653710, 15.6499240, 18.1888480, 33.8761770, 130.9776000, 729.7383600, 4418.9956000", \
+					  "15.2352640, 15.6753790, 18.1834210, 33.8553220, 130.9451700, 728.4787700, 4417.7923000", \
+					  "15.2679970, 15.6449560, 18.2032610, 33.8742900, 130.9669700, 729.6232500, 4417.5590000", \
+					  "15.2669720, 15.6719150, 18.1998420, 33.8739310, 130.9548000, 728.7915200, 4419.7327000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("5.0699360, 5.1053903, 5.3229354, 6.6245263, 14.4996730, 62.4471780, 135.6140900", \
+					  "5.2264788, 5.2628804, 5.4800040, 6.7724577, 14.6416650, 62.6081590, 135.7692800", \
+					  "5.3796296, 5.4179776, 5.6319593, 6.9338904, 14.7950750, 62.7612240, 135.9229300", \
+					  "5.5122911, 5.5478120, 5.7677583, 7.0654331, 14.9523430, 62.8909550, 136.0573900", \
+					  "5.6247620, 5.6648499, 5.8774852, 7.1734507, 15.0343650, 63.0113210, 136.1684100", \
+					  "5.7358234, 5.7702954, 5.9877876, 7.2803288, 15.1386690, 63.1180580, 136.2766000", \
+					  "5.8333871, 5.8683565, 6.0882526, 7.3885249, 15.2659790, 63.2101730, 136.3779600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.17301, 1.22467, 1.5433, 3.50821, 15.6255, 90.3502, 551.163");
+					values("2.3386850, 2.4000382, 2.7865639, 5.1780353, 20.1398700, 75.1220810, 75.3989940", \
+					  "2.3385343, 2.4035121, 2.7864446, 5.1735576, 20.1534490, 75.1554270, 75.4485400", \
+					  "2.3383151, 2.4011315, 2.7832490, 5.1777174, 20.1533400, 75.1554620, 75.4236140", \
+					  "2.3427640, 2.4023816, 2.7829019, 5.1807043, 20.1281570, 75.1309840, 75.4306770", \
+					  "2.3379816, 2.4029272, 2.7864053, 5.1798383, 20.1419700, 75.1202260, 75.4385780", \
+					  "2.3405056, 2.4038926, 2.7866283, 5.1756985, 20.1443480, 75.1214910, 75.4542310", \
+					  "2.3399462, 2.4022759, 2.7830396, 5.1813682, 20.1347220, 75.1323550, 75.4303360");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5202518, -3.5958933, -3.6715349, -3.6731216, -3.6746449, -3.6762317, -3.6778185");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5944002, 3.6782095, 3.7620189, 3.7758106, 3.7890507, 3.8028424, 3.8166341");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.155824;
+			capacitance : 0.155138;
+			fall_capacitance : 0.154452;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1565373, 0.1531662, 0.1497951, 0.1498689, 0.1499397, 0.1500134, 0.1500872");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1671435, -0.1582829, -0.1494223, -0.1493514, -0.1492834, -0.1492125, -0.1491417");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.148497;
+			capacitance : 0.148122;
+			fall_capacitance : 0.147747;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1684103, -0.1587953, -0.1491804, -0.1488207, -0.1484754, -0.1481157, -0.1477560");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1577476, 0.1536421, 0.1495365, 0.1495755, 0.1496129, 0.1496519, 0.1496909");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_3p00v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v35_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v35_1v65.lib
new file mode 100644
index 0000000..2104dbf
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v35_1v65.lib
@@ -0,0 +1,4839 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ss_n40C_1v35_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.350000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.350000);
+	voltage_map("VCCHIB",1.350000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.135; 
+			 voh : 1.215; 
+			 vomax : 1.418; 
+			 vomin : -0.068; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.405; 
+			 vih : 0.945; 
+			 vimax : 1.418; 
+			 vimin : -0.068; 
+		}
+	 operating_conditions ("ss_ss_1p35v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.350000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+		is_macro_cell : true;
+                dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.252160e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2362600";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.8461400";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1822300";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2317500";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2484100";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1655700";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1655800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1700800";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2317600";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.0964700";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1648900";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1653400";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1859800";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1497900";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.0933900";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2159700";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2521600";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2315300";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005899;
+			capacitance : 0.005776;
+			fall_capacitance : 0.005652;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3406567, 2.8165750, 2.3764204, 2.0278364, 1.7463322, 1.3603100, 1.1048066", \
+					  "3.7836008, 3.2610450, 2.8224166, 2.4677290, 2.1108860, 1.8088298, 1.5350884", \
+					  "4.2421718, 3.7173185, 3.2809873, 2.9247740, 2.5974729, 2.2366616, 2.0106306", \
+					  "4.6734268, 4.1691750, 3.7205324, 3.3675976, 3.0231682, 2.7028460, 2.3795903", \
+					  "5.0954097, 4.5805904, 4.1028414, 3.7522259, 3.4094397, 3.1110861, 2.7945475", \
+					  "5.4312029, 4.9633220, 4.5215860, 4.1101600, 3.7677251, 3.5252930, 3.2114734", \
+					  "5.8354964, 5.3205505, 4.8697342, 4.5221447, 4.1412330, 3.8460566, 3.5741770");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.1224581, 2.4093108, 1.7364902, 0.9917020, 0.2638842, -0.4186175, -1.0950238", \
+					  "3.5638515, 2.8499472, 2.1658906, 1.4330603, 0.7129199, -0.0007569, -0.6819805", \
+					  "3.9979964, 3.3309951, 2.6594891, 1.8904225, 1.1657772, 0.4585233, -0.2275436", \
+					  "4.4536373, 3.7541382, 3.0333403, 2.3429852, 1.6196658, 0.8852411, 0.1629669", \
+					  "4.8511713, 4.1593558, 3.4362178, 2.7590048, 2.0268678, 1.2899571, 0.5886506", \
+					  "5.2098089, 4.5382620, 3.8584028, 3.1207797, 2.4140397, 1.6982446, 0.9777628", \
+					  "5.6158272, 4.9077518, 4.1968773, 3.4149437, 2.7766563, 2.0727709, 1.3871142");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8422728, -2.2953718, -1.8943588, -1.5031358, -1.1708304, -0.8130930, -0.5179168", \
+					  "-3.2789193, -2.7362854, -2.3447519, -1.9615116, -1.6253707, -1.2579171, -0.9709312", \
+					  "-3.7389271, -3.1920639, -2.8187512, -2.4115638, -2.0857393, -1.7840994, -1.4812314", \
+					  "-4.1882157, -3.6381739, -3.2348965, -2.8662399, -2.5563282, -2.2416040, -1.8892334", \
+					  "-4.5929875, -4.0521006, -3.6714757, -3.2568905, -2.9506035, -2.6447448, -2.2727597", \
+					  "-4.9801809, -4.4362427, -4.0726206, -3.6716747, -3.3103313, -3.0258131, -2.7077486", \
+					  "-5.3390854, -4.8046200, -4.4148957, -4.0216872, -3.6310887, -3.3427780, -3.0437488");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8773703, -2.2527397, -1.5813620, -0.8717316, -0.0691458, 0.5715879, 1.3242591", \
+					  "-3.3353793, -2.6823328, -1.9914314, -1.2655241, -0.5099531, 0.1788999, 0.8918290", \
+					  "-3.7740248, -3.1375344, -2.4302742, -1.7338347, -0.9447583, -0.2497668, 0.4567529", \
+					  "-4.2630399, -3.5955813, -2.8945363, -2.1914654, -1.4228887, -0.7355235, -0.0007357", \
+					  "-4.6282904, -3.9627592, -3.2529421, -2.5797048, -1.8275553, -1.1373751, -0.4102267", \
+					  "-5.0217223, -4.3325185, -3.6134524, -2.9464291, -2.2266291, -1.5006639, -0.8101563", \
+					  "-5.3725497, -4.7725972, -4.0428529, -3.2689377, -2.5755195, -1.8928199, -1.1794241");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066120, 0.0134110, 0.0202101, 0.0201113, 0.0200113, 0.0199125, 0.0198137");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0080313, 0.0162258, 0.0244203, 0.0243583, 0.0242956, 0.0242335, 0.0241715");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004074;
+			capacitance : 0.003995;
+			fall_capacitance : 0.003917;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.7125801, -2.2045856, -1.7924489, -1.3840167, -1.0075599, -0.6711851, -0.3775347", \
+					  "-3.1522213, -2.6506235, -2.2317778, -1.8364699, -1.4610968, -1.1155501, -0.8259067", \
+					  "-3.6137297, -3.1048284, -2.6900637, -2.3022113, -1.9709549, -1.5768119, -1.2917804", \
+					  "-4.0462204, -3.5328073, -3.1146256, -2.7329780, -2.3750370, -2.0278587, -1.7072014", \
+					  "-4.4449588, -3.9382748, -3.4823923, -3.1216778, -2.7969475, -2.4250181, -2.1372210", \
+					  "-4.8031865, -4.3025265, -3.8685918, -3.4802860, -3.1576985, -2.8183484, -2.5322726", \
+					  "-5.2443882, -4.7055832, -4.2631442, -3.9041581, -3.5316418, -3.1707327, -2.8987903");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.7583146, -2.0455834, -1.3547993, -0.6153840, 0.1093821, 0.7614389, 1.4226329", \
+					  "-3.1842801, -2.4888582, -1.8120119, -1.0375288, -0.3436323, 0.3436948, 1.0305388", \
+					  "-3.5878304, -2.9584856, -2.2655146, -1.5659223, -0.8215849, -0.0987159, 0.5762637", \
+					  "-4.0508518, -3.3959859, -2.7082323, -1.9520107, -1.2300247, -0.5638907, 0.1551474", \
+					  "-4.4922445, -3.7624843, -3.1126885, -2.3798982, -1.7063881, -0.9496599, -0.2313430", \
+					  "-4.8306103, -4.1926442, -3.5154561, -2.7472555, -2.0911310, -1.3313892, -0.6307693", \
+					  "-5.2169804, -4.5353283, -3.8829626, -3.0959852, -2.4423900, -1.7009296, -0.9944751");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.1651882, 2.6290570, 2.1930762, 1.8004783, 1.4693281, 1.1329575, 0.7965825", \
+					  "3.6080979, 3.0750185, 2.6384309, 2.2368432, 1.8878939, 1.5181801, 1.2360385", \
+					  "4.0681785, 3.5305199, 3.0895322, 2.6924003, 2.3491387, 2.0299940, 1.6772825", \
+					  "4.4978686, 3.9733131, 3.5100228, 3.1462622, 2.7849394, 2.4363387, 2.0977773", \
+					  "4.8877607, 4.3501414, 3.9101693, 3.5700268, 3.1946781, 2.8442058, 2.5224627", \
+					  "5.3073655, 4.7731843, 4.2681727, 3.9625541, 3.5767740, 3.2309094, 2.9146905", \
+					  "5.6601042, 5.1137981, 4.6837683, 4.2872144, 3.8971691, 3.5893100, 3.2751049");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.9179424, 2.2526446, 1.5225056, 0.8116719, 0.0853800, -0.6259440, -1.3011062", \
+					  "3.3741193, 2.6926228, 1.9766702, 1.2560841, 0.5238090, -0.2153980, -0.8701039", \
+					  "3.7989223, 3.1471124, 2.4511655, 1.7217966, 0.9978315, 0.2507357, -0.4457467", \
+					  "4.2260669, 3.5571742, 2.8548881, 2.1457709, 1.4387372, 0.6963318, 0.0007876", \
+					  "4.6503160, 4.0030502, 3.3020120, 2.5654426, 1.8224001, 1.0629036, 0.4143389", \
+					  "5.0306122, 4.3678845, 3.6689134, 2.9150340, 2.2321607, 1.5057203, 0.8213131", \
+					  "5.3991982, 4.7461806, 4.0180297, 3.3106114, 2.6013638, 1.8778899, 1.1759193");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0063104, 0.0153348, 0.0243593, 0.0242816, 0.0242030, 0.0241254, 0.0240477");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082163, 0.0184125, 0.0286088, 0.0285580, 0.0285066, 0.0284558, 0.0284050");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005791;
+			capacitance : 0.005668;
+			fall_capacitance : 0.005544;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3696484, 2.8363989, 2.4225644, 2.0354658, 1.7681680, 1.3908271, 1.1322719", \
+					  "3.8125661, 3.2808443, 2.8595939, 2.4783833, 2.1851490, 1.8286271, 1.5534301", \
+					  "4.2711242, 3.7399282, 3.3168262, 2.9308383, 2.6214789, 2.3134124, 2.0279785", \
+					  "4.7008236, 4.1675897, 3.7555002, 3.3788475, 3.0321952, 2.7322751, 2.4019143", \
+					  "5.1258200, 4.5645206, 4.1368782, 3.8118640, 3.4291073, 3.1265060, 2.8204874", \
+					  "5.4569889, 4.9803018, 4.4958040, 4.1984419, 3.7795298, 3.5298176, 3.2257919", \
+					  "5.8747352, 5.3460568, 4.9048834, 4.5138926, 4.1901205, 3.8807182, 3.5833323");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0720404, 2.3910687, 1.7083434, 0.9629354, 0.2105077, -0.4520940, -1.1514770", \
+					  "3.5341202, 2.8470449, 2.1719080, 1.4010168, 0.6802930, -0.0009306, -0.7492929", \
+					  "3.8915864, 3.2914862, 2.6165950, 1.8782156, 1.1240653, 0.4362689, -0.3105676", \
+					  "4.3933371, 3.7013686, 3.0049419, 2.3075908, 1.5931773, 0.8801945, 0.1708196", \
+					  "4.8169595, 4.1199535, 3.4518089, 2.6817262, 2.0085571, 1.2935544, 0.5604244", \
+					  "5.2318228, 4.5339264, 3.8371748, 3.0502203, 2.3976332, 1.7105607, 0.9902949", \
+					  "5.6136920, 4.9090557, 4.1978312, 3.4082511, 2.7569960, 2.1012417, 1.3566981");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8865234, -2.3775450, -1.9619625, -1.5772387, -1.2654348, -0.9107493, -0.5713226", \
+					  "-3.3246958, -2.8224524, -2.4033914, -2.0310109, -1.6973931, -1.3590117, -1.0487509", \
+					  "-3.7831775, -3.2757683, -2.8627982, -2.4795445, -2.1684833, -1.8731565, -1.5192396", \
+					  "-4.2157351, -3.7092780, -3.3179257, -2.9129425, -2.6100224, -2.2812769, -1.9717652", \
+					  "-4.6160801, -4.1003478, -3.6930423, -3.3172074, -3.0215889, -2.6836803, -2.3539208", \
+					  "-4.9744162, -4.4411587, -4.0672165, -3.7264287, -3.4014753, -3.0944777, -2.7458957", \
+					  "-5.3833362, -4.8764057, -4.4727650, -4.1010330, -3.7241674, -3.4213616, -3.1200428");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8376976, -2.2067858, -1.5087946, -0.7725495, -0.0523611, 0.6034181, 1.3347791", \
+					  "-3.3170688, -2.6407971, -1.9571020, -1.2173777, -0.5007978, 0.1926328, 0.9122606", \
+					  "-3.7618177, -3.0935185, -2.4122208, -1.6971141, -0.9447582, -0.2305835, 0.4781061", \
+					  "-4.1958474, -3.5435869, -2.8615718, -2.1551804, -1.3840156, -0.6833074, 0.0185056", \
+					  "-4.6021451, -3.9539436, -3.2662090, -2.5340121, -1.7920788, -1.1262685, -0.3875085", \
+					  "-4.9786577, -4.3350356, -3.6512593, -2.9242575, -2.1830133, -1.5006641, -0.7942894", \
+					  "-5.3482429, -4.6863395, -4.0162675, -3.2617995, -2.5463566, -1.8662209, -1.1506882");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066215, 0.0134213, 0.0202211, 0.0201230, 0.0200238, 0.0199257, 0.0198277");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079839, 0.0161322, 0.0242804, 0.0242371, 0.0241932, 0.0241499, 0.0241065");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026459;
+			capacitance : 0.026502;
+			fall_capacitance : 0.026546;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0031057, -0.0035853, -0.0040649, -0.0041428, -0.0042217, -0.0042997, -0.0043776");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0251366, 0.0256000, 0.0260635, 0.0260073, 0.0259504, 0.0258942, 0.0258380");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032121;
+			capacitance : 0.031741;
+			fall_capacitance : 0.031361;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0181769, 0.0371955, 0.0562140, 0.0562087, 0.0562032, 0.0561978, 0.0561924");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0226421, 0.0452116, 0.0677810, 0.0679984, 0.0682185, 0.0684359, 0.0686533");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.050749;
+			capacitance : 0.050681;
+			fall_capacitance : 0.050613;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0076913, -0.0079113, -0.0081312, -0.0081163, -0.0081012, -0.0080863, -0.0080714");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0077457, 0.0079064, 0.0080671, 0.0080769, 0.0080868, 0.0080966, 0.0081064");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016459;
+			capacitance : 0.016300;
+			fall_capacitance : 0.016142;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0120921, 0.0119192, 0.0117463, 0.0117100, 0.0116732, 0.0116368, 0.0116004");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0138479, 0.0137987, 0.0137495, 0.0137280, 0.0137063, 0.0136849, 0.0136635");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.035436;
+			capacitance : 0.034853;
+			fall_capacitance : 0.034271;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.3778531, -2.8617936, -2.4559918, -2.1167914, -1.7659231, -1.4554881, -1.1877776", \
+					  "-3.8175513, -3.3045144, -2.8881770, -2.5505104, -2.2112438, -1.9583794, -1.6270003", \
+					  "-4.2745071, -3.7584755, -3.3437530, -3.0063502, -2.6662943, -2.3725228, -2.0733548", \
+					  "-4.7344774, -4.2062395, -3.8044836, -3.4492091, -3.0859930, -2.8176101, -2.5242004", \
+					  "-5.1316193, -4.6179626, -4.2014158, -3.8628813, -3.5106009, -3.2130258, -2.9509807", \
+					  "-5.5142355, -4.9980390, -4.5759420, -4.2534573, -3.8642251, -3.6056702, -3.3257296", \
+					  "-5.8731399, -5.3536191, -4.9366109, -4.6091506, -4.2689059, -3.9584711, -3.6846179");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2405220, -1.6001501, -1.0976231, -0.5345135, -0.3834780, 0.2880996, 0.9469935", \
+					  "-2.6426754, -2.0376598, -2.2972817, -1.5498635, -0.8308971, -0.1309139, 0.5228439", \
+					  "-3.0966034, -2.4782380, -2.0018806, -1.4423918, -1.2878792, -0.5754964, 0.0864978", \
+					  "-3.5246448, -2.9226325, -3.1640412, -2.4537361, -1.7280644, -1.0166559, -0.3551711", \
+					  "-4.9596116, -3.3109957, -2.8289364, -2.8274682, -2.1600121, -1.4205757, -0.7499194", \
+					  "-4.2728147, -3.6945859, -3.2310461, -3.1822686, -2.5371662, -1.8237664, -1.1401165", \
+					  "-4.6673360, -5.0749886, -3.5771803, -3.5711833, -2.9059383, -2.2006194, -1.5348732");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6183637, 3.0904886, 2.6731584, 2.3378726, 1.9896536, 1.7214514, 1.3993012", \
+					  "4.0536763, 3.5198374, 3.1191308, 2.7729026, 2.4386409, 2.1283011, 1.8370740", \
+					  "4.5183495, 3.9887372, 3.5744088, 3.2314725, 2.8920369, 2.6050385, 2.3136498", \
+					  "4.9511282, 4.4143700, 4.0067872, 3.6657771, 3.3346875, 3.0420811, 2.7422549", \
+					  "5.3779410, 4.8230342, 4.4000886, 4.0419812, 3.7503106, 3.4746133, 3.1814820", \
+					  "5.7058454, 5.2326803, 4.8072913, 4.4311752, 4.0917312, 3.8285391, 3.5464045", \
+					  "6.1116821, 5.5768566, 5.1679033, 4.8141240, 4.4937159, 4.1741253, 3.9165340");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2841690, 2.5892438, 1.8615762, 1.1549940, 0.4287022, -0.2547347, -0.8995333", \
+					  "3.7463768, 3.0480525, 2.3237199, 1.6130596, 0.8732380, 0.1735394, -0.4867407", \
+					  "4.2019475, 3.4993926, 2.7889569, 2.0651790, 1.3372474, 0.6423995, -0.0245624", \
+					  "4.6153661, 3.9249744, 3.2110999, 2.4877527, 1.7896569, 1.0861555, 0.4248624", \
+					  "5.0438034, 4.3579969, 3.6157260, 2.8917758, 2.1916840, 1.4486847, 0.7929559", \
+					  "5.4428953, 4.7313947, 4.0250543, 3.2370647, 2.5749781, 1.8731388, 1.1796451", \
+					  "5.7714218, 5.0990925, 4.3586883, 3.5881476, 2.9571894, 2.2580251, 1.5895009");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0350767, 0.0418251, 0.0485736, 0.0377933, 0.0268831, 0.0161028, 0.0053225");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0433619, 0.1181549, 0.1929480, 0.1929612, 0.1929746, 0.1929878, 0.1930010");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.010134;
+			capacitance : 0.009933;
+			fall_capacitance : 0.009732;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0040165, 0.4686743, 0.9413650, 1.0644155, 1.1889484, 1.3119988, 1.4350492");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0352497, 0.1864805, 0.3377113, 0.6334989, 0.9328502, 1.2286378, 1.5244253");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006191;
+			capacitance : 0.006060;
+			fall_capacitance : 0.005929;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4749339, 2.9367487, 2.5084786, 2.1666238, 1.7937359, 1.5098457, 1.1917813", \
+					  "3.9193846, 3.3827244, 2.9539933, 2.5913059, 2.2454530, 1.9223248, 1.6123153", \
+					  "4.3764044, 3.8353012, 3.4099659, 3.0407122, 2.7049365, 2.3920240, 2.0806191", \
+					  "4.8061278, 4.2725155, 3.8666895, 3.5079391, 3.1399326, 2.8412662, 2.5344638", \
+					  "5.1960416, 4.6640268, 4.2302782, 3.8717459, 3.5372214, 3.2459817, 2.9272747", \
+					  "5.5623162, 5.0822842, 4.6164835, 4.2543234, 3.9069031, 3.6196813, 3.3185675", \
+					  "5.9799888, 5.4391023, 5.0287408, 4.6664995, 4.2704878, 3.9722384, 3.6718333");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0308965, 2.3634519, 1.6740528, 0.9489889, 0.2333782, -0.4562841, -1.1451093", \
+					  "3.4755401, 2.8110519, 2.1368241, 1.4147497, 0.6667248, -0.0343352, -0.7552317", \
+					  "3.9324373, 3.2907144, 2.5841316, 1.8583792, 1.1039704, 0.4237876, -0.2540951", \
+					  "4.3678051, 3.7205046, 3.0130068, 2.2969165, 1.5833902, 0.8444702, 0.1302822", \
+					  "4.7767908, 4.0815940, 3.3918544, 2.6799456, 1.9672728, 1.2239554, 0.5444874", \
+					  "5.1623442, 4.5188305, 3.8131954, 3.0700767, 2.3591081, 1.6463276, 0.9624901", \
+					  "5.5208676, 4.8630893, 4.1644855, 3.3959107, 2.7244913, 2.0199038, 1.2977159");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0055420, -2.4764237, -2.0534642, -1.6709420, -1.3456055, -0.9870433, -0.6598235", \
+					  "-3.4452403, -2.9215783, -2.4863349, -2.1263237, -1.8003648, -1.4392604, -1.1326744", \
+					  "-3.9006702, -3.3762070, -2.9364337, -2.5883002, -2.2446498, -1.8937416, -1.6023840", \
+					  "-4.3514851, -3.8248979, -3.3972527, -3.0203537, -2.6724797, -2.3697779, -2.0418735", \
+					  "-4.7562565, -4.2324297, -3.7877960, -3.4303400, -3.0757254, -2.7774962, -2.4475566", \
+					  "-5.1434503, -4.6098247, -4.1747700, -3.8309167, -3.4797038, -3.1809552, -2.8328708", \
+					  "-5.5023547, -4.9765949, -4.5667854, -4.1849561, -3.8019869, -3.5037590, -3.2176990");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8376976, -2.2116986, -1.5042435, -0.7801789, -0.0386282, 0.6235099, 1.3409869", \
+					  "-3.3201204, -2.6701423, -1.9177508, -1.2597792, -0.5084273, 0.2017881, 0.9064195", \
+					  "-3.7587659, -3.1118212, -2.4078721, -1.6924667, -0.9447576, -0.2305794, 0.4686599", \
+					  "-4.1714336, -3.5821246, -2.8916624, -2.1582322, -1.3932243, -0.6833047, 0.0140764", \
+					  "-4.5945156, -3.9903198, -3.2662097, -2.5340121, -1.8199259, -1.0949599, -0.3923991", \
+					  "-4.9801836, -4.3726757, -3.6497336, -2.9273092, -2.1830133, -1.4872438, -0.8016989", \
+					  "-5.3390880, -4.7162556, -4.0284742, -3.2633269, -2.5463566, -1.8571790, -1.1537985");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066229, 0.0134440, 0.0202650, 0.0201663, 0.0200663, 0.0199675, 0.0198687");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079826, 0.0161761, 0.0243696, 0.0243171, 0.0242640, 0.0242115, 0.0241591");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017336;
+			capacitance : 0.017142;
+			fall_capacitance : 0.016947;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0171252, 0.0145747, 0.0120243, 0.0014472, -0.0092573, -0.0198344, -0.0304115");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0217940, 0.0824943, 0.1431946, 0.1433026, 0.1434118, 0.1435198, 0.1436278");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004755;
+			capacitance : 0.004675;
+			fall_capacitance : 0.004596;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8331241, -2.2846016, -1.8339491, -1.4522972, -1.1021658, -0.7383250, -0.4004241", \
+					  "-3.2682447, -2.7357010, -2.2750308, -1.9065722, -1.5518962, -1.1817857, -0.8946372", \
+					  "-3.7282526, -3.1813152, -2.7550097, -2.3845936, -2.0156321, -1.6708033, -1.3402751", \
+					  "-4.1790670, -3.6412257, -3.1779385, -2.7624802, -2.4604862, -2.1005763, -1.7820440", \
+					  "-4.5838388, -4.0521006, -3.5890779, -3.2145461, -2.8544731, -2.4938569, -2.1871142", \
+					  "-4.9710322, -4.4270873, -3.9871344, -3.6139904, -3.2584515, -2.9113722, -2.5780491", \
+					  "-5.3299367, -4.7844659, -4.3331198, -3.9698073, -3.5853122, -3.2442815, -2.9571627");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.7613980, -2.0903732, -1.4114907, -0.6871003, 0.0698256, 0.7223511, 1.4346681", \
+					  "-3.2163549, -2.5632220, -1.8353562, -1.1247576, -0.3710981, 0.3238584, 1.0127227", \
+					  "-3.5863359, -3.0073861, -2.3113475, -1.6034709, -0.8525728, -0.1278359, 0.5711637", \
+					  "-4.0188912, -3.4265532, -2.7082833, -2.0451245, -1.2887578, -0.5805651, 0.1198300", \
+					  "-4.4177086, -3.8419034, -3.1407032, -2.4202679, -1.7063328, -0.9681657, -0.3075817", \
+					  "-4.8569111, -4.1623045, -3.4977201, -2.8189242, -2.1140191, -1.3698293, -0.6359770", \
+					  "-5.2398025, -4.6210877, -3.8228249, -3.1107130, -2.4515451, -1.7268935, -1.0201058");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2765757, 2.7333416, 2.3078566, 1.9334028, 1.5794919, 1.2855417, 0.9476408", \
+					  "3.7195085, 3.1793264, 2.7537406, 2.3755827, 2.0610389, 1.6516300, 1.3825492", \
+					  "4.1796000, 3.6348405, 3.2000991, 2.8220140, 2.4636496, 2.1066258, 1.8396363", \
+					  "4.6093168, 4.0660824, 3.6313417, 3.2598480, 2.9048127, 2.5506278, 2.2367957", \
+					  "4.9992408, 4.4461419, 4.0212658, 3.6489057, 3.3223318, 2.9676535, 2.6516181", \
+					  "5.3807899, 4.8316829, 4.3829787, 4.0227673, 3.6805319, 3.3667038, 3.0352350", \
+					  "5.7729664, 5.2347960, 4.7990423, 4.3670670, 4.0003501, 3.7234836, 3.3831558");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("2.8798238, 2.2474236, 1.5547526, 0.8254013, 0.1082647, -0.6037469, -1.2674849", \
+					  "3.3721484, 2.7054768, 2.0176865, 1.2663221, 0.5272804, -0.1569950, -0.8534774", \
+					  "3.8219177, 3.1369354, 2.4584895, 1.7439381, 0.9930617, 0.2930019, -0.3965684", \
+					  "4.2489828, 3.5983903, 2.8890732, 2.1934299, 1.4631037, 0.7451271, 0.0073793", \
+					  "4.5893647, 4.0027166, 3.2878765, 2.5689256, 1.8468141, 1.1192251, 0.4339147", \
+					  "5.0356246, 4.3834938, 3.7070465, 2.9403225, 2.2110978, 1.5015410, 0.8347000", \
+					  "5.4122935, 4.7545801, 4.0725523, 3.2972452, 2.5921397, 1.9027840, 1.1875948");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0062330, 0.0151809, 0.0241288, 0.0240412, 0.0239525, 0.0238649, 0.0237773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0082140, 0.0179026, 0.0275911, 0.0275410, 0.0274903, 0.0274401, 0.0273900");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004855;
+			capacitance : 0.004655;
+			fall_capacitance : 0.004455;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0074630, -0.0073709, -0.0072789, -0.0072865, -0.0072943, -0.0073020, -0.0073097");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0074083, 0.0073978, 0.0073872, 0.0073508, 0.0073140, 0.0072776, 0.0072411");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.3406567, 3.7836008, 4.2421718, 4.6734268, 5.0954097, 5.4312029, 5.8354964", \
+					  "2.8165750, 3.2610450, 3.7173185, 4.1691750, 4.5805904, 4.9633220, 5.3205505", \
+					  "2.3764204, 2.8224166, 3.2809873, 3.7205324, 4.1028414, 4.5215860, 4.8697342", \
+					  "2.0278364, 2.4677290, 2.9247740, 3.3675976, 3.7522259, 4.1101600, 4.5221447", \
+					  "1.7463322, 2.1108860, 2.5974729, 3.0231682, 3.4094397, 3.7677251, 4.1412330", \
+					  "1.3603100, 1.8088298, 2.2366616, 2.7028460, 3.1110861, 3.5252930, 3.8460566", \
+					  "1.1048066, 1.5350884, 2.0106306, 2.3795903, 2.7945475, 3.2114734, 3.5741770");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8422728, -3.2789193, -3.7389271, -4.1882157, -4.5929875, -4.9801809, -5.3390854", \
+					  "-2.2953718, -2.7362854, -3.1920639, -3.6381739, -4.0521006, -4.4362427, -4.8046200", \
+					  "-1.8943588, -2.3447519, -2.8187512, -3.2348965, -3.6714757, -4.0726206, -4.4148957", \
+					  "-1.5031358, -1.9615116, -2.4115638, -2.8662399, -3.2568905, -3.6716747, -4.0216872", \
+					  "-1.1708304, -1.6253707, -2.0857393, -2.5563282, -2.9506035, -3.3103313, -3.6310887", \
+					  "-0.8130930, -1.2579171, -1.7840994, -2.2416040, -2.6447448, -3.0258131, -3.3427780", \
+					  "-0.5179168, -0.9709312, -1.4812314, -1.8892334, -2.2727597, -2.7077486, -3.0437488");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3778531, -3.8175513, -4.2745071, -4.7344774, -5.1316193, -5.5142355, -5.8731399", \
+					  "-2.8617936, -3.3045144, -3.7584755, -4.2062395, -4.6179626, -4.9980390, -5.3536191", \
+					  "-2.4559918, -2.8881770, -3.3437530, -3.8044836, -4.2014158, -4.5759420, -4.9366109", \
+					  "-2.1167914, -2.5505104, -3.0063502, -3.4492091, -3.8628813, -4.2534573, -4.6091506", \
+					  "-1.7659231, -2.2112438, -2.6662943, -3.0859930, -3.5106009, -3.8642251, -4.2689059", \
+					  "-1.4554881, -1.9583794, -2.3725228, -2.8176101, -3.2130258, -3.6056702, -3.9584711", \
+					  "-1.1877776, -1.6270003, -2.0733548, -2.5242004, -2.9509807, -3.3257296, -3.6846179");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6183637, 4.0536763, 4.5183495, 4.9511282, 5.3779410, 5.7058454, 6.1116821", \
+					  "3.0904886, 3.5198374, 3.9887372, 4.4143700, 4.8230342, 5.2326803, 5.5768566", \
+					  "2.6731584, 3.1191308, 3.5744088, 4.0067872, 4.4000886, 4.8072913, 5.1679033", \
+					  "2.3378726, 2.7729026, 3.2314725, 3.6657771, 4.0419812, 4.4311752, 4.8141240", \
+					  "1.9896536, 2.4386409, 2.8920369, 3.3346875, 3.7503106, 4.0917312, 4.4937159", \
+					  "1.7214514, 2.1283011, 2.6050385, 3.0420811, 3.4746133, 3.8285391, 4.1741253", \
+					  "1.3993012, 1.8370740, 2.3136498, 2.7422549, 3.1814820, 3.5464045, 3.9165340");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.4749339, 3.9193846, 4.3764044, 4.8061278, 5.1960416, 5.5623162, 5.9799888", \
+					  "2.9367487, 3.3827244, 3.8353012, 4.2725155, 4.6640268, 5.0822842, 5.4391023", \
+					  "2.5084786, 2.9539933, 3.4099659, 3.8666895, 4.2302782, 4.6164835, 5.0287408", \
+					  "2.1666238, 2.5913059, 3.0407122, 3.5079391, 3.8717459, 4.2543234, 4.6664995", \
+					  "1.7937359, 2.2454530, 2.7049365, 3.1399326, 3.5372214, 3.9069031, 4.2704878", \
+					  "1.5098457, 1.9223248, 2.3920240, 2.8412662, 3.2459817, 3.6196813, 3.9722384", \
+					  "1.1917813, 1.6123153, 2.0806191, 2.5344638, 2.9272747, 3.3185675, 3.6718333");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0055420, -3.4452403, -3.9006702, -4.3514851, -4.7562565, -5.1434503, -5.5023547", \
+					  "-2.4764237, -2.9215783, -3.3762070, -3.8248979, -4.2324297, -4.6098247, -4.9765949", \
+					  "-2.0534642, -2.4863349, -2.9364337, -3.3972527, -3.7877960, -4.1747700, -4.5667854", \
+					  "-1.6709420, -2.1263237, -2.5883002, -3.0203537, -3.4303400, -3.8309167, -4.1849561", \
+					  "-1.3456055, -1.8003648, -2.2446498, -2.6724797, -3.0757254, -3.4797038, -3.8019869", \
+					  "-0.9870433, -1.4392604, -1.8937416, -2.3697779, -2.7774962, -3.1809552, -3.5037590", \
+					  "-0.6598235, -1.1326744, -1.6023840, -2.0418735, -2.4475566, -2.8328708, -3.2176990");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8331241, -3.2682447, -3.7282526, -4.1790670, -4.5838388, -4.9710322, -5.3299367", \
+					  "-2.2846016, -2.7357010, -3.1813152, -3.6412257, -4.0521006, -4.4270873, -4.7844659", \
+					  "-1.8339491, -2.2750308, -2.7550097, -3.1779385, -3.5890779, -3.9871344, -4.3331198", \
+					  "-1.4522972, -1.9065722, -2.3845936, -2.7624802, -3.2145461, -3.6139904, -3.9698073", \
+					  "-1.1021658, -1.5518962, -2.0156321, -2.4604862, -2.8544731, -3.2584515, -3.5853122", \
+					  "-0.7383250, -1.1817857, -1.6708033, -2.1005763, -2.4938569, -2.9113722, -3.2442815", \
+					  "-0.4004241, -0.8946372, -1.3402751, -1.7820440, -2.1871142, -2.5780491, -2.9571627");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2765757, 3.7195085, 4.1796000, 4.6093168, 4.9992408, 5.3807899, 5.7729664", \
+					  "2.7333416, 3.1793264, 3.6348405, 4.0660824, 4.4461419, 4.8316829, 5.2347960", \
+					  "2.3078566, 2.7537406, 3.2000991, 3.6313417, 4.0212658, 4.3829787, 4.7990423", \
+					  "1.9334028, 2.3755827, 2.8220140, 3.2598480, 3.6489057, 4.0227673, 4.3670670", \
+					  "1.5794919, 2.0610389, 2.4636496, 2.9048127, 3.3223318, 3.6805319, 4.0003501", \
+					  "1.2855417, 1.6516300, 2.1066258, 2.5506278, 2.9676535, 3.3667038, 3.7234836", \
+					  "0.9476408, 1.3825492, 1.8396363, 2.2367957, 2.6516181, 3.0352350, 3.3831558");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.7125801, -3.1522213, -3.6137297, -4.0462204, -4.4449588, -4.8031865, -5.2443882", \
+					  "-2.2045856, -2.6506235, -3.1048284, -3.5328073, -3.9382748, -4.3025265, -4.7055832", \
+					  "-1.7924489, -2.2317778, -2.6900637, -3.1146256, -3.4823923, -3.8685918, -4.2631442", \
+					  "-1.3840167, -1.8364699, -2.3022113, -2.7329780, -3.1216778, -3.4802860, -3.9041581", \
+					  "-1.0075599, -1.4610968, -1.9709549, -2.3750370, -2.7969475, -3.1576985, -3.5316418", \
+					  "-0.6711851, -1.1155501, -1.5768119, -2.0278587, -2.4250181, -2.8183484, -3.1707327", \
+					  "-0.3775347, -0.8259067, -1.2917804, -1.7072014, -2.1372210, -2.5322726, -2.8987903");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.1651882, 3.6080979, 4.0681785, 4.4978686, 4.8877607, 5.3073655, 5.6601042", \
+					  "2.6290570, 3.0750185, 3.5305199, 3.9733131, 4.3501414, 4.7731843, 5.1137981", \
+					  "2.1930762, 2.6384309, 3.0895322, 3.5100228, 3.9101693, 4.2681727, 4.6837683", \
+					  "1.8004783, 2.2368432, 2.6924003, 3.1462622, 3.5700268, 3.9625541, 4.2872144", \
+					  "1.4693281, 1.8878939, 2.3491387, 2.7849394, 3.1946781, 3.5767740, 3.8971691", \
+					  "1.1329575, 1.5181801, 2.0299940, 2.4363387, 2.8442058, 3.2309094, 3.5893100", \
+					  "0.7965825, 1.2360385, 1.6772825, 2.0977773, 2.5224627, 2.9146905, 3.2751049");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.3656626, -3.8053611, -4.2623169, -4.7222867, -5.1194291, -5.5020452, -5.8609492", \
+					  "-2.8468374, -3.2880729, -3.7435115, -4.1912791, -4.5982366, -4.9813049, -5.3414119", \
+					  "-2.4237865, -2.8827784, -3.3368159, -3.7694507, -4.1806267, -4.5580408, -4.9232651", \
+					  "-2.0802946, -2.5215187, -2.9771163, -3.4277634, -3.8641923, -4.2485452, -4.5877881", \
+					  "-1.7476126, -2.2004138, -2.6498485, -3.0829412, -3.4861869, -3.8428631, -4.2521215", \
+					  "-1.4554881, -1.9163370, -2.3507620, -2.8031275, -3.1900027, -3.5919371, -3.9447380", \
+					  "-1.1770965, -1.5938752, -2.0624755, -2.4989246, -2.9406965, -3.3135225, -3.6724110");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6534765, 4.0900659, 4.5515745, 4.9840645, 5.3828020, 5.7379824, 6.1593453", \
+					  "3.1213528, 3.5684438, 4.0103017, 4.4563627, 4.8653373, 5.2212903, 5.6217296", \
+					  "2.7090777, 3.1547965, 3.6010757, 4.0369173, 4.4286688, 4.8014275, 5.2088279", \
+					  "2.3707776, 2.8092753, 3.2499199, 3.6910671, 4.0810442, 4.4434605, 4.8510252", \
+					  "2.0323570, 2.4661067, 2.9232612, 3.3686885, 3.7869031, 4.1138668, 4.5277234", \
+					  "1.6842520, 2.1640492, 2.6432446, 3.0772081, 3.5095329, 3.8734685, 4.2276918", \
+					  "1.4542276, 1.8827631, 2.3315104, 2.7866094, 3.2056287, 3.5723445, 3.9617503");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.0202219, 3.4631767, 3.9217525, 4.3759079, 4.7612845, 5.1123544, 5.5135126", \
+					  "2.4866374, 2.9269381, 3.3812882, 3.8171358, 4.2093163, 4.5768005, 4.9798652", \
+					  "2.0392588, 2.4922087, 2.9422720, 3.3850909, 3.7681239, 4.1689139, 4.5723210", \
+					  "1.6787124, 2.1363363, 2.5852390, 3.0255574, 3.4220471, 3.8244921, 4.1854340", \
+					  "1.3503107, 1.7693971, 2.2502454, 2.6989200, 3.0869157, 3.4870842, 3.8100943", \
+					  "1.0154616, 1.4668801, 1.9302966, 2.3737779, 2.7875998, 3.1659797, 3.5189205", \
+					  "0.7080783, 1.1262262, 1.6112735, 2.0409512, 2.4547604, 2.8429743, 3.2247507");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8743156, -3.3094362, -3.7694440, -4.2202585, -4.6250303, -5.0106978, -5.3696023", \
+					  "-2.3434401, -2.7869189, -3.2400941, -3.6903657, -4.0967000, -4.4698673, -4.8333526", \
+					  "-1.8988608, -2.3487392, -2.8035700, -3.2716991, -3.6714753, -4.0709686, -4.4162817", \
+					  "-1.5370148, -1.9892465, -2.4484698, -2.9211717, -3.2975293, -3.7131315, -4.0659375", \
+					  "-1.1998221, -1.6524162, -2.1063169, -2.5678434, -2.9582327, -3.3454266, -3.6890721", \
+					  "-0.8573435, -1.3223578, -1.8024233, -2.2496984, -2.6294859, -3.0517530, -3.3771112", \
+					  "-0.5362273, -1.0106040, -1.4873131, -1.9302370, -2.3264092, -2.7169040, -3.1047839");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.3696484, 3.8125661, 4.2711242, 4.7008236, 5.1258200, 5.4569889, 5.8747352", \
+					  "2.8363989, 3.2808443, 3.7399282, 4.1675897, 4.5645206, 4.9803018, 5.3460568", \
+					  "2.4225644, 2.8595939, 3.3168262, 3.7555002, 4.1368782, 4.4958040, 4.9048834", \
+					  "2.0354658, 2.4783833, 2.9308383, 3.3788475, 3.8118640, 4.1984419, 4.5138926", \
+					  "1.7681680, 2.1851490, 2.6214789, 3.0321952, 3.4291073, 3.7795298, 4.1901205", \
+					  "1.3908271, 1.8286271, 2.3134124, 2.7322751, 3.1265060, 3.5298176, 3.8807182", \
+					  "1.1322719, 1.5534301, 2.0279785, 2.4019143, 2.8204874, 3.2257919, 3.5833323");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-2.8865234, -3.3246958, -3.7831775, -4.2157351, -4.6160801, -4.9744162, -5.3833362", \
+					  "-2.3775450, -2.8224524, -3.2757683, -3.7092780, -4.1003478, -4.4411587, -4.8764057", \
+					  "-1.9619625, -2.4033914, -2.8627982, -3.3179257, -3.6930423, -4.0672165, -4.4727650", \
+					  "-1.5772387, -2.0310109, -2.4795445, -2.9129425, -3.3172074, -3.7264287, -4.1010330", \
+					  "-1.2654348, -1.6973931, -2.1684833, -2.6100224, -3.0215889, -3.4014753, -3.7241674", \
+					  "-0.9107493, -1.3590117, -1.8731565, -2.2812769, -2.6836803, -3.0944777, -3.4213616", \
+					  "-0.5713226, -1.0487509, -1.5192396, -1.9717652, -2.3539208, -2.7458957, -3.1200428");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7304500, -0.2738342, 0.1832918, 0.5932952, 0.9955260, 1.3370294, 1.7416496", \
+					  "-1.2697236, -0.8006800, -0.3559573, 0.0856517, 0.4903539, 0.8533627, 1.2177811", \
+					  "-1.7038148, -1.2430265, -0.7986208, -0.3480805, 0.0529886, 0.4425681, 0.8149064", \
+					  "-2.0514443, -1.5597538, -1.1676577, -0.7227927, -0.3037227, 0.0656856, 0.4367809", \
+					  "-2.3795216, -1.9355523, -1.4656145, -1.0606114, -0.6670976, -0.2839778, 0.1036711", \
+					  "-2.6928705, -2.2529829, -1.7606154, -1.4197024, -0.9873976, -0.6456391, -0.2363788", \
+					  "-3.0030205, -2.5405746, -2.0829931, -1.6901947, -1.3597729, -0.8600598, -0.5480713");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("1.1159234, 0.6742781, 0.2217780, -0.1949902, -0.6012875, -0.9716966, -1.3107646", \
+					  "1.6673405, 1.2030906, 0.7550345, 0.3379210, -0.0622392, -0.4372046, -0.7830257", \
+					  "2.0786131, 1.6322937, 1.1828450, 0.7588923, 0.3489414, -0.0224633, -0.3810288", \
+					  "2.4275402, 1.9832807, 1.5145595, 1.0997657, 0.7274015, 0.3297656, -0.0189995", \
+					  "2.7618830, 2.3008287, 1.8585811, 1.4757952, 1.0765446, 0.6584153, 0.2938948", \
+					  "3.0825283, 2.6169307, 2.1517606, 1.7381378, 1.3658413, 1.0589556, 0.6788216", \
+					  "3.3925174, 2.9038534, 2.4358098, 2.0571552, 1.7527956, 1.2957824, 0.9419322");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0238522, -3.4650764, -3.9189804, -4.3713213, -4.7745667, -5.1617605, -5.5206650", \
+					  "-2.4903716, -2.9392830, -3.3865554, -3.8337673, -4.2405619, -4.6233912, -4.9802315", \
+					  "-2.0520845, -2.4826709, -2.9410114, -3.3957035, -3.7971992, -4.1844534, -4.5712946", \
+					  "-1.6826172, -2.1382207, -2.5882147, -3.0432685, -3.4373324, -3.8402057, -4.1910597", \
+					  "-1.3561409, -1.8120344, -2.2537232, -2.6907904, -3.0864066, -3.4873333, -3.8187717", \
+					  "-0.9961985, -1.4745293, -1.9371453, -2.3713038, -2.7790221, -3.1838147, -3.5068108", \
+					  "-0.7269622, -1.1448814, -1.6116102, -2.0418231, -2.4898732, -2.8466036, -3.2329579");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.4093213, 3.8537638, 4.3107960, 4.7481231, 5.1319209, 5.5317513, 5.9144119", \
+					  "2.8713630, 3.3173289, 3.7793278, 4.2131838, 4.6400650, 4.9787346, 5.3940646", \
+					  "2.4557883, 2.9017569, 3.3572616, 3.7924795, 4.1705162, 4.5887758, 4.9414453", \
+					  "2.0858200, 2.5287417, 2.9796668, 3.4261476, 3.8690152, 4.2264590, 4.5852986", \
+					  "1.7681946, 2.1850326, 2.6390652, 3.0745431, 3.4807759, 3.8846884, 4.2031412", \
+					  "1.4747504, 1.8872708, 2.3297258, 2.7817675, 3.1889059, 3.5709247, 3.9219191", \
+					  "1.1795740, 1.5777629, 2.0679801, 2.4819038, 2.8891521, 3.2534358, 3.6138499");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.022545;
+			capacitance : 0.023321;
+			rise_capacitance : 0.023592;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.3656626, -2.8468374, -2.4237865, -2.0802946, -1.7476126, -1.4554881, -1.1770965", \
+					  "-3.8053611, -3.2880729, -2.8827784, -2.5215187, -2.2004138, -1.9163370, -1.5938752", \
+					  "-4.2623169, -3.7435115, -3.3368159, -2.9771163, -2.6498485, -2.3507620, -2.0624755", \
+					  "-4.7222867, -4.1912791, -3.7694507, -3.4277634, -3.0829412, -2.8031275, -2.4989246", \
+					  "-5.1194291, -4.5982366, -4.1806267, -3.8641923, -3.4861869, -3.1900027, -2.9406965", \
+					  "-5.5020452, -4.9813049, -4.5580408, -4.2485452, -3.8428631, -3.5919371, -3.3135225", \
+					  "-5.8609492, -5.3414119, -4.9232651, -4.5877881, -4.2521215, -3.9447380, -3.6724110");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.1525643, -1.6371591, -1.0234970, -1.1372551, -0.3987562, 0.2671922, 0.9027357", \
+					  "-2.5958275, -2.0801671, -2.2761679, -1.5722390, -0.8751811, -0.1612746, 0.4869939", \
+					  "-3.0536158, -2.5359285, -1.9414947, -2.0459698, -1.3161835, -0.5789517, 0.0661134", \
+					  "-3.4954423, -2.9864026, -3.2013007, -2.4786504, -1.7703002, -1.0627853, -0.4063744", \
+					  "-3.8920593, -3.3730656, -2.8988393, -2.8890003, -2.1754558, -1.4195725, -0.7470947", \
+					  "-4.2939778, -3.7837624, -3.2260632, -3.2283578, -2.5749082, -1.8577469, -1.1581354", \
+					  "-4.6309940, -5.0585342, -4.3264683, -3.6279350, -2.9115789, -2.2311370, -1.5729413");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6534765, 3.1213528, 2.7090777, 2.3707776, 2.0323570, 1.6842520, 1.4542276", \
+					  "4.0900659, 3.5684438, 3.1547965, 2.8092753, 2.4661067, 2.1640492, 1.8827631", \
+					  "4.5515745, 4.0103017, 3.6010757, 3.2499199, 2.9232612, 2.6432446, 2.3315104", \
+					  "4.9840645, 4.4563627, 4.0369173, 3.6910671, 3.3686885, 3.0772081, 2.7866094", \
+					  "5.3828020, 4.8653373, 4.4286688, 4.0810442, 3.7869031, 3.5095329, 3.2056287", \
+					  "5.7379824, 5.2212903, 4.8014275, 4.4434605, 4.1138668, 3.8734685, 3.5723445", \
+					  "6.1593453, 5.6217296, 5.2088279, 4.8510252, 4.5277234, 4.2276918, 3.9617503");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3360832, 2.6309688, 1.8904045, 1.1915923, 0.4576711, -0.2264833, -0.8532637", \
+					  "3.7790147, 3.0769547, 2.3624567, 1.6281551, 0.9046418, 0.1976212, -0.4344623", \
+					  "4.2375484, 3.5233223, 2.8054630, 2.0897844, 1.3679971, 0.6607002, -0.0093518", \
+					  "4.6688207, 3.9929902, 3.2370660, 2.5343392, 1.8251001, 1.0656930, 0.4482903", \
+					  "5.0877336, 4.3900277, 3.6370460, 2.9156957, 2.2313249, 1.4811608, 0.8420504", \
+					  "5.4219778, 4.7188185, 4.0060606, 3.2844581, 2.6124039, 1.8918867, 1.2002981", \
+					  "5.8294252, 5.1339766, 4.3815971, 3.6527568, 2.9734398, 2.2381888, 1.6254895");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005857;
+			capacitance : 0.005971;
+			rise_capacitance : 0.006085;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0202219, 2.4866374, 2.0392588, 1.6787124, 1.3503107, 1.0154616, 0.7080783", \
+					  "3.4631767, 2.9269381, 2.4922087, 2.1363363, 1.7693971, 1.4668801, 1.1262262", \
+					  "3.9217525, 3.3812882, 2.9422720, 2.5852390, 2.2502454, 1.9302966, 1.6112735", \
+					  "4.3759079, 3.8171358, 3.3850909, 3.0255574, 2.6989200, 2.3737779, 2.0409512", \
+					  "4.7612845, 4.2093163, 3.7681239, 3.4220471, 3.0869157, 2.7875998, 2.4547604", \
+					  "5.1123544, 4.5768005, 4.1689139, 3.8244921, 3.4870842, 3.1659797, 2.8429743", \
+					  "5.5135126, 4.9798652, 4.5723210, 4.1854340, 3.8100943, 3.5189205, 3.2247507");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4718620, 2.8336940, 2.1269527, 1.3960804, 0.6621591, -0.0281013, -0.7194892", \
+					  "3.9321620, 3.2787939, 2.5823288, 1.8593010, 1.1202497, 0.4142245, -0.3057078", \
+					  "4.3850686, 3.7354416, 3.0472687, 2.3177427, 1.5877002, 0.8665835, 0.1618846", \
+					  "4.8088975, 4.1647564, 3.4629591, 2.7339347, 2.0296107, 1.2909345, 0.5979220", \
+					  "5.1935348, 4.5581270, 3.8275186, 3.1500492, 2.4571656, 1.7268404, 0.9725105", \
+					  "5.6185899, 4.9646179, 4.2717978, 3.5450809, 2.8196070, 2.1036047, 1.3683007", \
+					  "5.9707046, 5.3016616, 4.6342017, 3.9076487, 3.1854544, 2.4884328, 1.7624059");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8743156, -2.3434401, -1.8988608, -1.5370148, -1.1998221, -0.8573435, -0.5362273", \
+					  "-3.3094362, -2.7869189, -2.3487392, -1.9892465, -1.6524162, -1.3223578, -1.0106040", \
+					  "-3.7694440, -3.2400941, -2.8035700, -2.4484698, -2.1063169, -1.8024233, -1.4873131", \
+					  "-4.2202585, -3.6903657, -3.2716991, -2.9211717, -2.5678434, -2.2496984, -1.9302370", \
+					  "-4.6250303, -4.0967000, -3.6714753, -3.2975293, -2.9582327, -2.6294859, -2.3264092", \
+					  "-5.0106978, -4.4698673, -4.0709686, -3.7131315, -3.3454266, -3.0517530, -2.7169040", \
+					  "-5.3696023, -4.8333526, -4.4162817, -4.0659375, -3.6890721, -3.3771112, -3.1047839");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.9750268, -2.3723676, -1.6669429, -0.9342926, -0.2080008, 0.4912109, 1.1955923", \
+					  "-3.4788119, -2.8051627, -2.0996004, -1.3878614, -0.6411787, 0.0583555, 0.7670449", \
+					  "-3.8716809, -3.2582958, -2.5608630, -1.8513274, -1.1114078, -0.3724925, 0.3412784", \
+					  "-4.3042385, -3.6906195, -2.9753144, -2.2991844, -1.5351835, -0.8289698, -0.1013821", \
+					  "-4.7030581, -4.0894232, -3.3775089, -2.6601227, -1.9419963, -1.2214426, -0.5307895", \
+					  "-5.0629200, -4.4243802, -3.7470615, -3.0375773, -2.3306940, -1.6398508, -0.9247111", \
+					  "-5.4763102, -4.8396737, -4.1718000, -3.3924834, -2.7078253, -2.0041544, -1.2800589");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066056, 0.0133877, 0.0201698, 0.0200673, 0.0199635, 0.0198610, 0.0197584");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079699, 0.0161303, 0.0242908, 0.0242663, 0.0242415, 0.0242170, 0.0241925");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.015657;
+			capacitance : 0.015189;
+			fall_capacitance : 0.014720;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0116098, 0.0223550, 0.0563198, 0.0560133, 0.0557032, 0.0553967, 0.0550903");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0439318, 0.7820937, 1.5202556, 2.0184357, 2.5226179, 3.0207980, 3.5189781");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031234;
+			capacitance : 0.031240;
+			fall_capacitance : 0.031247;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0043881, -0.0045767, -0.0047652, -0.0049040, -0.0050443, -0.0051830, -0.0053217");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0528634, 0.0761803, 0.0994972, 0.0993997, 0.0993009, 0.0992033, 0.0991058");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006322;
+			capacitance : 0.006214;
+			fall_capacitance : 0.006106;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.7304500, -1.2697236, -1.7038148, -2.0514443, -2.3795216, -2.6928705, -3.0030205", \
+					  "-0.2738342, -0.8006800, -1.2430265, -1.5597538, -1.9355523, -2.2529829, -2.5405746", \
+					  "0.1832918, -0.3559573, -0.7986208, -1.1676577, -1.4656145, -1.7606154, -2.0829931", \
+					  "0.5932952, 0.0856517, -0.3480805, -0.7227927, -1.0606114, -1.4197024, -1.6901947", \
+					  "0.9955260, 0.4903539, 0.0529886, -0.3037227, -0.6670976, -0.9873976, -1.3597729", \
+					  "1.3370294, 0.8533627, 0.4425681, 0.0656856, -0.2839778, -0.6456391, -0.8600598", \
+					  "1.7416496, 1.2177811, 0.8149064, 0.4367809, 0.1036711, -0.2363788, -0.5480713");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.9730594, -1.6398562, -2.3344848, -3.0403359, -3.7639844, -4.4515522, -5.1243043", \
+					  "-0.5449261, -1.1969719, -1.9056409, -2.6098256, -3.3397524, -4.0441368, -4.7229924", \
+					  "-0.0911274, -0.7739496, -1.4631446, -2.1634056, -2.8564184, -3.6412553, -4.2501768", \
+					  "0.3239325, -0.3322492, -1.0535333, -1.7966914, -2.4980559, -3.1894069, -3.8641025", \
+					  "0.7225388, 0.0679914, -0.6572012, -1.3880757, -2.1174193, -2.8157030, -3.5087288", \
+					  "1.0883074, 0.4597395, -0.2480496, -0.9858670, -1.7317732, -2.4312840, -3.1579969", \
+					  "1.4288394, 0.8091904, 0.1265182, -0.6377440, -1.3680047, -2.0869313, -2.7978932");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1159234, 1.6673405, 2.0786131, 2.4275402, 2.7618830, 3.0825283, 3.3925174", \
+					  "0.6742781, 1.2030906, 1.6322937, 1.9832807, 2.3008287, 2.6169307, 2.9038534", \
+					  "0.2217780, 0.7550345, 1.1828450, 1.5145595, 1.8585811, 2.1517606, 2.4358098", \
+					  "-0.1949902, 0.3379210, 0.7588923, 1.0997657, 1.4757952, 1.7381378, 2.0571552", \
+					  "-0.6012875, -0.0622392, 0.3489414, 0.7274015, 1.0765446, 1.3658413, 1.7527956", \
+					  "-0.9716966, -0.4372046, -0.0224633, 0.3297656, 0.6584153, 1.0589556, 1.2957824", \
+					  "-1.3107646, -0.7830257, -0.3810288, -0.0189995, 0.2938948, 0.6788216, 0.9419322");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("1.1037105, 1.7611779, 2.4465352, 3.1531713, 3.8731900, 4.5081976, 5.1762948", \
+					  "0.6548339, 1.3079382, 1.9990821, 2.6905818, 3.4139028, 4.1234645, 4.7843154", \
+					  "0.2049902, 0.8742098, 1.5595492, 2.2909701, 3.0026622, 3.5928501, 4.3146533", \
+					  "-0.2260412, 0.4497446, 1.1472079, 1.8851818, 2.5873995, 3.2511319, 3.9118751", \
+					  "-0.5992164, 0.0497850, 0.7472669, 1.4909245, 2.1892135, 2.8970240, 3.5966104", \
+					  "-0.9930619, -0.3344057, 0.3460266, 1.1173763, 1.8099641, 2.5253342, 3.2008141", \
+					  "-1.3336558, -0.6888318, -0.0103928, 0.7172462, 1.4593902, 2.1693483, 2.8581564");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066502, 0.0133974, 0.0201447, 0.0200431, 0.0199402, 0.0198386, 0.0197369");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079531, 0.0160636, 0.0241741, 0.0241437, 0.0241130, 0.0240826, 0.0240522");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013493;
+			capacitance : 0.013450;
+			fall_capacitance : 0.013408;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0238522, -2.4903716, -2.0520845, -1.6826172, -1.3561409, -0.9961985, -0.7269622", \
+					  "-3.4650764, -2.9392830, -2.4826709, -2.1382207, -1.8120344, -1.4745293, -1.1448814", \
+					  "-3.9189804, -3.3865554, -2.9410114, -2.5882147, -2.2537232, -1.9371453, -1.6116102", \
+					  "-4.3713213, -3.8337673, -3.3957035, -3.0432685, -2.6907904, -2.3713038, -2.0418231", \
+					  "-4.7745667, -4.2405619, -3.7971992, -3.4373324, -3.0864066, -2.7790221, -2.4898732", \
+					  "-5.1617605, -4.6233912, -4.1844534, -3.8402057, -3.4873333, -3.1838147, -2.8466036", \
+					  "-5.5206650, -4.9802315, -4.5712946, -4.1910597, -3.8187717, -3.5068108, -3.2329579");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.8499047, -2.2342476, -1.5331507, -0.7954377, -0.0676199, 0.6073516, 1.3411070", \
+					  "-3.2636631, -2.6777335, -1.9179824, -1.2613244, -0.5084272, 0.1788999, 0.9005831", \
+					  "-3.8060681, -3.1316218, -2.4121333, -1.6986755, -0.9447582, -0.2318473, 0.4659084", \
+					  "-4.2050565, -3.5756666, -2.8410371, -2.1718482, -1.3932143, -0.6825524, 0.0096904", \
+					  "-4.6448696, -3.9915348, -3.3007396, -2.5385895, -1.8275553, -1.1262461, -0.3967124", \
+					  "-5.0030719, -4.3807435, -3.6375049, -2.9351168, -2.1964166, -1.4872095, -0.8048239", \
+					  "-5.3818125, -4.7164523, -4.0116898, -3.2633253, -2.5463566, -1.8662789, -1.1586835");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4093213, 2.8713630, 2.4557883, 2.0858200, 1.7681946, 1.4747504, 1.1795740", \
+					  "3.8537638, 3.3173289, 2.9017569, 2.5287417, 2.1850326, 1.8872708, 1.5777629", \
+					  "4.3107960, 3.7793278, 3.3572616, 2.9796668, 2.6390652, 2.3297258, 2.0679801", \
+					  "4.7481231, 4.2131838, 3.7924795, 3.4261476, 3.0745431, 2.7817675, 2.4819038", \
+					  "5.1319209, 4.6400650, 4.1705162, 3.8690152, 3.4807759, 3.1889059, 2.8891521", \
+					  "5.5317513, 4.9787346, 4.5887758, 4.2264590, 3.8846884, 3.5709247, 3.2534358", \
+					  "5.9144119, 5.3940646, 4.9414453, 4.5852986, 4.2031412, 3.9219191, 3.6138499");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.0857815, 2.3798153, 1.6917985, 0.9581584, 0.2394959, -0.4491959, -1.1383442", \
+					  "3.5438513, 2.8378851, 2.1736344, 1.4010168, 0.6776925, -0.0279452, -0.7595228", \
+					  "3.9919630, 3.2859969, 2.6142315, 1.8751638, 1.1533313, 0.4622100, -0.2819303", \
+					  "4.4069888, 3.7100061, 3.0208960, 2.2946510, 1.5809197, 0.8798482, 0.1902443", \
+					  "4.8584912, 4.1568347, 3.4503325, 2.6820664, 2.0097942, 1.2814177, 0.5495570", \
+					  "5.2279295, 4.5192399, 3.8542181, 3.0541015, 2.3972551, 1.6921771, 0.9834486", \
+					  "5.5852912, 4.8864124, 4.1862223, 3.4149701, 2.7475158, 2.0937197, 1.3575412");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0066111, 0.0134091, 0.0202070, 0.0201135, 0.0200189, 0.0199254, 0.0198319");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0079695, 0.0161348, 0.0243002, 0.0242669, 0.0242333, 0.0242001, 0.0241668");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.046000;
+			max_transition : 5.084199;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02169851, 0.04708255, 0.1021621, 0.2216766, 0.4810053, 1.04371");
+					values("0.3924573, 0.4041816, 0.4245443, 0.4732215, 0.6367074, 0.7764504, 0.7728992", \
+					  "0.4592476, 0.4687225, 0.4895269, 0.5383990, 0.7017163, 0.8398281, 0.8318075", \
+					  "-0.4253463, -0.4153925, -0.3945101, -0.3478687, -0.1819169, -0.0354636, -0.0475809", \
+					  "-0.3923864, -0.3830077, -0.3617295, -0.3218109, -0.1510752, -0.0106284, -0.0122401", \
+					  "-0.3593832, -0.3523616, -0.3365885, -0.2953852, -0.1267462, 0.0122063, 0.0095703", \
+					  "-0.3096074, -0.3068021, -0.2866622, -0.2553099, -0.1042112, 0.0348499, 0.0353169", \
+					  "-0.2563316, -0.2641690, -0.2465474, -0.2135792, -0.0704495, 0.0547246, 0.0533517");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02169851, 0.04708255, 0.1021621, 0.2216766, 0.4810053, 1.04371");
+					values("0.5062109, 0.5109128, 0.5207666, 0.5399218, 0.5719611, 0.6382662, 0.7419871", \
+					  "0.4135465, 0.4194870, 0.4287563, 0.4487683, 0.4795433, 0.5461760, 0.6505590", \
+					  "1.3486921, 1.3522950, 1.3622124, 1.3819534, 1.4147365, 1.4805181, 1.5835449", \
+					  "1.3544566, 1.3600330, 1.3690988, 1.3872500, 1.4212271, 1.4915105, 1.5912567", \
+					  "1.3458016, 1.3516846, 1.3610458, 1.3807008, 1.4118521, 1.4783303, 1.5825046", \
+					  "1.3455724, 1.3504367, 1.3607722, 1.3799987, 1.4116512, 1.4777226, 1.5814635", \
+					  "1.3432405, 1.3466793, 1.3597636, 1.3769015, 1.4085551, 1.4747430, 1.5786696");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.7343946, 0.7493859, 0.7594988, 0.7638168, 0.7653156, 0.7637900, 0.7574114", \
+					  "1.0120139, 1.0295116, 1.0385107, 1.0423726, 1.0431664, 1.0435514, 1.0431934", \
+					  "0.0562611, 0.0741511, 0.0830158, 0.0765933, 0.0880936, 0.0875203, 0.0869165", \
+					  "0.3054692, 0.3208540, 0.3193653, 0.2903317, 0.2678399, 0.2648021, 0.2612259", \
+					  "0.4944173, 0.5051503, 0.5052228, 0.4941939, 0.4997977, 0.4317690, 0.4251618", \
+					  "0.6491896, 0.6608397, 0.6591795, 0.6513803, 0.6499497, 0.6398293, 0.5823048", \
+					  "0.7969885, 0.8098705, 0.8135157, 0.7931517, 0.7956775, 0.8071362, 0.7267803");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.9609917, 0.9639145, 0.9690549, 0.9781071, 0.9900047, 0.9982575, 1.0015584", \
+					  "0.7890513, 0.7921126, 0.7985183, 0.8070213, 0.8186606, 0.8270415, 0.8306354", \
+					  "1.9651941, 1.9689031, 1.9735704, 1.9832655, 1.9947240, 2.0052441, 2.0064445", \
+					  "1.9598045, 1.9654751, 1.9679718, 1.9773209, 1.9888247, 2.0096811, 2.0012937", \
+					  "1.9533221, 1.9680460, 1.9610633, 1.9708601, 1.9832558, 2.0047299, 1.9973144", \
+					  "1.9117479, 1.9238970, 1.9214294, 1.9354774, 1.9537677, 1.9895162, 1.9950886", \
+					  "1.9226114, 1.9240681, 1.9116826, 1.9143040, 1.9240471, 1.9547528, 1.9721824");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.6769039, 0.6912846, 0.7012952, 0.7056745, 0.7078884, 0.7068978, 0.7099544", \
+					  "0.7639942, 0.7808626, 0.7911637, 0.7943143, 0.7967590, 0.7995527, 0.7936279", \
+					  "-0.3686088, -0.3542636, -0.3461619, -0.3431230, -0.3432437, -0.3459811, -0.3456381", \
+					  "-0.2565718, -0.2661773, -0.2567855, -0.2582506, -0.2931898, -0.3301607, -0.3317497", \
+					  "-0.2422905, -0.2545376, -0.2415667, -0.2434405, -0.2412689, -0.2752189, -0.3243769", \
+					  "-0.2287369, -0.2371722, -0.2259778, -0.2414155, -0.2304061, -0.2268739, -0.2991317", \
+					  "-0.2143880, -0.2259842, -0.2130163, -0.2240552, -0.2156244, -0.2100825, -0.2443021");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.9158735, 0.9187063, 0.9245371, 0.9342386, 0.9464645, 0.9556375, 0.9598990", \
+					  "0.7607441, 0.7626852, 0.7692017, 0.7789424, 0.7909577, 0.8008459, 0.8045747", \
+					  "1.9298014, 1.9342596, 1.9386177, 1.9491080, 1.9614139, 1.9707172, 1.9769819", \
+					  "1.9274534, 1.9426602, 1.9355227, 1.9455063, 1.9575166, 1.9676309, 1.9838534", \
+					  "1.9229154, 1.9376193, 1.9324939, 1.9426407, 1.9563522, 1.9645041, 1.9807493", \
+					  "1.9191137, 1.9339816, 1.9276770, 1.9386526, 1.9534715, 1.9626451, 1.9797946", \
+					  "1.8952693, 1.9112565, 1.9078688, 1.9212271, 1.9413843, 1.9600302, 1.9794095");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("1.4215482, 1.4183519, 1.4213803, 1.4209923, 1.4136325, 1.4234567, 1.4162454", \
+					  "1.4102094, 1.4135513, 1.4121985, 1.4117023, 1.4158500, 1.4142664, 1.3946262", \
+					  "1.3999469, 1.4053409, 1.4043892, 1.4035056, 1.4027577, 1.4053610, 1.3892244", \
+					  "1.4039318, 1.4010555, 1.4011181, 1.4027751, 1.4007282, 1.4046302, 1.3969558", \
+					  "1.3998017, 1.4060593, 1.4041770, 1.4033497, 1.4038283, 1.4055223, 1.3987222", \
+					  "1.4027825, 1.4060525, 1.4039029, 1.4034842, 1.4026640, 1.4052645, 1.3872683", \
+					  "1.4037356, 1.4009880, 1.4040528, 1.4030264, 1.4069820, 1.4055148, 1.3984749");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02170644, 0.04711696, 0.1022741, 0.2220008, 0.4818846, 1.046");
+					values("0.7321791, 0.7353765, 0.7424891, 0.7556158, 0.7789913, 0.8209574, 0.9276817", \
+					  "0.7246545, 0.7281441, 0.7351373, 0.7491670, 0.7717829, 0.8128827, 0.9210765", \
+					  "0.7176804, 0.7207932, 0.7282958, 0.7421948, 0.7647887, 0.8067450, 0.9122248", \
+					  "0.7173531, 0.7217540, 0.7284599, 0.7425366, 0.7641893, 0.8064116, 0.9130535", \
+					  "0.7166385, 0.7210871, 0.7286442, 0.7415505, 0.7643045, 0.8049415, 0.9136077", \
+					  "0.7177258, 0.7206237, 0.7273727, 0.7412492, 0.7648875, 0.8066110, 0.9102229", \
+					  "0.7174779, 0.7210285, 0.7247612, 0.7420458, 0.7646841, 0.8061684, 0.9153106");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("4.3115858, 4.3535073, 4.4449910, 4.6424669, 5.0644720, 5.9756906, 7.9462197", \
+					  "7.6692004, 7.7106028, 7.8021571, 7.9986453, 8.4220240, 9.3329424, 11.3038550", \
+					  "11.0366530, 11.0808620, 11.1723690, 11.3693910, 11.7913710, 12.7003210, 14.6712710", \
+					  "11.8070650, 11.8440880, 11.9352870, 12.1321280, 12.5548100, 13.4709650, 15.4418200", \
+					  "16.3792690, 16.4188680, 16.5104660, 16.7380920, 17.1234560, 18.0429500, 20.0139820", \
+					  "20.1398030, 20.1806340, 20.2870820, 20.4961720, 20.8951080, 21.8095440, 23.7743870", \
+					  "23.0114030, 23.0386000, 23.1422740, 23.3226860, 23.7584210, 24.6799630, 26.6459760", \
+					  "27.0552200, 27.0944000, 27.2161280, 27.3849530, 27.8256420, 28.7676510, 30.6896870", \
+					  "82.7231120, 82.7424600, 82.8864020, 83.0549350, 83.5172780, 84.4582360, 86.3770990", \
+					  "118.1523900, 118.1857700, 118.1857720, 118.3986700, 118.8105800, 119.8055300, 121.8151500", \
+					  "269.1400000, 269.1400300, 269.1400605, 269.2588900, 269.6529600, 270.6975400, 272.7573500", \
+					  "810.5658900, 810.5659485, 811.1376200, 811.1376648, 811.1377259, 812.1440400, 814.0427800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("0.3020442, 0.3548244, 0.4709664, 0.7200976, 1.2599406, 2.4300353, 4.9926783", \
+					  "0.3030684, 0.3562064, 0.4719740, 0.7239733, 1.2592705, 2.4303171, 4.9895279", \
+					  "0.3024414, 0.3560365, 0.4714826, 0.7231314, 1.2603308, 2.4303142, 4.9888369", \
+					  "0.3031614, 0.3556119, 0.4737579, 0.7224046, 1.2585252, 2.4303015, 4.9912736", \
+					  "0.3024092, 0.3563257, 0.4711889, 0.7217650, 1.2592832, 2.4303235, 5.0015174", \
+					  "0.3033364, 0.3560477, 0.4718105, 0.7236112, 1.2592404, 2.4303575, 4.9955293", \
+					  "0.3030798, 0.3559379, 0.4718150, 0.7239388, 1.2592892, 2.4301019, 4.9937743", \
+					  "0.3031080, 0.3562555, 0.4715868, 0.7240377, 1.2590843, 2.4300855, 4.9915090", \
+					  "0.3032523, 0.3559372, 0.4733338, 0.7241823, 1.2591536, 2.4302313, 4.9912736", \
+					  "0.3030514, 0.3556473, 0.4720017, 0.7197012, 1.2605077, 2.4304776, 5.0080504", \
+					  "0.3021639, 0.3559467, 0.4719848, 0.7240316, 1.2590951, 2.4306546, 5.0010174", \
+					  "0.3025245, 0.3562802, 0.4730882, 0.7236004, 1.2586996, 2.4299924, 4.9913380");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("5.7770628, 5.8039724, 5.8544596, 5.9485390, 6.1212324, 6.4283836, 6.9419772", \
+					  "9.0433234, 9.0699439, 9.1219885, 9.2159054, 9.3878025, 9.6969570, 10.2111980", \
+					  "12.7480920, 12.7747390, 12.8258750, 12.9198410, 13.0918590, 13.4002080, 13.9153410", \
+					  "13.6340960, 13.6633850, 13.7133100, 13.8060200, 13.9775990, 14.2868370, 14.8018150", \
+					  "19.1458620, 19.1717500, 19.2234420, 19.3185930, 19.4891520, 19.7984830, 20.3133470", \
+					  "23.7399180, 23.7633840, 23.8139870, 23.9157100, 24.0834780, 24.3908660, 24.9065850", \
+					  "27.2068750, 27.2332330, 27.2863050, 27.3791160, 27.5204850, 27.8427500, 28.3452460", \
+					  "32.0856440, 32.1122760, 32.1636550, 32.2533000, 32.4364250, 32.7327850, 33.2616170", \
+					  "97.1964270, 97.2145950, 97.2596300, 97.3690670, 97.5325560, 97.8453580, 98.3368480", \
+					  "137.4394900, 137.4545300, 137.5256900, 137.6188100, 137.7901100, 138.0919900, 138.6031700", \
+					  "303.9197100, 303.9855000, 304.0102300, 304.1086500, 304.2818000, 304.5756500, 305.1244200", \
+					  "868.4471600, 868.4471741, 868.4737600, 868.5960900, 868.7829300, 869.0763600, 869.6291000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0216959, 0.0470711, 0.102125, 0.221569, 0.480713, 1.04295");
+					values("0.2167632, 0.2334866, 0.2684318, 0.3431022, 0.4963438, 0.7627886, 1.2375001", \
+					  "0.2181962, 0.2391653, 0.2716547, 0.3455432, 0.4969628, 0.7642458, 1.2381870", \
+					  "0.2205661, 0.2365665, 0.2709955, 0.3456720, 0.4977473, 0.7653531, 1.2372441", \
+					  "0.2226093, 0.2388457, 0.2703536, 0.3478965, 0.4969122, 0.7630292, 1.2382930", \
+					  "0.2214752, 0.2360528, 0.2729035, 0.3472801, 0.4983762, 0.7650621, 1.2374823", \
+					  "0.2207188, 0.2366476, 0.2718344, 0.3460437, 0.4973043, 0.7652958, 1.2373975", \
+					  "0.2180912, 0.2372718, 0.2725410, 0.3455749, 0.4986441, 0.7654045, 1.2365191", \
+					  "0.2199448, 0.2359273, 0.2729363, 0.3474246, 0.4977589, 0.7651754, 1.2379171", \
+					  "0.2213268, 0.2375134, 0.2734531, 0.3473375, 0.4979309, 0.7640498, 1.2373436", \
+					  "0.2234561, 0.2361524, 0.2735891, 0.3464067, 0.4970488, 0.7644073, 1.2365073", \
+					  "0.2201812, 0.2388022, 0.2719586, 0.3466648, 0.4990090, 0.7640638, 1.2374629", \
+					  "0.2229085, 0.2366134, 0.2731658, 0.3479585, 0.4973511, 0.7650545, 1.2368991");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("4.6924913, 4.7348263, 4.8277302, 5.0237396, 5.4465419, 6.3580186, 8.3346992", \
+					  "7.2386972, 7.2814230, 7.3725954, 7.5683442, 7.9904687, 8.9033660, 10.8803450", \
+					  "9.3565209, 9.3991588, 9.4901349, 9.6854409, 10.1077040, 11.0202540, 13.0035630", \
+					  "9.8345510, 9.8786472, 9.9695194, 10.1655340, 10.5870220, 11.4998920, 13.4818860", \
+					  "12.6993950, 12.7402210, 12.8310890, 13.0289290, 13.4500090, 14.3606850, 16.3415110", \
+					  "15.0699490, 15.1131550, 15.2040160, 15.3994360, 15.8217230, 16.7307160, 18.7141480", \
+					  "16.8700770, 16.9167900, 17.0076360, 17.1998450, 17.6223120, 18.5309650, 20.5174700", \
+					  "19.4174370, 19.4633390, 19.5542910, 19.7304200, 20.1692030, 21.0781770, 23.0783340", \
+					  "54.6734250, 54.6829960, 54.8044170, 54.9464370, 55.3498400, 56.1766600, 58.3510910", \
+					  "77.1025060, 77.1753220, 77.2580930, 77.4181500, 77.8511830, 78.5806900, 80.5876820", \
+					  "172.2313200, 172.3851300, 172.4285300, 172.5765800, 173.2138900, 174.1268100, 175.9710100", \
+					  "510.2320400, 510.7033300, 510.7033539, 510.7033844, 510.9719200, 511.9037500, 513.9502800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2997937, 0.3528008, 0.4673580, 0.7165251, 1.2616723, 2.4388105, 5.0092397", \
+					  "0.3044401, 0.3563477, 0.4699761, 0.7172971, 1.2624901, 2.4407667, 5.0841988", \
+					  "0.3041001, 0.3543906, 0.4699282, 0.7175819, 1.2603456, 2.4490985, 5.0549316", \
+					  "0.3002436, 0.3549006, 0.4681733, 0.7171344, 1.2625109, 2.4461022, 5.0406355", \
+					  "0.3002630, 0.3548048, 0.4698662, 0.7183967, 1.2627462, 2.4553706, 5.0063198", \
+					  "0.3002032, 0.3546388, 0.4698977, 0.7183170, 1.2627623, 2.4466671, 5.0154427", \
+					  "0.3002504, 0.3550465, 0.4697996, 0.7184277, 1.2625134, 2.4494551, 4.9997202", \
+					  "0.3002341, 0.3547982, 0.4698678, 0.7184315, 1.2624948, 2.4491136, 4.9937849", \
+					  "0.3002181, 0.3549465, 0.4698678, 0.7184130, 1.2625445, 2.4494278, 4.9952331", \
+					  "0.3002602, 0.3549169, 0.4698574, 0.7184329, 1.2625555, 2.4495359, 4.9931890", \
+					  "0.3002537, 0.3548043, 0.4698663, 0.7183770, 1.2625000, 2.4494198, 4.9973337", \
+					  "0.3003712, 0.3548873, 0.4698427, 0.7184130, 1.2625582, 2.4492928, 4.9959290");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("6.2509162, 6.2730869, 6.3202878, 6.4086465, 6.5736008, 6.8654931, 7.3638597", \
+					  "8.9866674, 9.0118855, 9.0620489, 9.1525152, 9.3134416, 9.6052446, 10.1006700", \
+					  "12.1712190, 12.1972170, 12.2432640, 12.3371490, 12.4982900, 12.7959770, 13.2816880", \
+					  "12.8917140, 12.9176460, 12.9674660, 13.0573380, 13.2184770, 13.5044780, 14.0067160", \
+					  "17.0873040, 17.1180720, 17.1718110, 17.2603690, 17.4220580, 17.7107500, 18.2078870", \
+					  "20.4414890, 20.4792980, 20.5338610, 20.6220590, 20.7815900, 21.0754120, 21.5705980", \
+					  "22.9721310, 22.9975170, 23.0396480, 23.1218030, 23.2804190, 23.5816500, 24.0658340", \
+					  "26.4408670, 26.4792470, 26.5511450, 26.5959890, 26.7410430, 27.0630360, 27.5506090", \
+					  "71.0347480, 71.0576630, 71.1089000, 71.2076300, 71.3650220, 71.6355830, 72.1858980", \
+					  "97.8229620, 97.8620630, 97.9415230, 97.9736610, 98.1523410, 98.4095580, 98.9417970", \
+					  "206.0906000, 206.1347700, 206.1441600, 206.2456000, 206.4422500, 206.6921900, 207.2331900", \
+					  "563.0109300, 563.0478800, 563.1945900, 563.1946106, 563.3040700, 563.6179000, 564.1167000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2113987, 0.2265832, 0.2593076, 0.3276663, 0.4680725, 0.7253035, 1.2077324", \
+					  "0.2134638, 0.2280958, 0.2624864, 0.3297316, 0.4691126, 0.7284243, 1.2203371", \
+					  "0.2128005, 0.2286668, 0.2620099, 0.3294971, 0.4697044, 0.7318693, 1.2200918", \
+					  "0.2136272, 0.2281112, 0.2625962, 0.3289980, 0.4709095, 0.7306924, 1.2178529", \
+					  "0.2147284, 0.2299806, 0.2628059, 0.3341252, 0.4709766, 0.7304287, 1.2183333", \
+					  "0.2145250, 0.2287648, 0.2631284, 0.3290220, 0.4706459, 0.7283770, 1.2186835", \
+					  "0.2152586, 0.2280207, 0.2623086, 0.3297399, 0.4716413, 0.7283937, 1.2201671", \
+					  "0.2117095, 0.2281196, 0.2621198, 0.3297277, 0.4691419, 0.7305584, 1.2203045", \
+					  "0.2130574, 0.2286181, 0.2625568, 0.3297966, 0.4709698, 0.7287682, 1.2188530", \
+					  "0.2126637, 0.2280637, 0.2622693, 0.3297578, 0.4708823, 0.7302608, 1.2197335", \
+					  "0.2128713, 0.2283473, 0.2620833, 0.3296447, 0.4691989, 0.7288871, 1.2202055", \
+					  "0.2130994, 0.2283769, 0.2621600, 0.3298669, 0.4692023, 0.7311099, 1.2191915");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("4.6731117, 4.7164423, 4.8073110, 5.0028164, 5.4261018, 6.3387575, 8.3140961", \
+					  "6.9321393, 6.9740755, 7.0656525, 7.2614408, 7.6838438, 8.5971443, 10.5777510", \
+					  "8.6068725, 8.6511207, 8.7403960, 8.9362588, 9.3589092, 10.2716570, 12.2500210", \
+					  "8.9700727, 9.0143777, 9.1035197, 9.2994137, 9.7219308, 10.6348090, 12.6132040", \
+					  "11.0744970, 11.1155090, 11.2084420, 11.4058260, 11.8259870, 12.7389800, 14.7159060", \
+					  "12.7520120, 12.7977640, 12.8857120, 13.0793450, 13.5043860, 14.4172150, 16.3974060", \
+					  "14.0014520, 14.0431980, 14.1339920, 14.3316080, 14.7585970, 15.6657210, 17.6516900", \
+					  "15.7390030, 15.7864570, 15.8704290, 16.0730130, 16.4959900, 17.4014900, 19.3854090", \
+					  "38.3350350, 38.3356810, 38.4725920, 38.6683890, 39.0370370, 40.0145160, 41.9883150", \
+					  "52.1920360, 52.2183680, 52.2960910, 52.4989400, 52.9271100, 53.8394640, 55.8196330", \
+					  "109.4845700, 109.5287600, 109.6963200, 109.8277200, 110.3923000, 111.1945000, 113.1889800", \
+					  "308.0347700, 308.1867700, 308.3279400, 308.5185100, 308.9311600, 309.9691200, 311.7082800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.3003555, 0.3531626, 0.4677099, 0.7165172, 1.2603126, 2.4414661, 5.0275322", \
+					  "0.3012512, 0.3565366, 0.4691023, 0.7183214, 1.2647458, 2.4517437, 5.0015272", \
+					  "0.3002277, 0.3550144, 0.4687894, 0.7164481, 1.2603553, 2.4494438, 5.0439319", \
+					  "0.3002452, 0.3549463, 0.4698262, 0.7183778, 1.2598701, 2.4439186, 5.0128319", \
+					  "0.3002052, 0.3549606, 0.4698814, 0.7174304, 1.2607031, 2.4410138, 4.9944977", \
+					  "0.3008277, 0.3566046, 0.4697899, 0.7188397, 1.2608454, 2.4484528, 5.0661995", \
+					  "0.3002448, 0.3549360, 0.4698648, 0.7172563, 1.2647186, 2.4522890, 5.0539284", \
+					  "0.3002357, 0.3550438, 0.4698659, 0.7184173, 1.2643337, 2.4449656, 5.0029842", \
+					  "0.3012210, 0.3550744, 0.4689895, 0.7184248, 1.2645753, 2.4470665, 4.9950715", \
+					  "0.3003081, 0.3552232, 0.4698492, 0.7184208, 1.2619797, 2.4464353, 4.9958686", \
+					  "0.3002295, 0.3549390, 0.4698599, 0.7183956, 1.2619603, 2.4484779, 4.9960120", \
+					  "0.3002335, 0.3549859, 0.4698582, 0.7187753, 1.2610691, 2.4494700, 4.9949991");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("8.4866834, 8.5109448, 8.5581968, 8.6500629, 8.8116661, 9.1035783, 9.5988950", \
+					  "10.8237890, 10.8474070, 10.8990400, 10.9894380, 11.1489240, 11.4421240, 11.9362720", \
+					  "13.9611740, 14.0063920, 14.0369420, 14.1273610, 14.2920080, 14.5799750, 15.0789870", \
+					  "14.7133790, 14.7419060, 14.7894310, 14.8797270, 15.0421420, 15.3324660, 15.8271330", \
+					  "19.3404560, 19.3665190, 19.4165630, 19.5068440, 19.6674610, 19.9596070, 20.4545340", \
+					  "23.0517170, 23.0792060, 23.1274320, 23.2185420, 23.3765180, 23.6629520, 24.1551350", \
+					  "25.8025570, 25.8313570, 25.8779560, 25.9684100, 26.1165740, 26.4239470, 26.9158470", \
+					  "29.6100030, 29.6333410, 29.6858640, 29.7762330, 29.9312540, 30.2171270, 30.7292290", \
+					  "77.4520430, 77.4520455, 77.5279430, 77.6189570, 77.7792410, 78.0592300, 78.5715030", \
+					  "105.9325500, 105.9594800, 106.0032800, 106.0981400, 106.2551900, 106.5564700, 107.0452900", \
+					  "220.6230800, 220.6265200, 220.7180100, 220.7893700, 220.9025100, 221.2259900, 221.7425500", \
+					  "598.1273100, 598.1273499, 598.1948400, 598.2558400, 598.3683300, 598.7698700, 599.1643700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2114237, 0.2276187, 0.2602142, 0.3269373, 0.4678274, 0.7248398, 1.2107293", \
+					  "0.2136574, 0.2282271, 0.2625615, 0.3295876, 0.4689321, 0.7287014, 1.2206113", \
+					  "0.2132157, 0.2282934, 0.2624375, 0.3298688, 0.4692160, 0.7287775, 1.2201060", \
+					  "0.2126773, 0.2284120, 0.2619561, 0.3297464, 0.4691408, 0.7311248, 1.2199110", \
+					  "0.2125702, 0.2280979, 0.2618411, 0.3297058, 0.4690954, 0.7306504, 1.2200487", \
+					  "0.2132119, 0.2305447, 0.2617086, 0.3306478, 0.4716362, 0.7306164, 1.2190331", \
+					  "0.2135336, 0.2280512, 0.2624996, 0.3296893, 0.4692400, 0.7284087, 1.2104139", \
+					  "0.2130460, 0.2281094, 0.2621525, 0.3297914, 0.4708857, 0.7313866, 1.2204341", \
+					  "0.2125108, 0.2282887, 0.2626948, 0.3296835, 0.4689435, 0.7294194, 1.2204125", \
+					  "0.2136607, 0.2287554, 0.2626676, 0.3294419, 0.4685986, 0.7286758, 1.2203822", \
+					  "0.2136708, 0.2283793, 0.2631259, 0.3295518, 0.4692269, 0.7307825, 1.2187591", \
+					  "0.2120681, 0.2280945, 0.2622868, 0.3298886, 0.4692182, 0.7310312, 1.2204493");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("24.2191830, 24.2614390, 24.3533190, 24.5496390, 24.9731240, 25.8873350, 27.8613330", \
+					  "24.8985310, 24.9408070, 25.0308630, 25.2277030, 25.6524970, 26.5638070, 28.5400010", \
+					  "25.6093460, 25.6516500, 25.7395540, 25.9360050, 26.3633200, 27.2733300, 29.2508490", \
+					  "26.3240540, 26.3663280, 26.4555680, 26.6535980, 27.0780070, 27.9871020, 29.9661880", \
+					  "27.0498650, 27.0921560, 27.1811840, 27.3778530, 27.8038410, 28.7128990, 30.6917510", \
+					  "27.7679990, 27.8103050, 27.8894740, 28.0975630, 28.5219790, 29.4382230, 31.4095670", \
+					  "28.4712890, 28.5135440, 28.6041470, 28.8006310, 29.2252280, 30.1396170, 32.1134340");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.3003635, 0.3565784, 0.4732963, 0.7181588, 1.2595033, 2.4402833, 4.9946141", \
+					  "0.3001899, 0.3563443, 0.4723555, 0.7185049, 1.2590068, 2.4414948, 5.0031366", \
+					  "0.3001906, 0.3563451, 0.4741936, 0.7221164, 1.2590097, 2.4412534, 5.0031121", \
+					  "0.3003634, 0.3565771, 0.4674110, 0.7220061, 1.2595031, 2.4417413, 4.9946705", \
+					  "0.3001918, 0.3563469, 0.4744432, 0.7202687, 1.2590151, 2.4411695, 4.9946265", \
+					  "0.3001824, 0.3563275, 0.4723768, 0.7185333, 1.2589841, 2.4412294, 5.0036340", \
+					  "0.3003640, 0.3565794, 0.4742623, 0.7219724, 1.2595041, 2.4414288, 4.9946238");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("16.8342100, 16.8548780, 16.9049430, 16.9909240, 17.1474030, 17.4323670, 17.9244580", \
+					  "17.3662990, 17.3915350, 17.4496100, 17.5256270, 17.6816640, 17.9664320, 18.4633130", \
+					  "17.7944570, 17.8208290, 17.8691200, 17.9537880, 18.1098230, 18.3956990, 18.8889510", \
+					  "18.1651050, 18.1898900, 18.2312850, 18.3245790, 18.4758520, 18.7651010, 19.2541310", \
+					  "18.4803550, 18.5054000, 18.5558450, 18.6391930, 18.7953010, 19.0800660, 19.5756920", \
+					  "18.8145960, 18.8391170, 18.8801900, 18.9734860, 19.1297680, 19.4141450, 19.9074500", \
+					  "19.0991470, 19.1239610, 19.1731820, 19.2584370, 19.4144880, 19.6988240, 20.1932820");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0217064, 0.047117, 0.102274, 0.222001, 0.481885, 1.046");
+					values("0.2006729, 0.2175601, 0.2492707, 0.3203088, 0.4563458, 0.7167819, 1.2199952", \
+					  "0.2004249, 0.2175614, 0.2488767, 0.3174391, 0.4547794, 0.7181682, 1.2208996", \
+					  "0.2004267, 0.2168586, 0.2492748, 0.3174247, 0.4547651, 0.7155511, 1.2099082", \
+					  "0.2012353, 0.2177877, 0.2503030, 0.3170435, 0.4556930, 0.7187209, 1.2104375", \
+					  "0.2009029, 0.2169401, 0.2493496, 0.3152271, 0.4556811, 0.7178972, 1.2105066", \
+					  "0.2019875, 0.2172647, 0.2512882, 0.3187384, 0.4554249, 0.7170504, 1.2208904", \
+					  "0.2004907, 0.2175603, 0.2496236, 0.3175247, 0.4548519, 0.7169377, 1.2111045");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.282320;
+			max_transition : 3.787332;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247102, 0.05049469, 0.1134667, 0.2549714, 0.5729467, 1.28747");
+					values("0.7654616, 0.7689470, 0.7711293, 0.7746348, 0.7753852, 0.7829277, 0.7677205", \
+					  "0.8328402, 0.8345073, 0.8376639, 0.8398689, 0.8431260, 0.8437538, 0.8376165", \
+					  "-0.0503483, -0.0497082, -0.0535197, -0.0442655, -0.0438799, -0.0364408, -0.0442010", \
+					  "-0.0202704, -0.0170588, -0.0147066, -0.0123836, -0.0112505, -0.0041679, -0.0089849", \
+					  "0.0035418, 0.0057800, 0.0084328, 0.0112268, 0.0156258, 0.0133487, 0.0048027", \
+					  "0.0208745, 0.0275480, 0.0313238, 0.0333247, 0.0374576, 0.0299037, 0.0473412", \
+					  "0.0502955, 0.0528369, 0.0575492, 0.0551208, 0.0545758, 0.0526268, 0.0609903");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247102, 0.05049469, 0.1134667, 0.2549714, 0.5729467, 1.28747");
+					values("0.7065965, 0.7121064, 0.7188155, 0.7302672, 0.7435660, 0.7546221, 0.7591897", \
+					  "0.6165039, 0.6206057, 0.6282105, 0.6376512, 0.6527314, 0.6630948, 0.6677613", \
+					  "1.5512664, 1.5536926, 1.5619830, 1.5713629, 1.5857449, 1.5973243, 1.6049549", \
+					  "1.5511043, 1.5613651, 1.5680724, 1.5794005, 1.5931208, 1.6043007, 1.6086578", \
+					  "1.5552336, 1.5529536, 1.5601832, 1.5707273, 1.5851134, 1.5957975, 1.6074448", \
+					  "1.5561908, 1.5520734, 1.5591602, 1.5700049, 1.5840433, 1.5949475, 1.6065880", \
+					  "1.5550010, 1.5483338, 1.5562174, 1.5660317, 1.5805731, 1.5941638, 1.6058441");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.7001416, 0.7005730, 0.7024292, 0.7048801, 0.7064692, 0.7059524, 0.7137439", \
+					  "0.7888822, 0.7898824, 0.7918450, 0.7944494, 0.7966311, 0.8001294, 0.7898916", \
+					  "-0.3471019, -0.3559063, -0.3452551, -0.3433490, -0.3409698, -0.3369014, -0.3428310", \
+					  "-0.2568282, -0.2660756, -0.2554400, -0.2550166, -0.2816266, -0.3223277, -0.3308229", \
+					  "-0.2439757, -0.2533890, -0.2393470, -0.2373668, -0.2343606, -0.2427928, -0.3128917", \
+					  "-0.2273362, -0.2405319, -0.2278176, -0.2248088, -0.2226716, -0.2261384, -0.2904007", \
+					  "-0.2131521, -0.2251927, -0.2124753, -0.2119839, -0.2103954, -0.2126747, -0.2156786");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.8559583, 0.8619841, 0.8750565, 0.9023533, 0.9338673, 0.9591214, 0.9679295", \
+					  "0.6989638, 0.7064212, 0.7183429, 0.7475233, 0.7790309, 0.8034241, 0.8126873", \
+					  "1.8693664, 1.8768966, 1.8886954, 1.9191632, 1.9514900, 1.9737291, 1.9831088", \
+					  "1.8642605, 1.8714633, 1.8859974, 1.9121573, 1.9449750, 1.9704655, 1.9792098", \
+					  "1.8584791, 1.8678175, 1.8846784, 1.9084686, 1.9418349, 1.9674661, 1.9767504", \
+					  "1.8591370, 1.8631420, 1.8873453, 1.9052993, 1.9377716, 1.9642905, 1.9741975", \
+					  "1.8333735, 1.8274971, 1.8570577, 1.8792661, 1.9193989, 1.9598164, 1.9750733");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.7573714, 0.7583171, 0.7605731, 0.7623124, 0.7648303, 0.7701386, 0.7564404", \
+					  "1.0368603, 1.0376819, 1.0388059, 1.0419338, 1.0450399, 1.0439724, 1.0447582", \
+					  "0.0810794, 0.0820864, 0.0844288, 0.0867585, 0.0879792, 0.0855925, 0.0825174", \
+					  "0.3184231, 0.3170429, 0.3118002, 0.2977179, 0.2690051, 0.2598314, 0.2699850", \
+					  "0.5017529, 0.5043173, 0.5064097, 0.5046969, 0.5041597, 0.4465664, 0.4222596", \
+					  "0.6497260, 0.6591519, 0.6619253, 0.6609708, 0.6552101, 0.6533512, 0.5925611", \
+					  "0.7988893, 0.8079422, 0.8103434, 0.8054975, 0.8030334, 0.8059421, 0.7618052");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.9034644, 0.9094008, 0.9224570, 0.9482267, 0.9782309, 1.0018583, 1.0094347", \
+					  "0.7320981, 0.7386166, 0.7498851, 0.7752425, 0.8157394, 0.8302529, 0.8452693", \
+					  "1.9073705, 1.9145271, 1.9290862, 1.9518653, 1.9949012, 2.0065609, 2.0242731", \
+					  "1.9027722, 1.9062111, 1.9302515, 1.9468637, 1.9857675, 2.0009482, 2.0220553", \
+					  "1.8781079, 1.8868149, 1.9127516, 1.9452333, 1.9853609, 1.9960442, 2.0203277", \
+					  "1.8382481, 1.8440249, 1.8719030, 1.9021416, 1.9468364, 1.9764344, 2.0124969", \
+					  "1.9143511, 1.9123856, 1.9272418, 1.9276230, 1.9314129, 1.9419100, 1.9985105");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("1.1322030, 1.1332957, 1.1399108, 1.1510710, 1.1809201, 1.4197831, 1.4086245", \
+					  "1.1228788, 1.1221826, 1.1308745, 1.1417078, 1.1714058, 1.4109532, 1.3971787", \
+					  "1.1155569, 1.1137831, 1.1222913, 1.1340469, 1.1628014, 1.4015718, 1.3892334", \
+					  "1.1145856, 1.1173418, 1.1222410, 1.1331661, 1.1639848, 1.4029777, 1.3910853", \
+					  "1.1149213, 1.1176050, 1.1211726, 1.1339339, 1.1718109, 1.4029871, 1.3986449", \
+					  "1.1148463, 1.1156123, 1.1226107, 1.1334804, 1.1651508, 1.4019683, 1.3886998", \
+					  "1.1149787, 1.1148932, 1.1225481, 1.1336578, 1.1704902, 1.4024237, 1.3878939");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02245602, 0.05042727, 0.1132396, 0.254291, 0.5710363, 1.28232");
+					values("0.9212408, 0.9241242, 0.9300832, 0.9458373, 0.9695840, 1.0084780, 1.0232822", \
+					  "0.9150744, 0.9169602, 0.9229992, 0.9378216, 0.9627798, 1.0010680, 1.0159546", \
+					  "0.9083834, 0.9100358, 0.9165691, 0.9316604, 0.9557815, 0.9940633, 1.0090628", \
+					  "0.9065628, 0.9096006, 0.9158510, 0.9309605, 0.9554541, 0.9940671, 1.0091006", \
+					  "0.9039908, 0.9096375, 0.9170106, 0.9287978, 0.9555924, 0.9935054, 1.0086332", \
+					  "0.9078354, 0.9094192, 0.9166773, 0.9316076, 0.9543811, 0.9940096, 1.0092420", \
+					  "0.9046842, 0.9097965, 0.9157234, 0.9309427, 0.9554591, 0.9939159, 1.0085077");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("7.1268120, 7.1628108, 7.2406703, 7.3952942, 7.7062934, 8.3507565, 9.7649329", \
+					  "10.4854710, 10.5219740, 10.5992520, 10.7542090, 11.0648070, 11.7109180, 13.1153810", \
+					  "13.8541460, 13.8906840, 13.9672800, 14.1230700, 14.4334140, 15.0780850, 16.4907150", \
+					  "14.6271980, 14.6608400, 14.7373940, 14.8923780, 15.2029750, 15.8490550, 17.2512760", \
+					  "19.1944220, 19.2321090, 19.3085000, 19.4646250, 19.7750880, 20.4195350, 21.8246430", \
+					  "22.9832120, 22.9950370, 23.0686810, 23.2236740, 23.5343460, 24.1776310, 25.5965280", \
+					  "25.8083120, 25.8637010, 25.9407410, 26.0957070, 26.4063190, 27.0499980, 28.4500210", \
+					  "29.8821730, 29.9287490, 29.9842710, 30.1392760, 30.4468630, 31.1337410, 32.5251010", \
+					  "85.5780510, 85.6851370, 85.6851388, 85.8415920, 86.1567740, 86.8024440, 88.2432970", \
+					  "120.8937200, 120.9839800, 121.1096400, 121.2700800, 121.5391700, 122.2021700, 123.5157200", \
+					  "271.8951700, 271.9668300, 271.9975500, 272.2106300, 272.5047600, 273.0296000, 274.4223500", \
+					  "813.2771500, 813.3658900, 813.3972100, 813.3972474, 813.8479200, 814.5756300, 815.6237600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("0.4236087, 0.4562142, 0.5280668, 0.6828173, 1.0381116, 1.8458408, 3.7424841", \
+					  "0.4229998, 0.4561979, 0.5282189, 0.6833067, 1.0380246, 1.8563537, 3.7182548", \
+					  "0.4233362, 0.4562271, 0.5281556, 0.6834578, 1.0391011, 1.8496008, 3.7488697", \
+					  "0.4231393, 0.4563776, 0.5282301, 0.6833686, 1.0381083, 1.8564870, 3.7730605", \
+					  "0.4237020, 0.4561652, 0.5279008, 0.6845606, 1.0385652, 1.8549940, 3.7156427", \
+					  "0.4237820, 0.4564656, 0.5283398, 0.6826293, 1.0351116, 1.8577532, 3.7496761", \
+					  "0.4231915, 0.4563835, 0.5282056, 0.6832191, 1.0347176, 1.8461538, 3.7245447", \
+					  "0.4231874, 0.4565758, 0.5281974, 0.6829112, 1.0365531, 1.8566113, 3.7123169", \
+					  "0.4200441, 0.4539323, 0.5240417, 0.6832425, 1.0375710, 1.8481773, 3.7457513", \
+					  "0.4237179, 0.4565138, 0.5279447, 0.6844282, 1.0387288, 1.8535365, 3.7249852", \
+					  "0.4201211, 0.4563935, 0.5281130, 0.6832659, 1.0390391, 1.8562953, 3.7235135", \
+					  "0.4210179, 0.4555665, 0.5281562, 0.6849769, 1.0363070, 1.8535748, 3.7473145");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("7.7682287, 7.7975706, 7.8492164, 7.9500628, 8.1535418, 8.5095762, 9.1554018", \
+					  "11.0367190, 11.0633890, 11.1151230, 11.2180840, 11.4216650, 11.7761840, 12.4236310", \
+					  "14.7507980, 14.7684340, 14.8186210, 14.9232200, 15.1249470, 15.4808220, 16.1268580", \
+					  "15.6324090, 15.6535780, 15.7057550, 15.8090700, 16.0112760, 16.3692970, 17.0136670", \
+					  "21.1399880, 21.1658660, 21.2185360, 21.3260470, 21.5235490, 21.8812030, 22.5254380", \
+					  "25.7308520, 25.7593630, 25.8075710, 25.9140020, 26.1170530, 26.4702060, 27.1193570", \
+					  "29.2022440, 29.2281510, 29.2767140, 29.3800730, 29.5556570, 29.9393970, 30.5600520", \
+					  "34.0812710, 34.0946470, 34.1560910, 34.2641040, 34.4742190, 34.8216990, 35.4769580", \
+					  "99.2032550, 99.2156260, 99.2665360, 99.3759100, 99.5637000, 99.9285210, 100.5763100", \
+					  "139.4347600, 139.4347611, 139.5099500, 139.6282300, 139.8325200, 140.1857600, 140.8363200", \
+					  "305.8963800, 305.9843200, 305.9920200, 306.1049400, 306.3266500, 306.6565500, 307.3363100", \
+					  "870.4030300, 870.5229700, 870.5600900, 870.6454700, 870.8848900, 871.2538600, 871.8614600");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224426, 0.0503672, 0.113037, 0.253685, 0.569336, 1.27774");
+					values("0.3236851, 0.3405219, 0.3835272, 0.4766245, 0.6496717, 0.9756851, 1.6610290", \
+					  "0.3237543, 0.3424696, 0.3859653, 0.4739980, 0.6494275, 0.9770841, 1.6632518", \
+					  "0.3247721, 0.3426049, 0.3847930, 0.4744858, 0.6511914, 0.9744070, 1.6615836", \
+					  "0.3246663, 0.3426907, 0.3843210, 0.4746617, 0.6512160, 0.9726726, 1.6628062", \
+					  "0.3242065, 0.3427922, 0.3838229, 0.4743254, 0.6506336, 0.9729205, 1.6638325", \
+					  "0.3252174, 0.3428016, 0.3842519, 0.4744187, 0.6512557, 0.9730853, 1.6633074", \
+					  "0.3238238, 0.3424706, 0.3843417, 0.4746424, 0.6504835, 0.9727526, 1.6593222", \
+					  "0.3216359, 0.3416442, 0.3840575, 0.4725222, 0.6499848, 0.9733201, 1.6585769", \
+					  "0.3252658, 0.3422376, 0.3859775, 0.4747066, 0.6512405, 0.9726746, 1.6630101", \
+					  "0.3252992, 0.3428552, 0.3839091, 0.4747164, 0.6512799, 0.9727586, 1.6630617", \
+					  "0.3236347, 0.3428850, 0.3843998, 0.4749257, 0.6513987, 0.9734729, 1.6562411", \
+					  "0.3235148, 0.3422205, 0.3832798, 0.4753628, 0.6516695, 0.9777070, 1.6555797");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("4.9071898, 4.9422133, 5.0179074, 5.1733053, 5.4831641, 6.1305161, 7.5421784", \
+					  "7.1641670, 7.1996915, 7.2753989, 7.4291404, 7.7398630, 8.3870022, 9.7957953", \
+					  "8.8405860, 8.8761762, 8.9518455, 9.1057935, 9.4161487, 10.0625690, 11.4735640", \
+					  "9.2035384, 9.2393631, 9.3149914, 9.4692840, 9.7790718, 10.4249200, 11.8355470", \
+					  "11.3082390, 11.3448180, 11.4194440, 11.5738260, 11.8843580, 12.5309050, 13.9371110", \
+					  "12.9850200, 13.0239250, 13.0950350, 13.2519960, 13.5584620, 14.2065070, 15.6229540", \
+					  "14.2342290, 14.2714650, 14.3436750, 14.5022060, 14.8120090, 15.4551930, 16.8680640", \
+					  "15.9703720, 16.0098650, 16.0839400, 16.2325990, 16.5476410, 17.1928130, 18.6026770", \
+					  "38.5766410, 38.6111480, 38.6250110, 38.8362230, 39.1483500, 39.7918140, 41.1641810", \
+					  "52.4090670, 52.4367780, 52.4932740, 52.6685400, 52.9763820, 53.6491880, 55.0576400", \
+					  "109.8255600, 109.8255654, 109.8309700, 110.1505800, 110.2821800, 111.1118700, 112.3747100", \
+					  "308.8061900, 308.8061982, 308.8062287, 308.8062592, 309.2552300, 309.5639200, 310.9998700");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.4132998, 0.4459758, 0.5185944, 0.6753620, 1.0360722, 1.8473593, 3.7434242", \
+					  "0.4138313, 0.4461380, 0.5179835, 0.6800236, 1.0371261, 1.8563434, 3.7262078", \
+					  "0.4130082, 0.4465829, 0.5191324, 0.6808835, 1.0374346, 1.8611147, 3.7154491", \
+					  "0.4132839, 0.4475214, 0.5185564, 0.6806384, 1.0293952, 1.8528850, 3.7198977", \
+					  "0.4142005, 0.4467764, 0.5182943, 0.6811827, 1.0374096, 1.8567534, 3.7761856", \
+					  "0.4134127, 0.4461861, 0.5186371, 0.6806332, 1.0372986, 1.8544478, 3.7466341", \
+					  "0.4134941, 0.4475089, 0.5180680, 0.6790255, 1.0362751, 1.8577105, 3.7762242", \
+					  "0.4133465, 0.4472254, 0.5186159, 0.6806726, 1.0374388, 1.8419711, 3.7388088", \
+					  "0.4134706, 0.4476948, 0.5190433, 0.6804894, 1.0346450, 1.8576515, 3.7284531", \
+					  "0.4132610, 0.4476551, 0.5186727, 0.6805023, 1.0345073, 1.8580472, 3.7530334", \
+					  "0.4133667, 0.4475970, 0.5185790, 0.6806801, 1.0355909, 1.8580477, 3.7284544", \
+					  "0.4138497, 0.4477015, 0.5181859, 0.6800894, 1.0346564, 1.8582540, 3.7506731");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("7.7001232, 7.7253883, 7.7785574, 7.8876008, 8.0984739, 8.4700158, 9.1299714", \
+					  "10.0365150, 10.0625550, 10.1155910, 10.2237820, 10.4353810, 10.8064020, 11.4625990", \
+					  "13.1757720, 13.2016280, 13.2548240, 13.3630320, 13.5746360, 13.9449550, 14.6048520", \
+					  "13.9292070, 13.9581590, 14.0089870, 14.1172020, 14.3285460, 14.7010960, 15.3619390", \
+					  "18.5506440, 18.5804230, 18.6344880, 18.7319580, 18.9542200, 19.3154040, 19.9724910", \
+					  "22.2602980, 22.2887790, 22.3462140, 22.4342380, 22.6656810, 23.0242510, 23.6861750", \
+					  "25.0169310, 25.0430450, 25.0962260, 25.2075050, 25.4218180, 25.7880790, 26.4460120", \
+					  "28.8184180, 28.8494900, 28.8909360, 29.0071980, 29.2164910, 29.5982350, 30.2569450", \
+					  "76.6789090, 76.6789132, 76.7488470, 76.8575320, 77.0697570, 77.4388700, 78.0887250", \
+					  "105.1487500, 105.1642800, 105.2303500, 105.3383800, 105.5515000, 105.9181100, 106.5786700", \
+					  "219.8140800, 219.8373300, 219.9014400, 220.0077500, 220.2368800, 220.5755400, 221.2466900", \
+					  "597.2869400, 597.2914900, 597.3616900, 597.4382000, 597.6648900, 597.9968600, 598.6847200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.3249036, 0.3447701, 0.3879338, 0.4849761, 0.6701879, 0.9972785, 1.6794531", \
+					  "0.3245064, 0.3446919, 0.3906993, 0.4866332, 0.6719129, 0.9977178, 1.6766948", \
+					  "0.3243877, 0.3447468, 0.3906255, 0.4865513, 0.6718593, 0.9976375, 1.6790965", \
+					  "0.3233158, 0.3455650, 0.3902554, 0.4871599, 0.6714186, 0.9982541, 1.6760932", \
+					  "0.3243865, 0.3447034, 0.3906223, 0.4867334, 0.6717982, 0.9976037, 1.6788352", \
+					  "0.3234852, 0.3448655, 0.3883496, 0.4859162, 0.6728003, 0.9976353, 1.6792542", \
+					  "0.3242338, 0.3438337, 0.3904817, 0.4865931, 0.6724720, 0.9973701, 1.6764993", \
+					  "0.3238929, 0.3447675, 0.3904730, 0.4869919, 0.6711132, 0.9973480, 1.6784819", \
+					  "0.3243464, 0.3449480, 0.3905870, 0.4864957, 0.6712421, 0.9974947, 1.6794802", \
+					  "0.3240262, 0.3440052, 0.3903715, 0.4857035, 0.6705425, 0.9978270, 1.6798104", \
+					  "0.3237107, 0.3459387, 0.3885262, 0.4867399, 0.6716883, 0.9978573, 1.6772207", \
+					  "0.3256166, 0.3463574, 0.3913865, 0.4871532, 0.6733389, 0.9991915, 1.6801318");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("4.9252313, 4.9618047, 5.0369686, 5.1908633, 5.5005849, 6.1490715, 7.5633375", \
+					  "7.4717104, 7.5068796, 7.5834450, 7.7382429, 8.0473042, 8.6935152, 10.1086570", \
+					  "9.5889972, 9.6253245, 9.7007513, 9.8554791, 10.1650180, 10.8117320, 12.2196920", \
+					  "10.0685720, 10.1055540, 10.1801980, 10.3350630, 10.6445090, 11.2905450, 12.7050470", \
+					  "12.9300020, 12.9689420, 13.0389120, 13.2002890, 13.5048680, 14.1479790, 15.5620890", \
+					  "15.3027050, 15.3395070, 15.4056860, 15.5722670, 15.8684990, 16.5261190, 17.9341480", \
+					  "17.1044230, 17.1319120, 17.2170330, 17.3741550, 17.6671730, 18.3284020, 19.7353370", \
+					  "19.6508130, 19.6829040, 19.7626710, 19.9123690, 20.2125000, 20.8738270, 22.2810270", \
+					  "54.8419440, 54.8937000, 54.9434600, 55.1266620, 55.4510290, 56.0555090, 57.4602840", \
+					  "77.2780170, 77.3998110, 77.3998147, 77.4185760, 77.7150650, 78.3519600, 79.9347150", \
+					  "172.5163700, 172.7308600, 172.8067400, 172.8111300, 173.2719900, 173.7642500, 175.3129900", \
+					  "509.3800700, 510.4805600, 510.6527400, 510.6527558, 510.6644500, 511.4397900, 513.0630100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.4131220, 0.4454351, 0.5182135, 0.6784253, 1.0359361, 1.8616936, 3.7310937", \
+					  "0.4139234, 0.4463764, 0.5193066, 0.6771705, 1.0373894, 1.8504266, 3.7455790", \
+					  "0.4141409, 0.4463808, 0.5188128, 0.6803676, 1.0378611, 1.8426626, 3.7311279", \
+					  "0.4140145, 0.4472033, 0.5196016, 0.6809428, 1.0368446, 1.8578798, 3.7165997", \
+					  "0.4138830, 0.4475417, 0.5185546, 0.6806395, 1.0348781, 1.8578737, 3.7110468", \
+					  "0.4136124, 0.4473933, 0.5186165, 0.6805596, 1.0354841, 1.8573111, 3.7509276", \
+					  "0.4133725, 0.4475076, 0.5188019, 0.6800261, 1.0373048, 1.8583360, 3.7284345", \
+					  "0.4134753, 0.4474796, 0.5185358, 0.6806269, 1.0349315, 1.8579391, 3.7283310", \
+					  "0.4134746, 0.4475548, 0.5186193, 0.6806278, 1.0345235, 1.8580687, 3.7284411", \
+					  "0.4133971, 0.4474965, 0.5185303, 0.6806486, 1.0357011, 1.8579207, 3.7497312", \
+					  "0.4134468, 0.4474767, 0.5186047, 0.6800388, 1.0354791, 1.8579405, 3.7283643", \
+					  "0.4132584, 0.4475961, 0.5186417, 0.6806495, 1.0341967, 1.8583495, 3.7284242");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("5.4598837, 5.4872915, 5.5413434, 5.6492864, 5.8590826, 6.2294729, 6.8894330", \
+					  "8.2001339, 8.2276834, 8.2708151, 8.3873974, 8.5986631, 8.9701340, 9.6287840", \
+					  "11.3815100, 11.4051290, 11.4680340, 11.5674160, 11.7784280, 12.1514980, 12.8079920", \
+					  "12.1059950, 12.1199800, 12.1876730, 12.2928660, 12.5046120, 12.8762580, 13.5341870", \
+					  "16.3069720, 16.3303180, 16.3857030, 16.4933310, 16.7064070, 17.0790030, 17.7363300", \
+					  "19.6698760, 19.6969890, 19.7428670, 19.8568520, 20.0508610, 20.4317010, 21.0967760", \
+					  "22.1619450, 22.2082650, 22.2348310, 22.3569020, 22.5461780, 22.9376820, 23.6018420", \
+					  "25.6462600, 25.6998210, 25.7651090, 25.8319780, 26.0394300, 26.4375540, 27.0828000", \
+					  "70.2644270, 70.2933040, 70.3335130, 70.4526220, 70.6768970, 71.0506640, 71.6674360", \
+					  "97.0651470, 97.0651513, 97.1112830, 97.2021820, 97.4373440, 97.8177250, 98.4683410", \
+					  "205.2648300, 205.3430300, 205.4022600, 205.5042200, 205.6442400, 206.0976800, 206.7251300", \
+					  "562.2636600, 562.2637024, 562.2637635, 562.4027600, 562.6502600, 563.0406600, 563.6367700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224443, 0.0503747, 0.113062, 0.253761, 0.569548, 1.27831");
+					values("0.3239620, 0.3442964, 0.3898426, 0.4845191, 0.6697982, 0.9972123, 1.6782165", \
+					  "0.3245947, 0.3447150, 0.3901401, 0.4865227, 0.6724072, 0.9972486, 1.6782120", \
+					  "0.3245895, 0.3446611, 0.3904505, 0.4850209, 0.6715377, 0.9971826, 1.6797018", \
+					  "0.3246790, 0.3441253, 0.3904532, 0.4864820, 0.6714995, 0.9963835, 1.6789709", \
+					  "0.3245963, 0.3446896, 0.3903698, 0.4855721, 0.6725418, 0.9972495, 1.6759858", \
+					  "0.3248170, 0.3435999, 0.3904579, 0.4864880, 0.6715535, 0.9972623, 1.6793023", \
+					  "0.3237471, 0.3443936, 0.3904266, 0.4862711, 0.6715473, 0.9971855, 1.6788338", \
+					  "0.3238503, 0.3454442, 0.3893244, 0.4864842, 0.6711831, 0.9967923, 1.6797936", \
+					  "0.3239921, 0.3445175, 0.3877887, 0.4866267, 0.6708673, 0.9969953, 1.6794860", \
+					  "0.3235410, 0.3450736, 0.3904030, 0.4867526, 0.6726735, 0.9974712, 1.6771522", \
+					  "0.3252348, 0.3459631, 0.3895921, 0.4868844, 0.6728493, 0.9973857, 1.6776623", \
+					  "0.3246739, 0.3460584, 0.3912419, 0.4869633, 0.6733286, 0.9987094, 1.6800396");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("20.4014420, 20.4360580, 20.5128790, 20.6689470, 20.9786500, 21.6269240, 23.0375910", \
+					  "21.0778200, 21.1157830, 21.1905300, 21.3455800, 21.6580780, 22.3040150, 23.7171920", \
+					  "21.7866260, 21.8264980, 21.8992150, 22.0538500, 22.3688430, 23.0147030, 24.4278840", \
+					  "22.5030360, 22.5414110, 22.6161920, 22.7714350, 23.0840860, 23.7175510, 25.1428110", \
+					  "23.2328170, 23.2667720, 23.3411640, 23.4957780, 23.8089760, 24.4546690, 25.8681520", \
+					  "23.9373140, 23.9857570, 24.0612690, 24.2162910, 24.5281480, 25.1803640, 26.5871590", \
+					  "24.6511260, 24.6870840, 24.7443130, 24.9182950, 25.2302430, 25.8794730, 27.2893100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4200771, 0.4530539, 0.5250216, 0.6830269, 1.0355520, 1.8425452, 3.7090586", \
+					  "0.4200754, 0.4531276, 0.5250526, 0.6819572, 1.0355177, 1.8419708, 3.7088037", \
+					  "0.4167834, 0.4531305, 0.5244500, 0.6832952, 1.0355148, 1.8419521, 3.7088013", \
+					  "0.4200776, 0.4530445, 0.5249663, 0.6821729, 1.0355505, 1.8419226, 3.7090351", \
+					  "0.4200754, 0.4531866, 0.5247635, 0.6830462, 1.0355139, 1.8419329, 3.7089469", \
+					  "0.4200782, 0.4531032, 0.5250826, 0.6818921, 1.0355445, 1.8426063, 3.7088133", \
+					  "0.4168032, 0.4531049, 0.5252142, 0.6831829, 1.0354981, 1.8436948, 3.7087855");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("19.3140010, 19.3469560, 19.4204330, 19.5532990, 19.8164970, 20.3023520, 21.1010780", \
+					  "19.8515680, 19.8838190, 19.9533510, 20.0901070, 20.3559910, 20.8367970, 21.6366280", \
+					  "20.2781860, 20.3119440, 20.3786230, 20.5183760, 20.7816340, 21.2650240, 22.0633270", \
+					  "20.6437020, 20.6793940, 20.7456700, 20.8846330, 21.1463680, 21.6298070, 22.4301150", \
+					  "20.9664480, 21.0118380, 21.0690470, 21.2057310, 21.4894060, 21.9513240, 22.7674700", \
+					  "21.2964850, 21.3298160, 21.3988740, 21.5387730, 21.8046830, 22.2832210, 23.0872120", \
+					  "21.5848190, 21.6169780, 21.6849120, 21.8239280, 22.0918930, 22.5691340, 23.3802200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4792749, 0.5036879, 0.5511732, 0.6662183, 0.9061271, 1.3046662, 1.9918780", \
+					  "0.4808143, 0.5037101, 0.5538959, 0.6655587, 0.9029096, 1.3021190, 1.9911155", \
+					  "0.4793524, 0.5036490, 0.5511314, 0.6666887, 0.9024530, 1.3022151, 1.9918842", \
+					  "0.4795721, 0.5003858, 0.5533643, 0.6662057, 0.9055355, 1.3048941, 1.9919828", \
+					  "0.4795094, 0.5033957, 0.5527767, 0.6661521, 0.9054450, 1.3036363, 1.9922743", \
+					  "0.4795390, 0.5014346, 0.5505539, 0.6675553, 0.9033984, 1.3033352, 1.9919992", \
+					  "0.4778121, 0.5039751, 0.5533388, 0.6662227, 0.9028658, 1.3051926, 1.9930468");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195245;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699672, 0.0699636, 0.0699722, 0.0699701, 0.0699676, 0.0699709, 0.0699709", \
+					  "0.2200152, 0.2201550, 0.2201540, 0.2200037, 0.2199873, 0.2199795, 0.2199785", \
+					  "0.4144722, 0.4144205, 0.4144570, 0.4146993, 0.4151198, 0.4153657, 0.4154227", \
+					  "0.8818501, 0.8827910, 0.8846049, 0.8886305, 0.8879034, 0.8851637, 0.8845251", \
+					  "1.4893794, 1.4918014, 1.5051709, 1.5531536, 1.6080315, 1.6245812, 1.6274903", \
+					  "1.6917371, 1.6946444, 1.7105342, 1.7663932, 1.8290491, 1.8480577, 1.8514344", \
+					  "1.7008554, 1.7037942, 1.7198821, 1.7764484, 1.8396274, 1.8588967, 1.8623150");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5699705, 5.5682492, 5.5695125, 5.5739625, 5.5730409, 5.2841015, -0.5078048", \
+					  "5.5549518, 5.5547536, 5.5560520, 5.5588493, 5.5547675, 5.3410435, -0.5549345", \
+					  "5.5397472, 5.5411566, 5.5426780, 5.5448581, 5.5412663, 5.3482749, -0.5279056", \
+					  "5.5402694, 5.5410877, 5.5419317, 5.5449353, 5.5361260, 5.3549809, -0.5294649", \
+					  "5.5401462, 5.5402719, 5.5401674, 5.5459063, 5.5435826, 5.3220931, -0.5310959", \
+					  "5.5357288, 5.5395799, 5.5391228, 5.5485324, 5.5438582, 5.3452042, -0.5262845", \
+					  "5.5375976, 5.5391324, 5.5404126, 5.5433738, 5.5377958, 5.3486455, -0.7738895");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3465455, 6.3474762, 6.3495024, 6.3465234, 6.2742522, 5.2384844, -11.0926307", \
+					  "6.3260841, 6.3259896, 6.3251183, 6.3419369, 6.2478746, 5.2361951, -11.1033631", \
+					  "6.3074667, 6.3088085, 6.3048984, 6.3116369, 6.2291669, 5.2766753, -11.1537966", \
+					  "6.3057461, 6.3091904, 6.3057053, 6.3075316, 6.2124522, 5.2767589, -11.1106353", \
+					  "6.3084862, 6.3102959, 6.3102227, 6.3119071, 6.2284829, 5.2413116, -11.3200921", \
+					  "6.3100265, 6.3106454, 6.3065085, 6.3111029, 6.2498489, 5.2442293, -10.8684518", \
+					  "6.3121111, 6.3128206, 6.3152856, 6.3033399, 6.2319738, 5.2569551, -11.1261765");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8082277, 3.8117557, 3.8325702, 3.9421531, 4.2659024, 5.2062683, 5.6233994", \
+					  "3.7361947, 3.7389936, 3.7534521, 3.8652824, 4.1898717, 5.1294287, 5.5379110", \
+					  "3.6562633, 3.6594704, 3.6830991, 3.7914820, 4.1149615, 5.0541716, 5.4651991", \
+					  "3.6561777, 3.6593600, 3.6834645, 3.7898616, 4.1144847, 5.0549798, 5.4688818", \
+					  "3.6561248, 3.6587976, 3.6821500, 3.7922733, 4.1125392, 5.0556230, 5.4714431", \
+					  "3.6552356, 3.6581785, 3.6807091, 3.7838228, 4.1139307, 5.0531096, 5.4702746", \
+					  "3.6541295, 3.6574016, 3.6812719, 3.7858831, 4.1165487, 5.0503117, 5.4681854");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699939, 0.0699976, 0.0700023, 0.0699997, 0.0699951, 0.0700057, 0.0699939", \
+					  "0.2147891, 0.2147873, 0.2147883, 0.2149299, 0.2149256, 0.2149297, 0.2147864", \
+					  "0.3743705, 0.3743708, 0.3743696, 0.3743284, 0.3743252, 0.3743241, 0.3743599", \
+					  "0.8784747, 0.8784760, 0.8784915, 0.8793029, 0.8793206, 0.8793168, 0.8785915", \
+					  "1.0736638, 1.0735294, 1.0728309, 1.0708605, 1.0692095, 1.0686427, 1.0686708", \
+					  "1.1061813, 1.1059777, 1.1049834, 1.1021405, 1.0997323, 1.0990763, 1.0988767", \
+					  "1.1085448, 1.1083370, 1.1073178, 1.1041949, 1.1018098, 1.1009956, 1.1011027");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4375736, 2.4359659, 2.4381118, 2.4407867, 2.4446506, 2.4534415, 2.4437099", \
+					  "2.4238186, 2.4233689, 2.4241354, 2.4265543, 2.4338308, 2.4452895, 2.4402183", \
+					  "2.4105948, 2.4098767, 2.4101514, 2.4101763, 2.4177820, 2.4147011, 2.4178273", \
+					  "2.4103614, 2.4099123, 2.4173063, 2.4091572, 2.4113365, 2.4189194, 2.4117179", \
+					  "2.4102963, 2.4099077, 2.4145854, 2.4088107, 2.4090043, 2.4227986, 2.4182023", \
+					  "2.4096403, 2.4093612, 2.4214533, 2.4079697, 2.4168790, 2.4195032, 2.4283143", \
+					  "2.4089514, 2.4078715, 2.4080734, 2.4085470, 2.4109795, 2.4278647, 2.4036308");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1766064, 6.1768267, 6.1762482, 6.1829442, 6.1847132, 6.0380362, -0.1193566", \
+					  "6.1564776, 6.1571876, 6.1555365, 6.1606236, 6.1509924, 5.8971204, 0.0791678", \
+					  "6.1389235, 6.1385237, 6.1400060, 6.1443120, 6.1391170, 5.8924514, 0.0594436", \
+					  "6.1380313, 6.1369475, 6.1379129, 6.1424540, 6.1416764, 5.8907291, 0.0600941", \
+					  "6.1398212, 6.1400966, 6.1413647, 6.1435530, 6.1367416, 5.8813071, 0.0643081", \
+					  "6.1411078, 6.1395730, 6.1414651, 6.1454685, 6.1428894, 5.9078261, 0.0633767", \
+					  "6.1426481, 6.1425462, 6.1446569, 6.1462702, 6.1457454, 5.8910351, 0.0736134");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7660444, 3.7648514, 3.7833735, 3.8080855, 3.8440394, 3.8650239, 3.8675553", \
+					  "3.6915446, 3.6751944, 3.6978122, 3.7313982, 3.7617753, 3.7897295, 3.7862150", \
+					  "3.6084795, 3.6148164, 3.6260262, 3.6548985, 3.6811236, 3.7053304, 3.7089586", \
+					  "3.6138166, 3.6141276, 3.6229178, 3.6581991, 3.6974329, 3.7174802, 3.6958695", \
+					  "3.6122931, 3.6073808, 3.6223472, 3.6579480, 3.6950429, 3.7064583, 3.6995363", \
+					  "3.6124178, 3.6125584, 3.6245969, 3.6537815, 3.6782168, 3.7068198, 3.7124619", \
+					  "3.6112142, 3.6101950, 3.6186878, 3.6548663, 3.6550832, 3.7190103, 3.6970405");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699111, 0.0699159, 0.0699868, 0.0699272, 0.0699045, 0.0699958, 0.0699941", \
+					  "0.2147873, 0.2147893, 0.2147884, 0.2149281, 0.2147872, 0.2147873, 0.2149266", \
+					  "0.3743666, 0.3743657, 0.3743641, 0.3743230, 0.3743560, 0.3743547, 0.3743175", \
+					  "0.8774245, 0.8774410, 0.8774453, 0.8782151, 0.8775211, 0.8775314, 0.8782562", \
+					  "1.0722121, 1.0720875, 1.0713320, 1.0694001, 1.0677762, 1.0673176, 1.0671192", \
+					  "1.1060440, 1.1058676, 1.1051156, 1.1021231, 1.0996336, 1.0988967, 1.0987702", \
+					  "1.1085552, 1.1083599, 1.1073920, 1.1044739, 1.1019762, 1.1012456, 1.1011201");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4387933, 2.4381606, 2.4383037, 2.4406425, 2.4561592, 2.4437791, 2.4474921", \
+					  "2.4224851, 2.4220924, 2.4238039, 2.4310594, 2.4333978, 2.4344948, 2.4317420", \
+					  "2.4148332, 2.4103997, 2.4100167, 2.4120351, 2.4168173, 2.4402148, 2.4238277", \
+					  "2.4112242, 2.4097009, 2.4119070, 2.4111428, 2.4254667, 2.5162124, 2.4215332", \
+					  "2.4145446, 2.4103661, 2.4096065, 2.4118781, 2.4169006, 2.4901666, 2.4172161", \
+					  "2.4089952, 2.4093751, 2.4089540, 2.4113626, 2.4156281, 2.3978799, 2.4225470", \
+					  "2.4107328, 2.4084126, 2.4106757, 2.4127591, 2.4239160, 2.4547576, 2.4036403");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1605550, 3.1600960, 3.1662430, 3.2080652, 3.4087348, 4.7918420, 5.0750004", \
+					  "3.0839918, 3.0855851, 3.0914663, 3.1371214, 3.3447294, 4.7140474, 5.0008441", \
+					  "3.0056100, 3.0106435, 3.0137391, 3.0528777, 3.2722912, 4.6396416, 4.9218907", \
+					  "3.0082257, 3.0071686, 3.0168117, 3.0606135, 3.2950941, 4.6401016, 4.9234717", \
+					  "3.0070110, 3.0081063, 3.0165687, 3.0510830, 3.2784319, 4.6387039, 4.9224920", \
+					  "3.0074406, 3.0078481, 3.0155999, 3.0544664, 3.2819602, 4.6382631, 4.9208560", \
+					  "3.0068222, 3.0082252, 3.0146226, 3.0569581, 3.2695068, 4.6373907, 4.9199503");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0873792, 0.0873800, 0.0873905, 0.0873982, 0.0873991, 0.0873930, 0.0873838", \
+					  "0.2151752, 0.2150947, 0.2150944, 0.2150928, 0.2150908, 0.2150950, 0.2150941", \
+					  "0.6325539, 0.6334207, 0.6334245, 0.6333968, 0.6333687, 0.6333805, 0.6333877", \
+					  "0.9563377, 0.9565847, 0.9565878, 0.9566221, 0.9567502, 0.9566803, 0.9565700", \
+					  "1.2471997, 1.2463502, 1.2463470, 1.2463274, 1.2463114, 1.2463795, 1.2463370", \
+					  "1.5102201, 1.5099854, 1.5087226, 1.5045621, 1.4991706, 1.4962470, 1.4954901", \
+					  "1.5696377, 1.5685273, 1.5628861, 1.5453785, 1.5281281, 1.5218081, 1.5203667");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4793645, 3.4743010, 3.4923643, 3.5510888, 3.6264456, 3.8898040, 5.4926208", \
+					  "3.4025781, 3.4057932, 3.4149846, 3.4745656, 3.5493372, 3.8166928, 5.3882343", \
+					  "3.3228750, 3.3299993, 3.3415428, 3.3990422, 3.4780851, 3.7416451, 5.3270232", \
+					  "3.3272952, 3.3262580, 3.3407967, 3.3989913, 3.4740283, 3.7398946, 5.3414260", \
+					  "3.3265590, 3.3287574, 3.3411728, 3.3910233, 3.4738908, 3.7388495, 5.3412044", \
+					  "3.3228188, 3.3254582, 3.3418038, 3.3997462, 3.4727982, 3.7400336, 5.3391789", \
+					  "3.3201892, 3.3231024, 3.3392022, 3.3958500, 3.4707367, 3.7374773, 5.3312459");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0873742, 0.0873856, 0.0873767, 0.0873740, 0.0873897, 0.0873776, 0.0873819", \
+					  "0.2151537, 0.2151531, 0.2150740, 0.2150741, 0.2150719, 0.2150698, 0.2150704", \
+					  "0.6387102, 0.6387152, 0.6395247, 0.6394700, 0.6394327, 0.6394564, 0.6394732", \
+					  "0.9949057, 0.9949537, 0.9951503, 0.9952058, 0.9956609, 0.9954271, 0.9950849", \
+					  "1.2855960, 1.2856050, 1.2847321, 1.2847733, 1.2847416, 1.2849409, 1.2848178", \
+					  "1.5501766, 1.5499057, 1.5484542, 1.5437369, 1.5378223, 1.5349766, 1.5341468", \
+					  "1.6078434, 1.6067595, 1.6010657, 1.5838513, 1.5665810, 1.5602835, 1.5588225");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4155545, 5.4159144, 5.4106405, 5.3820479, 5.2281779, 4.1259697, -12.3361972", \
+					  "5.4011261, 5.4016079, 5.3935219, 5.3685102, 5.2156290, 4.0962415, -12.3184797", \
+					  "5.3895622, 5.3872650, 5.3803430, 5.3579629, 5.1919312, 4.0856083, -12.4438241", \
+					  "5.3876237, 5.3870733, 5.3816363, 5.3438067, 5.2018508, 4.0941861, -12.7772369", \
+					  "5.3881857, 5.3852609, 5.3798425, 5.3548773, 5.1896119, 4.1353324, -12.2424685", \
+					  "5.3871337, 5.3848586, 5.3825844, 5.3550457, 5.1934757, 4.1065938, -12.4556922", \
+					  "5.3879647, 5.3857772, 5.3790348, 5.3519232, 5.1933695, 4.0692427, -12.4602300");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699671, 0.0699744, 0.0699670, 0.0698778, 0.0698817, 0.0699637, 0.0699687", \
+					  "0.2200294, 0.2200295, 0.2200297, 0.2201685, 0.2200272, 0.2201689, 0.2199406", \
+					  "0.4145932, 0.4145947, 0.4146018, 0.4145618, 0.4146366, 0.4145865, 0.4145919", \
+					  "0.8186558, 0.8186383, 0.8184352, 0.8185955, 0.8179473, 0.8182090, 0.8182004", \
+					  "1.0133739, 1.0135425, 1.0144270, 1.0168384, 1.0189697, 1.0195844, 1.0199410", \
+					  "1.0192335, 1.0194434, 1.0205096, 1.0237761, 1.0262285, 1.0274682, 1.0276701", \
+					  "1.0199261, 1.0201897, 1.0213527, 1.0245665, 1.0272783, 1.0282225, 1.0283976");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0227802, 6.0205420, 6.0159649, 5.9900664, 5.8344330, 4.7130727, -11.9040497", \
+					  "6.0031415, 6.0023969, 5.9924929, 5.9696570, 5.8284566, 4.7210633, -11.6866161", \
+					  "5.9859730, 5.9831024, 5.9776753, 5.9515253, 5.8002999, 4.6360975, -11.6369466", \
+					  "5.9849749, 5.9848192, 5.9760676, 5.9577202, 5.7954334, 4.6795378, -11.5391963", \
+					  "5.9865827, 5.9836254, 5.9792066, 5.9514302, 5.7924472, 4.7286514, -11.8915061", \
+					  "5.9891534, 5.9866784, 5.9845030, 5.9516257, 5.7895522, 4.6859515, -11.9560438", \
+					  "5.9893497, 5.9888891, 5.9842761, 5.9565990, 5.8022090, 4.6774972, -11.5719875");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0179848, 3.0163150, 3.0175958, 3.0206210, 3.0339666, 3.0319461, 3.0286666", \
+					  "2.9406470, 2.9402324, 2.9405037, 2.9420112, 2.9272393, 2.9399559, 2.9396600", \
+					  "2.8655336, 2.8653012, 2.8653389, 2.8678467, 2.8678050, 2.8747515, 2.8867231", \
+					  "2.8650935, 2.8642615, 2.8653654, 2.8653704, 2.8714747, 2.8783780, 2.8848654", \
+					  "2.8651039, 2.8645855, 2.8647273, 2.8590714, 2.8484896, 2.8680256, 2.8749713", \
+					  "2.8638537, 2.8620055, 2.8646568, 2.8641486, 2.8699404, 2.8726019, 2.8752436", \
+					  "2.8633660, 2.8630928, 2.8632370, 2.8633706, 2.8692654, 2.8726836, 2.8686331");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699150, 0.0699078, 0.0699038, 0.0699936, 0.0699887, 0.0699959, 0.0699914", \
+					  "0.2149180, 0.2149219, 0.2147778, 0.2147795, 0.2147784, 0.2149187, 0.2147786", \
+					  "0.3742677, 0.3742678, 0.3743041, 0.3743040, 0.3743030, 0.3742646, 0.3743004", \
+					  "0.8726808, 0.8726784, 0.8719654, 0.8718021, 0.8713938, 0.8718358, 0.8710958", \
+					  "1.0707996, 1.0707925, 1.0709462, 1.0710048, 1.0713057, 1.0713172, 1.0710339", \
+					  "1.1276708, 1.1277398, 1.1277063, 1.1276274, 1.1272754, 1.1274927, 1.1272242", \
+					  "1.2066248, 1.2059688, 1.2024370, 1.1916127, 1.1797907, 1.1755398, 1.1742604");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0465996, 3.0550857, 3.0664757, 3.1233620, 3.2002556, 3.4667137, 5.0715276", \
+					  "3.0289468, 3.0409821, 3.0524158, 3.1099102, 3.1838350, 3.4541334, 5.0482352", \
+					  "3.0193284, 3.0231014, 3.0394679, 3.0933319, 3.1739107, 3.4404217, 5.0557467", \
+					  "3.0193553, 3.0226415, 3.0369105, 3.0963664, 3.1712692, 3.4402348, 5.0376623", \
+					  "3.0252030, 3.0221772, 3.0348188, 3.1021059, 3.1702838, 3.4390519, 5.0367494", \
+					  "3.0244672, 3.0219460, 3.0368469, 3.0948823, 3.1707864, 3.4393042, 5.0364416", \
+					  "3.0168399, 3.0256090, 3.0373097, 3.0940208, 3.1707134, 3.4362202, 5.0324062");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0193194, 6.0226458, 6.0154553, 5.9901891, 5.8343810, 4.7339999, -12.1003267", \
+					  "6.0031628, 6.0023808, 5.9982153, 5.9689015, 5.8362455, 4.6824905, -11.7047591", \
+					  "5.9859005, 5.9831332, 5.9784603, 5.9514437, 5.8002977, 4.6509306, -11.9507766", \
+					  "5.9850643, 5.9847949, 5.9758199, 5.9584406, 5.7942334, 4.6846041, -11.4812163", \
+					  "5.9865831, 5.9837308, 5.9792908, 5.9513444, 5.7925660, 4.6809933, -11.4062281", \
+					  "5.9896643, 5.9868796, 5.9796406, 5.9578911, 5.7891545, 4.6946238, -11.8563108", \
+					  "5.9891394, 5.9888952, 5.9841429, 5.9564979, 5.8024194, 4.6784252, -11.7567015");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0179558, 3.0165771, 3.0172589, 3.0185629, 3.0258618, 3.0327322, 3.0201890", \
+					  "2.9406500, 2.9401771, 2.9405932, 2.9364353, 2.9236873, 2.9656062, 2.9430201", \
+					  "2.8662464, 2.8649163, 2.8655107, 2.8648005, 2.8492049, 2.8712057, 2.8663208", \
+					  "2.8650662, 2.8650549, 2.8653346, 2.8660738, 2.8747210, 2.8770761, 2.8669379", \
+					  "2.8650998, 2.8645191, 2.8648125, 2.8631561, 2.8482793, 2.8835536, 2.8734983", \
+					  "2.8639632, 2.8618052, 2.8647670, 2.8655550, 2.8699506, 2.8743366, 2.8773635", \
+					  "2.8631071, 2.8630793, 2.8633630, 2.8632483, 2.8697940, 2.8783456, 2.8772512");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1112884, 6.1109185, 6.1100602, 6.1153012, 6.1204976, 5.8697776, 0.0455248", \
+					  "6.0889487, 6.0903968, 6.0924529, 6.0946946, 6.0928050, 5.8559229, 0.0245556", \
+					  "6.0735058, 6.0721605, 6.0720486, 6.0757874, 6.0781570, 5.8260276, -0.0052850", \
+					  "6.0710549, 6.0731058, 6.0731817, 6.0770198, 6.0759096, 5.8180989, -0.0102606", \
+					  "6.0742391, 6.0742582, 6.0723313, 6.0803293, 6.0861038, 5.7847901, -0.0051700", \
+					  "6.0748808, 6.0772778, 6.0747420, 6.0791430, 6.0691116, 5.8581362, 0.0156631", \
+					  "6.0763986, 6.0763079, 6.0749037, 6.0807510, 6.0698686, 5.8938775, -0.1105870");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0961933, 0.0961898, 0.0962012, 0.0962204, 0.0961986, 0.0961994, 0.0962299", \
+					  "0.2338029, 0.2339489, 0.2339418, 0.2336002, 0.2329563, 0.2325671, 0.2324773", \
+					  "0.2926916, 0.2927298, 0.2927507, 0.2930185, 0.2934247, 0.2932037, 0.2930920", \
+					  "0.7247209, 0.7250839, 0.7259180, 0.7271098, 0.7225992, 0.7188321, 0.7178052", \
+					  "1.2517924, 1.2541177, 1.2657444, 1.3083811, 1.3587402, 1.3737058, 1.3761608", \
+					  "1.6485005, 1.6513188, 1.6664207, 1.7210560, 1.7798603, 1.7978659, 1.8008832", \
+					  "1.9249422, 1.9278639, 1.9437791, 1.9996643, 2.0627601, 2.0818111, 2.0850370");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6742868, 7.6797261, 7.7090247, 7.8536815, 7.8095319, 6.7255566, 1.0003974", \
+					  "7.6539980, 7.6591996, 7.6900577, 7.8259664, 7.7987876, 6.7102755, 1.1290059", \
+					  "7.6363121, 7.6417409, 7.6720032, 7.8108912, 7.7778048, 6.6535813, 1.1001000", \
+					  "7.6353761, 7.6405907, 7.6704158, 7.8129693, 7.7925863, 6.6757002, 0.9701480", \
+					  "7.6355423, 7.6376907, 7.6724676, 7.8149491, 7.8030055, 6.6593778, 1.0914493", \
+					  "7.6309954, 7.6386369, 7.6727710, 7.8130807, 7.7948583, 6.5777754, 0.9684325", \
+					  "7.6390132, 7.6396758, 7.6749549, 7.8186958, 7.7804070, 6.6979296, 1.0088771");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5645134, 4.5679802, 4.6028833, 4.7267864, 5.0946791, 6.0431817, 6.4595192", \
+					  "4.4955733, 4.4920484, 4.5308312, 4.6610868, 5.0182655, 5.9673934, 6.3815599", \
+					  "4.4233932, 4.4280678, 4.4539880, 4.5849493, 4.9437924, 5.8860417, 6.3059515", \
+					  "4.4111619, 4.4164120, 4.4550161, 4.5834295, 4.9437792, 5.9021133, 6.3078547", \
+					  "4.4216696, 4.4282841, 4.4504595, 4.5864796, 4.9439493, 5.8912943, 6.3078617", \
+					  "4.4213021, 4.4269833, 4.4451814, 4.5764839, 4.9429299, 5.9004426, 6.3056350", \
+					  "4.4211371, 4.4278452, 4.4492032, 4.5793261, 4.9429801, 5.8999142, 6.3036742");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699117, 0.0699096, 0.0699955, 0.0699248, 0.0699117, 0.0699303, 0.0699922", \
+					  "0.2149272, 0.2149234, 0.2147840, 0.2149263, 0.2147835, 0.2147844, 0.2147844", \
+					  "0.3742902, 0.3742890, 0.3743258, 0.3742893, 0.3743243, 0.3743223, 0.3743213", \
+					  "0.8926352, 0.8926273, 0.8917718, 0.8924825, 0.8913722, 0.8912491, 0.8912423", \
+					  "1.1410835, 1.1412333, 1.1411779, 1.1408024, 1.1403568, 1.1396540, 1.1391921", \
+					  "1.2368032, 1.2359070, 1.2301986, 1.2131873, 1.1959572, 1.1895214, 1.1878691", \
+					  "1.2487350, 1.2476412, 1.2418592, 1.2242645, 1.2056663, 1.1992739, 1.1974074");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4501384, 2.4504738, 2.4532418, 2.4733976, 2.6020397, 3.4596076, 4.2378234", \
+					  "2.4342148, 2.4350618, 2.4374878, 2.4589357, 2.5978877, 3.4526519, 4.2205262", \
+					  "2.4207421, 2.4204465, 2.4239023, 2.4449831, 2.5772872, 3.4390634, 4.2027364", \
+					  "2.4195899, 2.4209243, 2.4237881, 2.4445293, 2.5785233, 3.4343669, 4.2110006", \
+					  "2.4197911, 2.4206732, 2.4232759, 2.4456081, 2.5765732, 3.4413526, 4.2057309", \
+					  "2.4188668, 2.4193660, 2.4219371, 2.4450895, 2.5779490, 3.4381912, 4.2208488", \
+					  "2.4178785, 2.4183237, 2.4214215, 2.4436231, 2.5764854, 3.4379974, 4.2076771");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699884, 0.0699278, 0.0699146, 0.0699297, 0.0699073, 0.0699188, 0.0699836", \
+					  "0.2147884, 0.2147873, 0.2147876, 0.2149280, 0.2147873, 0.2147881, 0.2147876", \
+					  "0.3743680, 0.3743684, 0.3743666, 0.3743620, 0.3743580, 0.3743566, 0.3743558", \
+					  "0.8774344, 0.8774638, 0.8774678, 0.8774979, 0.8775372, 0.8775477, 0.8775445", \
+					  "1.0722343, 1.0721064, 1.0714201, 1.0694231, 1.0677978, 1.0673386, 1.0672503", \
+					  "1.1060454, 1.1058618, 1.1048912, 1.1021234, 1.0996334, 1.0988972, 1.0987712", \
+					  "1.1085611, 1.1083352, 1.1073425, 1.1044629, 1.1019681, 1.1012407, 1.1011164");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4398224, 2.4350506, 2.4274220, 2.4414242, 2.4544774, 2.4348483, 2.4421409", \
+					  "2.4195870, 2.4238828, 2.4206374, 2.4233834, 2.4331324, 2.4280980, 2.4405455", \
+					  "2.4131655, 2.4108763, 2.3955676, 2.4116240, 2.4163231, 2.4230146, 2.4125748", \
+					  "2.4095608, 2.4137595, 2.4107057, 2.4101096, 2.4190452, 2.4206233, 2.4228776", \
+					  "2.4147176, 2.4147881, 2.4142898, 2.4127565, 2.4167911, 2.4199509, 2.4227932", \
+					  "2.4100976, 2.4124891, 2.4142603, 2.4151957, 2.4152963, 2.4183549, 2.4229922", \
+					  "2.4162388, 2.4023924, 2.4083640, 2.3937221, 2.4176411, 2.4188814, 2.4205717");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7345875, 2.7348570, 2.7414986, 2.7783767, 2.9909642, 4.3659917, 4.6577523", \
+					  "2.7190543, 2.7211281, 2.7290866, 2.7664259, 2.9852417, 4.3500528, 4.6098770", \
+					  "2.7051684, 2.7076378, 2.7143577, 2.7614550, 2.9675666, 4.3366197, 4.6190522", \
+					  "2.7068501, 2.7064433, 2.7142431, 2.7675806, 2.9673837, 4.3362897, 4.6002630", \
+					  "2.7048837, 2.7063338, 2.7138249, 2.7534714, 2.9740460, 4.3367030, 4.6200423", \
+					  "2.7054288, 2.7070900, 2.7129161, 2.7535561, 2.9693022, 4.3354637, 4.6182600", \
+					  "2.7042395, 2.7052550, 2.7125545, 2.7516003, 2.9649301, 4.3356186, 4.6273206");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699969, 0.0699148, 0.0699199, 0.0699935, 0.0699158, 0.0699232, 0.0699225", \
+					  "0.2149253, 0.2147828, 0.2147837, 0.2147838, 0.2147838, 0.2147837, 0.2147840", \
+					  "0.3743069, 0.3743433, 0.3743429, 0.3743424, 0.3743423, 0.3743427, 0.3743422", \
+					  "0.8614092, 0.8607886, 0.8607789, 0.8607250, 0.8605772, 0.8605058, 0.8604822", \
+					  "1.0317118, 1.0318769, 1.0318826, 1.0318844, 1.0319870, 1.0319522, 1.0318601", \
+					  "1.0892811, 1.0892756, 1.0893361, 1.0892433, 1.0891715, 1.0889599, 1.0888848", \
+					  "1.1652265, 1.1645888, 1.1615450, 1.1518507, 1.1414094, 1.1368340, 1.1356951");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0699734, 0.0699746, 0.0699699, 0.0699700, 0.0699766, 0.0699764, 0.0698963", \
+					  "0.2200166, 0.2200166, 0.2200141, 0.2200039, 0.2203326, 0.2201234, 0.2199800", \
+					  "0.4144712, 0.4144775, 0.4145139, 0.4146964, 0.4150600, 0.4153072, 0.4154232", \
+					  "0.8818428, 0.8821780, 0.8839592, 0.8886326, 0.8888666, 0.8860216, 0.8845218", \
+					  "1.4893519, 1.4917759, 1.5051432, 1.5531445, 1.6087064, 1.6252632, 1.6274898", \
+					  "1.6917168, 1.6946252, 1.7105152, 1.7663799, 1.8290454, 1.8480558, 1.8514337", \
+					  "1.7008352, 1.7037760, 1.7198648, 1.7764316, 1.8396378, 1.8588961, 1.8623144");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5667469, 5.5684973, 5.5703877, 5.5740067, 5.5676563, 5.3320536, -0.5344507", \
+					  "5.5525175, 5.5548217, 5.5558551, 5.5594556, 5.5590063, 5.3082177, -0.5438696", \
+					  "5.5404497, 5.5417967, 5.5427140, 5.5462294, 5.5412104, 5.2692370, -0.5447931", \
+					  "5.5407516, 5.5413301, 5.5419594, 5.5430909, 5.5475769, 5.3516490, -0.5436892", \
+					  "5.5412690, 5.5405009, 5.5399558, 5.5483857, 5.5344151, 5.3259377, -0.5474564", \
+					  "5.5388500, 5.5400327, 5.5390439, 5.5454984, 5.5414231, 5.2839923, -0.5399186", \
+					  "5.5386875, 5.5393887, 5.5407279, 5.5434727, 5.5414830, 5.2929023, -0.5556735");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power (scalar) {
+					values("0.0877279");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4427136, 2.4369200, 2.4385530, 2.4406451, 2.4446096, 2.4523218, 2.4485385", \
+					  "2.4235242, 2.4234370, 2.4239604, 2.4249282, 2.4298177, 2.4290213, 2.4257171", \
+					  "2.4106486, 2.4103030, 2.4104509, 2.4075391, 2.4177733, 2.4223313, 2.4197648", \
+					  "2.4091785, 2.4092279, 2.4101348, 2.4084438, 2.4172842, 2.4259290, 2.4194040", \
+					  "2.4102694, 2.4099903, 2.4099710, 2.4082766, 2.4089362, 2.4185954, 2.4196729", \
+					  "2.4098094, 2.4090678, 2.4088106, 2.4076445, 2.4074027, 2.4176898, 2.4151066", \
+					  "2.4091208, 2.4078293, 2.4087808, 2.4073565, 2.4072932, 2.4411201, 2.4337215");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.2762884, 7.2751929, 7.3030309, 7.4209036, 7.3129361, 6.0940106, 0.3603646", \
+					  "7.2506401, 7.2591906, 7.2834620, 7.4027901, 7.3061947, 6.0750814, 0.4399119", \
+					  "7.2316835, 7.2414370, 7.2650240, 7.3850418, 7.2999570, 6.0801736, 0.3071464", \
+					  "7.2339867, 7.2418107, 7.2669928, 7.3851908, 7.2996308, 6.0116658, 0.4128980", \
+					  "7.2326627, 7.2385864, 7.2672978, 7.3856172, 7.2717456, 6.0716054, 0.4133944", \
+					  "7.2393443, 7.2390798, 7.2673436, 7.3863300, 7.2938034, 5.9687509, 0.3179926", \
+					  "7.2411036, 7.2408460, 7.2683899, 7.3879631, 7.2778732, 6.0144945, 0.3977119");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0961611, 0.0961611, 0.0962169, 0.0962209, 0.0962238, 0.0961989, 0.0962009", \
+					  "0.2338032, 0.2337977, 0.2337777, 0.2336023, 0.2329559, 0.2327008, 0.2324772", \
+					  "0.2927163, 0.2927559, 0.2927804, 0.2930445, 0.2930757, 0.2932281, 0.2931186", \
+					  "0.7246263, 0.7248296, 0.7257842, 0.7269547, 0.7225098, 0.7187831, 0.7176998", \
+					  "1.2523728, 1.2544307, 1.2660048, 1.3086432, 1.3593193, 1.3742765, 1.3767321", \
+					  "1.6510308, 1.6537873, 1.6693983, 1.7219743, 1.7822445, 1.8002650, 1.8033322", \
+					  "1.9279593, 1.9308524, 1.9467648, 2.0025808, 2.0656398, 2.0848206, 2.0880101");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7285528, 6.7381693, 6.7612584, 6.8806573, 6.7710240, 5.5538414, -0.0865689", \
+					  "6.7141621, 6.7239616, 6.7470165, 6.8650627, 6.7708013, 5.5604830, -0.1026937", \
+					  "6.6997407, 6.7102388, 6.7354899, 6.8536324, 6.7414621, 5.4452559, -0.1094400", \
+					  "6.7004451, 6.7109874, 6.7349669, 6.8541181, 6.7579303, 5.5490375, -0.1193456", \
+					  "6.6995772, 6.7039860, 6.7327434, 6.8527112, 6.7625533, 5.5523456, -0.1054623", \
+					  "6.7000297, 6.7045777, 6.7343308, 6.8524030, 6.7391690, 5.5517525, -0.1040683", \
+					  "6.6988809, 6.7085863, 6.7318728, 6.8510552, 6.7653751, 5.5478923, -0.1118086");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0698854, 0.0699574, 0.0699617, 0.0699678, 0.0699678, 0.0699635, 0.0699615", \
+					  "0.2200170, 0.2200162, 0.2201793, 0.2200055, 0.2199891, 0.2201245, 0.2199796", \
+					  "0.4144868, 0.4144925, 0.4145033, 0.4147138, 0.4151345, 0.4153242, 0.4154388", \
+					  "0.8817550, 0.8820943, 0.8838656, 0.8885409, 0.8878096, 0.8859310, 0.8844309", \
+					  "1.4905077, 1.4929263, 1.5062842, 1.5542249, 1.6090901, 1.6263383, 1.6283162", \
+					  "1.6961586, 1.6975394, 1.7134168, 1.7692407, 1.8318817, 1.8511846, 1.8543225", \
+					  "1.7037856, 1.7067204, 1.7227593, 1.7792290, 1.8425511, 1.8617972, 1.8652541");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3423076, 7.3475950, 7.3717328, 7.4880547, 7.4045477, 6.1742766, 0.5307006", \
+					  "7.3220006, 7.3274494, 7.3490579, 7.4682707, 7.3599000, 6.4325962, 0.4834917", \
+					  "7.2987852, 7.3096429, 7.3335135, 7.4502301, 7.3379449, 6.0427340, 0.4913455", \
+					  "7.3036814, 7.3088387, 7.3334692, 7.4500087, 7.3573797, 6.1406846, 0.4962755", \
+					  "7.3054053, 7.3050662, 7.3348167, 7.4517041, 7.3382402, 6.4223626, 0.4794080", \
+					  "7.3062379, 7.3113345, 7.3355365, 7.4525158, 7.3598096, 6.1462560, 0.3965868", \
+					  "7.3082101, 7.3133310, 7.3376006, 7.4543059, 7.3613533, 6.1295574, 0.4768900");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7673988, 3.7659274, 3.7781879, 3.8231789, 3.8422070, 3.8549338, 3.8823147", \
+					  "3.6923313, 3.6862203, 3.7023376, 3.7423668, 3.7716780, 3.8044257, 3.7895743", \
+					  "3.6164601, 3.6182846, 3.6259672, 3.6530567, 3.6944546, 3.7179110, 3.7037678", \
+					  "3.6164092, 3.5965986, 3.6259532, 3.6578075, 3.6954809, 3.7287260, 3.7194361", \
+					  "3.6153753, 3.6163298, 3.6243755, 3.6626296, 3.7036701, 3.7179525, 3.7167157", \
+					  "3.6150789, 3.5971865, 3.6246485, 3.6611705, 3.7007270, 3.7072595, 3.7173777", \
+					  "3.6141398, 3.6163503, 3.6243035, 3.6629649, 3.6951184, 3.7132610, 3.7153340");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7286526, 6.7381857, 6.7627455, 6.8806777, 6.7686401, 5.5582945, -0.1430459", \
+					  "6.7144041, 6.7239386, 6.7481241, 6.8665320, 6.7543170, 5.5435594, -0.0974228", \
+					  "6.7059978, 6.7055295, 6.7359873, 6.8543805, 6.7473654, 5.8252974, -0.2831735", \
+					  "6.7053214, 6.7054688, 6.7366184, 6.8517203, 6.7383050, 5.5502800, -0.1286654", \
+					  "6.7044683, 6.7046838, 6.7348181, 6.8443199, 6.7615363, 5.5453278, -0.1087345", \
+					  "6.6987100, 6.7041137, 6.7338399, 6.8503578, 6.7449712, 5.5434614, -0.0924744", \
+					  "6.6995440, 6.7036818, 6.7305986, 6.8514126, 6.7376854, 5.5255474, -0.1336532");
+				}
+				fall_power (scalar) {
+					values("0.000000");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.5009090, 6.5018584, 6.5076207, 6.5398592, 6.6096519, 6.4571662, 0.7159396", \
+					  "6.4788153, 6.4817495, 6.4871779, 6.5172940, 6.5924357, 6.4926891, 0.6967794", \
+					  "6.4624187, 6.4621285, 6.4701529, 6.5000539, 6.5794305, 6.4396426, 0.6713300", \
+					  "6.4593494, 6.4612285, 6.4683760, 6.4986673, 6.5713965, 6.5091936, 0.6653253", \
+					  "6.4645515, 6.4653043, 6.4699096, 6.5031968, 6.5761584, 6.4401717, 0.6744501", \
+					  "6.4659044, 6.4665077, 6.4786585, 6.5054982, 6.5741811, 6.5048450, 0.6741591", \
+					  "6.4647342, 6.4665535, 6.4716904, 6.5048987, 6.5779162, 6.5523585, 0.3068820");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7778948, 3.7783922, 3.7910184, 3.8413098, 4.0099388, 4.8839128, 5.6519196", \
+					  "3.6978061, 3.7008926, 3.7126749, 3.7638704, 3.9350248, 4.8039746, 5.5774076", \
+					  "3.6251389, 3.6269803, 3.6378310, 3.6879936, 3.8585785, 4.7303239, 5.4965386", \
+					  "3.6238789, 3.6261067, 3.6370541, 3.6879457, 3.8592240, 4.7184161, 5.4949460", \
+					  "3.6238316, 3.6261163, 3.6366998, 3.6868767, 3.8592193, 4.7277021, 5.4983899", \
+					  "3.6228821, 3.6249196, 3.6356358, 3.6863548, 3.8564184, 4.7271121, 5.4977786", \
+					  "3.6221373, 3.6237454, 3.6352219, 3.6859313, 3.8530589, 4.7376178, 5.5015142");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3455186, 6.3450363, 6.3434833, 6.3475968, 6.2457538, 5.2545909, -10.9468667", \
+					  "6.3220609, 6.3247267, 6.3260789, 6.3209593, 6.2365325, 5.2714516, -11.1690251", \
+					  "6.3048802, 6.3053269, 6.3087877, 6.3079762, 6.2236956, 5.2517872, -11.1079146", \
+					  "6.3067297, 6.3053012, 6.3086023, 6.3094372, 6.2198725, 5.2534620, -10.9950993", \
+					  "6.3079931, 6.3062449, 6.3057787, 6.3112869, 6.2422245, 5.2561296, -11.1679881", \
+					  "6.3084496, 6.3092337, 6.3077792, 6.3112803, 6.2434769, 5.2287300, -11.2021328", \
+					  "6.3101621, 6.3104357, 6.3088676, 6.3092678, 6.2372816, 5.2298232, -11.0891425");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0292733, 3.0285155, 3.0324060, 3.0522537, 3.1874892, 4.0389481, 4.8139081", \
+					  "2.9514101, 2.9516009, 2.9544352, 2.9768611, 3.1093623, 3.9748805, 4.7433808", \
+					  "2.8754630, 2.8758060, 2.8775388, 2.9011256, 3.0335446, 3.8939135, 4.6658329", \
+					  "2.8754754, 2.8762740, 2.8789645, 2.8985316, 3.0334018, 3.8864839, 4.6612413", \
+					  "2.8744367, 2.8748650, 2.8782616, 2.8984529, 3.0326908, 3.8970710, 4.6516674", \
+					  "2.8734298, 2.8735482, 2.8765937, 2.8980858, 3.0317948, 3.8875142, 4.6640029", \
+					  "2.8712852, 2.8721810, 2.8758773, 2.8982311, 3.0301219, 3.8912966, 4.6593914");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.8976943, 2.8980192, 2.9045447, 2.9444636, 3.0743503, 3.6245236, 4.0748479", \
+					  "3.0227302, 3.0254183, 3.0329081, 3.0713147, 3.2016438, 3.7536095, 4.1968208", \
+					  "3.1963948, 3.1972122, 3.2026867, 3.2405850, 3.3704597, 3.9271408, 4.3709830", \
+					  "3.6098023, 3.6119858, 3.6214515, 3.6602966, 3.7896552, 4.3521302, 4.7849381", \
+					  "4.0131463, 4.0147945, 4.0299978, 4.0948512, 4.2517884, 4.8238258, 5.2614924", \
+					  "4.1212176, 4.1230655, 4.1408778, 4.2088627, 4.3715590, 4.9343846, 5.3866908", \
+					  "4.1254466, 4.1270545, 4.1448143, 4.2116606, 4.3765444, 4.9481084, 5.3890147");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.2300114, 6.2325318, 6.2385930, 6.2655893, 6.1673170, 5.2282808, -5.3145124", \
+					  "6.3483422, 6.3547420, 6.3608348, 6.3882809, 6.2865499, 5.3573278, -5.1542671", \
+					  "6.4897467, 6.4902758, 6.4975961, 6.5247209, 6.4242678, 5.5207129, -5.0516777", \
+					  "6.9699118, 6.9716121, 6.9778144, 7.0021678, 6.9010070, 5.9911557, -4.6348902", \
+					  "7.1761624, 7.1760430, 7.1829933, 7.2093723, 7.1086822, 6.1906007, -4.3226059", \
+					  "7.2363448, 7.2378443, 7.2424571, 7.2617998, 7.1500223, 6.2303066, -4.3080472", \
+					  "7.2589126, 7.2600739, 7.2623920, 7.2777886, 7.1668805, 6.2451332, -4.3454383");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.9984339, 11.0004306, 11.0128151, 11.0749821, 10.9675812, 9.5789570, -7.2225896", \
+					  "11.0059431, 11.0101526, 11.0216364, 11.0835435, 10.9800645, 9.6341751, -7.0634478", \
+					  "11.1161855, 11.1196901, 11.1325865, 11.1936275, 11.0897788, 9.6704897, -6.9920822", \
+					  "11.2292064, 11.2330277, 11.2447136, 11.3085446, 11.2021637, 9.8154237, -6.7633353", \
+					  "11.3291105, 11.3294758, 11.3439609, 11.4060698, 11.2997948, 9.9496702, -6.7561366", \
+					  "11.4193602, 11.4209563, 11.4343541, 11.4937059, 11.3906131, 9.9747246, -6.7361819", \
+					  "11.4417252, 11.4425980, 11.4547867, 11.5079699, 11.3969594, 10.0173408, -6.7185719");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9302611, 5.9295104, 5.9510532, 6.0307578, 6.2552812, 7.1384985, 7.8512540", \
+					  "5.8500555, 5.8474141, 5.8678017, 5.9497003, 6.1661472, 7.0619004, 7.7587936", \
+					  "5.7418118, 5.7466286, 5.7626855, 5.8406893, 6.0633903, 6.9502280, 7.6557362", \
+					  "5.8853246, 5.8835892, 5.9072301, 5.9868148, 6.2173718, 7.0968775, 7.8000726", \
+					  "6.0619450, 6.0643817, 6.0855540, 6.1776697, 6.4190438, 7.3122027, 8.0184455", \
+					  "6.1929923, 6.1925996, 6.2183353, 6.3144410, 6.5634620, 7.4509373, 8.1640647", \
+					  "6.2834049, 6.2873224, 6.3092557, 6.4081458, 6.6501023, 7.5506568, 8.2525445");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4875058, 8.4901295, 8.4901300, 8.4901310, 8.4901319, 8.4901329, 8.6584260", \
+					  "9.0070724, 9.0127061, 9.0127063, 9.0127073, 9.0127082, 9.0127092, 9.1170440", \
+					  "9.4097610, 9.4097620, 9.4351869, 9.4582218, 9.4582220, 9.4582229, 9.4679784", \
+					  "9.7647153, 9.7794102, 9.7794109, 9.7794118, 9.7794128, 9.7794138, 9.8136912", \
+					  "10.0826680, 10.0832700, 10.0832706, 10.0832716, 10.0832725, 10.0832735, 10.1675200", \
+					  "10.3844890, 10.3857400, 10.3857408, 10.3857418, 10.3857427, 10.3857437, 10.4387630", \
+					  "10.6771500, 10.6802810, 10.6802812, 10.6802822, 10.6802831, 10.6802841, 10.7324610");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.3356788, 8.3415541, 8.3778371, 8.6099323, 10.2544870, 20.8911160, 87.4447540", \
+					  "8.8659284, 8.8717904, 8.9080041, 9.1400949, 10.7847250, 21.4341910, 87.9529270", \
+					  "9.2760200, 9.2818855, 9.3181658, 9.5500949, 11.1948060, 21.8454870, 88.3716570", \
+					  "9.6323483, 9.6382182, 9.6745252, 9.9063335, 11.5510850, 22.2024350, 88.7236600", \
+					  "9.9613269, 9.9671897, 10.0034430, 10.2358540, 11.8803780, 22.5558060, 89.0687910", \
+					  "10.2534390, 10.2593130, 10.2956290, 10.5275720, 12.1718970, 22.8231620, 89.3476010", \
+					  "10.5440780, 10.5499600, 10.5816080, 10.8175800, 12.4625300, 23.1073470, 89.6404940");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9981459, 1.0134088, 1.1070113, 1.7031777, 5.7542073, 31.6341260, 191.6402700", \
+					  "0.9983635, 1.0134602, 1.1067889, 1.7036785, 5.7543073, 31.6377080, 191.6650400", \
+					  "0.9984794, 1.0135173, 1.1068120, 1.7038566, 5.7543845, 31.6229140, 191.6537700", \
+					  "0.9985076, 1.0134675, 1.1065385, 1.7040797, 5.7544303, 31.6227040, 191.4300200", \
+					  "0.9984611, 1.0135662, 1.1072655, 1.7039614, 5.7541536, 31.6218090, 191.5976200", \
+					  "0.9981606, 1.0135397, 1.1069494, 1.6990969, 5.7545093, 31.6393990, 191.6564300", \
+					  "0.9982026, 1.0128275, 1.1054445, 1.7036902, 5.7545329, 31.6162310, 191.5594200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("151.1954700, 151.2198400, 151.2663500, 151.5401900, 153.3738200, 161.9904400, 198.5995500", \
+					  "151.9096500, 151.9170400, 151.9557200, 152.2525500, 154.0681900, 162.6515200, 199.3564500", \
+					  "152.5984700, 152.6016100, 152.6503900, 152.9707100, 154.7840600, 163.4026500, 200.0124500", \
+					  "153.3766500, 153.3766556, 153.4012000, 153.6982400, 155.5107300, 164.1667900, 200.8211800", \
+					  "154.0582400, 154.0788200, 154.1025200, 154.4287900, 156.2416800, 164.8683300, 201.4822200", \
+					  "154.7529700, 154.7553400, 154.8007600, 155.1057800, 156.9145500, 165.5464800, 202.1679100", \
+					  "155.4337200, 155.4337235, 155.4951000, 155.7776000, 157.5942700, 166.2178700, 202.8753400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3248050, 59.3034490, 59.3217030, 58.9757220, 57.0629980, 47.1983660, 92.3392850", \
+					  "59.3775160, 59.3041850, 59.2758480, 59.0089360, 57.0563720, 47.0467540, 92.2701630", \
+					  "59.3055100, 59.2968910, 59.2560210, 59.0043500, 57.0402610, 46.9241640, 92.3364280", \
+					  "59.4136150, 59.2965530, 59.2757560, 59.0055040, 57.0597050, 46.9048840, 92.2852270", \
+					  "59.3069030, 59.4079130, 59.2490960, 59.0052810, 57.0665580, 46.9059670, 92.2949750", \
+					  "59.3237600, 59.3684920, 59.2715030, 58.9833140, 57.0406510, 47.1105430, 92.2021930", \
+					  "59.4167490, 59.2998150, 59.3187010, 59.0047690, 57.0385160, 46.9067660, 92.2856600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.3072029, 8.3130454, 8.3486844, 8.5780947, 10.2002080, 20.9244800, 89.7046850", \
+					  "8.8373335, 8.8429069, 8.8791312, 9.1077634, 10.7300680, 21.4549680, 90.2375920", \
+					  "9.2473860, 9.2541539, 9.2892396, 9.5190380, 11.1400610, 21.8663400, 90.6575010", \
+					  "9.6028829, 9.6043040, 9.6443848, 9.8688044, 11.4957900, 22.2195930, 90.9998690", \
+					  "9.9314530, 9.9352340, 9.9732604, 10.1999480, 11.8241720, 22.5965180, 91.3128990", \
+					  "10.2242040, 10.2351870, 10.2667640, 10.4952570, 12.1168480, 22.8543390, 91.6364730", \
+					  "10.5151240, 10.5310380, 10.5570310, 10.7858540, 12.4080700, 23.1293600, 91.9125260");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0212687, 1.0364286, 1.1273462, 1.7216046, 5.7598792, 31.6337460, 191.5863000", \
+					  "1.0178741, 1.0321927, 1.1293517, 1.7183462, 5.7591518, 31.6405960, 191.6882400", \
+					  "1.0204700, 1.0320219, 1.1295442, 1.7180063, 5.7594609, 31.6487170, 191.4303900", \
+					  "1.0176405, 1.0348931, 1.1265978, 1.7201864, 5.7591123, 31.6140710, 191.6894400", \
+					  "1.0200304, 1.0339181, 1.1259048, 1.7202408, 5.7591762, 31.6604130, 191.6776100", \
+					  "1.0203594, 1.0348460, 1.1290828, 1.7205883, 5.7591696, 31.5986210, 191.4001800", \
+					  "1.0191211, 1.0331535, 1.1282948, 1.7203086, 5.7592562, 31.6593930, 191.5815600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.9908400, 102.0030800, 102.0668000, 102.4552700, 105.1307100, 122.9261200, 159.3206900", \
+					  "102.6891000, 102.6976400, 102.7649700, 103.1728100, 105.8225200, 123.5317800, 160.0154200", \
+					  "103.4035400, 103.4250500, 103.4785500, 103.8887900, 106.5370900, 124.2499900, 160.7424900", \
+					  "104.1600500, 104.1600533, 104.2062600, 104.6160100, 107.2639300, 125.1285500, 161.4280100", \
+					  "104.8593100, 104.8770500, 104.9382100, 105.3416100, 107.9897300, 125.6951100, 162.1941100", \
+					  "105.5263800, 105.5419400, 105.6035300, 106.0069300, 108.6579600, 126.4750000, 162.8437500", \
+					  "106.2149600, 106.2244100, 106.2896000, 106.6994500, 109.3471100, 127.1727500, 163.5476700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4102400, 124.3995300, 124.3781900, 124.2712800, 123.8861500, 122.0768000, 109.9504300", \
+					  "124.4144800, 124.3989200, 124.3784200, 124.3007300, 123.8902700, 122.1229100, 109.9493800", \
+					  "124.3946700, 124.3981300, 124.3794700, 124.3061500, 123.8754900, 122.1319800, 109.9461700", \
+					  "124.4295800, 124.4066800, 124.3751600, 124.2660400, 123.8786900, 122.1410900, 109.8281100", \
+					  "124.3803600, 124.4028600, 124.3765700, 124.2674300, 123.8793900, 122.1127000, 109.9545400", \
+					  "124.3670500, 124.3891000, 124.3616200, 124.2374700, 123.8569600, 122.0621800, 109.8358700", \
+					  "124.4009400, 124.3884500, 124.3696100, 124.2290900, 123.8784100, 122.0534200, 109.8743700");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2877130, 17.4787140, 18.6862600, 26.0931190, 71.5373290, 350.8073100, 2072.2084000", \
+					  "17.8029290, 18.0011050, 19.2068780, 26.6010910, 72.0748470, 351.2926100, 2072.9265000", \
+					  "18.2222200, 18.4152270, 19.6126400, 27.0388300, 72.3970430, 351.6740900, 2073.3328000", \
+					  "18.5911300, 18.7554520, 19.9651940, 27.3575370, 72.7692180, 352.0293600, 2073.4169000", \
+					  "18.8884870, 19.0781010, 20.2886330, 27.6847680, 73.0661820, 352.3334700, 2074.0058000", \
+					  "19.1936670, 19.3721150, 20.5785740, 27.9779130, 73.4305420, 352.6244800, 2074.6222000", \
+					  "19.4776620, 19.6655810, 20.8760760, 28.2641410, 73.6773270, 352.9336500, 2074.5970000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5739780, 14.9596600, 17.3086430, 31.9043670, 122.1699500, 679.0688200, 4109.2321000", \
+					  "14.5747430, 14.9652980, 17.3070730, 31.8526470, 122.0278300, 678.6500500, 4109.9743000", \
+					  "14.5786580, 14.9620210, 17.3069840, 31.8942950, 121.8755000, 677.8440700, 4109.8547000", \
+					  "14.5712900, 14.9593480, 17.3075140, 31.8760310, 122.0985100, 677.8486700, 4110.2144000", \
+					  "14.5694790, 14.9600950, 17.3069430, 31.8707050, 121.9654700, 678.2594800, 4109.4854000", \
+					  "14.5711960, 14.9614220, 17.3069290, 31.8654220, 122.1580600, 678.6061700, 4110.7833000", \
+					  "14.5748150, 14.9653460, 17.3121040, 31.8922350, 122.1148400, 678.6494300, 4109.5091000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5884650, 13.6390050, 13.9485850, 15.7751380, 20.8728070, 40.1196160, 83.1191120", \
+					  "14.2846740, 14.3350170, 14.6440260, 16.4674530, 21.5711240, 40.8124560, 83.8260800", \
+					  "15.0067820, 15.0570540, 15.3668690, 17.1934090, 22.2907560, 41.5384530, 84.5525960", \
+					  "15.7332560, 15.7835430, 16.0938520, 17.9194770, 23.0181030, 42.2682690, 85.2721340", \
+					  "16.4640230, 16.5084160, 16.8241420, 18.6495250, 23.7433200, 42.9956870, 86.0075600", \
+					  "17.1489130, 17.2022180, 17.5090380, 19.3342480, 24.4367850, 43.6803020, 86.6929990", \
+					  "17.8148590, 17.8684940, 18.1782380, 20.0037250, 25.0989170, 44.3488370, 87.3630340");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0226404, 3.0920826, 3.5318757, 5.0916644, 6.9760286, 29.0345450, 48.3429260", \
+					  "3.0220411, 3.0928116, 3.5318080, 5.0924660, 6.9741475, 29.0338100, 48.3891360", \
+					  "3.0226581, 3.0910858, 3.5298728, 5.0926579, 6.9754114, 29.0340270, 48.3862190", \
+					  "3.0226199, 3.0913601, 3.5304109, 5.0930868, 6.9762969, 29.0324390, 48.4011480", \
+					  "3.0225795, 3.0909988, 3.5297578, 5.0933684, 6.9763502, 29.0330920, 48.3945080", \
+					  "3.0225855, 3.0907796, 3.5295995, 5.0933693, 6.9760984, 29.0331990, 48.3922160", \
+					  "3.0226583, 3.0907465, 3.5298693, 5.0926663, 6.9756592, 29.0342350, 48.3867950");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.2063938, 8.2063947, 8.2063957, 8.2063966, 8.2063976, 8.2063985, 8.2063995", \
+					  "8.7367527, 8.7391223, 8.7391229, 8.7391239, 8.7391248, 8.7391258, 8.7391267", \
+					  "9.1491907, 9.1492067, 9.1492076, 9.1492086, 9.1492095, 9.1492105, 9.1492115", \
+					  "9.5052437, 9.5052438, 9.5052448, 9.5052457, 9.5052467, 9.5052476, 9.5052486", \
+					  "9.8238297, 9.8269863, 9.8269868, 9.8269878, 9.8269887, 9.8269897, 9.8269907", \
+					  "10.1274190, 10.1274200, 10.1274210, 10.1274219, 10.1274229, 10.1274238, 10.1274248", \
+					  "10.4066730, 10.4188880, 10.4188886, 10.4188896, 10.4188905, 10.4188915, 10.4188924");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0003550, 8.0003553, 8.0565119, 8.1015559, 8.1015564, 8.1015573, 8.1015583", \
+					  "8.5218685, 8.5236334, 8.5236335, 8.5236345, 8.5236354, 8.5236364, 8.5236373", \
+					  "8.9232630, 8.9276728, 8.9276729, 8.9276739, 8.9276748, 8.9276758, 8.9276767", \
+					  "9.2689181, 9.2708179, 9.2708183, 9.2708192, 9.2708202, 9.2708211, 9.2708221", \
+					  "9.5953840, 9.5953842, 9.5953851, 9.5953861, 9.5953870, 9.5953880, 9.5953889", \
+					  "9.8917070, 9.8917079, 9.8917089, 9.8917099, 9.8917108, 9.8917118, 9.8917127", \
+					  "10.1669850, 10.1680360, 10.1680370, 10.1680379, 10.1680389, 10.1680398, 10.1680408");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7775540, 10.8221840, 11.1403280, 13.0381190, 24.6538520, 96.1845640, 416.7363300", \
+					  "11.2993280, 11.3486620, 11.6561040, 13.5545180, 25.1686070, 96.6929790, 417.2756900", \
+					  "11.7023790, 11.7514460, 12.0629200, 13.9581310, 25.5723730, 97.1007380, 417.6848400", \
+					  "12.0565210, 12.1056900, 12.4140040, 14.3115070, 25.9255590, 97.4491390, 418.0543300", \
+					  "12.3844230, 12.4337880, 12.7411450, 14.6393440, 26.2537230, 97.7780200, 418.3631000", \
+					  "12.6715510, 12.7266840, 13.0311570, 14.9304250, 26.5463740, 98.0835890, 418.6652200", \
+					  "12.9642320, 13.0112370, 13.3225570, 15.2172940, 26.8313350, 98.3565940, 418.8918000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0364342, 3.1134333, 3.5970187, 6.6035630, 25.0199420, 138.7790100, 350.6142700", \
+					  "3.0363270, 3.1134875, 3.5972527, 6.6015358, 25.0224270, 138.9163500, 350.6383700", \
+					  "3.0361864, 3.1133816, 3.5975458, 6.6010566, 25.0253170, 138.9490200, 350.6377500", \
+					  "3.0361786, 3.1133793, 3.5966146, 6.6010952, 25.0258210, 138.9308700, 350.6450200", \
+					  "3.0363474, 3.1135006, 3.5963381, 6.6015007, 25.0226520, 138.9171600, 350.6376300", \
+					  "3.0360336, 3.1132248, 3.5965229, 6.6022614, 25.0238340, 138.7906400, 350.6455900", \
+					  "3.0361837, 3.1133807, 3.5977408, 6.6010912, 25.0258070, 138.9411800, 350.6181900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.1943760, 21.3953000, 22.5608690, 29.7729470, 73.9044450, 345.4492800, 2019.9348000", \
+					  "21.8997370, 22.0943740, 23.2680280, 30.4673190, 74.5991140, 346.0182800, 2021.2663000", \
+					  "22.6153340, 22.8202900, 23.9844040, 31.1910570, 75.3227380, 346.9118900, 2021.4202000", \
+					  "23.3485570, 23.5399500, 24.7222970, 31.9596720, 76.1147160, 347.5668400, 2021.4973000", \
+					  "24.0690310, 24.2491450, 25.4245870, 32.6393030, 76.7669800, 348.3021100, 2023.1653000", \
+					  "24.7565220, 24.9495070, 26.1287290, 33.3324660, 77.4492720, 349.0457300, 2023.6359000", \
+					  "25.4354480, 25.6266230, 26.8042650, 34.0452320, 78.2011180, 349.6562000, 2024.4254000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5308180, 13.9054370, 16.1915620, 30.4284880, 118.5011000, 660.4702800, 4007.8403000", \
+					  "13.5351110, 13.8896140, 16.2101670, 30.3869820, 118.4758100, 660.5106400, 4008.0581000", \
+					  "13.5319100, 13.8990400, 16.2082240, 30.4279410, 118.4707400, 660.7267000, 4008.7689000", \
+					  "13.5211690, 13.9044400, 16.1981790, 30.4265090, 118.5743500, 660.6616100, 4007.4256000", \
+					  "13.5344570, 13.8900310, 16.1926350, 30.4214610, 118.4651600, 660.9533300, 4008.4446000", \
+					  "13.5314200, 13.8938190, 16.2078810, 30.4317100, 118.4603600, 660.7410800, 4006.8521000", \
+					  "13.5181170, 13.9061580, 16.2018930, 30.4265840, 118.5741900, 660.6708800, 4007.8139000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1848945, 8.1946991, 8.1946998, 8.1947008, 8.1947017, 8.1947027, 8.1947036", \
+					  "8.7257508, 8.7257514, 8.7257524, 8.7257534, 8.7257543, 8.7257553, 8.7257562", \
+					  "9.1343377, 9.1343380, 9.1343389, 9.1343399, 9.1343408, 9.1343418, 9.1343427", \
+					  "9.4944514, 9.4944520, 9.4944530, 9.4944540, 9.4944549, 9.4944559, 9.4944568", \
+					  "9.8009671, 9.8026769, 9.8026777, 9.8026786, 9.8026796, 9.8026805, 9.8026815", \
+					  "10.1173020, 10.1173025, 10.1173034, 10.1173044, 10.1173053, 10.1173063, 10.1173072", \
+					  "10.4097930, 10.4097934, 10.4097944, 10.4097953, 10.4097963, 10.4097972, 10.4097982");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4865643, 8.4865652, 8.4865661, 8.4865671, 8.4865680, 8.4865690, 8.6568033", \
+					  "9.0143137, 9.0143142, 9.0143152, 9.0143161, 9.0143171, 9.0143180, 9.0538084", \
+					  "9.4166488, 9.4202228, 9.4202238, 9.4202247, 9.4202257, 9.4202266, 9.5009094", \
+					  "9.7641051, 9.7680086, 9.7680088, 9.7680097, 9.7680107, 9.7680116, 9.8249915", \
+					  "10.0835470, 10.0853810, 10.0853811, 10.0853820, 10.0853830, 10.0853839, 10.1404930", \
+					  "10.3881580, 10.3881584, 10.3881593, 10.3881603, 10.3881612, 10.3881622, 10.4452830", \
+					  "10.6581350, 10.6767830, 10.6767831, 10.6767841, 10.6767850, 10.6767860, 10.7160020");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5012350, 13.5550500, 13.8693510, 15.7594420, 27.1399260, 93.8174910, 185.4244800", \
+					  "14.1990610, 14.2477090, 14.5668330, 16.4557670, 27.8360270, 94.5202250, 186.0773600", \
+					  "14.9237760, 14.9735320, 15.2878900, 17.1810090, 28.5599740, 95.2404660, 186.8329200", \
+					  "15.6499060, 15.7041440, 16.0189200, 17.9102420, 29.2978280, 95.9654120, 187.5976100", \
+					  "16.3708640, 16.4069520, 16.7408580, 18.6277520, 30.0089520, 96.6889220, 188.2941900", \
+					  "17.0602020, 17.1155550, 17.4317590, 19.3308920, 30.7114970, 97.3869520, 188.9932800", \
+					  "17.7308640, 17.7858880, 18.1020530, 19.9854730, 31.3779650, 98.0495050, 189.6565900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0557623, 3.1297094, 3.5771452, 6.3981367, 24.3054000, 97.1961360, 63.6428650", \
+					  "3.0552356, 3.1300484, 3.5769887, 6.3978987, 24.3050500, 97.2250400, 63.7507750", \
+					  "3.0564775, 3.1298513, 3.5771794, 6.3957870, 24.3058320, 97.2146380, 63.6309210", \
+					  "3.0565723, 3.1238421, 3.5694248, 6.3960099, 24.2739800, 97.2271790, 63.6117860", \
+					  "3.0563192, 3.1241396, 3.5693308, 6.3959851, 24.3052090, 97.2275840, 63.6064570", \
+					  "3.0559908, 3.1231731, 3.5688772, 6.3979919, 24.3105090, 97.2146170, 63.6071180", \
+					  "3.0562861, 3.1268323, 3.5694447, 6.3957661, 24.3059440, 97.1810930, 63.6346580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4952934, 8.4952941, 8.4952951, 8.4952961, 8.4952970, 8.4952980, 8.4952989", \
+					  "9.0180139, 9.0180145, 9.0180154, 9.0180164, 9.0180173, 9.0180183, 9.0722478", \
+					  "9.4190300, 9.4190307, 9.4190317, 9.4190326, 9.4190336, 9.4190345, 9.4616928", \
+					  "9.7640562, 9.7687609, 9.7687612, 9.7763584, 9.7763591, 9.7763601, 9.8086428", \
+					  "10.0864770, 10.0878110, 10.0878120, 10.0878130, 10.0878139, 10.0878149, 10.1428180", \
+					  "10.3900190, 10.3916520, 10.3916526, 10.3916536, 10.3916545, 10.3916555, 10.4650230", \
+					  "10.6770860, 10.6790530, 10.6790538, 10.6790548, 10.6790557, 10.6790567, 10.7163330");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.4626800, 13.5167820, 13.8247340, 15.6500930, 20.8229340, 40.1697660, 142.1047000", \
+					  "14.1599090, 14.2140390, 14.5216640, 16.3467990, 21.5210340, 40.8707730, 142.8540900", \
+					  "14.8857810, 14.9361870, 15.2423730, 17.0686970, 22.2469700, 41.5973920, 143.5304700", \
+					  "15.6125900, 15.6614690, 15.9704180, 17.8021560, 22.9736670, 42.3166210, 144.2734000", \
+					  "16.3355940, 16.3844120, 16.6963310, 18.5206290, 23.6989590, 43.0377440, 144.9969500", \
+					  "17.0305030, 17.0809040, 17.3844090, 19.2193870, 24.3868480, 43.7470150, 145.6356500", \
+					  "17.7021620, 17.7513540, 18.0541930, 19.8908180, 25.0597370, 44.3893350, 146.3486100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0273359, 3.0988971, 3.5370242, 5.1121076, 7.0325608, 32.0530410, 98.0590900", \
+					  "3.0275349, 3.1013128, 3.5371182, 5.1130846, 7.0323249, 32.0278420, 98.0230720", \
+					  "3.0327238, 3.1010163, 3.5360863, 5.1125904, 7.0359825, 32.0359240, 98.0533910", \
+					  "3.0311729, 3.0987335, 3.5366754, 5.1167790, 7.0431176, 32.0683180, 97.9839780", \
+					  "3.0311396, 3.0995346, 3.5356995, 5.1153037, 7.0417405, 32.0694400, 98.1086640", \
+					  "3.0295688, 3.0998391, 3.5356231, 5.1118318, 7.0510286, 32.0375900, 98.0417600", \
+					  "3.0326401, 3.1029979, 3.5368466, 5.1090612, 7.0394157, 32.0677030, 98.0515440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3970080, 17.5893270, 18.7894750, 26.1456620, 71.3743090, 350.3799900, 2071.7778000", \
+					  "18.0922420, 18.2876470, 19.4810270, 26.8453330, 72.0737670, 351.0802700, 2072.7477000", \
+					  "18.8152050, 19.0402110, 20.1936940, 27.5550820, 72.8201070, 351.8733800, 2073.3335000", \
+					  "19.5332140, 19.7312870, 20.9212260, 28.2513820, 73.5597750, 352.5391900, 2073.7452000", \
+					  "20.2630180, 20.4521590, 21.6507270, 29.0018440, 74.2442620, 353.4811700, 2075.0590000", \
+					  "20.9502160, 21.1517420, 22.3363320, 29.6936700, 74.9299640, 353.9951800, 2075.3237000", \
+					  "21.6089440, 21.8122480, 22.9919590, 30.3769100, 75.5987630, 354.5956400, 2076.1777000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5868580, 14.9668260, 17.3236110, 31.9170430, 122.0873300, 678.6978600, 4109.0047000", \
+					  "14.5871070, 14.9667850, 17.3218470, 31.9171460, 122.0879500, 678.6572700, 4111.0857000", \
+					  "14.5779100, 14.9675670, 17.3049490, 31.8837440, 122.0942100, 678.2340200, 4110.6191000", \
+					  "14.5863600, 14.9668120, 17.3124340, 31.8984020, 121.9685400, 678.7370200, 4108.7205000", \
+					  "14.5864310, 14.9646850, 17.3033200, 31.9111630, 122.0984300, 679.9698400, 4111.4104000", \
+					  "14.5867980, 14.9580650, 17.3236290, 31.9119660, 122.0847300, 678.8307000, 4109.5627000", \
+					  "14.5868370, 14.9668380, 17.3220990, 31.9170250, 122.0879400, 678.0217100, 4109.4631000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9791790, 15.0297000, 15.3391450, 17.1669810, 22.2798790, 41.5268460, 84.5452060", \
+					  "15.6788950, 15.7301410, 16.0379870, 17.8682330, 22.9803710, 42.2296470, 85.2478910", \
+					  "16.3983010, 16.4508230, 16.7614860, 18.5874710, 23.7013610, 42.9410750, 85.9518680", \
+					  "17.1233130, 17.1705670, 17.4803120, 19.3163580, 24.4259880, 43.6738080, 86.6890630", \
+					  "17.8458420, 17.8971180, 18.2049330, 20.0345380, 25.1469780, 44.3946210, 87.4090700", \
+					  "18.5334470, 18.5864750, 18.8961130, 20.7235740, 25.8364070, 45.0946730, 88.1007840", \
+					  "19.1957860, 19.2435950, 19.5627140, 21.3945290, 26.5055120, 45.7506710, 88.7627750");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0286367, 3.0983753, 3.5364875, 5.1065645, 6.9847284, 29.0360640, 48.3884750", \
+					  "3.0284286, 3.0982974, 3.5373053, 5.1068287, 6.9853021, 29.0368120, 48.3897010", \
+					  "3.0229872, 3.0991509, 3.5371337, 5.1029989, 6.9856156, 29.0379470, 48.3775050", \
+					  "3.0283502, 3.0986829, 3.5364869, 5.1070983, 6.9786816, 29.0349860, 48.3980360", \
+					  "3.0282048, 3.0988394, 3.5367766, 5.1070113, 6.9855779, 29.0349700, 48.4045160", \
+					  "3.0282867, 3.0983132, 3.5366878, 5.1018461, 6.9849850, 29.0349810, 48.4015350", \
+					  "3.0287779, 3.0983818, 3.5366130, 5.1065654, 6.9847288, 29.0349860, 48.3893710");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.8592700, 17.0540950, 18.2818520, 25.6062410, 70.8382120, 349.8630000, 2070.9438000", \
+					  "17.3814670, 17.5762730, 18.8067020, 26.1286530, 71.3609790, 350.3857900, 2071.7086000", \
+					  "17.7849750, 17.9801150, 19.1698820, 26.5341210, 71.7645180, 350.7919600, 2072.7716000", \
+					  "18.1401950, 18.3345290, 19.5273260, 26.8625030, 72.0950910, 351.1109400, 2072.6372000", \
+					  "18.4662530, 18.6611220, 19.8917430, 27.2153500, 72.4450400, 351.4719500, 2072.6907000", \
+					  "18.7599270, 18.9837340, 20.1423780, 27.5170070, 72.7643870, 351.7382900, 2073.0629000", \
+					  "19.0463010, 19.2393910, 20.4386270, 27.7963840, 73.0200750, 352.0556800, 2073.6682000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5829050, 14.9626760, 17.3062840, 31.9136110, 122.0812300, 678.6862600, 4109.1766000", \
+					  "14.5829520, 14.9648190, 17.3017580, 31.9134620, 122.0824100, 678.7029700, 4109.9579000", \
+					  "14.5839680, 14.9563260, 17.3115600, 31.9136290, 122.0792100, 678.6352700, 4110.7724000", \
+					  "14.5831060, 14.9651400, 17.3206780, 31.9169190, 122.0605400, 678.6716500, 4110.4795000", \
+					  "14.5840210, 14.9563060, 17.3013830, 31.9136220, 122.0741800, 678.6301000, 4109.9102000", \
+					  "14.5718100, 14.9665220, 17.3025810, 31.9126560, 122.0809900, 678.4165400, 4108.6719000", \
+					  "14.5841290, 14.9644300, 17.3208450, 31.9149580, 122.0690700, 678.9260900, 4110.0466000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7142890, 20.9039990, 22.0662650, 29.2500420, 73.2676500, 344.5261700, 2020.8120000", \
+					  "21.4101110, 21.6006790, 22.7623560, 29.9286820, 73.9036140, 345.2127300, 2020.4413000", \
+					  "22.1318870, 22.3223340, 23.4836490, 30.6723540, 74.6861280, 346.3349000, 2021.1698000", \
+					  "22.8601080, 23.0493810, 24.2118060, 31.3810630, 75.4013240, 346.6650800, 2021.6819000", \
+					  "23.5893030, 23.7745110, 24.9359150, 32.1286570, 76.1383210, 347.3847400, 2022.2774000", \
+					  "24.2758860, 24.4666740, 25.6305310, 32.8028090, 76.7508720, 348.1832500, 2022.1405000", \
+					  "24.9440650, 25.1305680, 26.2908950, 33.4802440, 77.4330500, 348.9905400, 2023.4688000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5259870, 13.9119880, 16.2000920, 30.3866230, 118.1448900, 660.5931200, 4009.2114000", \
+					  "13.5325360, 13.9096010, 16.2048790, 30.4204480, 118.1438300, 660.5990800, 4008.0413000", \
+					  "13.5322760, 13.9055430, 16.2077140, 30.4037680, 118.1408700, 660.9563500, 4008.2182000", \
+					  "13.5220420, 13.9125930, 16.2074070, 30.4208640, 118.5073100, 660.4627700, 4007.2350000", \
+					  "13.5348590, 13.9073700, 16.2074110, 30.4008730, 118.1437800, 660.5351900, 4007.6447000", \
+					  "13.5346930, 13.9134080, 16.2003650, 30.3835430, 118.1978000, 660.4586800, 4007.8307000", \
+					  "13.5254500, 13.9083010, 16.2035610, 30.3882180, 118.2505000, 660.6033500, 4008.8266000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5340419, 8.5340429, 8.5547960, 8.5547967, 8.5547977, 8.5547987, 8.5547996", \
+					  "9.0173726, 9.0173727, 9.0173736, 9.0173746, 9.0173755, 9.0173765, 9.0262269", \
+					  "9.4776249, 9.4808188, 9.4808193, 9.4808202, 9.4808212, 9.4808221, 9.4926991", \
+					  "9.8302113, 9.8564268, 9.8564277, 9.8564287, 9.8564296, 9.8564306, 9.8564315", \
+					  "10.1600030, 10.1600032, 10.1600042, 10.1600051, 10.1600061, 10.1600070, 10.1763810", \
+					  "10.3908750, 10.3908754, 10.3911980, 10.3928420, 10.4744770, 10.4744773, 10.4744783", \
+					  "10.6588070, 10.7579990, 10.7579999, 10.7580009, 10.7642060, 10.7642065, 10.7642074");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0037674, 8.0037675, 8.0413614, 8.0856308, 8.0856309, 8.0856319, 8.0856329", \
+					  "8.5217948, 8.5228873, 8.5228878, 8.5228887, 8.5228897, 8.5228906, 8.5228916", \
+					  "8.9222585, 8.9266887, 8.9266897, 8.9266906, 8.9266916, 8.9266925, 8.9266935", \
+					  "9.2681827, 9.2703756, 9.2703758, 9.2703767, 9.2703777, 9.2703786, 9.2703796", \
+					  "9.5947161, 9.5947166, 9.5947176, 9.5947185, 9.5947195, 9.5947204, 9.5947214", \
+					  "9.8912487, 9.8931290, 9.8931299, 9.8931308, 9.8931318, 9.8931327, 9.8931337", \
+					  "10.1663740, 10.1678230, 10.1678234, 10.1678243, 10.1678253, 10.1678262, 10.1678272");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3575000, 11.4149120, 11.7209480, 13.6198260, 25.2348680, 96.7857690, 417.4327400", \
+					  "12.0627730, 12.1138990, 12.4198950, 14.3197680, 25.9347620, 97.4544320, 418.1137300", \
+					  "12.7797170, 12.8247270, 13.1466020, 15.0391010, 26.6515340, 98.1774090, 418.8525800", \
+					  "13.5058440, 13.5538590, 13.8578700, 15.7683660, 27.3782650, 98.8954480, 419.5651400", \
+					  "14.2206390, 14.2767270, 14.5834670, 16.4795640, 28.0948620, 99.6345190, 420.3076000", \
+					  "14.9188430, 14.9633020, 15.2753470, 17.1776990, 28.7847930, 100.3236000, 420.9654500", \
+					  "15.5552660, 15.6378540, 15.9275970, 17.8481730, 29.4277950, 100.9510300, 421.6029200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0369256, 3.1148468, 3.5969305, 6.6016597, 25.0222150, 138.8672500, 350.7153100", \
+					  "3.0365348, 3.1148308, 3.5969163, 6.6018595, 25.0221850, 138.8212200, 350.7327300", \
+					  "3.0367850, 3.1144851, 3.5983569, 6.6012285, 25.0241780, 138.8999500, 350.7111000", \
+					  "3.0351315, 3.1148562, 3.5968624, 6.6032011, 25.0200300, 138.8996700, 350.7258000", \
+					  "3.0369534, 3.1146734, 3.5976511, 6.6012626, 25.0259120, 138.9200900, 350.7163600", \
+					  "3.0351337, 3.1146781, 3.5982770, 6.6014763, 25.0248990, 138.8985300, 350.6878000", \
+					  "3.0351432, 3.1148608, 3.5969272, 6.6016543, 25.0221550, 138.9009600, 350.6659400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7177880, 10.7177883, 10.7177892, 10.7177902, 10.7177911, 10.7177921, 10.8462050", \
+					  "11.3041900, 11.4028080, 11.4222790, 11.4222799, 11.4222808, 11.4222818, 11.4222827", \
+					  "11.7123660, 11.8339800, 11.8341590, 11.8341594, 11.8341604, 11.8341613, 11.8341623", \
+					  "12.0616920, 12.0702280, 12.0716570, 12.1769430, 12.1769434, 12.1769443, 12.1901330", \
+					  "12.3305060, 12.5130670, 12.5130678, 12.5130687, 12.5130697, 12.5130706, 12.5349130", \
+					  "12.7465950, 12.7846110, 12.7846113, 12.7870030, 12.7870031, 12.7870040, 12.8135440", \
+					  "12.9708400, 13.0352720, 13.0660130, 13.0660139, 13.0660148, 13.0660158, 13.0660167");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.8593480, 17.0541150, 18.2817190, 25.6068890, 70.8383750, 349.8629400, 2070.8821000", \
+					  "17.3814920, 17.5763120, 18.8039170, 26.1304150, 71.3919230, 350.3875200, 2071.9121000", \
+					  "17.7849930, 17.9801330, 19.2103290, 26.5342540, 71.7647030, 350.7787800, 2071.7307000", \
+					  "18.1402290, 18.3345820, 19.5273490, 26.8625030, 72.1513020, 351.1191800, 2072.5083000", \
+					  "18.4662830, 18.6611620, 19.8917700, 27.2154690, 72.4440810, 351.4690800, 2073.4262000", \
+					  "18.7599000, 18.9837830, 20.1424250, 27.4972350, 72.7642370, 351.7744500, 2073.4142000", \
+					  "19.0429020, 19.2394310, 20.4386340, 27.7964110, 73.0201610, 352.0556500, 2073.5247000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5828500, 14.9647030, 17.3050640, 31.9128230, 122.0802200, 678.6531800, 4108.0198000", \
+					  "14.5829670, 14.9647460, 17.3057390, 31.9128260, 122.1669100, 678.7306000, 4110.4545000", \
+					  "14.5839930, 14.9563280, 17.3015010, 31.9128080, 122.0782900, 678.8710600, 4109.1489000", \
+					  "14.5832860, 14.9649870, 17.3215310, 31.9161830, 122.2300600, 678.4720800, 4111.4745000", \
+					  "14.5839910, 14.9562460, 17.3017550, 31.9127660, 122.0749500, 678.6839900, 4111.8437000", \
+					  "14.5703600, 14.9663410, 17.3028790, 31.8827310, 122.0828200, 678.9048800, 4108.3877000", \
+					  "14.5839850, 14.9645170, 17.3204060, 31.9139910, 122.0677000, 678.9296100, 4109.4181000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7142570, 20.9040730, 22.0655800, 29.2522010, 73.2060950, 344.5162500, 2019.5159000", \
+					  "21.4098570, 21.6006450, 22.7626080, 29.9483030, 73.9614310, 345.2048000, 2021.3022000", \
+					  "22.1350620, 22.3263310, 23.4879940, 30.6745630, 74.6874560, 345.9438200, 2020.4996000", \
+					  "22.8602830, 23.0491130, 24.2116190, 31.3505560, 75.4641650, 346.6580000, 2021.1110000", \
+					  "23.5890210, 23.7745150, 24.9362200, 32.1228980, 76.1449140, 347.4032400, 2022.3283000", \
+					  "24.2758720, 24.4663030, 25.6303330, 32.8045320, 76.7507590, 348.3747000, 2021.9254000", \
+					  "24.9403430, 25.1305450, 26.2928430, 33.4782830, 77.4357570, 348.7487800, 2023.5957000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5267060, 13.9111430, 16.2046660, 30.3996260, 118.2298500, 660.4104200, 4009.3973000", \
+					  "13.5343150, 13.9102950, 16.2019820, 30.3951600, 118.1413600, 660.4785200, 4007.4683000", \
+					  "13.5366860, 13.9094070, 16.2042970, 30.3989370, 118.1379900, 660.4413400, 4006.9604000", \
+					  "13.5247160, 13.9133300, 16.2085670, 30.4195030, 118.6476600, 660.4170700, 4007.5838000", \
+					  "13.5364800, 13.9085720, 16.2049410, 30.3998210, 118.1440600, 660.4718700, 4007.6225000", \
+					  "13.5320340, 13.9128980, 16.2034170, 30.3840690, 118.1892600, 660.6871900, 4006.2600000", \
+					  "13.5300010, 13.9089020, 16.1997650, 30.3951320, 118.2180800, 660.4365100, 4006.4670000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7464390, 10.8025280, 11.1087730, 13.0073710, 24.6273920, 96.1474670, 416.7494600", \
+					  "11.2759610, 11.3259110, 11.6315850, 13.5303000, 25.1458980, 96.6712430, 417.2899000", \
+					  "11.6720510, 11.7285080, 12.0359560, 13.9314220, 25.5511030, 97.0734110, 417.7370000", \
+					  "12.0355630, 12.0753330, 12.3908540, 14.2861980, 25.9090540, 97.4366260, 418.1220600", \
+					  "12.3506970, 12.4092290, 12.7099660, 14.6221120, 26.2376020, 97.7655720, 418.4429400", \
+					  "12.6500340, 12.6947250, 13.0135850, 14.9069910, 26.5287970, 98.0536680, 418.6829300", \
+					  "12.9326780, 12.9904270, 13.3069300, 15.1965200, 26.8122640, 98.3378070, 418.9593600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0375151, 3.1147804, 3.5974039, 6.6016694, 25.0192080, 138.9278300, 350.6856400", \
+					  "3.0358116, 3.1149443, 3.5967012, 6.6017405, 25.0242240, 138.9251200, 350.6675600", \
+					  "3.0370604, 3.1148053, 3.5973942, 6.6013839, 25.0248180, 138.9460200, 350.7111600", \
+					  "3.0356637, 3.1143175, 3.5975436, 6.6025745, 25.0245760, 138.8661400, 350.7254300", \
+					  "3.0374234, 3.1146299, 3.5973784, 6.6027397, 25.0201160, 138.9250600, 350.7306000", \
+					  "3.0374416, 3.1144618, 3.5971555, 6.6027797, 25.0195510, 138.8823700, 350.6587500", \
+					  "3.0374165, 3.1147751, 3.5983454, 6.6012769, 25.0227250, 138.8323100, 350.5717500");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.5429620, 9.5466284, 9.5466285, 9.5529034, 9.5529037, 9.5529047, 9.6167572", \
+					  "10.0824750, 10.0824752, 10.1259820, 10.1259828, 10.1259838, 10.1259847, 10.1375170", \
+					  "10.4944960, 10.4944969, 10.5020410, 10.5020414, 10.5020423, 10.5020433, 10.5239180", \
+					  "10.8771500, 10.8771501, 10.8798010, 10.8888890, 10.8888898, 10.8888908, 10.8939340", \
+					  "11.1756220, 11.1756225, 11.2234780, 11.2234788, 11.2234798, 11.2234808, 11.2234817", \
+					  "11.4572600, 11.4572607, 11.4572616, 11.4614730, 11.4639670, 11.4639679, 11.5522440", \
+					  "11.7646990, 11.7656780, 11.7656789, 11.7656799, 11.7656808, 11.7656818, 11.7767060");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9181690, 10.9692890, 11.2842410, 13.2484430, 19.5970780, 39.6978020, 161.3150400", \
+					  "11.4402950, 11.4914900, 11.8064940, 13.7698310, 20.1187600, 40.2154930, 161.8495600", \
+					  "11.8446970, 11.8959560, 12.2108890, 14.1780040, 20.5241460, 40.6186940, 162.2418800", \
+					  "12.1965750, 12.2478330, 12.5627570, 14.5244250, 20.8816820, 40.9736240, 162.5954300", \
+					  "12.5256720, 12.5767010, 12.8909100, 14.8523300, 21.2055530, 41.3031600, 162.9310700", \
+					  "12.8157200, 12.8669010, 13.1809820, 15.1458810, 21.4994800, 41.5927350, 163.2131400", \
+					  "13.1042440, 13.1549130, 13.4695600, 15.4337880, 21.7819420, 41.8847180, 163.4985600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0909093, 3.1765708, 3.7031174, 5.6423790, 8.4205745, 33.4733980, 200.2237900", \
+					  "3.0908867, 3.1766128, 3.7027557, 5.6424874, 8.4208631, 33.4802620, 200.3366700", \
+					  "3.0908744, 3.1766128, 3.7029657, 5.6419287, 8.4205745, 33.4751040, 200.3578000", \
+					  "3.0908174, 3.1765437, 3.7030183, 5.6424030, 8.4105825, 33.4742620, 200.2367300", \
+					  "3.0921099, 3.1757423, 3.7029355, 5.6423461, 8.4148040, 33.4762370, 200.3550900", \
+					  "3.0915590, 3.1756962, 3.7029237, 5.6426330, 8.4106579, 33.4815090, 200.2230000", \
+					  "3.0913774, 3.1763392, 3.7029744, 5.6426619, 8.4185077, 33.4799780, 200.2278700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.7732130, 13.8247580, 14.1363740, 15.9737610, 20.9416160, 40.1823070, 83.1320360", \
+					  "14.4624870, 14.5130520, 14.8233990, 16.6663290, 21.6347010, 40.8828060, 83.8474390", \
+					  "15.1920240, 15.2426440, 15.5543740, 17.3910590, 22.3649690, 41.6118980, 84.5646540", \
+					  "15.9149090, 15.9664370, 16.2764170, 18.1174030, 23.0821210, 42.3198260, 85.2745570", \
+					  "16.6413480, 16.6904520, 17.0017140, 18.8356600, 23.8084750, 43.0581150, 86.0063660", \
+					  "17.3304450, 17.3844690, 17.6920610, 19.5327030, 24.5114400, 43.7306300, 86.6565340", \
+					  "17.9969480, 18.0512620, 18.3626590, 20.2011210, 25.1779540, 44.4049630, 87.3313230");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9814688, 3.0526879, 3.4892056, 4.9695283, 6.8823307, 29.0084760, 48.4270610", \
+					  "2.9825362, 3.0551097, 3.4965513, 4.9692073, 6.9015300, 29.0091420, 48.4672320", \
+					  "2.9821157, 3.0536755, 3.4977321, 4.9724376, 6.9013724, 29.0061950, 48.3782610", \
+					  "2.9798357, 3.0521938, 3.4950609, 4.9717870, 6.9028729, 29.0167340, 48.3800480", \
+					  "2.9829657, 3.0520957, 3.4964678, 4.9716613, 6.9013808, 29.0085590, 48.3562350", \
+					  "2.9830800, 3.0548268, 3.4953744, 4.9669611, 6.9047457, 29.0011520, 48.3561070", \
+					  "2.9824501, 3.0529776, 3.4975007, 4.9726290, 6.9023653, 29.0039740, 48.4910810");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.6625419, 9.6634842, 9.6643857, 9.6647383, 9.6647392, 9.6647401, 9.6918269", \
+					  "10.1904320, 10.1923090, 10.1923099, 10.1923109, 10.1923118, 10.1923128, 10.2136750", \
+					  "10.6019250, 10.6040510, 10.6040512, 10.6040521, 10.6040531, 10.6040540, 10.6248550", \
+					  "10.9501800, 10.9501806, 10.9528740, 10.9535140, 10.9535146, 10.9535156, 10.9884370", \
+					  "11.2289220, 11.2809110, 11.2809120, 11.2809129, 11.2809139, 11.2809148, 11.3163360", \
+					  "11.5643950, 11.5851860, 11.5851865, 11.5851875, 11.5851884, 11.5851894, 11.6046830", \
+					  "11.8562470, 11.8562475, 11.8562484, 11.8562494, 11.8562503, 11.8562513, 11.8913470");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5332088, 8.5342471, 8.5346095, 8.5368583, 8.5368591, 8.5368600, 8.5589127", \
+					  "9.0962002, 9.0962005, 9.0962015, 9.0962024, 9.0962034, 9.0962043, 9.1103871", \
+					  "9.4804964, 9.4834771, 9.4842099, 9.4842105, 9.4842115, 9.4842124, 9.4958148", \
+					  "9.7823758, 9.7824602, 9.7824607, 9.7838635, 9.8625508, 9.8625513, 9.8711253", \
+					  "10.1705330, 10.1705337, 10.1705347, 10.1705356, 10.1705366, 10.1705375, 10.2014460", \
+					  "10.4928630, 10.4928632, 10.4940740, 10.4940744, 10.4940753, 10.4940763, 10.5040570", \
+					  "10.6872070, 10.6903380, 10.7043410, 10.7043415, 10.7043424, 10.7043434, 10.7043443");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.9980600, 8.0011189, 8.0414226, 8.0876168, 8.0876174, 8.0876184, 8.0876194", \
+					  "8.5211509, 8.5253102, 8.5253110, 8.5253120, 8.5253130, 8.5253139, 8.5253149", \
+					  "8.9222771, 8.9222780, 8.9222789, 8.9222799, 8.9222808, 8.9222818, 8.9222827", \
+					  "9.2681498, 9.2681499, 9.2681509, 9.2681518, 9.2681528, 9.2681537, 9.2681547", \
+					  "9.5946842, 9.5946851, 9.5946861, 9.5946870, 9.5946880, 9.5946889, 9.5946899", \
+					  "9.8918305, 9.8918310, 9.8918319, 9.8918329, 9.8918338, 9.8918348, 9.8918357", \
+					  "10.1663360, 10.1663366, 10.1663375, 10.1663385, 10.1663394, 10.1663404, 10.1663414");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3590020, 11.4104090, 11.7216750, 13.6609430, 20.1243450, 40.2091270, 161.8307300", \
+					  "12.0579300, 12.1105000, 12.4198480, 14.3603330, 20.8200110, 40.9066980, 162.5295900", \
+					  "12.7763400, 12.8297950, 13.1419340, 15.0759170, 21.5388330, 41.6323080, 163.2434000", \
+					  "13.4993690, 13.5527620, 13.8604750, 15.8067570, 22.2595740, 42.3564930, 163.9747500", \
+					  "14.2225160, 14.2780910, 14.5795290, 16.5235740, 22.9865740, 43.0830980, 164.6953500", \
+					  "14.9119510, 14.9665560, 15.2771570, 17.2141520, 23.6807800, 43.7657870, 165.3760500", \
+					  "15.5770420, 15.6255200, 15.9580790, 17.8760600, 24.3176060, 44.4305360, 166.0479100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0899518, 3.1740846, 3.6982890, 5.7406719, 8.5405292, 33.4697670, 200.3621200", \
+					  "3.0886784, 3.1734293, 3.6982890, 5.7397633, 8.5404954, 33.4742120, 200.3620500", \
+					  "3.0886866, 3.1731282, 3.6984242, 5.7406262, 8.5339300, 33.4700730, 200.3577700", \
+					  "3.0890492, 3.1741152, 3.6984797, 5.7403158, 8.5404208, 33.4804080, 200.3620400", \
+					  "3.0891627, 3.1741094, 3.6987027, 5.7403100, 8.5334815, 33.4774060, 200.3546100", \
+					  "3.0892486, 3.1734364, 3.6991150, 5.7396869, 8.5404963, 33.4764250, 200.3539900", \
+					  "3.0892495, 3.1731344, 3.6989880, 5.7396883, 8.5405034, 33.4763970, 200.3620700");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0501040, 22.2323640, 23.3990890, 30.5435110, 74.6786450, 345.9544500, 2020.8402000", \
+					  "22.7526690, 22.9440380, 24.1005060, 31.2740340, 75.3784520, 346.8726100, 2021.9002000", \
+					  "23.4786020, 23.6579340, 24.8230110, 31.9634670, 76.0909840, 347.5909400, 2022.5914000", \
+					  "24.1999420, 24.3709940, 25.5363680, 32.6829760, 76.8203490, 348.3155500, 2022.8051000", \
+					  "24.9207480, 25.1021960, 26.2674330, 33.4064150, 77.5412020, 348.7847900, 2023.4593000", \
+					  "25.6121970, 25.8002420, 26.9603080, 34.1043000, 78.2180360, 349.4851300, 2026.0627000", \
+					  "26.2646060, 26.4564570, 27.6166220, 34.7777340, 78.8957020, 350.0878700, 2024.2035000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5486410, 13.8940300, 16.1837870, 30.3606240, 118.5178700, 660.3941600, 4009.1345000", \
+					  "13.5313880, 13.9170410, 16.1934090, 30.4246760, 118.3320800, 660.1575800, 4010.9912000", \
+					  "13.5407490, 13.8809170, 16.2012960, 30.4221070, 118.4596200, 660.2113000, 4009.7170000", \
+					  "13.5375510, 13.8940230, 16.1837740, 30.3840760, 118.5166600, 660.1519500, 4010.0781000", \
+					  "13.5303990, 13.8785850, 16.1945480, 30.4119960, 118.3026600, 660.4162200, 4008.7689000", \
+					  "13.5292940, 13.9032310, 16.1905420, 30.4222990, 118.5093300, 660.7649500, 4010.0549000", \
+					  "13.5483000, 13.8940290, 16.1838170, 30.3606280, 118.5168900, 660.5136500, 4008.4633000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1904057, 8.1926155, 8.1926160, 8.1926170, 8.1926179, 8.1926189, 8.1926199", \
+					  "8.7258423, 8.7258430, 8.7258440, 8.7258449, 8.7258459, 8.7258468, 8.7258478", \
+					  "9.1342578, 9.1342588, 9.1342598, 9.1342607, 9.1342617, 9.1342626, 9.1342636", \
+					  "9.4942614, 9.4942623, 9.4942632, 9.4942642, 9.4942651, 9.4942661, 9.4942670", \
+					  "9.8007371, 9.8007379, 9.8007389, 9.8007398, 9.8007408, 9.8007417, 9.8007427", \
+					  "10.1173030, 10.1198560, 10.1198564, 10.1198574, 10.1198583, 10.1198593, 10.1198602", \
+					  "10.4097170, 10.4097171, 10.4097181, 10.4097190, 10.4097200, 10.4097209, 10.4097219");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4995957, 8.4995962, 8.4995971, 8.4995981, 8.4995990, 8.4996000, 8.5014182", \
+					  "9.0056389, 9.0110293, 9.0110298, 9.0110307, 9.0110317, 9.0110326, 9.1057988", \
+					  "9.4086170, 9.4086175, 9.4320640, 9.4550652, 9.4550653, 9.4550663, 9.4550672", \
+					  "9.7753121, 9.7753130, 9.7980406, 9.7980409, 9.7980419, 9.7980428, 9.8464756", \
+					  "10.0812770, 10.0818260, 10.0818267, 10.0818277, 10.0818286, 10.0818296, 10.1636860", \
+					  "10.3833530, 10.3839250, 10.3839260, 10.3839269, 10.3839279, 10.3839288, 10.4522590", \
+					  "10.6759430, 10.6777410, 10.6777416, 10.6777425, 10.6777435, 10.6777444, 10.7340200");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9179300, 14.9698870, 15.2881480, 17.1794490, 28.5437180, 95.2347250, 186.8183400", \
+					  "15.6194030, 15.6704910, 15.9891780, 17.8767900, 29.2435070, 95.9375580, 187.5224700", \
+					  "16.3351430, 16.3873450, 16.7047900, 18.5978270, 29.9619530, 96.6525850, 188.2479800", \
+					  "17.0562830, 17.1127150, 17.4321640, 19.3225360, 30.6835550, 97.3767770, 188.9693900", \
+					  "17.7821420, 17.8327910, 18.1502720, 20.0385890, 31.4220120, 98.1021200, 189.7140600", \
+					  "18.4689170, 18.5280250, 18.8410600, 20.7225990, 32.1268310, 98.7902170, 190.3984100", \
+					  "19.1290270, 19.1897130, 19.5147790, 21.3931310, 32.7771620, 99.4421750, 191.0507900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0565774, 3.1241205, 3.5694019, 6.3937895, 24.2851710, 97.1857260, 63.6305510", \
+					  "3.0570022, 3.1264140, 3.5692209, 6.3946284, 24.2855300, 97.2310020, 63.6319090", \
+					  "3.0557308, 3.1268719, 3.5690566, 6.3938472, 24.2859420, 97.1898400, 63.6097750", \
+					  "3.0564420, 3.1296814, 3.5690697, 6.3930194, 24.2867260, 97.2270090, 63.7460000", \
+					  "3.0553400, 3.1298970, 3.5766572, 6.3965540, 24.3097600, 97.2220920, 63.6146920", \
+					  "3.0552598, 3.1270306, 3.5758052, 6.3934968, 24.2597110, 97.2233560, 63.6633290", \
+					  "3.0565905, 3.1299718, 3.5693917, 6.3897851, 24.2851910, 97.1840280, 63.5958630");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5316598, 8.5316606, 8.5316616, 8.5460172, 8.5460182, 8.5460191, 8.5713472", \
+					  "9.0797512, 9.0797515, 9.0797525, 9.0797534, 9.0797544, 9.0797554, 9.0797563", \
+					  "9.4587653, 9.4614343, 9.4614349, 9.4614358, 9.4614368, 9.4614378, 9.4719139", \
+					  "9.7649364, 9.7883106, 9.8181170, 9.8356212, 9.8356214, 9.8356224, 9.8356233", \
+					  "10.1366370, 10.1366373, 10.1366382, 10.1366392, 10.1366401, 10.1366411, 10.1497430", \
+					  "10.4615170, 10.4615179, 10.4615188, 10.4615198, 10.4615207, 10.4615217, 10.4820000", \
+					  "10.6941730, 10.6941734, 10.7084000, 10.7084003, 10.7084013, 10.7084022, 10.7084032");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4880680, 8.4880682, 8.4880691, 8.4880701, 8.4880710, 8.4880720, 8.6603968", \
+					  "9.0077155, 9.0117860, 9.0117870, 9.0117879, 9.0117889, 9.0117898, 9.1204226", \
+					  "9.4106154, 9.4337249, 9.4362491, 9.4600026, 9.4600034, 9.4600044, 9.4694510", \
+					  "9.7789981, 9.7825268, 9.7825275, 9.7825285, 9.7825294, 9.7825304, 9.8484803", \
+					  "10.0833310, 10.0833316, 10.0833326, 10.0833335, 10.0833345, 10.0833354, 10.1622740", \
+					  "10.3867650, 10.3867651, 10.3867660, 10.3867670, 10.3867679, 10.3867689, 10.4481420", \
+					  "10.6793520, 10.6793523, 10.6793533, 10.6793542, 10.6793552, 10.6793561, 10.7316720");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.2060545, 8.2060552, 8.2060562, 8.2060571, 8.2060581, 8.2060590, 8.2060600", \
+					  "8.7367154, 8.7381800, 8.7381807, 8.7381816, 8.7381826, 8.7381835, 8.7381845", \
+					  "9.1491312, 9.1491313, 9.1491323, 9.1491333, 9.1491342, 9.1491352, 9.1491361", \
+					  "9.5050767, 9.5050769, 9.5050779, 9.5050788, 9.5050798, 9.5050807, 9.5050817", \
+					  "9.8237560, 9.8255235, 9.8255239, 9.8255249, 9.8255258, 9.8255268, 9.8255277", \
+					  "10.1278940, 10.1278949, 10.1278959, 10.1278968, 10.1278978, 10.1278987, 10.1278997", \
+					  "10.4065580, 10.4065586, 10.4136350, 10.4136358, 10.4136367, 10.4136377, 10.4136386");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0010976, 8.0024103, 8.0024105, 8.1004305, 8.1004310, 8.1004320, 8.1004329", \
+					  "8.5223792, 8.5263565, 8.5333109, 8.5333114, 8.5333124, 8.5333133, 8.5333143", \
+					  "8.9230721, 8.9230724, 8.9298009, 8.9298015, 8.9298025, 8.9298034, 8.9298044", \
+					  "9.2687049, 9.2689509, 9.2753805, 9.2753807, 9.2753816, 9.2753826, 9.2753835", \
+					  "9.5952695, 9.5952697, 9.6002033, 9.6002040, 9.6002050, 9.6002059, 9.6002069", \
+					  "9.8917106, 9.8917108, 9.8969162, 9.8969169, 9.8969179, 9.8969188, 9.8969198", \
+					  "10.1668850, 10.1668859, 10.1703420, 10.1703430, 10.1703439, 10.1703449, 10.1703458");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7474270, 10.7987330, 11.1096650, 13.0508790, 19.5089700, 39.6004260, 161.2221600", \
+					  "11.2731560, 11.3206680, 11.6326780, 13.5725350, 20.0330240, 40.1242200, 161.7399800", \
+					  "11.6728810, 11.7247450, 12.0344970, 13.9752740, 20.4336300, 40.5247820, 162.1508800", \
+					  "12.0260720, 12.0766810, 12.3849150, 14.3281120, 20.7870880, 40.8768700, 162.4869100", \
+					  "12.3577460, 12.4089430, 12.7196490, 14.6514720, 21.1092990, 41.2043200, 162.8254300", \
+					  "12.6498950, 12.6988110, 13.0079240, 14.9485760, 21.4101730, 41.5049260, 163.1230200", \
+					  "12.9392250, 12.9879650, 13.2997230, 15.2354130, 21.6986960, 41.7885180, 163.4069800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0887191, 3.1740199, 3.6988625, 5.7405658, 8.5337328, 33.4744360, 200.2007400", \
+					  "3.0890690, 3.1733594, 3.6982057, 5.7399228, 8.5410639, 33.4744610, 200.3626800", \
+					  "3.0890097, 3.1732192, 3.6989753, 5.7410836, 8.5397884, 33.4659940, 200.2163300", \
+					  "3.0889209, 3.1733955, 3.6988737, 5.7400773, 8.5396366, 33.4797330, 200.3617000", \
+					  "3.0890606, 3.1733494, 3.6990042, 5.7406382, 8.5351246, 33.4808020, 200.3626500", \
+					  "3.0892888, 3.1733789, 3.6982410, 5.7399321, 8.5381782, 33.4815520, 200.2250900", \
+					  "3.0891514, 3.1732672, 3.6990131, 5.7406289, 8.5386134, 33.4773030, 200.3634900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9021230, 10.9307310, 10.9307313, 10.9307323, 10.9307333, 10.9307342, 10.9436010", \
+					  "11.4889730, 11.4889732, 11.4889741, 11.4932560, 11.4932561, 11.4932571, 11.5471470", \
+					  "11.8872490, 11.8872495, 11.8930260, 11.8930269, 11.8930278, 11.8930288, 11.9096240", \
+					  "12.2115180, 12.2125040, 12.2599100, 12.2599102, 12.2599111, 12.2599121, 12.2599130", \
+					  "12.5727080, 12.5925030, 12.5925031, 12.5925041, 12.5925050, 12.5925060, 12.5925069", \
+					  "12.8381830, 12.8393650, 12.8393655, 12.8393665, 12.8393674, 12.8393684, 12.8625630", \
+					  "13.0117350, 13.1602050, 13.1602054, 13.1602064, 13.1795420, 13.1795421, 13.1795431");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3601280, 11.4102610, 11.7215690, 13.6621590, 20.1231000, 40.2125440, 161.8308400", \
+					  "12.0591180, 12.1095330, 12.4215030, 14.3603050, 20.8159300, 40.9111960, 162.5327700", \
+					  "12.7806010, 12.8251160, 13.1415810, 15.0828040, 21.5402740, 41.6221940, 163.2467200", \
+					  "13.4968490, 13.5548590, 13.8598180, 15.8045450, 22.2619130, 42.3511290, 163.9675600", \
+					  "14.2253160, 14.2732760, 14.5835740, 16.5245650, 22.9912360, 43.0865480, 164.6912400", \
+					  "14.9153170, 14.9622820, 15.2767790, 17.2158230, 23.6763280, 43.7655920, 165.3855400", \
+					  "15.5748140, 15.6245430, 15.9542320, 17.8687620, 24.3438780, 44.4317440, 166.0442300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0893237, 3.1736098, 3.6984698, 5.7401279, 8.5413925, 33.4748550, 200.3615400", \
+					  "3.0893270, 3.1736094, 3.6984680, 5.7401315, 8.5387741, 33.4790970, 200.3542400", \
+					  "3.0892604, 3.1735976, 3.6986418, 5.7407963, 8.5387484, 33.4791570, 200.3615800", \
+					  "3.0893277, 3.1731122, 3.6987047, 5.7410965, 8.5367926, 33.4790580, 200.3626900", \
+					  "3.0894958, 3.1736045, 3.6993038, 5.7403855, 8.5399883, 33.4637880, 200.3607900", \
+					  "3.0893228, 3.1736112, 3.6984855, 5.7406564, 8.5412530, 33.4798860, 200.3549000", \
+					  "3.0893277, 3.1736116, 3.6984711, 5.7408736, 8.5361611, 33.4790860, 200.3616000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9779870, 15.0285950, 15.3374420, 17.1676540, 22.2812450, 41.5281920, 84.5380300", \
+					  "15.6795750, 15.7288000, 16.0379940, 17.8649500, 22.9828600, 42.2174510, 85.2321520", \
+					  "16.3974270, 16.4451990, 16.7577150, 18.5887320, 23.7012930, 42.9314040, 85.9393130", \
+					  "17.1122970, 17.1651800, 17.4834420, 19.3082190, 24.4250740, 43.6475500, 86.6771260", \
+					  "17.8486840, 17.8952440, 18.2054960, 20.0298960, 25.1454730, 44.3770390, 87.3554030", \
+					  "18.5355070, 18.5893100, 18.8961020, 20.7216500, 25.8414180, 45.0635690, 88.0976290", \
+					  "19.1960140, 19.2454120, 19.5597560, 21.3849670, 26.5080830, 45.7292870, 88.7554420");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0266896, 3.0996701, 3.5374750, 5.1054845, 6.9818697, 29.0350680, 48.3948210", \
+					  "3.0287424, 3.0970813, 3.5372318, 5.1054680, 6.9863768, 29.0318760, 48.3610560", \
+					  "3.0274896, 3.0989926, 3.5353740, 5.1017279, 6.9777859, 29.0388000, 48.2967510", \
+					  "3.0287304, 3.0996814, 3.5325389, 5.1042295, 6.9902795, 29.0494310, 48.3913530", \
+					  "3.0279712, 3.0935408, 3.5305727, 5.1072502, 6.9902915, 29.0498810, 48.4378970", \
+					  "3.0275298, 3.0928853, 3.5353671, 5.1035300, 6.9902750, 29.0500350, 48.3866670", \
+					  "3.0266640, 3.0996752, 3.5328231, 5.1053188, 6.9819581, 29.0507100, 48.3916690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3649370, 11.4147190, 11.7208740, 13.6202760, 25.2348670, 96.7629600, 417.4327400", \
+					  "12.0645580, 12.1144890, 12.4190050, 14.3182270, 25.9352340, 97.4623400, 418.1355600", \
+					  "12.7819060, 12.8300270, 13.1487030, 15.0389050, 26.6536270, 98.1888420, 418.8541700", \
+					  "13.4991030, 13.5512650, 13.8627600, 15.7583720, 27.3794020, 98.8990010, 419.5560700", \
+					  "14.2253170, 14.2745010, 14.5831150, 16.4885940, 28.1024200, 99.6210190, 420.3078000", \
+					  "14.9187080, 14.9636850, 15.2735890, 17.1766600, 28.7898880, 100.3210700, 421.0037000", \
+					  "15.5871350, 15.6371480, 15.9352190, 17.8369600, 29.4519750, 100.9892200, 421.6415400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0359444, 3.1151817, 3.5971697, 6.6020953, 25.0243950, 138.8637500, 350.7383000", \
+					  "3.0359439, 3.1151928, 3.5971828, 6.6018733, 25.0243430, 138.8587400, 350.7388400", \
+					  "3.0377971, 3.1147935, 3.5985730, 6.6015295, 25.0244310, 138.8514600, 350.7355800", \
+					  "3.0371303, 3.1145209, 3.5971239, 6.6018564, 25.0248410, 138.8993400, 350.7208000", \
+					  "3.0378704, 3.1149818, 3.5985115, 6.6017360, 25.0216400, 138.8634000, 350.7416000", \
+					  "3.0359333, 3.1143830, 3.5977765, 6.6028387, 25.0244040, 138.8669800, 350.7374800", \
+					  "3.0359437, 3.1151945, 3.5971564, 6.6018688, 25.0244060, 138.8612000, 350.7387000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9907060, 15.0437240, 15.3616320, 17.2419570, 28.6286280, 98.5558440, 525.5681700", \
+					  "15.6944840, 15.7458950, 16.0639750, 17.9440660, 29.3164820, 99.1996390, 526.2749000", \
+					  "16.4087110, 16.4617280, 16.7774360, 18.6674200, 30.0279910, 99.9336440, 527.0197800", \
+					  "17.1393250, 17.1896430, 17.5034830, 19.3811190, 30.7598180, 100.6683100, 527.7541400", \
+					  "17.8658810, 17.9115410, 18.2307770, 20.1144650, 31.4949240, 101.3586700, 528.4726400", \
+					  "18.5544100, 18.6012610, 18.9185170, 20.8000640, 32.1600600, 102.0531600, 529.1501600", \
+					  "19.2096080, 19.2621550, 19.5851830, 21.4593570, 32.8482270, 102.7815300, 529.8074400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0537353, 3.1312060, 3.5783974, 6.3928156, 24.3074200, 135.4374500, 504.2048800", \
+					  "3.0528664, 3.1305210, 3.5782612, 6.3883898, 24.3048230, 135.4014800, 504.1999300", \
+					  "3.0538256, 3.1305070, 3.5782552, 6.3881660, 24.3088460, 135.4158500, 504.1832800", \
+					  "3.0529308, 3.1305400, 3.5779344, 6.3796586, 24.3041070, 135.4234200, 504.2473400", \
+					  "3.0529299, 3.1305363, 3.5786714, 6.3798593, 24.3038480, 135.3955500, 504.2471700", \
+					  "3.0529110, 3.1305414, 3.5786321, 6.3866699, 24.3064450, 135.3987600, 504.1636700", \
+					  "3.0537335, 3.1312042, 3.5786847, 6.3801822, 24.3073240, 135.4404900, 504.1846400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.5292848, 8.5298657, 8.5298658, 8.5298667, 8.5298677, 8.5298687, 8.5523215", \
+					  "9.0127061, 9.0296597, 9.0296598, 9.0304848, 9.0304857, 9.0304866, 9.0429335", \
+					  "9.4690984, 9.4717754, 9.4717756, 9.4717765, 9.4717775, 9.4717784, 9.4946842", \
+					  "9.8368798, 9.8368803, 9.8368812, 9.8368822, 9.8368831, 9.8368841, 9.8623989", \
+					  "10.1401030, 10.1401039, 10.1420320, 10.1456610, 10.1456619, 10.1456628, 10.1726000", \
+					  "10.4618600, 10.4618602, 10.4618612, 10.4618621, 10.4618631, 10.4618640, 10.4618650", \
+					  "10.7321800, 10.7321802, 10.7504780, 10.7744430, 10.7744432, 10.7744442, 10.7744451");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4983105, 8.4983106, 8.4983116, 8.4983125, 8.4983135, 8.4983144, 8.6828669", \
+					  "9.0183727, 9.0183731, 9.0183740, 9.0183750, 9.0183759, 9.0183769, 9.0697236", \
+					  "9.4194723, 9.4194732, 9.4194742, 9.4194751, 9.4194761, 9.4194770, 9.4641281", \
+					  "9.7651753, 9.7707185, 9.7707191, 9.7775983, 9.7775989, 9.7775999, 9.8233928", \
+					  "10.0873400, 10.0887310, 10.0887313, 10.0887323, 10.0887332, 10.0887342, 10.1434590", \
+					  "10.3913600, 10.3925990, 10.3925996, 10.3926006, 10.3926015, 10.3926025, 10.4591650", \
+					  "10.6775000, 10.6796690, 10.6796699, 10.6796709, 10.6796718, 10.6796728, 10.7146140");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6687874, 7.6687878, 7.6741165, 7.6903017, 7.6903022, 7.6903027, 7.6903031", \
+					  "8.3104377, 8.3107370, 8.3107372, 8.3107381, 8.3107391, 8.3107400, 8.3246334", \
+					  "9.0295202, 9.0304653, 9.0304657, 9.0304666, 9.0304676, 9.0304685, 9.1284704", \
+					  "9.7508908, 9.7521857, 9.7521863, 9.7521873, 9.7521883, 9.7521892, 9.8000079", \
+					  "10.4500910, 10.4507450, 10.4620550, 10.4639650, 10.4784170, 10.4784179, 10.5707900", \
+					  "11.1110890, 11.1110893, 11.1110902, 11.1587740, 11.1587749, 11.1587759, 11.2381270", \
+					  "11.8445480, 11.8445488, 11.8445497, 11.8445507, 11.8445516, 11.8445526, 11.9264150");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8820070, 14.9328300, 15.2417460, 17.0681420, 22.2438120, 41.5810070, 143.5577100", \
+					  "15.5825980, 15.6340270, 15.9424650, 17.7702780, 22.9443490, 42.2967510, 144.2635400", \
+					  "16.2983970, 16.3551390, 16.6577030, 18.4881670, 23.6598070, 43.0151100, 144.9826900", \
+					  "17.0226780, 17.0745590, 17.3823500, 19.2098140, 24.3827150, 43.7398210, 145.6983100", \
+					  "17.7427000, 17.7960920, 18.1028280, 19.9387970, 25.1052010, 44.4587870, 146.4182700", \
+					  "18.4389620, 18.4928550, 18.7920170, 20.6299080, 25.7980090, 45.1520740, 147.1087100", \
+					  "19.0946420, 19.1503150, 19.4562610, 21.2859900, 26.4667040, 45.8140160, 147.7731600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0321787, 3.0995035, 3.5367915, 5.1090887, 7.0414292, 32.0671170, 98.0673250", \
+					  "3.0321416, 3.0982918, 3.5368410, 5.1158708, 7.0441879, 32.0785670, 98.0760860", \
+					  "3.0316389, 3.1028853, 3.5357193, 5.1156204, 7.0394921, 32.0786810, 98.0694420", \
+					  "3.0315643, 3.1009053, 3.5367145, 5.1165383, 7.0418222, 32.0787950, 98.0685900", \
+					  "3.0288285, 3.1015472, 3.5367673, 5.1155573, 7.0395685, 32.0790790, 98.0689380", \
+					  "3.0289882, 3.1029017, 3.5359820, 5.1091820, 7.0460757, 32.0787660, 98.0680780", \
+					  "3.0322023, 3.0994178, 3.5367813, 5.1090865, 7.0413386, 32.0671030, 98.0653920");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6544371, 7.6556992, 7.6585405, 7.6585410, 7.6585415, 7.6585420, 7.6585424", \
+					  "8.3210052, 8.3210054, 8.3210063, 8.3210073, 8.3210083, 8.3210092, 8.3210102", \
+					  "9.0343830, 9.0432621, 9.0432630, 9.0432640, 9.0432649, 9.0432659, 9.0804351", \
+					  "9.7442685, 9.7489163, 9.7535606, 9.7679278, 9.7679287, 9.7679296, 9.8683914", \
+					  "10.4674710, 10.4674716, 10.4736970, 10.4736972, 10.4736982, 10.4736991, 10.5523800", \
+					  "11.1672370, 11.1728950, 11.1743920, 11.1743923, 11.1743932, 11.1743942, 11.2742670", \
+					  "11.8404740, 11.8404747, 11.8592000, 11.8592001, 11.8592010, 11.8592020, 11.9611910");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3964170, 17.5890880, 18.7869120, 26.1463990, 71.3732650, 350.3658400, 2072.1711000", \
+					  "18.0954270, 18.2872140, 19.4861710, 26.8462660, 72.0734970, 351.0647600, 2072.8505000", \
+					  "18.8149180, 19.0071920, 20.2069440, 27.5513640, 72.8262820, 351.8353000, 2073.3535000", \
+					  "19.5339900, 19.7253480, 20.9222170, 28.2516940, 73.5155790, 352.5014400, 2073.9185000", \
+					  "20.2637690, 20.4523240, 21.6519000, 29.0025040, 74.2349470, 353.2355500, 2074.9274000", \
+					  "20.9481500, 21.1434070, 22.3478840, 29.7183010, 74.9293460, 353.9320500, 2075.9960000", \
+					  "21.6195280, 21.8169640, 22.9966660, 30.3332540, 75.5691330, 354.6123300, 2076.5995000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5805390, 14.9665810, 17.3231100, 31.9160730, 122.0815900, 678.7140600, 4109.8929000", \
+					  "14.5774620, 14.9666340, 17.3234510, 31.9158990, 122.0812900, 678.7213900, 4109.8033000", \
+					  "14.5863880, 14.9587120, 17.3166010, 31.8948070, 122.0299000, 678.1444900, 4110.3344000", \
+					  "14.5865080, 14.9667740, 17.3239330, 31.8950550, 122.0815300, 678.6336800, 4109.3554000", \
+					  "14.5780080, 14.9661030, 17.3205060, 31.9033080, 122.0845600, 678.7972800, 4112.0393000", \
+					  "14.5869890, 14.9579160, 17.3230960, 31.9080550, 122.0863600, 678.6380600, 4110.0702000", \
+					  "14.5869220, 14.9666320, 17.3051140, 31.8856190, 122.0659100, 678.0106200, 4111.4936000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0577000, 22.2460500, 23.4101910, 30.5817420, 74.6010190, 346.1044000, 2023.2121000", \
+					  "22.7558950, 22.9442100, 24.1048500, 31.2953180, 75.2521810, 346.6231200, 2021.3022000", \
+					  "23.4740600, 23.6629510, 24.8261140, 32.0119330, 75.9698720, 347.3049600, 2022.1012000", \
+					  "24.2008670, 24.3870880, 25.5545240, 32.7418450, 76.7005940, 348.0261100, 2023.2674000", \
+					  "24.9263370, 25.1196410, 26.2773020, 33.4632620, 77.4211060, 348.7487600, 2023.1748000", \
+					  "25.6054890, 25.8046030, 26.9743980, 34.1583260, 78.1529650, 349.4062100, 2023.5382000", \
+					  "26.2679600, 26.4679000, 27.6337850, 34.7950180, 78.7682740, 350.3309100, 2025.2346000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5347630, 13.9158410, 16.2086060, 30.4239120, 118.5175700, 660.5330300, 4007.4142000", \
+					  "13.5387650, 13.8999040, 16.2101660, 30.3921940, 118.1571200, 660.8779600, 4008.1659000", \
+					  "13.5438740, 13.9018780, 16.2145300, 30.4113250, 118.2467600, 661.2166900, 4006.8180000", \
+					  "13.5442130, 13.9064050, 16.2078230, 30.4037120, 118.1564900, 660.6468300, 4008.1322000", \
+					  "13.5442790, 13.9016960, 16.2077640, 30.4038570, 118.1562700, 660.5247900, 4008.2282000", \
+					  "13.5459560, 13.9007760, 16.2073930, 30.4042160, 118.5141200, 660.6396100, 4007.6761000", \
+					  "13.5443110, 13.9156980, 16.2088400, 30.4239120, 118.1570000, 660.9561800, 4007.9294000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7729030, 10.8238840, 11.1338600, 13.0756930, 19.5177140, 39.6098270, 161.2248900", \
+					  "11.2949790, 11.3462820, 11.6568290, 13.5984600, 20.0403590, 40.1297060, 161.7522500", \
+					  "11.6990100, 11.7505080, 12.0610990, 14.0028490, 20.4440320, 40.5325980, 162.1555200", \
+					  "12.0535600, 12.1042540, 12.4146390, 14.3553920, 20.8040320, 40.8909320, 162.5018600", \
+					  "12.3799810, 12.4313320, 12.7374800, 14.6835270, 21.1306530, 41.2145840, 162.8179300", \
+					  "12.6694990, 12.7203430, 13.0382070, 14.9726560, 21.4211120, 41.5080270, 163.1247500", \
+					  "12.9592650, 13.0099340, 13.3207100, 15.2611810, 21.7096530, 41.7971150, 163.4117800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0885656, 3.1729583, 3.6986452, 5.7277165, 8.5265244, 33.4708550, 200.3410900", \
+					  "3.0887026, 3.1729532, 3.6995440, 5.7274647, 8.5230667, 33.4719670, 200.3426000", \
+					  "3.0897702, 3.1728620, 3.6991117, 5.7279803, 8.5251415, 33.4787950, 200.3616700", \
+					  "3.0885905, 3.1730913, 3.6990981, 5.7280771, 8.5278922, 33.4705310, 200.3618000", \
+					  "3.0886835, 3.1731484, 3.6991514, 5.7277392, 8.5227665, 33.4735830, 200.3614700", \
+					  "3.0897831, 3.1729441, 3.6991246, 5.7275522, 8.5285281, 33.4697390, 200.2511600", \
+					  "3.0886413, 3.1731184, 3.6987930, 5.7278888, 8.5276790, 33.4751750, 200.3607200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.1964330, 21.3834780, 22.5791790, 29.8077050, 73.9645070, 345.5553200, 2019.1903000", \
+					  "21.8928410, 22.0823640, 23.2629060, 30.5025020, 74.6585440, 345.9388200, 2020.1660000", \
+					  "22.6261130, 22.8119370, 23.9894380, 31.2385500, 75.3854440, 346.7778500, 2021.9966000", \
+					  "23.3494700, 23.5302820, 24.7192540, 31.9184680, 76.1075400, 347.4594900, 2020.8274000", \
+					  "24.0716960, 24.2680490, 25.4349790, 32.6843940, 76.7621700, 348.1922000, 2024.8922000", \
+					  "24.7669850, 24.9458230, 26.1345190, 33.3796600, 77.5328320, 348.9505800, 2024.1041000", \
+					  "25.4350250, 25.6252300, 26.8027790, 34.0363510, 78.0710250, 349.6433300, 2024.3501000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5305090, 13.8876000, 16.1934290, 30.4245660, 118.3791300, 660.9705600, 4004.8640000", \
+					  "13.5296540, 13.8932090, 16.2085860, 30.4221610, 118.6309900, 660.7330100, 4003.1196000", \
+					  "13.5183330, 13.9027120, 16.2081420, 30.4298060, 118.2824900, 660.6721900, 4004.2096000", \
+					  "13.5197020, 13.8909440, 16.2014930, 30.4224310, 118.6037400, 660.5004600, 4005.4792000", \
+					  "13.5197040, 13.8922700, 16.1956160, 30.4272230, 118.4910500, 660.5030200, 4003.2228000", \
+					  "13.5222900, 13.8959030, 16.1988470, 30.4299060, 118.5758500, 660.7710400, 4003.0591000", \
+					  "13.5160900, 13.9063600, 16.2027390, 30.4227290, 118.2310200, 661.0829400, 4005.3524000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3651080, 11.4077970, 11.7261620, 13.6190770, 25.2369110, 96.7629460, 417.4189900", \
+					  "12.0625070, 12.1072050, 12.4266140, 14.3178640, 25.9331060, 97.4613030, 418.1127900", \
+					  "12.7818920, 12.8245280, 13.1423770, 15.0452410, 26.6477840, 98.1848700, 418.8389700", \
+					  "13.5102280, 13.5536500, 13.8606300, 15.7566550, 27.3731950, 98.9011040, 419.5762200", \
+					  "14.2375220, 14.2703360, 14.5865550, 16.4889840, 28.1013680, 99.6311440, 420.3020000", \
+					  "14.9197600, 14.9650140, 15.2784220, 17.1790300, 28.7882730, 100.3146000, 420.9368300", \
+					  "15.5855150, 15.6327380, 15.9430180, 17.8350290, 29.4783750, 100.9768300, 421.6394700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0356753, 3.1131540, 3.5973993, 6.6016992, 25.0142200, 138.8641200, 350.7145200", \
+					  "3.0384528, 3.1137968, 3.5977912, 6.6016610, 25.0238020, 138.8633400, 350.6857000", \
+					  "3.0374365, 3.1128482, 3.5971326, 6.6042505, 25.0249550, 138.8690400, 350.7092300", \
+					  "3.0365925, 3.1139227, 3.5971408, 6.6011867, 25.0227180, 138.8641700, 350.7136100", \
+					  "3.0374656, 3.1139058, 3.5973933, 6.6032784, 25.0167020, 138.8577000, 350.6711700", \
+					  "3.0356320, 3.1142058, 3.5972663, 6.6036794, 25.0243560, 138.8641000, 350.6714800", \
+					  "3.0356244, 3.1129892, 3.5969676, 6.6011991, 25.0229860, 138.8631600, 350.6762600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0615280, 22.2439650, 23.4046610, 30.5895680, 74.6834980, 346.1752800, 2020.1232000", \
+					  "22.7553730, 22.9355880, 24.0966430, 31.2479780, 75.3785230, 346.8071800, 2021.4768000", \
+					  "23.4746910, 23.6584900, 24.8292480, 31.9738480, 76.0825780, 347.6295900, 2022.5566000", \
+					  "24.1984100, 24.3900920, 25.5528310, 32.7214590, 76.8196320, 348.2269100, 2023.5602000", \
+					  "24.9238640, 25.1125730, 26.2750440, 33.4501710, 77.5434050, 348.9844900, 2023.6614000", \
+					  "25.6125520, 25.7896570, 26.9651180, 34.1521580, 78.2314590, 349.6553800, 2024.8310000", \
+					  "26.2789590, 26.4603560, 27.6323990, 34.8280840, 78.8969600, 350.3878400, 2025.5782000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5349860, 13.8995570, 16.2152120, 30.4098650, 118.4534100, 660.7820600, 4006.9253000", \
+					  "13.5360550, 13.8851590, 16.1908690, 30.4259440, 118.3363700, 660.8127600, 4007.3560000", \
+					  "13.5372930, 13.8858820, 16.2133380, 30.4262570, 118.5020100, 660.7878600, 4008.5433000", \
+					  "13.5306800, 13.9155950, 16.2050090, 30.4169670, 118.4471400, 660.8228800, 4009.8125000", \
+					  "13.5311780, 13.9156940, 16.2047090, 30.4093280, 118.3167600, 660.7779100, 4008.0349000", \
+					  "13.5295530, 13.8852870, 16.2034580, 30.4052780, 118.4154800, 660.7622800, 4007.8626000", \
+					  "13.5455790, 13.8981410, 16.2138870, 30.4070870, 118.4485700, 660.7883700, 4008.7211000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3960580, 17.5882140, 18.7874820, 26.1446100, 71.3782880, 350.3784300, 2072.1363000", \
+					  "18.0957200, 18.2881750, 19.4809480, 26.8446700, 72.0740370, 351.0785700, 2072.7207000", \
+					  "18.8157510, 19.0049080, 20.2077290, 27.5625260, 72.8119290, 351.7992600, 2073.5386000", \
+					  "19.5432740, 19.7290310, 20.9189570, 28.2543060, 73.5110670, 352.5082700, 2074.2214000", \
+					  "20.2587880, 20.4511340, 21.6502320, 29.0058910, 74.2416190, 353.2441600, 2074.3626000", \
+					  "20.9507680, 21.1362200, 22.3322390, 29.6923930, 74.9218500, 353.9324200, 2075.3698000", \
+					  "21.6269850, 21.8077610, 22.9987800, 30.3615510, 75.5884240, 354.5984000, 2075.5704000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5817300, 14.9635860, 17.3172690, 31.8980110, 122.0683400, 678.6449900, 4116.4312000", \
+					  "14.5806450, 14.9596230, 17.3055220, 31.9032690, 122.0075300, 678.4856600, 4110.1043000", \
+					  "14.5820830, 14.9562500, 17.3169480, 31.8971550, 122.1002600, 678.2667600, 4110.8515000", \
+					  "14.5721040, 14.9632560, 17.3095550, 31.8797010, 122.0522000, 678.4932200, 4110.7114000", \
+					  "14.5816200, 14.9630710, 17.3021120, 31.8977090, 122.0725900, 678.5762700, 4109.9911000", \
+					  "14.5815760, 14.9635490, 17.3111180, 31.9135190, 122.0591500, 678.5542200, 4110.0461000", \
+					  "14.5817270, 14.9636270, 17.3053200, 31.8979080, 122.0619800, 678.5069800, 4109.1766000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.9980330, 15.0496110, 15.3655830, 17.2574930, 28.6264030, 98.6078130, 525.6218900", \
+					  "15.6952990, 15.7455200, 16.0598240, 17.9541570, 29.3261260, 99.3009980, 526.2792800", \
+					  "16.4113650, 16.4636230, 16.7843570, 18.6566550, 30.0446780, 100.0190200, 527.0313700", \
+					  "17.1316310, 17.1931090, 17.5020890, 19.3918980, 30.7716020, 100.6898000, 527.7511800", \
+					  "17.8587700, 17.9116830, 18.2355200, 20.1234580, 31.4934780, 101.4606400, 528.4883900", \
+					  "18.5476630, 18.6016710, 18.9148150, 20.8106460, 32.1813860, 102.1576400, 529.1372500", \
+					  "19.2162660, 19.2613640, 19.5828600, 21.4756760, 32.8512290, 102.8277800, 529.8515500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0547924, 3.1271787, 3.5733039, 6.3953336, 24.2949060, 135.4240900, 504.3367000", \
+					  "3.0547997, 3.1272538, 3.5720187, 6.3947865, 24.2797570, 135.4191000, 504.2551300", \
+					  "3.0565261, 3.1309484, 3.5785226, 6.3925176, 24.3052480, 135.4194400, 504.2133500", \
+					  "3.0551284, 3.1272156, 3.5731405, 6.3940235, 24.2855410, 135.4334800, 504.2950900", \
+					  "3.0543497, 3.1272587, 3.5722172, 6.3949646, 24.2886640, 135.4224100, 504.2944100", \
+					  "3.0532195, 3.1269098, 3.5697012, 6.3951218, 24.2763140, 135.4198000, 504.2485300", \
+					  "3.0544383, 3.1272100, 3.5732040, 6.3953234, 24.2947400, 135.4237600, 504.3375500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.3602790, 11.4103910, 11.7217630, 13.6622020, 20.1228370, 40.2111520, 161.8236400", \
+					  "12.0600150, 12.1109870, 12.4213320, 14.3629770, 20.8209330, 40.9107630, 162.5242200", \
+					  "12.7794060, 12.8313260, 13.1361850, 15.0813390, 21.5410130, 41.6302050, 163.2446500", \
+					  "13.5039850, 13.5531750, 13.8621090, 15.8001880, 22.2619790, 42.3536190, 163.9731400", \
+					  "14.2229170, 14.2722870, 14.5664490, 16.5253910, 22.9869580, 43.0780530, 164.6826500", \
+					  "14.9254560, 14.9542370, 15.2786620, 17.2332210, 23.6743250, 43.7639190, 165.3777700", \
+					  "15.5778550, 15.6313200, 15.9373920, 17.8773710, 24.3415920, 44.4311040, 166.0473000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0890051, 3.1732927, 3.6984322, 5.7400689, 8.5414342, 33.4689570, 200.3516100", \
+					  "3.0890059, 3.1732932, 3.6984371, 5.7400724, 8.5414431, 33.4689820, 200.3574900", \
+					  "3.0894831, 3.1733851, 3.6991163, 5.7400071, 8.5345366, 33.4745850, 200.2405800", \
+					  "3.0886611, 3.1733935, 3.6984207, 5.7400720, 8.5407343, 33.4794020, 200.3583700", \
+					  "3.0884759, 3.1734804, 3.6984975, 5.7410072, 8.5389527, 33.4702650, 200.3412500", \
+					  "3.0888740, 3.1733254, 3.6984262, 5.7400626, 8.5349647, 33.4702470, 200.3622700", \
+					  "3.0890055, 3.1733811, 3.6992125, 5.7400698, 8.5416021, 33.4690040, 200.3573200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.3922490, 17.5890950, 18.7879450, 26.1460350, 71.3739960, 350.3748200, 2072.2730000", \
+					  "18.0925850, 18.2889510, 19.4859420, 26.8276170, 72.0722540, 351.0713800, 2073.0693000", \
+					  "18.8152000, 19.0249610, 20.2055990, 27.5484040, 72.8174850, 351.8667000, 2073.6406000", \
+					  "19.5352370, 19.7348540, 20.9240250, 28.2523230, 73.5161190, 352.5646300, 2074.0526000", \
+					  "20.2613250, 20.4571670, 21.6541430, 29.0042430, 74.2593330, 353.2681200, 2075.1652000", \
+					  "20.9520990, 21.1563300, 22.3610730, 29.6935830, 74.9278400, 353.9293500, 2075.8268000", \
+					  "21.6226950, 21.8108780, 23.0193390, 30.2944300, 75.5903000, 354.5924300, 2076.2848000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5858020, 14.9662110, 17.3234490, 31.9168870, 122.0843300, 679.5245900, 4110.6277000", \
+					  "14.5832290, 14.9662170, 17.3233890, 31.8914320, 122.0844000, 678.6658000, 4111.6050000", \
+					  "14.5863820, 14.9535730, 17.3158870, 31.8941210, 122.0653200, 679.0448900, 4110.6923000", \
+					  "14.5858080, 14.9519030, 17.3112480, 31.9069140, 122.0848700, 678.4326300, 4111.2048000", \
+					  "14.5744970, 14.9643340, 17.3143370, 31.9182580, 122.1038700, 678.7743700, 4109.8428000", \
+					  "14.5784510, 14.9641050, 17.3158840, 31.9177960, 122.0863800, 678.7289500, 4110.7296000", \
+					  "14.5867900, 14.9643840, 17.3234490, 31.8918260, 122.0845400, 678.6393700, 4111.3740000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("22.0576270, 22.2460020, 23.4095620, 30.5817660, 74.6013170, 346.1054500, 2020.1232000", \
+					  "22.7506780, 22.9486350, 24.1099870, 31.2790080, 75.2440600, 346.6795700, 2021.3806000", \
+					  "23.4745330, 23.6667180, 24.8266830, 32.0107250, 75.9694030, 347.5769600, 2022.7094000", \
+					  "24.1959800, 24.3858910, 25.5511630, 32.7313320, 76.6940430, 348.0355100, 2022.9188000", \
+					  "24.9205950, 25.1142820, 26.2740920, 33.4763680, 77.4190240, 348.7504300, 2023.4793000", \
+					  "25.6124530, 25.7931510, 26.9627750, 34.1556330, 78.1066940, 349.4448600, 2023.6555000", \
+					  "26.2966480, 26.4800550, 27.6259020, 34.8043120, 78.7645220, 350.3238600, 2024.5648000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5350550, 13.9157660, 16.2081030, 30.4239090, 118.5185100, 660.5446900, 4007.8385000", \
+					  "13.5438840, 13.9010360, 16.2026070, 30.4239120, 118.2033700, 660.7244200, 4008.5870000", \
+					  "13.5438450, 13.9029210, 16.2126850, 30.4013990, 118.2528200, 660.5645800, 4007.8326000", \
+					  "13.5344970, 13.9158670, 16.2071810, 30.3879480, 118.2610400, 660.5036400, 4006.2873000", \
+					  "13.5443430, 13.9010780, 16.2121130, 30.4038500, 118.1570200, 660.5157500, 4007.6620000", \
+					  "13.5443580, 13.9155780, 16.2082220, 30.4038960, 118.1573900, 660.5639600, 4008.1682000", \
+					  "13.5444060, 13.9157810, 16.2082290, 30.4239120, 118.1566900, 660.5388900, 4008.8530000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9201460, 10.9779890, 11.2854860, 13.2020830, 24.8638140, 96.4874400, 416.9616000", \
+					  "11.4407390, 11.4918030, 11.8076530, 13.7248900, 25.3862370, 97.0079060, 417.4158100", \
+					  "11.8447780, 11.9028330, 12.2115790, 14.1353930, 25.7929140, 97.4034650, 417.8958200", \
+					  "12.2033530, 12.2491070, 12.5627670, 14.4785770, 26.1390430, 97.7458110, 418.2338400", \
+					  "12.5261540, 12.5734200, 12.8959620, 14.8090210, 26.4717970, 98.0805840, 418.5911000", \
+					  "12.8167030, 12.8679470, 13.1850230, 15.1046060, 26.7574120, 98.3555850, 418.8620200", \
+					  "13.1053130, 13.1546010, 13.4770830, 15.3905880, 27.0509370, 98.6630510, 419.1041100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0294369, 3.1078824, 3.5923915, 6.6020540, 25.0238660, 138.8173700, 350.4814300", \
+					  "3.0292804, 3.1073100, 3.5925372, 6.6022126, 25.0244430, 138.8806900, 350.4636900", \
+					  "3.0296914, 3.1085967, 3.5925680, 6.6023054, 25.0179860, 138.8946900, 350.4902700", \
+					  "3.0293648, 3.1084995, 3.5920664, 6.6007351, 25.0238960, 138.8510800, 350.4918600", \
+					  "3.0298493, 3.1070957, 3.5935408, 6.6020993, 25.0243650, 138.8636500, 350.5004400", \
+					  "3.0303564, 3.1078817, 3.5932211, 6.6033237, 25.0225260, 138.8066700, 350.4912900", \
+					  "3.0306515, 3.1078635, 3.5932053, 6.6022090, 25.0194030, 138.7614800, 350.3692800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.7907620, 13.8418010, 14.1560450, 16.0535210, 27.4453990, 97.3393240, 524.4209000", \
+					  "14.4819740, 14.5343910, 14.8484200, 16.7454550, 28.1395440, 98.0628270, 525.1086500", \
+					  "15.2076240, 15.2600330, 15.5742390, 17.4700840, 28.8667770, 98.7480890, 525.8106600", \
+					  "15.9292100, 15.9816620, 16.3042950, 18.2030440, 29.5862070, 99.5756650, 526.5631000", \
+					  "16.6620300, 16.7088070, 17.0286740, 18.9246950, 30.3198600, 100.2063400, 527.2688100", \
+					  "17.3473590, 17.4028450, 17.7140150, 19.6098600, 31.0059850, 100.8873000, 527.9548000", \
+					  "18.0127170, 18.0652880, 18.3933920, 20.2892000, 31.6869660, 101.6676400, 528.6148600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0105176, 3.0850451, 3.5347010, 6.3796186, 24.3058180, 135.4050000, 504.0357100", \
+					  "3.0101608, 3.0849050, 3.5347920, 6.3785706, 24.3075090, 135.4210200, 504.0434400", \
+					  "3.0106340, 3.0851595, 3.5347325, 6.3799694, 24.3053080, 135.3969500, 504.0275300", \
+					  "3.0104161, 3.0896048, 3.5387131, 6.3797030, 24.3057010, 135.4193100, 504.0450300", \
+					  "3.0105849, 3.0851488, 3.5347323, 6.3798362, 24.3053880, 135.4011800, 503.9863700", \
+					  "3.0106577, 3.0852179, 3.5347316, 6.3799770, 24.3052850, 135.3972200, 504.0450900", \
+					  "3.0128384, 3.0856619, 3.5391912, 6.3776913, 24.2828710, 135.4191400, 504.0333800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2892630, 17.4785790, 18.6849340, 26.0811000, 71.5679040, 350.7487100, 2072.7637000", \
+					  "17.8416300, 18.0009290, 19.2077780, 26.5976680, 72.0767730, 351.2717800, 2072.5636000", \
+					  "18.2161560, 18.4081190, 19.6148590, 27.0257350, 72.4956590, 351.6957200, 2073.7943000", \
+					  "18.5663790, 18.7542600, 19.9611140, 27.3772650, 72.7678680, 352.0505100, 2073.7461000", \
+					  "18.9240530, 19.0972750, 20.2917260, 27.6958860, 73.1425870, 352.4055500, 2074.1893000", \
+					  "19.1952920, 19.4145180, 20.5850090, 27.9813610, 73.4473960, 352.6767200, 2074.0001000", \
+					  "19.4708090, 19.7020570, 20.8713370, 28.2788670, 73.6581640, 352.9058900, 2074.2298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5803960, 14.9601740, 17.3082310, 31.8657990, 122.0189700, 678.9391600, 4111.4736000", \
+					  "14.5697020, 14.9626710, 17.3083200, 31.8968990, 122.0258100, 678.3273000, 4109.4272000", \
+					  "14.5751600, 14.9653020, 17.3089610, 31.8898470, 122.1180800, 678.9228500, 4110.3881000", \
+					  "14.5792690, 14.9617810, 17.3080250, 31.8951160, 122.0853100, 678.0268800, 4110.2035000", \
+					  "14.5813870, 14.9599360, 17.3046540, 31.8695650, 122.1245000, 678.1510800, 4110.3212000", \
+					  "14.5759760, 14.9627740, 17.3045350, 31.8969920, 121.9308500, 678.7251400, 4109.4122000", \
+					  "14.5738270, 14.9626850, 17.3041210, 31.9046090, 122.1013300, 678.6053700, 4109.9220000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.6033410, 13.6544060, 13.9703810, 15.8618590, 27.2333320, 97.2095440, 524.2192200", \
+					  "14.2965740, 14.3511450, 14.6664580, 16.5572390, 27.9286230, 97.9049660, 524.9111700", \
+					  "15.0233920, 15.0739230, 15.3863390, 17.2792430, 28.6536750, 98.6256050, 525.6362100", \
+					  "15.7489240, 15.8004950, 16.1164610, 18.0068650, 29.3740340, 99.3546790, 526.3594900", \
+					  "16.4789210, 16.5304930, 16.8403550, 18.7366620, 30.1021470, 100.0842900, 527.0725900", \
+					  "17.1642270, 17.2158840, 17.5325410, 19.4214870, 30.7954050, 100.7681500, 527.7663000", \
+					  "17.8334240, 17.8851170, 18.1949190, 20.0879690, 31.4602210, 101.4376300, 528.4408100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0491087, 3.1209098, 3.5690650, 6.3935870, 24.2832190, 135.4180600, 504.2754800", \
+					  "3.0491003, 3.1215324, 3.5689272, 6.3936145, 24.2835640, 135.4181300, 504.2780300", \
+					  "3.0476228, 3.1211829, 3.5653429, 6.3912036, 24.2668770, 135.4165400, 504.2100500", \
+					  "3.0492395, 3.1213343, 3.5706964, 6.3937877, 24.2974000, 135.4182200, 504.3177700", \
+					  "3.0491452, 3.1214842, 3.5691226, 6.3936869, 24.2848510, 135.4170700, 504.2679700", \
+					  "3.0482652, 3.1214655, 3.5669367, 6.3926389, 24.2729180, 135.4167300, 504.2708200", \
+					  "3.0481462, 3.1214382, 3.5661814, 6.3849943, 24.3028530, 135.4167600, 504.2069800");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.0899689, -1.1239359, -1.1579029, -1.1563565, -1.1547914, -1.1532450, -1.1516986");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.0926041, 1.1376474, 1.1826908, 1.1808204, 1.1789276, 1.1770572, 1.1751869");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.159472;
+			capacitance : 0.159012;
+			fall_capacitance : 0.158551;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0553354, -0.0513033, -0.0472712, -0.0467615, -0.0462456, -0.0457359, -0.0452261");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0473644, 0.0473468, 0.0473293, 0.0467815, 0.0462272, 0.0456795, 0.0451317");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.152376;
+			capacitance : 0.151946;
+			fall_capacitance : 0.151516;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0560032, -0.0516065, -0.0472098, -0.0469818, -0.0467510, -0.0465231, -0.0462951");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0476294, 0.0474382, 0.0472471, 0.0467482, 0.0462433, 0.0457444, 0.0452454");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p35v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v40_1v65.lib
new file mode 100644
index 0000000..f054121
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v40_1v65.lib
@@ -0,0 +1,4855 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ss_n40C_1v40_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ss_1p40v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+			dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.275970e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2600700";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.8677700";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2042200";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2555600";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2722200";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1875600";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1875800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1920700";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2555700";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1181000";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1874200";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1873400";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2079700";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1717800";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.1150200";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2397800";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2759700";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2553400";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006005;
+			capacitance : 0.005856;
+			fall_capacitance : 0.005707;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.5832722, 3.0793888, 2.6888023, 2.3543745, 2.0585896, 1.7497472, 1.5279197", \
+					  "4.0261899, 3.5245387, 3.1333463, 2.8069927, 2.4889948, 2.1990306, 1.9540043", \
+					  "4.4679627, 3.9609554, 3.5720274, 3.2451688, 2.9354417, 2.6848615, 2.4348610", \
+					  "4.9336009, 4.4289024, 4.0465012, 3.6920729, 3.3629329, 3.1138290, 2.8705327", \
+					  "5.3383074, 4.8343390, 4.4469879, 4.0926980, 3.8332522, 3.5457053, 3.2858805", \
+					  "5.7254346, 5.2212695, 4.8440956, 4.5026407, 4.1964621, 3.9110420, 3.6654231", \
+					  "6.0766448, 5.5658925, 5.1902583, 4.8371345, 4.5654860, 4.2687838, 4.0270132");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3055652, 2.6807521, 1.9665339, 1.2687244, 0.5507497, -0.1118058, -0.6801838", \
+					  "3.7484964, 3.1267347, 2.4188476, 1.7066168, 0.9885042, 0.3303722, -0.2745575", \
+					  "4.2070607, 3.5852695, 2.8610550, 2.1472173, 1.4488086, 0.7762107, 0.1643896", \
+					  "4.6367757, 4.0241688, 3.3262211, 2.5930629, 1.8968594, 1.2426074, 0.6224077", \
+					  "5.0282221, 4.4054620, 3.7023487, 3.0287255, 2.3213625, 1.6671041, 0.9753970", \
+					  "5.3929832, 4.7775493, 4.0440716, 3.3879116, 2.7237930, 2.0242590, 1.3505789", \
+					  "5.8105927, 5.1922553, 4.4867344, 3.7342216, 3.0756773, 2.4258720, 1.7710531");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0345337, -2.5592092, -2.1806001, -1.8330998, -1.5324637, -1.2739860, -1.0382414", \
+					  "-3.4757579, -3.0011990, -2.6249040, -2.2954647, -2.0431278, -1.7267348, -1.4775229", \
+					  "-3.9311880, -3.4555216, -3.0718108, -2.7326914, -2.4547510, -2.1880231, -1.9766122", \
+					  "-4.3637451, -3.8880660, -3.5082494, -3.1586759, -2.8944688, -2.6429793, -2.3749586", \
+					  "-4.7625647, -4.2761729, -3.8978360, -3.5478524, -3.2873066, -3.0483886, -2.7920734", \
+					  "-5.1224267, -4.6481101, -4.2682837, -3.9637140, -3.6724197, -3.4450464, -3.1858049", \
+					  "-5.5358178, -5.0575728, -4.6841395, -4.3335168, -3.9910899, -3.7767853, -3.5076159");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0574252, -2.4996047, -1.8126223, -1.1143465, -0.4323050, 0.2068260, 0.9315994", \
+					  "-3.4986493, -2.9507558, -2.2242894, -1.5727135, -0.8725993, -0.2178286, 0.4281391", \
+					  "-3.9540795, -3.4031919, -2.6690341, -1.9913442, -1.3033130, -0.6566113, 0.0483096", \
+					  "-4.3866370, -3.8352390, -3.1162552, -2.4551245, -1.7475922, -1.0982416, -0.3705795", \
+					  "-4.8081398, -4.2555405, -3.5221463, -2.8101960, -2.1724040, -1.5117069, -0.8520721", \
+					  "-5.1953337, -4.6438197, -3.9426433, -3.2111227, -2.5747048, -1.8976549, -1.2242573", \
+					  "-5.5542377, -4.9813027, -4.2955192, -3.6188552, -2.9001927, -2.2067230, -1.5999813");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0087981, 0.0152297, 0.0216613, 0.0215790, 0.0214956, 0.0214133, 0.0213310");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104547, 0.0184082, 0.0263617, 0.0262735, 0.0261843, 0.0260961, 0.0260080");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004187;
+			capacitance : 0.004077;
+			fall_capacitance : 0.003968;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0009739, -2.5183089, -2.1236157, -1.7837233, -1.4210745, -1.1258982, -0.8185148", \
+					  "-3.4406719, -2.9436304, -2.5644002, -2.1962236, -1.8776951, -1.5591756, -1.3310386", \
+					  "-3.8961023, -3.3944993, -3.0252425, -2.6605360, -2.3481106, -2.0450734, -1.7899182", \
+					  "-4.3301853, -3.8269172, -3.4556464, -3.0948554, -2.7777604, -2.5166773, -2.2124069", \
+					  "-4.7290049, -4.2112631, -3.8335630, -3.4927718, -3.1796034, -2.9224547, -2.6150714", \
+					  "-5.0873414, -4.5835719, -4.1870069, -3.8896856, -3.5826186, -3.3203078, -3.0144504", \
+					  "-5.5022575, -4.9943957, -4.6217436, -4.2335079, -3.9193730, -3.6409815, -3.3665641");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.9948390, -2.2990627, -1.6142884, -0.9190339, -0.2659842, 0.4189561, 1.0651306", \
+					  "-3.4421665, -2.7437594, -2.0558381, -1.3893873, -0.7123001, -0.0179385, 0.6242264", \
+					  "-3.8914931, -3.1929978, -2.5411930, -1.8467497, -1.1606672, -0.4569585, 0.2326789", \
+					  "-4.3240502, -3.6462879, -2.9394500, -2.2961452, -1.5937554, -0.8887203, -0.2426099", \
+					  "-4.7228698, -4.0247416, -3.3411691, -2.6586042, -2.0198160, -1.3138433, -0.6552443", \
+					  "-5.0812059, -4.4127712, -3.7442091, -3.0421358, -2.4161432, -1.6703405, -1.0355177", \
+					  "-5.4961228, -4.8560495, -4.1183951, -3.3863794, -2.7734385, -2.0662835, -1.3954644");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4627373, 2.9383745, 2.5380041, 2.1926315, 1.8592445, 1.5748723, 1.2940190", \
+					  "3.9117485, 3.3843326, 2.9949201, 2.6355389, 2.2938620, 2.0081799, 1.7241264", \
+					  "4.3641986, 3.8457706, 3.4513188, 3.0910410, 2.7677092, 2.4711620, 2.1838964", \
+					  "4.8161291, 4.2801907, 3.8711264, 3.5368680, 3.2019601, 2.9291978, 2.6279179", \
+					  "5.2223650, 4.7038243, 4.2857076, 3.9579196, 3.5716230, 3.3244679, 3.0432657", \
+					  "5.5530720, 5.0320919, 4.6687794, 4.2875439, 3.9847364, 3.7202069, 3.4433135", \
+					  "5.9693932, 5.4439358, 5.0411247, 4.6960860, 4.3315163, 4.0536577, 3.7897719");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.1895527, 2.5492557, 1.8408234, 1.1107443, 0.4271769, -0.2540466, -0.9012197", \
+					  "3.6320236, 2.9829286, 2.2824731, 1.5694431, 0.8716902, 0.2000527, -0.4822369", \
+					  "4.0964093, 3.4442623, 2.7466114, 2.0313682, 1.3524537, 0.6361385, -0.0185153", \
+					  "4.5006730, 3.8592074, 3.1618284, 2.5027709, 1.7974641, 1.1143753, 0.4356760", \
+					  "4.9661038, 4.2940085, 3.5944952, 2.8828658, 2.2085196, 1.4910301, 0.8143584", \
+					  "5.3189189, 4.6700291, 3.9642403, 3.2107419, 2.5754390, 1.8598941, 1.1741158", \
+					  "5.6890166, 5.0421803, 4.3700890, 3.6438661, 2.9390575, 2.3022757, 1.6038400");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0084764, 0.0173630, 0.0262496, 0.0261665, 0.0260823, 0.0259991, 0.0259159");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0107929, 0.0209065, 0.0310202, 0.0309634, 0.0309060, 0.0308492, 0.0307924");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005891;
+			capacitance : 0.005745;
+			fall_capacitance : 0.005599;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6061605, 3.1001808, 2.7145826, 2.3696334, 2.0751017, 1.7711040, 1.5408238", \
+					  "4.0490449, 3.5474804, 3.1605463, 2.8323544, 2.5366982, 2.2300930, 1.9868860", \
+					  "4.5075879, 4.0045405, 3.6160417, 3.2903780, 2.9764367, 2.7211422, 2.4438874", \
+					  "4.9387750, 4.4353528, 4.0585244, 3.7175070, 3.4438444, 3.1596055, 2.8979986", \
+					  "5.3286307, 4.8200233, 4.4717810, 4.1280346, 3.8394051, 3.5747636, 3.3194499", \
+					  "5.6963623, 5.2006899, 4.8046343, 4.4674644, 4.2392243, 3.9581887, 3.6898371", \
+					  "6.1113925, 5.5911247, 5.2257881, 4.8825369, 4.5930267, 4.3157400, 4.0770221");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2566681, 2.6136356, 1.9445750, 1.2648636, 0.5339941, -0.1130848, -0.7407106", \
+					  "3.7141735, 3.0693726, 2.3872849, 1.7040387, 0.9837004, 0.2950888, -0.3403585", \
+					  "4.1377016, 3.4959525, 2.8388088, 2.1520079, 1.4707795, 0.7736421, 0.1166746", \
+					  "4.5862987, 3.9562796, 3.3103245, 2.5838205, 1.9060147, 1.1925805, 0.5465033", \
+					  "5.0045246, 4.3619071, 3.6832722, 2.9977550, 2.3289917, 1.6281641, 0.9985049", \
+					  "5.4051197, 4.7596858, 4.0896871, 3.3303085, 2.6771474, 2.0257849, 1.3420475", \
+					  "5.7850714, 5.1649723, 4.4737030, 3.7459722, 3.1192287, 2.4121390, 1.7559172");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1123537, -2.6188886, -2.2640835, -1.9481967, -1.6353027, -1.3791942, -1.0870696", \
+					  "-3.5520519, -3.0618325, -2.7297217, -2.3836244, -2.0805246, -1.8584297, -1.5946988", \
+					  "-4.0090080, -3.5106064, -3.1607378, -2.8258790, -2.5224185, -2.3236947, -2.0242163", \
+					  "-4.4400396, -3.9457679, -3.6128293, -3.2759623, -2.9780031, -2.7207300, -2.4385784", \
+					  "-4.8403856, -4.3327232, -3.9738050, -3.6755749, -3.3586806, -3.1332787, -2.8393756", \
+					  "-5.1987221, -4.7023227, -4.3347021, -4.0344294, -3.7196965, -3.4769243, -3.2216764", \
+					  "-5.6136360, -5.1131625, -4.7868773, -4.3708017, -4.0444938, -3.8347669, -3.6006946");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0330111, -2.4453856, -1.7653694, -1.0502594, -0.3972097, 0.2855809, 0.9554199", \
+					  "-3.4925458, -2.9131630, -2.1801527, -1.5053541, -0.8451043, -0.1354312, 0.5061196", \
+					  "-3.9266139, -3.3742650, -2.6404516, -1.9642425, -1.2876809, -0.6146078, 0.0574649", \
+					  "-4.3591705, -3.7987957, -3.0734843, -2.3870470, -1.7295903, -1.0480539, -0.3705795", \
+					  "-4.7595161, -4.1884595, -3.4473757, -2.7983602, -2.1761652, -1.4423872, -0.7551173", \
+					  "-5.1209039, -4.5479005, -3.8292225, -3.1356530, -2.5217104, -1.8214136, -1.1978887", \
+					  "-5.5342954, -4.9838375, -4.2222427, -3.5133969, -2.8939830, -2.1929900, -1.5982951");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088048, 0.0152382, 0.0216716, 0.0215892, 0.0215058, 0.0214234, 0.0213410");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104764, 0.0183829, 0.0262895, 0.0262058, 0.0261210, 0.0260372, 0.0259534");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026428;
+			capacitance : 0.026487;
+			fall_capacitance : 0.026546;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0031037, -0.0035843, -0.0040650, -0.0041489, -0.0042339, -0.0043178, -0.0044018");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0251378, 0.0256015, 0.0260651, 0.0260087, 0.0259516, 0.0258952, 0.0258388");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.032293;
+			capacitance : 0.031861;
+			fall_capacitance : 0.031429;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0231288, 0.0419979, 0.0608670, 0.0608709, 0.0608749, 0.0608788, 0.0608827");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0290816, 0.0510932, 0.0731048, 0.0733718, 0.0736420, 0.0739090, 0.0741759");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.050802;
+			capacitance : 0.050780;
+			fall_capacitance : 0.050758;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0084183, -0.0086546, -0.0088910, -0.0088878, -0.0088847, -0.0088815, -0.0088784");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0085517, 0.0086981, 0.0088445, 0.0088597, 0.0088750, 0.0088902, 0.0089053");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016514;
+			capacitance : 0.016342;
+			fall_capacitance : 0.016169;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0132437, 0.0129522, 0.0126608, 0.0126203, 0.0125794, 0.0125390, 0.0124985");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0152826, 0.0150828, 0.0148829, 0.0148624, 0.0148416, 0.0148211, 0.0148005");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.034408;
+			capacitance : 0.035075;
+			rise_capacitance : 0.035741;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.4999230, -3.0085016, -2.6462336, -2.3259609, -2.0421071, -1.7805003, -1.5158416", \
+					  "-3.9487766, -3.4434832, -3.0940039, -2.7702369, -2.5000679, -2.2530586, -1.9811938", \
+					  "-4.3965771, -3.9014846, -3.5479828, -3.2287188, -2.9434892, -2.6903193, -2.4396613", \
+					  "-4.8276083, -4.3327663, -3.9755019, -3.6566980, -3.3833855, -3.1403466, -2.8833173", \
+					  "-5.2264279, -4.7370669, -4.3641901, -4.0478884, -3.7844059, -3.5538059, -3.2897982", \
+					  "-5.5862898, -5.1035826, -4.8054300, -4.4275865, -4.1731886, -3.9274328, -3.6675265", \
+					  "-6.0012068, -5.5120075, -5.1617503, -4.8241932, -4.5450312, -4.2635404, -4.0278738");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.2868482, -1.7772677, -1.1895877, -0.6672650, -0.6596621, -0.0409995, 0.5518778", \
+					  "-2.7245974, -3.1587521, -1.6336065, -1.1112071, -1.0942008, -0.4976346, 0.1245895", \
+					  "-3.1685729, -2.6523578, -2.0741215, -1.5763758, -1.5600996, -0.9405076, -0.3087048", \
+					  "-3.6312033, -3.1082956, -2.5387974, -2.0330479, -2.0117996, -1.3517369, -0.7586731", \
+					  "-4.0405312, -3.5194858, -2.9452327, -2.4194877, -2.4226480, -1.7893661, -1.1466507", \
+					  "-4.4093930, -3.9078826, -4.1753287, -3.4582103, -2.8005831, -2.1736206, -1.5316402", \
+					  "-4.8003199, -4.2545609, -3.7125047, -3.1685951, -3.1503111, -2.5454680, -1.9523629");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7709514, 3.2484764, 2.8830123, 2.5632386, 2.2878240, 2.0160283, 1.7639661", \
+					  "4.1986080, 3.7000565, 3.3347527, 3.0067320, 2.7176903, 2.4563292, 2.2050824", \
+					  "4.6571651, 4.1591409, 3.7905881, 3.4579158, 3.1632974, 2.9205523, 2.6609586", \
+					  "5.0853977, 4.5831208, 4.2232012, 3.8958595, 3.6227199, 3.3524497, 3.0919883", \
+					  "5.4768370, 4.9796476, 4.6062485, 4.2982931, 4.0112356, 3.7953887, 3.5300212", \
+					  "5.8431129, 5.3348542, 4.9741840, 4.6388682, 4.3839717, 4.1347604, 3.9116211", \
+					  "6.2576755, 5.7623136, 5.3849949, 5.0562477, 4.7534330, 4.4742324, 4.2614537");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4581272, 2.7784040, 2.0553755, 1.3802976, 0.7064121, 0.0618096, -0.5245338", \
+					  "3.9157542, 3.2222983, 2.5136087, 1.8471337, 1.1442069, 0.5249647, -0.0909016", \
+					  "4.3637070, 3.6717771, 2.9713208, 2.2845146, 1.6198278, 0.9935476, 0.3752198", \
+					  "4.8366336, 4.0928237, 3.4250447, 2.7121441, 2.0814906, 1.4118663, 0.8136718", \
+					  "5.2147138, 4.5166802, 3.8074528, 3.1192427, 2.4703799, 1.8219103, 1.1946469", \
+					  "5.5949510, 4.9250531, 4.2019324, 3.4964614, 2.8575560, 2.2220033, 1.6042357", \
+					  "5.9519210, 5.2580338, 4.5552191, 3.8566748, 3.2303278, 2.6211844, 2.0134839");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0469312, 0.0460959, 0.0452605, 0.0313333, 0.0172382, 0.0033110, -0.0106163");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0603516, 0.1329440, 0.2055365, 0.2054537, 0.2053700, 0.2052873, 0.2052045");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009732;
+			capacitance : 0.009933;
+			rise_capacitance : 0.010134;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0040160, 0.4686746, 0.9413653, 1.0644858, 1.1890898, 1.3122103, 1.4353309");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0352534, 0.1864781, 0.3377028, 0.6332516, 0.9323612, 1.2279100, 1.5234588");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005976;
+			capacitance : 0.006133;
+			rise_capacitance : 0.006291;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7053425, 3.2105079, 2.8229250, 2.4941265, 2.1956473, 1.8922933, 1.6564537", \
+					  "4.1482758, 3.6433914, 3.2689181, 2.9385967, 2.6465133, 2.3675844, 2.0995164", \
+					  "4.6083673, 4.0972886, 3.7228643, 3.3916768, 3.0856762, 2.8148171, 2.5467444", \
+					  "5.0380844, 4.5432172, 4.1577704, 3.8458079, 3.4923846, 3.2603134, 3.0048100", \
+					  "5.4618810, 4.9625222, 4.5678344, 4.2421385, 3.9644553, 3.6494423, 3.4094767", \
+					  "5.8474765, 5.3526581, 4.9676945, 4.6253741, 4.3464128, 4.0501862, 3.8055441", \
+					  "6.2002064, 5.6980441, 5.3092116, 4.9743607, 4.7012548, 4.3984490, 4.1505753");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.3025081, 2.6475598, 1.9288496, 1.2144919, 0.5248211, -0.1191637, -0.8640246", \
+					  "3.7471513, 3.0894696, 2.3734626, 1.6642402, 0.9767792, 0.2952561, -0.3157771", \
+					  "4.2025182, 3.5475627, 2.8213283, 2.1344806, 1.4152427, 0.7652354, 0.1258502", \
+					  "4.6302538, 3.9961281, 3.2966239, 2.5531211, 1.8678677, 1.1908415, 0.5907036", \
+					  "5.0255220, 4.3842181, 3.6694042, 2.9451837, 2.2938967, 1.5936163, 0.9239099", \
+					  "5.4320400, 4.7569942, 4.0783908, 3.3520960, 2.6511014, 2.0181555, 1.3477531", \
+					  "5.7924841, 5.1321430, 4.4378308, 3.7428077, 3.0301049, 2.3755209, 1.7180550");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2405274, -2.7323756, -2.3617399, -2.0489721, -1.7018362, -1.4585399, -1.1587859", \
+					  "-3.6802256, -3.1751255, -2.8110780, -2.4870514, -2.1790971, -1.9309975, -1.6723571", \
+					  "-4.1356560, -3.6309613, -3.2629716, -2.9204914, -2.6249411, -2.3465829, -2.0928645", \
+					  "-4.5682127, -4.0616290, -3.6910818, -3.3699596, -3.0475129, -2.7842046, -2.5062265", \
+					  "-4.9670327, -4.4581891, -4.0875216, -3.7740750, -3.4316192, -3.2001648, -2.9126179", \
+					  "-5.3268942, -4.8263568, -4.4511583, -4.1189240, -3.8095759, -3.5863774, -3.3250320", \
+					  "-5.7418116, -5.2316286, -4.8553945, -4.4918342, -4.1873369, -3.9370025, -3.6876697");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0436924, -2.4940778, -1.7653700, -1.0517853, -0.3926321, 0.2881467, 0.9544799", \
+					  "-3.4940717, -2.9374414, -2.2128241, -1.5510927, -0.8385844, -0.1354311, 0.4400996", \
+					  "-3.9388206, -3.3910068, -2.6659776, -1.9795012, -1.2876824, -0.6306557, 0.0589908", \
+					  "-4.3729040, -3.8246039, -3.0457669, -2.4082138, -1.7158574, -1.0750136, -0.3705796", \
+					  "-4.7717241, -4.2050609, -3.4473763, -2.7983611, -2.1388346, -1.4671832, -0.8116449", \
+					  "-5.1300606, -4.5763470, -3.8382361, -3.1637508, -2.5133339, -1.8277124, -1.2263122", \
+					  "-5.5449749, -4.9887927, -4.2271539, -3.5527012, -2.8771969, -2.1823090, -1.5983009");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088108, 0.0152672, 0.0217236, 0.0216397, 0.0215547, 0.0214708, 0.0213869");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104895, 0.0183826, 0.0262756, 0.0262059, 0.0261354, 0.0260656, 0.0259959");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017443;
+			capacitance : 0.017285;
+			fall_capacitance : 0.017128;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0226318, 0.0142180, 0.0058042, -0.0078125, -0.0215932, -0.0352099, -0.0488265");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0301200, 0.0908644, 0.1516087, 0.1516620, 0.1517159, 0.1517691, 0.1518224");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004861;
+			capacitance : 0.004753;
+			fall_capacitance : 0.004645;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.1154130, -2.5753694, -2.1916002, -1.8315740, -1.5187308, -1.2159252, -0.9405853", \
+					  "-3.5551111, -3.0167612, -2.6320654, -2.2835768, -2.0232271, -1.6548286, -1.4164878", \
+					  "-4.0105414, -3.4711020, -3.0864952, -2.7398829, -2.4328175, -2.1499935, -1.8536221", \
+					  "-4.4430981, -3.9053558, -3.5201477, -3.2195945, -2.8929505, -2.5674502, -2.2789110", \
+					  "-4.8434440, -4.2919261, -3.9020877, -3.5702574, -3.2857885, -2.9804381, -2.7005207", \
+					  "-5.2017796, -4.6617050, -4.2842132, -3.9637135, -3.6389653, -3.3676099, -3.0785374", \
+					  "-5.6166971, -5.0788078, -4.6953199, -4.3403598, -3.9697274, -3.7172760, -3.4540401");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0513134, -2.3570576, -1.7085984, -0.9480256, -0.2766653, 0.3540110, 1.0659084", \
+					  "-3.4330283, -2.8018554, -2.1556583, -1.4351637, -0.7170442, -0.0911807, 0.6693887", \
+					  "-3.9464420, -3.2537117, -2.6548392, -1.8940520, -1.1879082, -0.4881717, 0.1795352", \
+					  "-4.3698438, -3.6967537, -3.0342084, -2.3341411, -1.6109446, -0.9137014, -0.2348008", \
+					  "-4.7778188, -4.0652350, -3.3805980, -2.7345368, -2.0304973, -1.2991962, -0.6158218", \
+					  "-5.1376802, -4.4320038, -3.7691869, -3.0558133, -2.4329278, -1.7636551, -1.0355177", \
+					  "-5.5525972, -4.8603203, -4.1992660, -3.3741727, -2.7673345, -2.1166961, -1.4068093");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.5741239, 3.0470094, 2.6543068, 2.3055465, 1.9713425, 1.6792909, 1.4115076", \
+					  "4.0200881, 3.4913850, 3.1002694, 2.7705645, 2.4279597, 2.0885527, 1.8294527", \
+					  "4.4771181, 3.9536754, 3.5533250, 3.2009111, 2.8814531, 2.5949152, 2.3152143", \
+					  "4.9068108, 4.3937705, 3.9980910, 3.6390966, 3.2961148, 3.0299057, 2.7467316", \
+					  "5.3306935, 4.7738292, 4.4062614, 4.0189725, 3.7257522, 3.4457655, 3.1781442", \
+					  "5.6644851, 5.1461589, 4.7463423, 4.4324604, 4.0888144, 3.8375941, 3.5525079", \
+					  "6.0792343, 5.5518024, 5.1455181, 4.8091307, 4.4376279, 4.1741915, 3.9166810");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2109451, 2.5285232, 1.8517021, 1.1169541, 0.4531135, -0.2400312, -0.8804881", \
+					  "3.6681840, 2.9840078, 2.3163829, 1.5897584, 0.9146748, 0.2076795, -0.4868472", \
+					  "4.1225737, 3.4401518, 2.7738243, 2.0458404, 1.3443153, 0.6467588, -0.0349445", \
+					  "4.5464950, 3.8681332, 3.2370562, 2.4966838, 1.7928774, 1.0944004, 0.4135875", \
+					  "4.9692773, 4.2421309, 3.6071877, 2.8706373, 2.2160820, 1.4530488, 0.8273536", \
+					  "5.3621217, 4.6659173, 4.0120363, 3.2189301, 2.5825837, 1.8914288, 1.1472519", \
+					  "5.7082906, 5.0375240, 4.3660576, 3.6141584, 2.9459653, 2.2656546, 1.6181766");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0084150, 0.0171871, 0.0259593, 0.0258677, 0.0257750, 0.0256833, 0.0255917");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0108016, 0.0203011, 0.0298006, 0.0297443, 0.0296874, 0.0296311, 0.0295748");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004855;
+			capacitance : 0.004650;
+			fall_capacitance : 0.004446;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0074629, -0.0073713, -0.0072797, -0.0072844, -0.0072891, -0.0072938, -0.0072985");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0074081, 0.0074044, 0.0074008, 0.0073965, 0.0073921, 0.0073878, 0.0073835");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.5832722, 4.0261899, 4.4679627, 4.9336009, 5.3383074, 5.7254346, 6.0766448", \
+					  "3.0793888, 3.5245387, 3.9609554, 4.4289024, 4.8343390, 5.2212695, 5.5658925", \
+					  "2.6888023, 3.1333463, 3.5720274, 4.0465012, 4.4469879, 4.8440956, 5.1902583", \
+					  "2.3543745, 2.8069927, 3.2451688, 3.6920729, 4.0926980, 4.5026407, 4.8371345", \
+					  "2.0585896, 2.4889948, 2.9354417, 3.3629329, 3.8332522, 4.1964621, 4.5654860", \
+					  "1.7497472, 2.1990306, 2.6848615, 3.1138290, 3.5457053, 3.9110420, 4.2687838", \
+					  "1.5279197, 1.9540043, 2.4348610, 2.8705327, 3.2858805, 3.6654231, 4.0270132");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0345337, -3.4757579, -3.9311880, -4.3637451, -4.7625647, -5.1224267, -5.5358178", \
+					  "-2.5592092, -3.0011990, -3.4555216, -3.8880660, -4.2761729, -4.6481101, -5.0575728", \
+					  "-2.1806001, -2.6249040, -3.0718108, -3.5082494, -3.8978360, -4.2682837, -4.6841395", \
+					  "-1.8330998, -2.2954647, -2.7326914, -3.1586759, -3.5478524, -3.9637140, -4.3335168", \
+					  "-1.5324637, -2.0431278, -2.4547510, -2.8944688, -3.2873066, -3.6724197, -3.9910899", \
+					  "-1.2739860, -1.7267348, -2.1880231, -2.6429793, -3.0483886, -3.4450464, -3.7767853", \
+					  "-1.0382414, -1.4775229, -1.9766122, -2.3749586, -2.7920734, -3.1858049, -3.5076159");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4999230, -3.9487766, -4.3965771, -4.8276083, -5.2264279, -5.5862898, -6.0012068", \
+					  "-3.0085016, -3.4434832, -3.9014846, -4.3327663, -4.7370669, -5.1035826, -5.5120075", \
+					  "-2.6462336, -3.0940039, -3.5479828, -3.9755019, -4.3641901, -4.8054300, -5.1617503", \
+					  "-2.3259609, -2.7702369, -3.2287188, -3.6566980, -4.0478884, -4.4275865, -4.8241932", \
+					  "-2.0421071, -2.5000679, -2.9434892, -3.3833855, -3.7844059, -4.1731886, -4.5450312", \
+					  "-1.7805003, -2.2530586, -2.6903193, -3.1403466, -3.5538059, -3.9274328, -4.2635404", \
+					  "-1.5158416, -1.9811938, -2.4396613, -2.8833173, -3.2897982, -3.6675265, -4.0278738");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7709514, 4.1986080, 4.6571651, 5.0853977, 5.4768370, 5.8431129, 6.2576755", \
+					  "3.2484764, 3.7000565, 4.1591409, 4.5831208, 4.9796476, 5.3348542, 5.7623136", \
+					  "2.8830123, 3.3347527, 3.7905881, 4.2232012, 4.6062485, 4.9741840, 5.3849949", \
+					  "2.5632386, 3.0067320, 3.4579158, 3.8958595, 4.2982931, 4.6388682, 5.0562477", \
+					  "2.2878240, 2.7176903, 3.1632974, 3.6227199, 4.0112356, 4.3839717, 4.7534330", \
+					  "2.0160283, 2.4563292, 2.9205523, 3.3524497, 3.7953887, 4.1347604, 4.4742324", \
+					  "1.7639661, 2.2050824, 2.6609586, 3.0919883, 3.5300212, 3.9116211, 4.2614537");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7053425, 4.1482758, 4.6083673, 5.0380844, 5.4618810, 5.8474765, 6.2002064", \
+					  "3.2105079, 3.6433914, 4.0972886, 4.5432172, 4.9625222, 5.3526581, 5.6980441", \
+					  "2.8229250, 3.2689181, 3.7228643, 4.1577704, 4.5678344, 4.9676945, 5.3092116", \
+					  "2.4941265, 2.9385967, 3.3916768, 3.8458079, 4.2421385, 4.6253741, 4.9743607", \
+					  "2.1956473, 2.6465133, 3.0856762, 3.4923846, 3.9644553, 4.3464128, 4.7012548", \
+					  "1.8922933, 2.3675844, 2.8148171, 3.2603134, 3.6494423, 4.0501862, 4.3984490", \
+					  "1.6564537, 2.0995164, 2.5467444, 3.0048100, 3.4094767, 3.8055441, 4.1505753");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2405274, -3.6802256, -4.1356560, -4.5682127, -4.9670327, -5.3268942, -5.7418116", \
+					  "-2.7323756, -3.1751255, -3.6309613, -4.0616290, -4.4581891, -4.8263568, -5.2316286", \
+					  "-2.3617399, -2.8110780, -3.2629716, -3.6910818, -4.0875216, -4.4511583, -4.8553945", \
+					  "-2.0489721, -2.4870514, -2.9204914, -3.3699596, -3.7740750, -4.1189240, -4.4918342", \
+					  "-1.7018362, -2.1790971, -2.6249411, -3.0475129, -3.4316192, -3.8095759, -4.1873369", \
+					  "-1.4585399, -1.9309975, -2.3465829, -2.7842046, -3.2001648, -3.5863774, -3.9370025", \
+					  "-1.1587859, -1.6723571, -2.0928645, -2.5062265, -2.9126179, -3.3250320, -3.6876697");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1154130, -3.5551111, -4.0105414, -4.4430981, -4.8434440, -5.2017796, -5.6166971", \
+					  "-2.5753694, -3.0167612, -3.4711020, -3.9053558, -4.2919261, -4.6617050, -5.0788078", \
+					  "-2.1916002, -2.6320654, -3.0864952, -3.5201477, -3.9020877, -4.2842132, -4.6953199", \
+					  "-1.8315740, -2.2835768, -2.7398829, -3.2195945, -3.5702574, -3.9637135, -4.3403598", \
+					  "-1.5187308, -2.0232271, -2.4328175, -2.8929505, -3.2857885, -3.6389653, -3.9697274", \
+					  "-1.2159252, -1.6548286, -2.1499935, -2.5674502, -2.9804381, -3.3676099, -3.7172760", \
+					  "-0.9405853, -1.4164878, -1.8536221, -2.2789110, -2.7005207, -3.0785374, -3.4540401");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.5741239, 4.0200881, 4.4771181, 4.9068108, 5.3306935, 5.6644851, 6.0792343", \
+					  "3.0470094, 3.4913850, 3.9536754, 4.3937705, 4.7738292, 5.1461589, 5.5518024", \
+					  "2.6543068, 3.1002694, 3.5533250, 3.9980910, 4.4062614, 4.7463423, 5.1455181", \
+					  "2.3055465, 2.7705645, 3.2009111, 3.6390966, 4.0189725, 4.4324604, 4.8091307", \
+					  "1.9713425, 2.4279597, 2.8814531, 3.2961148, 3.7257522, 4.0888144, 4.4376279", \
+					  "1.6792909, 2.0885527, 2.5949152, 3.0299057, 3.4457655, 3.8375941, 4.1741915", \
+					  "1.4115076, 1.8294527, 2.3152143, 2.7467316, 3.1781442, 3.5525079, 3.9166810");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0009739, -3.4406719, -3.8961023, -4.3301853, -4.7290049, -5.0873414, -5.5022575", \
+					  "-2.5183089, -2.9436304, -3.3944993, -3.8269172, -4.2112631, -4.5835719, -4.9943957", \
+					  "-2.1236157, -2.5644002, -3.0252425, -3.4556464, -3.8335630, -4.1870069, -4.6217436", \
+					  "-1.7837233, -2.1962236, -2.6605360, -3.0948554, -3.4927718, -3.8896856, -4.2335079", \
+					  "-1.4210745, -1.8776951, -2.3481106, -2.7777604, -3.1796034, -3.5826186, -3.9193730", \
+					  "-1.1258982, -1.5591756, -2.0450734, -2.5166773, -2.9224547, -3.3203078, -3.6409815", \
+					  "-0.8185148, -1.3310386, -1.7899182, -2.2124069, -2.6150714, -3.0144504, -3.3665641");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.4627373, 3.9117485, 4.3641986, 4.8161291, 5.2223650, 5.5530720, 5.9693932", \
+					  "2.9383745, 3.3843326, 3.8457706, 4.2801907, 4.7038243, 5.0320919, 5.4439358", \
+					  "2.5380041, 2.9949201, 3.4513188, 3.8711264, 4.2857076, 4.6687794, 5.0411247", \
+					  "2.1926315, 2.6355389, 3.0910410, 3.5368680, 3.9579196, 4.2875439, 4.6960860", \
+					  "1.8592445, 2.2938620, 2.7677092, 3.2019601, 3.5716230, 3.9847364, 4.3315163", \
+					  "1.5748723, 2.0081799, 2.4711620, 2.9291978, 3.3244679, 3.7202069, 4.0536577", \
+					  "1.2940190, 1.7241264, 2.1838964, 2.6279179, 3.0432657, 3.4433135, 3.7897719");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4907861, -3.9396395, -4.3874404, -4.8184716, -5.2188171, -5.5786790, -5.9920701", \
+					  "-2.9894737, -3.4308196, -3.8874132, -4.3186021, -4.7182646, -5.0857591, -5.4991640", \
+					  "-2.6460518, -3.0825282, -3.5379219, -3.9722978, -4.3653292, -4.7152168, -5.1242887", \
+					  "-2.3061246, -2.7589370, -3.2195635, -3.6429652, -4.0341554, -4.4275865, -4.8119861", \
+					  "-2.0424189, -2.4865207, -2.9389635, -3.3704161, -3.7525163, -4.1541954, -4.5234152", \
+					  "-1.7591380, -2.2073622, -2.6705298, -3.1189844, -3.5416631, -3.9040315, -4.2498078", \
+					  "-1.5127898, -1.9827113, -2.4127246, -2.8711104, -3.2620266, -3.6461642, -4.0141408");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.7862276, 4.2215372, 4.6876874, 5.1189846, 5.5089171, 5.8752175, 6.2795527", \
+					  "3.2863084, 3.7144444, 4.1701498, 4.6402245, 5.0230032, 5.4280179, 5.7851540", \
+					  "2.9203369, 3.3671502, 3.8251009, 4.2498598, 4.6846272, 5.0391414, 5.4022776", \
+					  "2.6212053, 3.0440897, 3.4989958, 3.9358497, 4.3523290, 4.7452160, 5.0754101", \
+					  "2.3085623, 2.7576672, 3.2253198, 3.6237442, 4.0723143, 4.4522739, 4.8099718", \
+					  "2.0421838, 2.4939964, 2.9509189, 3.3781198, 3.8120954, 4.1607014, 4.5108098", \
+					  "1.8000376, 2.2724676, 2.6993488, 3.1008352, 3.5651162, 3.9259931, 4.2949806");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.2475771, 3.6890109, 4.1491151, 4.5788616, 4.9688238, 5.3336351, 5.7524779", \
+					  "2.7376948, 3.1837062, 3.6392332, 4.0705570, 4.4584561, 4.8272691, 5.2375804", \
+					  "2.3708862, 2.8056473, 3.2642254, 3.6908705, 4.0937603, 4.4838398, 4.8706415", \
+					  "2.0278364, 2.4662184, 2.9231782, 3.3621733, 3.7789157, 4.1194371, 4.5222264", \
+					  "1.7656085, 2.2328615, 2.6305440, 3.0500822, 3.4349368, 3.8255011, 4.2068121", \
+					  "1.4915359, 1.8882782, 2.3608456, 2.7994980, 3.1968988, 3.5863815, 3.9314723", \
+					  "1.2192479, 1.6055575, 2.0826727, 2.5176208, 2.9181438, 3.3266779, 3.6931956");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.0894633, -3.5291616, -3.9861174, -4.4171486, -4.8174941, -5.1773561, -5.5907472", \
+					  "-2.5957127, -3.0296805, -3.4842693, -3.9157825, -4.3063941, -4.6796950, -5.0881532", \
+					  "-2.2288988, -2.6656952, -3.1241167, -3.5602152, -3.9341310, -4.3016223, -4.7311512", \
+					  "-1.8994055, -2.3261830, -2.7902629, -3.2296314, -3.6233118, -3.9888299, -4.3692634", \
+					  "-1.5758536, -2.0788910, -2.4691064, -2.9780027, -3.3313392, -3.6892287, -4.0109264", \
+					  "-1.2939042, -1.7938905, -2.1937256, -2.6429793, -3.0635882, -3.4450464, -3.7966214", \
+					  "-1.0489227, -1.5309287, -1.9766832, -2.4405124, -2.8103839, -3.1949554, -3.5564442");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6061605, 4.0490449, 4.5075879, 4.9387750, 5.3286307, 5.6963623, 6.1113925", \
+					  "3.1001808, 3.5474804, 4.0045405, 4.4353528, 4.8200233, 5.2006899, 5.5911247", \
+					  "2.7145826, 3.1605463, 3.6160417, 4.0585244, 4.4717810, 4.8046343, 5.2257881", \
+					  "2.3696334, 2.8323544, 3.2903780, 3.7175070, 4.1280346, 4.4674644, 4.8825369", \
+					  "2.0751017, 2.5366982, 2.9764367, 3.4438444, 3.8394051, 4.2392243, 4.5930267", \
+					  "1.7711040, 2.2300930, 2.7211422, 3.1596055, 3.5747636, 3.9581887, 4.3157400", \
+					  "1.5408238, 1.9868860, 2.4438874, 2.8979986, 3.3194499, 3.6898371, 4.0770221");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.1123537, -3.5520519, -4.0090080, -4.4400396, -4.8403856, -5.1987221, -5.6136360", \
+					  "-2.6188886, -3.0618325, -3.5106064, -3.9457679, -4.3327232, -4.7023227, -5.1131625", \
+					  "-2.2640835, -2.7297217, -3.1607378, -3.6128293, -3.9738050, -4.3347021, -4.7868773", \
+					  "-1.9481967, -2.3836244, -2.8258790, -3.2759623, -3.6755749, -4.0344294, -4.3708017", \
+					  "-1.6353027, -2.0805246, -2.5224185, -2.9780031, -3.3586806, -3.7196965, -4.0444938", \
+					  "-1.3791942, -1.8584297, -2.3236947, -2.7207300, -3.1332787, -3.4769243, -3.8347669", \
+					  "-1.0870696, -1.5946988, -2.0242163, -2.4385784, -2.8393756, -3.2216764, -3.6006946");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.5046229, -0.0388496, 0.4197993, 0.8376479, 1.2198074, 1.5743245, 1.9640330", \
+					  "-1.0131715, -0.5549947, -0.0892691, 0.3361177, 0.7468284, 1.1080776, 1.4632478", \
+					  "-1.3880384, -0.8869047, -0.4658624, -0.0418756, 0.3785498, 0.7499629, 1.1189825", \
+					  "-1.7092423, -1.2236735, -0.8194754, -0.3874656, 0.0528868, 0.4090089, 0.8028303", \
+					  "-2.0234085, -1.5245770, -1.1546221, -0.6518193, -0.2744907, 0.1005921, 0.5038547", \
+					  "-2.2253980, -1.8428156, -1.3937753, -0.9463397, -0.5252771, -0.1623255, 0.2142875", \
+					  "-2.5503663, -2.1084056, -1.5939096, -1.2456538, -0.7845633, -0.4067587, -0.0589406");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.8931487, 0.4448440, -0.0168457, -0.4249384, -0.8073687, -1.1705573, -1.5017467", \
+					  "1.4031879, 0.9514715, 0.4964730, 0.0706782, -0.3157826, -0.7002291, -1.0325279", \
+					  "1.7716047, 1.3308155, 0.8782931, 0.4482576, 0.0549118, -0.3186023, -0.6815262", \
+					  "2.1018543, 1.6514381, 1.2011079, 0.7656978, 0.3918667, 0.0039204, -0.3366506", \
+					  "2.4007758, 1.9426867, 1.5169260, 1.1000081, 0.7565844, 0.3014863, -0.0667411", \
+					  "2.7040577, 2.2352065, 1.7365151, 1.3595855, 1.0047150, 0.6481872, 0.2589839", \
+					  "2.9500125, 2.5006793, 2.0104339, 1.6213230, 1.2450172, 0.8929307, 0.4643467");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.2557849, -3.6954832, -4.1524390, -4.5849961, -4.9838158, -5.3436777, -5.7585947", \
+					  "-2.7487103, -3.1914262, -3.6459717, -4.0779280, -4.4658186, -4.8324986, -5.2467010", \
+					  "-2.3765074, -2.8197486, -3.2769960, -3.7020548, -4.0938095, -4.4603136, -4.8721793", \
+					  "-2.0314663, -2.4833715, -2.9372759, -3.3789882, -3.7916756, -4.1362586, -4.5090196", \
+					  "-1.7079397, -2.1837443, -2.6339039, -3.0475129, -3.4316192, -3.8187055, -4.1905512", \
+					  "-1.4585399, -1.9526509, -2.3481088, -2.8016016, -3.2047422, -3.5878988, -3.9385283", \
+					  "-1.1709929, -1.6662229, -2.0928645, -2.5076987, -2.9217730, -3.3294542, -3.6891956");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6504098, 4.0948523, 4.5534104, 4.9831089, 5.3745349, 5.7743657, 6.1555004", \
+					  "3.1462018, 3.5881332, 4.0421282, 4.4770945, 4.8741640, 5.2384997, 5.6511460", \
+					  "2.7631804, 3.2091596, 3.6631094, 4.0899038, 4.4865931, 4.8528548, 5.2629814", \
+					  "2.4489791, 2.8929617, 3.3290913, 3.7653194, 4.1496720, 4.5119000, 4.9266038", \
+					  "2.1239306, 2.5824636, 3.0067431, 3.4619190, 3.8714190, 4.2827318, 4.6433082", \
+					  "1.8121712, 2.2854703, 2.7718697, 3.2145371, 3.6204440, 4.0075657, 4.3445890", \
+					  "1.5790870, 2.0226556, 2.5063214, 2.9285161, 3.3749310, 3.7432429, 4.0941546");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.022698;
+			capacitance : 0.023546;
+			rise_capacitance : 0.023842;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.4907861, -2.9894737, -2.6460518, -2.3061246, -2.0424189, -1.7591380, -1.5127898", \
+					  "-3.9396395, -3.4308196, -3.0825282, -2.7589370, -2.4865207, -2.2073622, -1.9827113", \
+					  "-4.3874404, -3.8874132, -3.5379219, -3.2195635, -2.9389635, -2.6705298, -2.4127246", \
+					  "-4.8184716, -4.3186021, -3.9722978, -3.6429652, -3.3704161, -3.1189844, -2.8711104", \
+					  "-5.2188171, -4.7182646, -4.3653292, -4.0341554, -3.7525163, -3.5416631, -3.2620266", \
+					  "-5.5786790, -5.0857591, -4.7152168, -4.4275865, -4.1541954, -3.9040315, -3.6461642", \
+					  "-5.9920701, -5.4991640, -5.1242887, -4.8119861, -4.5234152, -4.2498078, -4.0141408");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-2.2807551, -1.7164463, -1.2369272, -0.7283000, -0.6230450, -0.0532065, 0.4981951", \
+					  "-2.7220319, -3.2095819, -1.6644990, -1.1705694, -1.1385027, -0.4917889, 0.0910202", \
+					  "-3.1729081, -2.6370503, -2.1207502, -2.2571646, -1.5865325, -0.9110841, -0.3148954", \
+					  "-3.6116303, -3.0726694, -2.5598629, -2.1551511, -2.0223918, -1.3770412, -0.7835490", \
+					  "-3.9967924, -3.4909950, -2.9897404, -2.5434672, -2.3982340, -1.8085237, -1.1934927", \
+					  "-4.4025252, -3.8264331, -4.1562910, -3.4596556, -2.7761686, -2.1768593, -1.6022019", \
+					  "-4.7375372, -4.2763146, -3.7226957, -3.7933267, -3.1378719, -2.5415622, -1.9600758");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7862276, 3.2863084, 2.9203369, 2.6212053, 2.3085623, 2.0421838, 1.8000376", \
+					  "4.2215372, 3.7144444, 3.3671502, 3.0440897, 2.7576672, 2.4939964, 2.2724676", \
+					  "4.6876874, 4.1701498, 3.8251009, 3.4989958, 3.2253198, 2.9509189, 2.6993488", \
+					  "5.1189846, 4.6402245, 4.2498598, 3.9358497, 3.6237442, 3.3781198, 3.1008352", \
+					  "5.5089171, 5.0230032, 4.6846272, 4.3523290, 4.0723143, 3.8120954, 3.5651162", \
+					  "5.8752175, 5.4280179, 5.0391414, 4.7452160, 4.4522739, 4.1607014, 3.9259931", \
+					  "6.2795527, 5.7851540, 5.4022776, 5.0754101, 4.8099718, 4.5108098, 4.2949806");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.4856214, 2.8186469, 2.0887910, 1.4128440, 0.7323287, 0.0450015, -0.4915865", \
+					  "3.9315753, 3.2645949, 2.5341911, 1.8693660, 1.1548498, 0.5424550, -0.0518117", \
+					  "4.3824973, 3.7199453, 2.9955865, 2.2980227, 1.6008504, 0.9707739, 0.3908623", \
+					  "4.8152307, 4.1513071, 3.4400532, 2.7296839, 2.1089566, 1.4301609, 0.8384261", \
+					  "5.2051113, 4.5427251, 3.8243482, 3.1484031, 2.5192027, 1.8373512, 1.2034263", \
+					  "5.5972865, 4.9005247, 4.2301609, 3.5046220, 2.8700056, 2.2266414, 1.6360146", \
+					  "5.9877230, 5.3191174, 4.5983726, 3.9068715, 3.2344945, 2.6013478, 1.9780742");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005899;
+			capacitance : 0.006048;
+			rise_capacitance : 0.006197;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2475771, 2.7376948, 2.3708862, 2.0278364, 1.7656085, 1.4915359, 1.2192479", \
+					  "3.6890109, 3.1837062, 2.8056473, 2.4662184, 2.2328615, 1.8882782, 1.6055575", \
+					  "4.1491151, 3.6392332, 3.2642254, 2.9231782, 2.6305440, 2.3608456, 2.0826727", \
+					  "4.5788616, 4.0705570, 3.6908705, 3.3621733, 3.0500822, 2.7994980, 2.5176208", \
+					  "4.9688238, 4.4584561, 4.0937603, 3.7789157, 3.4349368, 3.1968988, 2.9181438", \
+					  "5.3336351, 4.8272691, 4.4838398, 4.1194371, 3.8255011, 3.5863815, 3.3266779", \
+					  "5.7524779, 5.2375804, 4.8706415, 4.5222264, 4.2068121, 3.9314723, 3.6931956");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.7450028, 3.0767540, 2.3855722, 1.7063491, 0.9917488, 0.2861111, -0.3492633", \
+					  "4.2053070, 3.5349291, 2.8443501, 2.1516125, 1.4435343, 0.7310416, 0.0925426", \
+					  "4.6597555, 3.9902226, 3.3033254, 2.5981748, 1.9362254, 1.1831491, 0.5237150", \
+					  "5.0820235, 4.4083295, 3.7232287, 3.0303887, 2.3744593, 1.6388968, 1.0002707", \
+					  "5.4575063, 4.8019045, 4.1004465, 3.4275005, 2.7714294, 2.0497184, 1.4172575", \
+					  "5.8976418, 5.2355185, 4.5397369, 3.8283717, 3.1734178, 2.4240392, 1.7811237", \
+					  "6.2543031, 5.5786067, 4.8933469, 4.2063400, 3.5165591, 2.8047829, 2.1580331");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0894633, -2.5957127, -2.2288988, -1.8994055, -1.5758536, -1.2939042, -1.0489227", \
+					  "-3.5291616, -3.0296805, -2.6656952, -2.3261830, -2.0788910, -1.7938905, -1.5309287", \
+					  "-3.9861174, -3.4842693, -3.1241167, -2.7902629, -2.4691064, -2.1937256, -1.9766832", \
+					  "-4.4171486, -3.9157825, -3.5602152, -3.2296314, -2.9780027, -2.6429793, -2.4405124", \
+					  "-4.8174941, -4.3063941, -3.9341310, -3.6233118, -3.3313392, -3.0635882, -2.8103839", \
+					  "-5.1773561, -4.6796950, -4.3016223, -3.9888299, -3.6892287, -3.4450464, -3.1949554", \
+					  "-5.5907472, -5.0881532, -4.7311512, -4.3692634, -4.0109264, -3.7966214, -3.5564442");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2710474, -2.6195767, -1.9269601, -1.2135285, -0.5177542, 0.1510963, 0.7858519", \
+					  "-3.7122716, -3.0713402, -2.3614292, -1.6664701, -0.9394409, -0.2895449, 0.3504800", \
+					  "-4.1677019, -3.4970586, -2.8157969, -2.1248949, -1.4071149, -0.7228398, -0.0853886", \
+					  "-4.6002590, -3.9591971, -3.2674805, -2.5293718, -1.8592900, -1.1684896, -0.5125243", \
+					  "-4.9990787, -4.3207546, -3.6327962, -2.9351510, -2.3021036, -1.6007065, -0.8924580", \
+					  "-5.3589406, -4.7102675, -4.0030101, -3.3274114, -2.6511286, -1.9522499, -1.2999013", \
+					  "-5.7678601, -5.1090494, -4.3942101, -3.7088820, -3.0283666, -2.3638884, -1.6640883");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0087428, 0.0151583, 0.0215738, 0.0214981, 0.0214216, 0.0213459, 0.0212702");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104354, 0.0183862, 0.0263371, 0.0262597, 0.0261814, 0.0261040, 0.0260266");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.015657;
+			capacitance : 0.015197;
+			fall_capacitance : 0.014737;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0116094, 0.0223573, 0.0563240, 0.0560147, 0.0557017, 0.0553925, 0.0550832");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0439373, 0.7827221, 1.5215070, 2.0196206, 2.5237357, 3.0218493, 3.5199630");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.031146;
+			capacitance : 0.031196;
+			fall_capacitance : 0.031247;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0043998, -0.0045658, -0.0047318, -0.0048867, -0.0050436, -0.0051985, -0.0053535");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0528558, 0.0761790, 0.0995021, 0.0994019, 0.0993005, 0.0992002, 0.0991000");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006438;
+			capacitance : 0.006282;
+			fall_capacitance : 0.006127;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.5046229, -1.0131715, -1.3880384, -1.7092423, -2.0234085, -2.2253980, -2.5503663", \
+					  "-0.0388496, -0.5549947, -0.8869047, -1.2236735, -1.5245770, -1.8428156, -2.1084056", \
+					  "0.4197993, -0.0892691, -0.4658624, -0.8194754, -1.1546221, -1.3937753, -1.5939096", \
+					  "0.8376479, 0.3361177, -0.0418756, -0.3874656, -0.6518193, -0.9463397, -1.2456538", \
+					  "1.2198074, 0.7468284, 0.3785498, 0.0528868, -0.2744907, -0.5252771, -0.7845633", \
+					  "1.5743245, 1.1080776, 0.7499629, 0.4090089, 0.1005921, -0.1623255, -0.4067587", \
+					  "1.9640330, 1.4632478, 1.1189825, 0.8028303, 0.5038547, 0.2142875, -0.0589406");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-0.7609629, -1.4163976, -2.0938508, -2.7557045, -3.4253095, -4.0734782, -4.6661574", \
+					  "-0.3165153, -0.9647777, -1.6456160, -2.3165751, -2.9961719, -3.6234706, -4.2602594", \
+					  "0.1298747, -0.5361162, -1.2318839, -1.9071861, -2.5346422, -3.2396958, -3.8360388", \
+					  "0.5589105, -0.0787596, -0.8022834, -1.5119806, -2.1978794, -2.8283959, -3.4672338", \
+					  "0.9262196, 0.3190803, -0.3576495, -1.1036505, -1.8083327, -2.4678619, -3.0953806", \
+					  "1.2879449, 0.6978155, 0.0101554, -0.7217823, -1.4054968, -2.0925732, -2.7432696", \
+					  "1.6385302, 1.0349697, 0.3625773, -0.3606422, -1.0318205, -1.7184581, -2.3695538");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.8931487, 1.4031879, 1.7716047, 2.1018543, 2.4007758, 2.7040577, 2.9500125", \
+					  "0.4448440, 0.9514715, 1.3308155, 1.6514381, 1.9426867, 2.2352065, 2.5006793", \
+					  "-0.0168457, 0.4964730, 0.8782931, 1.2011079, 1.5169260, 1.7365151, 2.0104339", \
+					  "-0.4249384, 0.0706782, 0.4482576, 0.7656978, 1.1000081, 1.3595855, 1.6213230", \
+					  "-0.8073687, -0.3157826, 0.0549118, 0.3918667, 0.7565844, 1.0047150, 1.2450172", \
+					  "-1.1705573, -0.7002291, -0.3186023, 0.0039204, 0.3014863, 0.6481872, 0.8929307", \
+					  "-1.5017467, -1.0325279, -0.6815262, -0.3366506, -0.0667411, 0.2589839, 0.4643467");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("0.8946667, 1.4934932, 2.1967930, 2.8395664, 3.5452302, 4.1318960, 4.7624600", \
+					  "0.4250787, 1.0775166, 1.7508536, 2.3971385, 3.0688876, 3.7185548, 4.3216526", \
+					  "-0.0312270, 0.6214136, 1.3205905, 1.9807116, 2.6156442, 3.3217518, 3.9034525", \
+					  "-0.4528669, 0.2202854, 0.9130946, 1.6029557, 2.2735236, 2.9249326, 3.5554236", \
+					  "-0.8439055, -0.1792773, 0.5182921, 1.2154838, 1.8981865, 2.5419383, 3.1658470", \
+					  "-1.2051593, -0.5926296, 0.1170513, 0.8230519, 1.5024181, 2.1774338, 2.7786101", \
+					  "-1.5289685, -0.9107334, -0.2557025, 0.4363676, 1.1824000, 1.8174658, 2.4414224");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088195, 0.0151855, 0.0215515, 0.0214696, 0.0213867, 0.0213048, 0.0212229");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104094, 0.0182845, 0.0261597, 0.0260837, 0.0260067, 0.0259307, 0.0258547");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013596;
+			capacitance : 0.013527;
+			fall_capacitance : 0.013459;
+			max_transition : 5.000000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.2557849, -2.7487103, -2.3765074, -2.0314663, -1.7079397, -1.4585399, -1.1709929", \
+					  "-3.6954832, -3.1914262, -2.8197486, -2.4833715, -2.1837443, -1.9526509, -1.6662229", \
+					  "-4.1524390, -3.6459717, -3.2769960, -2.9372759, -2.6339039, -2.3481088, -2.0928645", \
+					  "-4.5849961, -4.0779280, -3.7020548, -3.3789882, -3.0475129, -2.8016016, -2.5076987", \
+					  "-4.9838158, -4.4658186, -4.0938095, -3.7916756, -3.4316192, -3.2047422, -2.9217730", \
+					  "-5.3436777, -4.8324986, -4.4603136, -4.1362586, -3.8187055, -3.5878988, -3.3294542", \
+					  "-5.7585947, -5.2467010, -4.8721793, -4.5090196, -4.1905512, -3.9385283, -3.6891956");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("-3.0604765, -2.4938484, -1.7267380, -1.0609493, -0.4002615, 0.2330447, 0.9603603", \
+					  "-3.4981653, -2.8962836, -2.2235116, -1.5512502, -0.8420608, -0.2163027, 0.4311678", \
+					  "-3.9407164, -3.3820557, -2.6323787, -1.9992307, -1.2965241, -0.6386615, 0.0574649", \
+					  "-4.4064194, -3.8314513, -3.1039111, -2.4252611, -1.7295903, -1.0856868, -0.3705734", \
+					  "-4.8111912, -4.2528329, -3.5021028, -2.8040925, -2.1601969, -1.4732003, -0.8116121", \
+					  "-5.1968587, -4.6218671, -3.9029106, -3.1790792, -2.5247852, -1.8676245, -1.2243918", \
+					  "-5.5557631, -4.9812383, -4.2558301, -3.6081739, -2.8849338, -2.1975676, -1.5978018");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.6504098, 3.1462018, 2.7631804, 2.4489791, 2.1239306, 1.8121712, 1.5790870", \
+					  "4.0948523, 3.5881332, 3.2091596, 2.8929617, 2.5824636, 2.2854703, 2.0226556", \
+					  "4.5534104, 4.0421282, 3.6631094, 3.3290913, 3.0067431, 2.7718697, 2.5063214", \
+					  "4.9831089, 4.4770945, 4.0899038, 3.7653194, 3.4619190, 3.2145371, 2.9285161", \
+					  "5.3745349, 4.8741640, 4.4865931, 4.1496720, 3.8714190, 3.6204440, 3.3749310", \
+					  "5.7743657, 5.2384997, 4.8528548, 4.5119000, 4.2827318, 4.0075657, 3.7432429", \
+					  "6.1555004, 5.6511460, 5.2629814, 4.9266038, 4.6433082, 4.3445890, 4.0941546");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400001, 4.1699999, 5.0000000");
+					values("3.2521166, 2.6097287, 1.9118376, 1.2373942, 0.5278871, -0.1470444, -0.7053819", \
+					  "3.7117128, 3.0776724, 2.3731231, 1.7141600, 1.0214637, 0.3230067, -0.3139664", \
+					  "4.1491422, 3.5159096, 2.8454406, 2.1573346, 1.4392479, 0.7795233, 0.1288861", \
+					  "4.5885822, 3.9423920, 3.3143653, 2.5760863, 1.8922817, 1.2036174, 0.5806258", \
+					  "4.9851530, 4.3893714, 3.7016008, 2.9844088, 2.3167848, 1.6130344, 0.9856886", \
+					  "5.4018930, 4.7670217, 4.0777899, 3.3601642, 2.6803360, 2.0151059, 1.2947940", \
+					  "5.7546761, 5.1219800, 4.4425035, 3.7186863, 3.0228436, 2.3892817, 1.7417062");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0088068, 0.0152626, 0.0217183, 0.0216215, 0.0215236, 0.0214268, 0.0213300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0104514, 0.0184021, 0.0263529, 0.0262967, 0.0262398, 0.0261836, 0.0261274");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.293190;
+			max_transition : 5.010611;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.4030615, 0.4123648, 0.4303496, 0.4770555, 0.6137707, 0.7869465, 0.7790890", \
+					  "0.4804126, 0.4903930, 0.5095395, 0.5579865, 0.6936157, 0.8663904, 0.8645624", \
+					  "-0.4321997, -0.4221911, -0.4035930, -0.3556386, -0.2194935, -0.0465337, -0.0552873", \
+					  "-0.3919774, -0.3863751, -0.3675751, -0.3258053, -0.1947490, -0.0216973, -0.0168772", \
+					  "-0.3232098, -0.3163421, -0.3043797, -0.2774340, -0.1636771, 0.0068517, 0.0108189", \
+					  "-0.2174059, -0.2173838, -0.2177898, -0.2217597, -0.1108495, 0.0332834, 0.0388509", \
+					  "-0.0676052, -0.0657285, -0.0660592, -0.0723406, -0.0609593, 0.0654139, 0.0500620");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.5091362, 0.5135126, 0.5233555, 0.5436416, 0.5785458, 0.6414626, 0.7672743", \
+					  "0.4071932, 0.4123244, 0.4215063, 0.4418008, 0.4769007, 0.5388836, 0.6653032", \
+					  "1.3873946, 1.3950193, 1.4016335, 1.4230871, 1.4567734, 1.5196169, 1.6455268", \
+					  "1.3859252, 1.3907796, 1.3999724, 1.4181225, 1.4531660, 1.5164997, 1.6417248", \
+					  "1.3807619, 1.3859041, 1.3951806, 1.4165900, 1.4541218, 1.5138831, 1.6409921", \
+					  "1.3642418, 1.3700304, 1.3827498, 1.4159192, 1.4576969, 1.5135782, 1.6421264", \
+					  "1.3488206, 1.3530012, 1.3653649, 1.3948430, 1.4347341, 1.5044270, 1.6457638");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.9524824, 0.9557807, 0.9636360, 0.9793879, 0.9987409, 1.0123507, 1.0164466", \
+					  "0.7740438, 0.7776466, 0.7855097, 0.8085362, 0.8200389, 0.8344332, 0.8392334", \
+					  "1.9551700, 1.9592127, 1.9672538, 1.9946267, 2.0016796, 2.0156706, 2.0201820", \
+					  "1.9486023, 1.9529742, 1.9608102, 1.9911538, 1.9956530, 2.0097220, 2.0144173", \
+					  "1.9289414, 1.9331693, 1.9451157, 1.9798590, 1.9897012, 2.0047980, 2.0126067", \
+					  "1.8875641, 1.8914512, 1.9029622, 1.9363189, 1.9513646, 1.9804137, 2.0082521", \
+					  "1.9300366, 1.9304113, 1.9324731, 1.9461286, 1.9329564, 1.9481285, 1.9930401");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.6865504, 0.7212194, 0.7641200, 0.7767637, 0.7793744, 0.7760622, 0.7724161", \
+					  "0.9714483, 1.0041824, 1.0488190, 1.0599867, 1.0610550, 1.0630999, 1.0432267", \
+					  "0.0162483, 0.0483912, 0.0910048, 0.1038943, 0.1040261, 0.1006726, 0.1056271", \
+					  "0.3320831, 0.3325680, 0.3288894, 0.3255754, 0.2845668, 0.2755998, 0.2680876", \
+					  "0.5189669, 0.5236384, 0.5129026, 0.5124681, 0.5197055, 0.4465481, 0.4411626", \
+					  "0.6754074, 0.6787450, 0.6687322, 0.6681988, 0.6747503, 0.6617568, 0.5963418", \
+					  "0.8208090, 0.8271424, 0.8164652, 0.8169965, 0.8240801, 0.8209938, 0.7366100");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.6293819, 0.6634826, 0.7060991, 0.7192422, 0.7202663, 0.7202909, 0.7133834", \
+					  "0.7203672, 0.7558237, 0.8009045, 0.8112400, 0.8128032, 0.8130883, 0.7967590", \
+					  "-0.4050806, -0.3770124, -0.3357498, -0.3258770, -0.3355023, -0.3355208, -0.3243710", \
+					  "-0.2502729, -0.2387737, -0.2379396, -0.2385927, -0.2769710, -0.3228990, -0.3168060", \
+					  "-0.2371282, -0.2261903, -0.2250033, -0.2241033, -0.2374599, -0.2637774, -0.3053968", \
+					  "-0.2241475, -0.2103806, -0.2128841, -0.2107058, -0.2233112, -0.2217380, -0.2976353", \
+					  "-0.2091701, -0.1964409, -0.1972002, -0.1961652, -0.2091897, -0.2076196, -0.2587343");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.9046868, 0.9092327, 0.9180323, 0.9338835, 0.9544138, 0.9693333, 0.9753549", \
+					  "0.7420950, 0.7455573, 0.7588268, 0.7714602, 0.7921895, 0.8077159, 0.8133262", \
+					  "1.9169501, 1.9223978, 1.9441102, 1.9495878, 1.9676886, 1.9827807, 1.9886193", \
+					  "1.9142437, 1.9175126, 1.9363265, 1.9562010, 1.9649000, 1.9792516, 1.9872062", \
+					  "1.9094275, 1.9141622, 1.9380806, 1.9512998, 1.9626445, 1.9776646, 1.9852011", \
+					  "1.9043783, 1.9091189, 1.9318390, 1.9434873, 1.9683779, 1.9751189, 1.9918880", \
+					  "1.8756921, 1.8800799, 1.9016817, 1.9241538, 1.9485381, 1.9783214, 1.9894093");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("1.4392700, 1.4440831, 1.4423820, 1.4453864, 1.4416058, 1.4444803, 1.4302003", \
+					  "1.4297321, 1.4327796, 1.4339940, 1.4366924, 1.4329949, 1.4349095, 1.4312418", \
+					  "1.4206414, 1.4253965, 1.4261343, 1.4299691, 1.4243765, 1.4247933, 1.4100730", \
+					  "1.4250717, 1.4254071, 1.4249941, 1.4307015, 1.4235178, 1.4278457, 1.4069694", \
+					  "1.4223749, 1.4202501, 1.4252050, 1.4281079, 1.4260779, 1.4229027, 1.4102028", \
+					  "1.4230818, 1.4261760, 1.4264871, 1.4274033, 1.4271599, 1.4262370, 1.4124751", \
+					  "1.4232942, 1.4265445, 1.4239043, 1.4242080, 1.4232496, 1.4405740, 1.4092655");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02248763, 0.05056936, 0.1137185, 0.255726, 0.5750672, 1.29319");
+					values("0.7202610, 0.7251618, 0.7328509, 0.7492030, 0.7743962, 0.8164472, 0.9405998", \
+					  "0.7139384, 0.7181313, 0.7259551, 0.7424793, 0.7673989, 0.8088896, 0.9335872", \
+					  "0.7063760, 0.7112426, 0.7187658, 0.7352299, 0.7605898, 0.8021136, 0.9266536", \
+					  "0.7067075, 0.7110138, 0.7197256, 0.7354650, 0.7604903, 0.8025358, 0.9270785", \
+					  "0.7069250, 0.7103935, 0.7203556, 0.7356951, 0.7604033, 0.8029067, 0.9277298", \
+					  "0.7068860, 0.7101829, 0.7200235, 0.7356289, 0.7600559, 0.8025388, 0.9274429", \
+					  "0.7062462, 0.7103507, 0.7190187, 0.7346030, 0.7605005, 0.8019163, 0.9266268");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("3.6672498, 3.7030963, 3.7866603, 3.9699919, 4.3764863, 5.2866818, 7.3303608", \
+					  "6.9282797, 6.9650476, 7.0479471, 7.2308897, 7.6372437, 8.5482652, 10.5923960", \
+					  "10.0706230, 10.1074380, 10.1930350, 10.3762030, 10.7702980, 11.6931720, 13.7345030", \
+					  "10.7790000, 10.8156800, 10.8977180, 11.0808540, 11.4856760, 12.3978230, 14.4428100", \
+					  "15.0201020, 15.0570470, 15.1402040, 15.3229110, 15.7179600, 16.6404470, 18.6841850", \
+					  "18.5214000, 18.5585730, 18.6425900, 18.8251530, 19.2265600, 20.1427070, 22.1857430", \
+					  "21.2027760, 21.2173350, 21.3033160, 21.4859280, 21.8990160, 22.8033910, 24.8447170", \
+					  "24.9352980, 24.9815740, 25.0784390, 25.2509370, 25.6890790, 26.5778560, 28.6234330", \
+					  "76.2811040, 76.6802020, 76.7485910, 76.9483460, 77.3112990, 78.2688970, 80.3198220", \
+					  "109.5940300, 109.6308300, 109.7686400, 109.7887600, 110.1637600, 111.2440500, 113.1839900", \
+					  "250.2959500, 250.3271300, 250.3763600, 250.6242500, 251.0154800, 251.7494700, 253.6827400", \
+					  "753.1426700, 753.1988300, 753.4142700, 753.7875600, 754.0924700, 755.3388700, 757.1661600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("0.2457793, 0.2911727, 0.3944942, 0.6266859, 1.1496699, 2.3242477, 4.9843334", \
+					  "0.2461222, 0.2924283, 0.3981609, 0.6285623, 1.1506183, 2.3249187, 4.9893152", \
+					  "0.2463394, 0.2933435, 0.3979812, 0.6319151, 1.1500798, 2.3243656, 4.9946722", \
+					  "0.2461890, 0.2929134, 0.3976927, 0.6316474, 1.1495918, 2.3249014, 4.9827631", \
+					  "0.2469935, 0.2927054, 0.3979518, 0.6277823, 1.1501687, 2.3245013, 5.0057558", \
+					  "0.2465461, 0.2920203, 0.3952205, 0.6281018, 1.1503111, 2.3247599, 4.9927595", \
+					  "0.2468126, 0.2919383, 0.3979382, 0.6282402, 1.1504284, 2.3248106, 4.9836748", \
+					  "0.2461442, 0.2929303, 0.3965485, 0.6300385, 1.1504792, 2.3249813, 5.0051665", \
+					  "0.2461910, 0.2920253, 0.3950078, 0.6284855, 1.1504794, 2.3249525, 4.9963957", \
+					  "0.2468985, 0.2930959, 0.3965455, 0.6300804, 1.1503175, 2.3247402, 4.9963451", \
+					  "0.2464762, 0.2917636, 0.3980937, 0.6289121, 1.1503563, 2.3249149, 4.9963500", \
+					  "0.2470379, 0.2925124, 0.3974351, 0.6286214, 1.1500823, 2.3241542, 4.9965312");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("4.5135371, 4.5365520, 4.5853277, 4.6799968, 4.8596496, 5.1784057, 5.7336536", \
+					  "7.7597146, 7.7843367, 7.8357250, 7.9263041, 8.1062943, 8.4234264, 8.9791792", \
+					  "11.3886820, 11.4135130, 11.4632450, 11.5472280, 11.7318810, 12.0498960, 12.6058180", \
+					  "12.2450750, 12.2707520, 12.3203660, 12.4006290, 12.5896340, 12.9074850, 13.4632760", \
+					  "17.3416960, 17.3658190, 17.4167580, 17.4933990, 17.6868510, 18.0080080, 18.5634600", \
+					  "21.5208760, 21.5208769, 21.6048900, 21.6628330, 21.8603700, 22.1853490, 22.7494360", \
+					  "24.6481590, 24.6815400, 24.7524280, 24.8536590, 25.0229950, 25.3156200, 25.8949480", \
+					  "29.1239760, 29.1323850, 29.2162600, 29.2956790, 29.4667420, 29.7754920, 30.3343270", \
+					  "88.0108290, 88.0108300, 88.0897630, 88.1642560, 88.3531260, 88.5930190, 89.2332110", \
+					  "124.2385100, 124.2589200, 124.3112600, 124.4073400, 124.5931600, 124.9085700, 125.4568800", \
+					  "273.4559500, 273.4559784, 273.5477300, 273.6290700, 273.8011100, 274.0860100, 274.6884600", \
+					  "776.5053200, 776.5667600, 776.6531100, 776.6531678, 776.8738300, 777.2456500, 777.7810000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225097, 0.0506686, 0.114054, 0.256731, 0.577893, 1.30082");
+					values("0.1851177, 0.2012683, 0.2376245, 0.3160662, 0.4752526, 0.7518977, 1.3067339", \
+					  "0.1893041, 0.2068299, 0.2395594, 0.3180453, 0.4784743, 0.7526575, 1.3061179", \
+					  "0.1869556, 0.2074789, 0.2397136, 0.3179392, 0.4787539, 0.7545694, 1.3059380", \
+					  "0.1893176, 0.2075310, 0.2401125, 0.3185839, 0.4788505, 0.7543005, 1.3077378", \
+					  "0.1892555, 0.2047047, 0.2407675, 0.3193846, 0.4779425, 0.7519081, 1.3049880", \
+					  "0.1868662, 0.2072585, 0.2397826, 0.3182133, 0.4797818, 0.7533276, 1.3060051", \
+					  "0.1869771, 0.2067325, 0.2396730, 0.3200843, 0.4796484, 0.7525250, 1.3084154", \
+					  "0.1867278, 0.2075041, 0.2398054, 0.3179914, 0.4788925, 0.7516345, 1.3054356", \
+					  "0.1869984, 0.2066002, 0.2395621, 0.3200097, 0.4786682, 0.7525044, 1.3059227", \
+					  "0.1884726, 0.2069350, 0.2397456, 0.3179441, 0.4777271, 0.7524859, 1.3050383", \
+					  "0.1892196, 0.2046641, 0.2403740, 0.3204382, 0.4789000, 0.7518014, 1.3065429", \
+					  "0.1891628, 0.2071232, 0.2396529, 0.3194139, 0.4795519, 0.7542873, 1.3061052");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("4.5449271, 4.5838622, 4.6663025, 4.8470277, 5.2538884, 6.1665233, 8.2168237", \
+					  "7.0945125, 7.1301267, 7.2119093, 7.3941955, 7.8006153, 8.7129255, 10.7638570", \
+					  "9.2140295, 9.2494412, 9.3310062, 9.5130979, 9.9197042, 10.8319930, 12.8880500", \
+					  "9.6920525, 9.7281143, 9.8107691, 9.9923891, 10.3987290, 11.3110690, 13.3631410", \
+					  "12.5552650, 12.5860620, 12.6649920, 12.8526390, 13.2583320, 14.1701080, 16.2217740", \
+					  "14.9099990, 14.9612290, 15.0435610, 15.2154380, 15.6316610, 16.5256930, 18.5923080", \
+					  "16.7149780, 16.7625060, 16.8439730, 17.0260070, 17.4216290, 18.3417050, 20.3926780", \
+					  "19.2624640, 19.3103860, 19.3920380, 19.5735620, 19.9629970, 20.8949160, 22.9422880", \
+					  "54.5141990, 54.5142003, 54.6575270, 54.6575299, 54.9841770, 56.1240090, 58.1913430", \
+					  "76.8544060, 76.8544121, 77.0672410, 77.2516340, 77.6510660, 78.5994840, 80.5458740", \
+					  "172.2930600, 172.2930680, 172.2930832, 172.4470300, 173.0137900, 173.8986400, 175.8209000", \
+					  "510.2957100, 510.2957306, 510.2957612, 510.2957917, 510.4952300, 511.6514800, 513.5041200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.2437498, 0.2892320, 0.3927579, 0.6262933, 1.1499005, 2.3396081, 5.0177542", \
+					  "0.2453995, 0.2938265, 0.3948930, 0.6272866, 1.1490051, 2.3416038, 5.0178328", \
+					  "0.2441303, 0.2927777, 0.3942555, 0.6264033, 1.1511636, 2.3351621, 5.0414113", \
+					  "0.2438429, 0.2917973, 0.3955212, 0.6254995, 1.1508656, 2.3398710, 5.0332791", \
+					  "0.2440108, 0.2933865, 0.3949508, 0.6272074, 1.1540374, 2.3416629, 5.0030740", \
+					  "0.2452568, 0.2932160, 0.3943795, 0.6272833, 1.1504149, 2.3411286, 5.0293076", \
+					  "0.2440284, 0.2917391, 0.3953436, 0.6260943, 1.1540657, 2.3396336, 4.9922604", \
+					  "0.2437560, 0.2906369, 0.3953151, 0.6259272, 1.1541572, 2.3425188, 4.9990114", \
+					  "0.2464278, 0.2904785, 0.3952261, 0.6265605, 1.1529478, 2.3398119, 4.9973763", \
+					  "0.2440134, 0.2903105, 0.3951161, 0.6260374, 1.1500162, 2.3425868, 5.0111373", \
+					  "0.2440119, 0.2914363, 0.3951500, 0.6259594, 1.1539849, 2.3423516, 4.9987761", \
+					  "0.2440148, 0.2902633, 0.3951435, 0.6266657, 1.1530004, 2.3424360, 4.9957221");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("5.7753597, 5.7982854, 5.8410761, 5.9275624, 6.1021770, 6.4044374, 6.9398056", \
+					  "8.5081373, 8.5325951, 8.5786791, 8.6688994, 8.8369774, 9.1395007, 9.6819663", \
+					  "11.6911400, 11.7159200, 11.7639280, 11.8517280, 12.0197590, 12.3226070, 12.8648120", \
+					  "12.4132330, 12.4387000, 12.4877570, 12.5743600, 12.7430630, 13.0455790, 13.5865190", \
+					  "16.6149440, 16.6401720, 16.6914910, 16.7546560, 16.9439700, 17.2445360, 17.7898390", \
+					  "19.9756920, 20.0068350, 20.0491270, 20.1412700, 20.3103610, 20.6093400, 21.1495670", \
+					  "22.4883120, 22.5058480, 22.5348100, 22.6516600, 22.7929990, 23.1056610, 23.6665020", \
+					  "25.9662110, 26.0138350, 26.0490460, 26.1353300, 26.2853540, 26.5900990, 27.1457630", \
+					  "70.5559490, 70.5886980, 70.6292130, 70.6848270, 70.8854840, 71.2068630, 71.6986220", \
+					  "97.3368090, 97.3854740, 97.4274310, 97.4782710, 97.6682980, 97.9612620, 98.4958040", \
+					  "205.6171600, 205.6279600, 205.6828700, 205.7767100, 205.9597800, 206.2038500, 206.7967600", \
+					  "562.5175800, 562.6283200, 562.6283265, 562.6620800, 562.9430000, 563.2181200, 563.7320400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.1796743, 0.1945675, 0.2278342, 0.2994175, 0.4487486, 0.7195915, 1.2915723", \
+					  "0.1837373, 0.1972414, 0.2303364, 0.3023537, 0.4516218, 0.7276955, 1.2966700", \
+					  "0.1823793, 0.1981660, 0.2306432, 0.3018004, 0.4509685, 0.7266801, 1.2921033", \
+					  "0.1830717, 0.1973039, 0.2302869, 0.3023181, 0.4515927, 0.7236326, 1.2971532", \
+					  "0.1830583, 0.1974456, 0.2307291, 0.3018216, 0.4512940, 0.7282007, 1.2940901", \
+					  "0.1830550, 0.1973218, 0.2303327, 0.3019700, 0.4516697, 0.7275936, 1.2968656", \
+					  "0.1837638, 0.1974714, 0.2305530, 0.3020886, 0.4516506, 0.7265409, 1.2968432", \
+					  "0.1830652, 0.1973688, 0.2321129, 0.3020717, 0.4515732, 0.7265646, 1.2968474", \
+					  "0.1825540, 0.1982341, 0.2310374, 0.3038058, 0.4516925, 0.7267276, 1.2941471", \
+					  "0.1831039, 0.1985410, 0.2310750, 0.3020548, 0.4516923, 0.7271698, 1.2968838", \
+					  "0.1824017, 0.1975611, 0.2311582, 0.3020889, 0.4516621, 0.7274651, 1.2968550", \
+					  "0.1821231, 0.1988232, 0.2305331, 0.3021766, 0.4518272, 0.7273767, 1.2952641");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("4.5274069, 4.5632436, 4.6464241, 4.8274749, 5.2343934, 6.1470171, 8.1970422", \
+					  "6.7859411, 6.8223507, 6.9041999, 7.0865189, 7.4925852, 8.4053076, 10.4556750", \
+					  "8.4632488, 8.4983913, 8.5801997, 8.7621777, 9.1686871, 10.0808680, 12.1316570", \
+					  "8.8243173, 8.8615080, 8.9433385, 9.1251948, 9.5316768, 10.4437510, 12.4957230", \
+					  "10.9281020, 10.9658900, 11.0472720, 11.2296790, 11.6338090, 12.5480200, 14.6021110", \
+					  "12.6037090, 12.6396470, 12.7249800, 12.9042200, 13.3104310, 14.2231540, 16.2779180", \
+					  "13.8540710, 13.8886730, 13.9701990, 14.1610470, 14.5684050, 15.4778980, 17.5234710", \
+					  "15.5935620, 15.6315230, 15.7122940, 15.8959830, 16.3020850, 17.2147540, 19.2659490", \
+					  "38.1872520, 38.2040280, 38.2819960, 38.4949000, 38.8360630, 39.8101960, 41.8365720", \
+					  "52.0279850, 52.0647130, 52.1454060, 52.3555670, 52.7379420, 53.6502930, 55.6980130", \
+					  "109.2585000, 109.3993400, 109.3993416, 109.6597100, 110.1937500, 111.0719400, 112.9934300", \
+					  "307.6254100, 307.6254120, 307.7282400, 307.8751000, 308.3286200, 309.2320100, 311.2977800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.2438244, 0.2895211, 0.3927613, 0.6245048, 1.1531459, 2.3407523, 5.0092579", \
+					  "0.2456305, 0.2937344, 0.3949838, 0.6272881, 1.1495073, 2.3415681, 5.0284794", \
+					  "0.2438829, 0.2900222, 0.3950183, 0.6245222, 1.1497076, 2.3419073, 5.0399658", \
+					  "0.2439147, 0.2905726, 0.3952777, 0.6262190, 1.1481842, 2.3436362, 5.0111653", \
+					  "0.2460290, 0.2938709, 0.3948473, 0.6273177, 1.1499538, 2.3416704, 4.9943583", \
+					  "0.2458976, 0.2914132, 0.3953211, 0.6251466, 1.1508742, 2.3414328, 5.0261058", \
+					  "0.2438928, 0.2907032, 0.3953403, 0.6255087, 1.1528345, 2.3440354, 5.0151368", \
+					  "0.2439299, 0.2901301, 0.3951422, 0.6266987, 1.1530304, 2.3404905, 4.9988893", \
+					  "0.2456647, 0.2906042, 0.3950435, 0.6265565, 1.1540190, 2.3425000, 5.0039857", \
+					  "0.2450774, 0.2936540, 0.3949936, 0.6273328, 1.1525824, 2.3393649, 5.0278994", \
+					  "0.2436882, 0.2917280, 0.3951874, 0.6258861, 1.1541238, 2.3425493, 4.9990256", \
+					  "0.2438120, 0.2938455, 0.3953429, 0.6258932, 1.1539210, 2.3424760, 4.9989737");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("8.0129867, 8.0339522, 8.0853111, 8.1736875, 8.3348617, 8.6437089, 9.1844967", \
+					  "10.3423570, 10.3662390, 10.4130300, 10.5030140, 10.6720320, 10.9733120, 11.5160350", \
+					  "13.4855100, 13.5090730, 13.5565900, 13.6547740, 13.8175720, 14.1111470, 14.6592680", \
+					  "14.2373010, 14.2675320, 14.3079730, 14.3960320, 14.5648380, 14.8705840, 15.4109650", \
+					  "18.8623110, 18.8852030, 18.9272260, 19.0231050, 19.1837760, 19.4927150, 20.0359690", \
+					  "22.5522040, 22.5921630, 22.6394990, 22.7234780, 22.8795560, 23.2056370, 23.7272710", \
+					  "25.3183890, 25.3513730, 25.3965790, 25.4909270, 25.6574230, 25.9557280, 26.4683870", \
+					  "29.1298200, 29.1608050, 29.2039780, 29.2979450, 29.4616420, 29.7647520, 30.3046330", \
+					  "76.9723130, 76.9847550, 77.0468920, 77.1096750, 77.3013650, 77.5693680, 78.1503360", \
+					  "105.4540100, 105.4811300, 105.5240000, 105.6099700, 105.7970400, 106.0816000, 106.6250100", \
+					  "220.1145000, 220.1338600, 220.2192500, 220.2679900, 220.4934300, 220.7613100, 221.2685900", \
+					  "597.6140800, 597.6141053, 597.6151600, 597.7670400, 597.9904900, 598.1996200, 598.7639000");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0225185, 0.0507082, 0.114187, 0.257132, 0.579022, 1.30387");
+					values("0.1794315, 0.1952895, 0.2275903, 0.3000298, 0.4495098, 0.7201357, 1.2872671", \
+					  "0.1831155, 0.1974603, 0.2305604, 0.3019816, 0.4516721, 0.7269733, 1.2971755", \
+					  "0.1822086, 0.1985973, 0.2317430, 0.3020366, 0.4516329, 0.7264639, 1.2941446", \
+					  "0.1830572, 0.1985388, 0.2306025, 0.3019979, 0.4515719, 0.7268137, 1.2971830", \
+					  "0.1830778, 0.1974241, 0.2305708, 0.3019883, 0.4506945, 0.7269350, 1.2971010", \
+					  "0.1816232, 0.1989630, 0.2327451, 0.3037447, 0.4511924, 0.7283402, 1.2959342", \
+					  "0.1830545, 0.1973613, 0.2303121, 0.3020644, 0.4516582, 0.7278072, 1.2887040", \
+					  "0.1829727, 0.1974005, 0.2308664, 0.3022461, 0.4515939, 0.7263849, 1.2969066", \
+					  "0.1836728, 0.1989161, 0.2303676, 0.3023055, 0.4507031, 0.7277788, 1.2971086", \
+					  "0.1822882, 0.1983145, 0.2313280, 0.3039584, 0.4517490, 0.7272750, 1.2932209", \
+					  "0.1830585, 0.1974639, 0.2308540, 0.3020527, 0.4516463, 0.7272217, 1.2967604", \
+					  "0.1835917, 0.1974379, 0.2306314, 0.3022928, 0.4516382, 0.7275454, 1.2959964");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("23.2776660, 23.3126190, 23.3965190, 23.5774990, 23.9788490, 24.8894790, 26.9220790", \
+					  "23.9432230, 23.9799700, 24.0611340, 24.2437960, 24.6470540, 25.5537000, 27.5843100", \
+					  "24.6377690, 24.6745060, 24.7550620, 24.9375540, 25.3416190, 26.2481470, 28.2778370", \
+					  "25.3370820, 25.3737970, 25.4562880, 25.6366380, 26.0409420, 26.9475710, 28.9769540", \
+					  "26.0339020, 26.0704760, 26.1533530, 26.3383540, 26.7377890, 27.6448040, 29.6788760", \
+					  "26.7211750, 26.7561670, 26.8396630, 27.0074750, 27.4252670, 28.3329540, 30.3653140", \
+					  "27.3561690, 27.3837470, 27.4686460, 27.6437610, 28.0614620, 28.9688270, 30.9888650");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("0.2481500, 0.2938291, 0.3920473, 0.6315173, 1.1464336, 2.3342239, 5.0053566", \
+					  "0.2440552, 0.2909719, 0.3953044, 0.6314680, 1.1464595, 2.3211482, 4.9600235", \
+					  "0.2440554, 0.2910301, 0.3936489, 0.6330369, 1.1464337, 2.3206506, 4.9681717", \
+					  "0.2440588, 0.2910272, 0.3929655, 0.6316129, 1.1464608, 2.3211431, 4.9617017", \
+					  "0.2445192, 0.2929237, 0.3920265, 0.6330103, 1.1472213, 2.3262849, 5.0048659", \
+					  "0.2481626, 0.2938287, 0.3920381, 0.6314971, 1.1518840, 2.3343885, 5.0055218", \
+					  "0.2454516, 0.2938213, 0.3924343, 0.6231777, 1.1637604, 2.3596554, 4.9712878");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("16.1671030, 16.1867890, 16.2331910, 16.3231140, 16.4942460, 16.7790720, 17.3066360", \
+					  "16.6710790, 16.6912330, 16.7374040, 16.8328050, 16.9896650, 17.2791670, 17.8107480", \
+					  "17.0547260, 17.0742940, 17.1206870, 17.2085400, 17.3787990, 17.6625240, 18.1942210", \
+					  "17.3798610, 17.4088440, 17.4498940, 17.5418150, 17.7047000, 17.9924340, 18.5287410", \
+					  "17.6886270, 17.7120610, 17.7664020, 17.8527540, 18.0086420, 18.3081160, 18.8395340", \
+					  "17.9691670, 17.9832880, 18.0387920, 18.1279100, 18.2818130, 18.5802590, 19.1120750", \
+					  "18.2296970, 18.2458600, 18.2992000, 18.3829880, 18.5470310, 18.8409270, 19.3725050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224876, 0.0505694, 0.113719, 0.255726, 0.575067, 1.29319");
+					values("0.1755944, 0.1950427, 0.2246519, 0.2937506, 0.4390287, 0.7085651, 1.2722122", \
+					  "0.1755311, 0.1938978, 0.2249296, 0.2939042, 0.4378816, 0.7088994, 1.2725028", \
+					  "0.1756878, 0.1951591, 0.2244856, 0.2948045, 0.4382025, 0.7078373, 1.2713296", \
+					  "0.1753069, 0.1904133, 0.2253736, 0.2935978, 0.4382155, 0.7085132, 1.2707710", \
+					  "0.1780278, 0.1921957, 0.2260768, 0.2933056, 0.4383879, 0.7074106, 1.2712408", \
+					  "0.1768367, 0.1918437, 0.2260243, 0.2932941, 0.4384907, 0.7075101, 1.2710782", \
+					  "0.1767622, 0.1905254, 0.2250252, 0.2940958, 0.4390252, 0.7089729, 1.2725968");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.271450;
+			max_transition : 3.757306;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.7802331, 0.7809031, 0.7827470, 0.7873176, 0.7904836, 0.7960645, 0.7870450", \
+					  "0.8615590, 0.8610314, 0.8675591, 0.8662127, 0.8688606, 0.8764839, 0.8706863", \
+					  "-0.0515597, -0.0517652, -0.0531881, -0.0462672, -0.0431529, -0.0409281, -0.0555214", \
+					  "-0.0204382, -0.0194275, -0.0169658, -0.0141211, -0.0093230, -0.0137051, -0.0137916", \
+					  "0.0107547, 0.0118366, 0.0140095, 0.0168368, 0.0119274, 0.0204068, 0.0052612", \
+					  "0.0488822, 0.0481938, 0.0468448, 0.0428339, 0.0352529, 0.0470883, 0.0387813", \
+					  "0.1007175, 0.1010460, 0.0996072, 0.0956521, 0.0749396, 0.0763486, 0.0479416");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02252507, 0.05073786, 0.1142874, 0.257433, 0.5798695, 1.30616");
+					values("0.7332829, 0.7344872, 0.7420580, 0.7547295, 0.7679264, 0.7789708, 0.7833264", \
+					  "0.6309719, 0.6334415, 0.6414919, 0.6526429, 0.6666716, 0.6767841, 0.6814811", \
+					  "1.6109370, 1.6130344, 1.6215655, 1.6334084, 1.6479020, 1.6575701, 1.6619215", \
+					  "1.6066996, 1.6123686, 1.6279272, 1.6315509, 1.6453170, 1.6639109, 1.6580743", \
+					  "1.6083690, 1.6099071, 1.6267444, 1.6278503, 1.6415993, 1.6625325, 1.6590426", \
+					  "1.6080010, 1.6081467, 1.6225026, 1.6265038, 1.6407011, 1.6596159, 1.6586028", \
+					  "1.6111092, 1.6070274, 1.6202639, 1.6246994, 1.6390972, 1.6590990, 1.6625928");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.7139762, 0.7152039, 0.7172030, 0.7197837, 0.7216292, 0.7211800, 0.7228392", \
+					  "0.8075183, 0.8083173, 0.8104767, 0.8125510, 0.8172589, 0.8144985, 0.8002003", \
+					  "-0.3299453, -0.3296283, -0.3278850, -0.3258867, -0.3241360, -0.3193003, -0.3355509", \
+					  "-0.2382488, -0.2375792, -0.2383643, -0.2380960, -0.2636088, -0.3036807, -0.3252034", \
+					  "-0.2268371, -0.2256426, -0.2246858, -0.2228838, -0.2195180, -0.2240440, -0.2957170", \
+					  "-0.2120884, -0.2122564, -0.2114845, -0.2122013, -0.2095056, -0.2037573, -0.2680773", \
+					  "-0.1981495, -0.1978729, -0.1971629, -0.1963456, -0.1936299, -0.1878389, -0.2092181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.8930811, 0.8996349, 0.9113339, 0.9319855, 0.9564501, 0.9746276, 0.9824783", \
+					  "0.7311690, 0.7419662, 0.7496189, 0.7696543, 0.7950721, 0.8119508, 0.8205160", \
+					  "1.9062822, 1.9256829, 1.9258067, 1.9493643, 1.9703911, 1.9874762, 1.9959485", \
+					  "1.9036600, 1.9249123, 1.9213860, 1.9544694, 1.9693479, 1.9845948, 1.9921840", \
+					  "1.9009644, 1.9158312, 1.9179601, 1.9501772, 1.9744889, 1.9820942, 1.9909636", \
+					  "1.8938221, 1.9139397, 1.9136871, 1.9452211, 1.9712196, 1.9803974, 1.9897560", \
+					  "1.8709121, 1.8819273, 1.8835922, 1.9196081, 1.9521355, 1.9767586, 1.9962211");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.7717648, 0.7730631, 0.7743962, 0.7773593, 0.7811894, 0.7797515, 0.7845247", \
+					  "1.0558461, 1.0566027, 1.0586182, 1.0611216, 1.0636265, 1.0633343, 1.0599209", \
+					  "0.0994343, 0.1005985, 0.1020401, 0.1038923, 0.1062823, 0.1052412, 0.1009303", \
+					  "0.3346009, 0.3342795, 0.3282655, 0.3129543, 0.2847183, 0.2793867, 0.2859299", \
+					  "0.5134821, 0.5223424, 0.5211171, 0.5142803, 0.5207927, 0.4677639, 0.4418721", \
+					  "0.6660203, 0.6756885, 0.6679325, 0.6698844, 0.6734045, 0.6753217, 0.6077329", \
+					  "0.8173115, 0.8176771, 0.8174552, 0.8171611, 0.8181442, 0.8251357, 0.7757524");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.9413400, 0.9473127, 0.9585079, 0.9777176, 1.0010737, 1.0169679, 1.0241351", \
+					  "0.7637792, 0.7713355, 0.7810855, 0.8000877, 0.8245939, 0.8399781, 0.8459340", \
+					  "1.9441811, 1.9511621, 1.9625085, 1.9812483, 2.0050944, 2.0206337, 2.0274327", \
+					  "1.9382267, 1.9445188, 1.9682966, 1.9746626, 1.9984743, 2.0148501, 2.0218996", \
+					  "1.9152540, 1.9231487, 1.9490724, 1.9627340, 1.9926144, 2.0100161, 2.0183293", \
+					  "1.8753389, 1.8828452, 1.9078975, 1.9224706, 1.9542464, 1.9911601, 2.0164723", \
+					  "1.9306583, 1.9312191, 1.9443537, 1.9358710, 1.9360078, 1.9546693, 1.9966888");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("1.1373145, 1.1406155, 1.1415996, 1.1631351, 1.2204388, 1.4444361, 1.4344860", \
+					  "1.1283339, 1.1313024, 1.1413992, 1.1535780, 1.2144266, 1.4366480, 1.4254735", \
+					  "1.1189781, 1.1234060, 1.1290599, 1.1461732, 1.2104094, 1.4281651, 1.4169413", \
+					  "1.1200935, 1.1232544, 1.1295085, 1.1457251, 1.2017213, 1.4291433, 1.4175421", \
+					  "1.1201948, 1.1234539, 1.1309305, 1.1459820, 1.2092078, 1.4292108, 1.4171664", \
+					  "1.1196487, 1.1229720, 1.1297040, 1.1454695, 1.2011199, 1.4283735, 1.4169226", \
+					  "1.1187380, 1.1220062, 1.1293151, 1.1444472, 1.2098277, 1.4190578, 1.4168181");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.02242418, 0.05028438, 0.1127586, 0.2528519, 0.5669996, 1.27145");
+					values("0.9417629, 0.9463456, 0.9566949, 0.9771678, 1.0059150, 1.0256591, 1.0399340", \
+					  "0.9377828, 0.9410040, 0.9498383, 0.9696800, 0.9988057, 1.0185051, 1.0327972", \
+					  "0.9312815, 0.9357726, 0.9422416, 0.9635599, 0.9919974, 1.0117229, 1.0257215", \
+					  "0.9298311, 0.9338247, 0.9429548, 0.9630258, 0.9912731, 1.0113602, 1.0258261", \
+					  "0.9290721, 0.9348222, 0.9436391, 0.9637528, 0.9913855, 1.0123280, 1.0261866", \
+					  "0.9299473, 0.9321376, 0.9445135, 0.9637332, 0.9911453, 1.0118724, 1.0259820", \
+					  "0.9321334, 0.9351835, 0.9425402, 0.9624915, 0.9917189, 1.0115558, 1.0257464");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("6.4626846, 6.4991732, 6.5756942, 6.7321042, 7.0436945, 7.6916118, 9.1055652", \
+					  "9.7248654, 9.7613189, 9.8379651, 9.9943023, 10.3056290, 10.9520110, 12.3717210", \
+					  "12.8703050, 12.9068150, 12.9833160, 13.1386810, 13.4495470, 14.0934110, 15.5108280", \
+					  "13.5734320, 13.6099120, 13.6862580, 13.8409780, 14.1521180, 14.8056560, 16.2185710", \
+					  "17.8178500, 17.8543560, 17.9327450, 18.0862540, 18.3970630, 19.0361840, 20.4581840", \
+					  "21.3192820, 21.3558340, 21.4117380, 21.5887720, 21.9001600, 22.5458270, 23.9635490", \
+					  "23.9797850, 24.0163320, 24.1035350, 24.2492800, 24.5606630, 25.2141900, 26.6227660", \
+					  "27.7529240, 27.7900800, 27.8780640, 28.0228370, 28.3342290, 28.9845090, 30.4002260", \
+					  "79.4334700, 79.4334755, 79.5699290, 79.5699349, 79.7324730, 80.7276660, 81.8372000", \
+					  "112.4454600, 112.4454613, 112.5028100, 112.7410200, 113.0227100, 113.5293200, 115.0894000", \
+					  "252.7021900, 252.9479000, 253.0558400, 253.1382700, 253.4751500, 254.1551100, 255.5578900", \
+					  "756.4835300, 756.4835511, 756.7466000, 756.7466126, 757.0463900, 757.0464173, 758.9679900");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.4207322, 0.4540991, 0.5283489, 0.6848029, 1.0408502, 1.8615116, 3.7371475", \
+					  "0.4237488, 0.4564056, 0.5281521, 0.6853761, 1.0394695, 1.8578099, 3.7646051", \
+					  "0.4230875, 0.4562172, 0.5280427, 0.6829506, 1.0358925, 1.8624378, 3.7160934", \
+					  "0.4225525, 0.4554352, 0.5280258, 0.6862939, 1.0373929, 1.8605585, 3.7529877", \
+					  "0.4232461, 0.4563019, 0.5282264, 0.6830754, 1.0364986, 1.8625184, 3.7220687", \
+					  "0.4237311, 0.4566036, 0.5285690, 0.6855612, 1.0370685, 1.8510022, 3.7332177", \
+					  "0.4237348, 0.4566007, 0.5281565, 0.6855617, 1.0399164, 1.8648254, 3.7176429", \
+					  "0.4235549, 0.4562281, 0.5283308, 0.6845600, 1.0405771, 1.8606229, 3.7362917", \
+					  "0.4237447, 0.4562256, 0.5281820, 0.6846973, 1.0397704, 1.8579711, 3.7453272", \
+					  "0.4221785, 0.4562220, 0.5282949, 0.6841399, 1.0403667, 1.8639785, 3.7424743", \
+					  "0.4237097, 0.4566150, 0.5280146, 0.6855610, 1.0400153, 1.8599537, 3.7199235", \
+					  "0.4235958, 0.4568556, 0.5283836, 0.6842811, 1.0404740, 1.8511984, 3.7525809");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("6.7952302, 6.8177153, 6.8745796, 6.9736665, 7.1756938, 7.5344149, 8.1809128", \
+					  "10.0442800, 10.0667630, 10.1204190, 10.2222720, 10.4240530, 10.7821620, 11.4298630", \
+					  "13.6710900, 13.6959970, 13.7500760, 13.8532390, 14.0528230, 14.4098490, 15.0578450", \
+					  "14.5289610, 14.5522320, 14.6069310, 14.7079740, 14.9089260, 15.2706560, 15.9121480", \
+					  "19.6097790, 19.6462210, 19.7052950, 19.7862860, 20.0052760, 20.3670930, 20.9996750", \
+					  "23.7909570, 23.8136570, 23.8935570, 23.9782630, 24.1606040, 24.5542320, 25.2578260", \
+					  "26.9936180, 27.0162830, 27.0240360, 27.1683300, 27.3196750, 27.7009540, 28.3732860", \
+					  "31.4172440, 31.4379240, 31.4956240, 31.5909010, 31.7727820, 32.2561110, 32.7962080", \
+					  "90.2872660, 90.3079710, 90.3757710, 90.4695980, 90.6278570, 91.0504950, 91.6697830", \
+					  "126.5287900, 126.5448200, 126.6255700, 126.7047200, 126.8992700, 127.2638700, 127.9159500", \
+					  "275.7713600, 275.7713776, 275.8446300, 275.8736700, 276.0531600, 276.5227700, 277.0763400", \
+					  "778.8759100, 778.8999600, 779.0207000, 779.0336600, 779.2383500, 779.6054400, 780.1934300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022456, 0.0504273, 0.11324, 0.254291, 0.571036, 1.28232");
+					values("0.3226042, 0.3427650, 0.3831116, 0.4772382, 0.6519483, 0.9760758, 1.6625862", \
+					  "0.3232790, 0.3414181, 0.3866062, 0.4748504, 0.6517627, 0.9787171, 1.6682050", \
+					  "0.3231723, 0.3415258, 0.3838196, 0.4742453, 0.6520709, 0.9778830, 1.6656676", \
+					  "0.3232996, 0.3423005, 0.3851068, 0.4753023, 0.6513579, 0.9743708, 1.6679372", \
+					  "0.3227101, 0.3419135, 0.3845085, 0.4748675, 0.6519950, 0.9780107, 1.6635643", \
+					  "0.3227181, 0.3417997, 0.3842876, 0.4733797, 0.6517115, 0.9786342, 1.6662388", \
+					  "0.3206420, 0.3409308, 0.3831136, 0.4765578, 0.6530204, 0.9763419, 1.6636341", \
+					  "0.3230405, 0.3424648, 0.3862083, 0.4750215, 0.6521992, 0.9782840, 1.6610352", \
+					  "0.3224658, 0.3427646, 0.3864822, 0.4776568, 0.6515917, 0.9783062, 1.6682409", \
+					  "0.3228608, 0.3426805, 0.3840510, 0.4750206, 0.6520415, 0.9782393, 1.6614820", \
+					  "0.3243799, 0.3418228, 0.3848696, 0.4750418, 0.6521092, 0.9794986, 1.6662220", \
+					  "0.3232584, 0.3429026, 0.3842526, 0.4774229, 0.6516758, 0.9788823, 1.6686407");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.9074473, 4.9435744, 5.0199644, 5.1727396, 5.4819580, 6.1283938, 7.5369764", \
+					  "7.1660051, 7.2021415, 7.2774884, 7.4317650, 7.7409767, 8.3859506, 9.7969988", \
+					  "8.8419831, 8.8780299, 8.9539478, 9.1071994, 9.4167643, 10.0601430, 11.4721840", \
+					  "9.2051753, 9.2412451, 9.3179260, 9.4706509, 9.7805994, 10.4239600, 11.8325400", \
+					  "11.3103260, 11.3467880, 11.4268450, 11.5740400, 11.8855200, 12.5299360, 13.9381760", \
+					  "12.9852820, 13.0244150, 13.0964390, 13.2520090, 13.5595770, 14.2052680, 15.6105210", \
+					  "14.2411000, 14.2683710, 14.3463380, 14.4976030, 14.8084280, 15.4537610, 16.8623870", \
+					  "15.9751360, 16.0111000, 16.0891870, 16.2405040, 16.5499720, 17.1950950, 18.6028760", \
+					  "38.5524610, 38.5846660, 38.6887540, 38.8108500, 39.1500200, 39.7676660, 41.1767330", \
+					  "52.4095750, 52.4456250, 52.5270880, 52.6739260, 52.9869450, 53.6227490, 55.0533170", \
+					  "109.7576400, 109.7713600, 110.0405200, 110.0405236, 110.3272100, 110.9615200, 112.4881500", \
+					  "308.3371500, 308.3371735, 308.3372040, 308.3372345, 308.8490200, 309.8016600, 311.1603600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.4148868, 0.4469559, 0.5204008, 0.6797389, 1.0350336, 1.8532540, 3.7166386", \
+					  "0.4148309, 0.4498045, 0.5222931, 0.6801960, 1.0347323, 1.8525139, 3.7507513", \
+					  "0.4136341, 0.4488351, 0.5198514, 0.6785185, 1.0366029, 1.8448482, 3.7043317", \
+					  "0.4159079, 0.4494148, 0.5222535, 0.6807148, 1.0278934, 1.8487218, 3.7093320", \
+					  "0.4150411, 0.4495329, 0.5205348, 0.6809231, 1.0358161, 1.8498169, 3.7304568", \
+					  "0.4145749, 0.4496053, 0.5191976, 0.6776486, 1.0368898, 1.8536546, 3.7426582", \
+					  "0.4150079, 0.4487388, 0.5195524, 0.6786039, 1.0363517, 1.8541262, 3.7638346", \
+					  "0.4150436, 0.4493239, 0.5213470, 0.6810170, 1.0332584, 1.8375697, 3.7261247", \
+					  "0.4148402, 0.4493852, 0.5222805, 0.6809438, 1.0352554, 1.8561915, 3.7436627", \
+					  "0.4149044, 0.4493937, 0.5190816, 0.6810063, 1.0344516, 1.8538301, 3.7149892", \
+					  "0.4150520, 0.4492181, 0.5213998, 0.6810207, 1.0331289, 1.8538443, 3.7200387", \
+					  "0.4145520, 0.4494260, 0.5213902, 0.6809002, 1.0327936, 1.8493876, 3.7186876");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("7.6986915, 7.7233908, 7.7774969, 7.8880236, 8.0987119, 8.4702236, 9.1267820", \
+					  "10.0360860, 10.0629300, 10.1157780, 10.2237470, 10.4348230, 10.8046710, 11.4619660", \
+					  "13.1755820, 13.2002710, 13.2542690, 13.3628810, 13.5740920, 13.9449270, 14.6015270", \
+					  "13.9296550, 13.9570570, 14.0127860, 14.1171290, 14.3282200, 14.6983150, 15.3558220", \
+					  "18.5419320, 18.5822950, 18.6335590, 18.7298730, 18.9401440, 19.3236860, 19.9710700", \
+					  "22.2508410, 22.2823950, 22.3409010, 22.4382430, 22.6597180, 23.0001230, 23.6706850", \
+					  "25.0175360, 25.0489550, 25.0988050, 25.2051940, 25.4146590, 25.7865640, 26.4434930", \
+					  "28.8188420, 28.8565010, 28.9028070, 29.0068560, 29.2173310, 29.5864610, 30.2454420", \
+					  "76.6672490, 76.6788520, 76.7349700, 76.8551370, 77.0522350, 77.3918100, 78.0923560", \
+					  "105.1519800, 105.1661100, 105.2321300, 105.3382700, 105.5468500, 105.9205000, 106.5749000", \
+					  "219.8205100, 219.8205185, 219.8848700, 220.0085600, 220.2135500, 220.5695600, 221.2466500", \
+					  "597.2590900, 597.2710800, 597.3607200, 597.4744700, 597.6515900, 598.0458600, 598.7059200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.3215036, 0.3417872, 0.3864113, 0.4847966, 0.6706419, 0.9991478, 1.6779552", \
+					  "0.3236193, 0.3448352, 0.3877597, 0.4852496, 0.6707139, 1.0000624, 1.6791816", \
+					  "0.3236356, 0.3451104, 0.3885345, 0.4847003, 0.6694363, 0.9985708, 1.6764347", \
+					  "0.3237355, 0.3452418, 0.3885682, 0.4849854, 0.6696850, 0.9988945, 1.6781297", \
+					  "0.3237438, 0.3430158, 0.3876980, 0.4851458, 0.6705631, 0.9997660, 1.6792306", \
+					  "0.3237854, 0.3433929, 0.3878196, 0.4847984, 0.6699842, 0.9994970, 1.6761094", \
+					  "0.3236415, 0.3437318, 0.3876645, 0.4849937, 0.6702350, 0.9993393, 1.6800477", \
+					  "0.3234641, 0.3449432, 0.3882736, 0.4842226, 0.6689268, 0.9979576, 1.6766194", \
+					  "0.3239958, 0.3451073, 0.3885184, 0.4851351, 0.6705342, 0.9995278, 1.6749987", \
+					  "0.3237092, 0.3425152, 0.3869858, 0.4839397, 0.6699383, 0.9986922, 1.6789173", \
+					  "0.3240464, 0.3444852, 0.3889615, 0.4855376, 0.6695903, 0.9993314, 1.6751602", \
+					  "0.3244165, 0.3456416, 0.3891245, 0.4849665, 0.6703016, 0.9998173, 1.6801709");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("4.9279278, 4.9654525, 5.0396682, 5.1920153, 5.5027782, 6.1491345, 7.5568902", \
+					  "7.4746493, 7.5107787, 7.5864399, 7.7409110, 8.0496783, 8.6928251, 10.1023610", \
+					  "9.5931698, 9.6219637, 9.7049551, 9.8601323, 10.1683820, 10.8135300, 12.2161650", \
+					  "10.0716430, 10.1056430, 10.1840620, 10.3385430, 10.6474600, 11.2883510, 12.7008870", \
+					  "12.9301430, 12.9701720, 13.0441990, 13.2002400, 13.5094660, 14.1523810, 15.5545690", \
+					  "15.3051150, 15.3416530, 15.4150490, 15.5641810, 15.8804540, 16.5078510, 17.9311270", \
+					  "17.1062720, 17.1412130, 17.2171750, 17.3782520, 17.6820800, 18.3160050, 19.7212820", \
+					  "19.6541040, 19.6993820, 19.7643680, 19.9169780, 20.2294130, 20.8847780, 22.2608330", \
+					  "54.5789830, 54.6338550, 54.7272560, 54.8453980, 55.1258590, 56.1442200, 57.2689490", \
+					  "77.1730630, 77.3519700, 77.4327800, 77.6472220, 77.9621060, 78.4556490, 79.7609020", \
+					  "172.4351800, 172.5648100, 172.6419900, 172.9606000, 173.2031900, 173.7067100, 175.0952700", \
+					  "510.4781200, 510.5382000, 510.5801500, 510.5801545, 511.0584900, 511.0585175, 512.3519000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.4136332, 0.4467516, 0.5185804, 0.6809164, 1.0341025, 1.8501514, 3.7109842", \
+					  "0.4148962, 0.4498155, 0.5191185, 0.6798536, 1.0350141, 1.8513807, 3.7410697", \
+					  "0.4154865, 0.4499027, 0.5223178, 0.6811584, 1.0335657, 1.8385385, 3.7238077", \
+					  "0.4153824, 0.4474549, 0.5197813, 0.6798633, 1.0352617, 1.8444691, 3.7033989", \
+					  "0.4144310, 0.4492189, 0.5203291, 0.6808606, 1.0340574, 1.8531059, 3.6957657", \
+					  "0.4144478, 0.4499103, 0.5201059, 0.6806748, 1.0336432, 1.8541472, 3.7441334", \
+					  "0.4150565, 0.4492827, 0.5225360, 0.6812220, 1.0331304, 1.8544430, 3.7469756", \
+					  "0.4153677, 0.4479735, 0.5222256, 0.6811843, 1.0338445, 1.8525528, 3.7220000", \
+					  "0.4160118, 0.4493697, 0.5225292, 0.6812267, 1.0354257, 1.8536467, 3.7475831", \
+					  "0.4151488, 0.4493542, 0.5225262, 0.6812263, 1.0352610, 1.8528780, 3.7473065", \
+					  "0.4147127, 0.4492816, 0.5221524, 0.6812257, 1.0347061, 1.8538905, 3.7218322", \
+					  "0.4151410, 0.4494518, 0.5202773, 0.6812193, 1.0353797, 1.8550340, 3.7512469");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("5.4593610, 5.4852110, 5.5393650, 5.6464584, 5.8567990, 6.2287087, 6.8854096", \
+					  "8.1995282, 8.2260714, 8.2794225, 8.3871825, 8.5994296, 8.9674197, 9.6251540", \
+					  "11.3814270, 11.4071530, 11.4671100, 11.5691000, 11.7788580, 12.1549130, 12.8034210", \
+					  "12.1058420, 12.1136060, 12.1650330, 12.2933970, 12.5013760, 12.8685060, 13.5150430", \
+					  "16.3084830, 16.3316220, 16.3848420, 16.4959810, 16.6972140, 17.0764630, 17.7314020", \
+					  "19.6731400, 19.6944630, 19.7481230, 19.8605270, 20.0640270, 20.4284930, 21.0939210", \
+					  "22.1836700, 22.2100170, 22.2640220, 22.3710220, 22.5783500, 22.9409740, 23.6096670", \
+					  "25.6689210, 25.6886320, 25.7394030, 25.8474820, 26.0777370, 26.4295870, 27.0863990", \
+					  "70.2171620, 70.2604340, 70.3242340, 70.4085610, 70.6406030, 71.0293340, 71.6710100", \
+					  "97.0503040, 97.0503045, 97.1383400, 97.2382350, 97.3992510, 97.8265080, 98.4693000", \
+					  "205.3481700, 205.3481827, 205.4011100, 205.5239000, 205.6916500, 206.0920800, 206.7202700", \
+					  "562.3436400, 562.3436585, 562.3437195, 562.4770600, 562.6270100, 563.0397500, 563.6197200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224326, 0.0503221, 0.112885, 0.253231, 0.568062, 1.27431");
+					values("0.3215716, 0.3414707, 0.3856138, 0.4841459, 0.6699749, 0.9993114, 1.6771206", \
+					  "0.3249021, 0.3436314, 0.3876718, 0.4849403, 0.6701643, 0.9992934, 1.6788850", \
+					  "0.3233796, 0.3449226, 0.3876827, 0.4842919, 0.6693575, 0.9984582, 1.6771081", \
+					  "0.3248309, 0.3441338, 0.3876771, 0.4849419, 0.6701742, 0.9994956, 1.6793728", \
+					  "0.3234147, 0.3449682, 0.3881769, 0.4847016, 0.6691863, 1.0006522, 1.6775575", \
+					  "0.3234343, 0.3437912, 0.3876856, 0.4849084, 0.6701854, 0.9995843, 1.6788835", \
+					  "0.3234112, 0.3449645, 0.3878081, 0.4849461, 0.6689550, 0.9995871, 1.6786904", \
+					  "0.3235279, 0.3448698, 0.3882931, 0.4849547, 0.6689235, 0.9988376, 1.6749869", \
+					  "0.3232805, 0.3426555, 0.3875888, 0.4836925, 0.6693615, 0.9986472, 1.6787094", \
+					  "0.3235022, 0.3450894, 0.3877708, 0.4844858, 0.6705754, 1.0017307, 1.6793891", \
+					  "0.3239561, 0.3452846, 0.3885474, 0.4847945, 0.6710543, 0.9996341, 1.6785097", \
+					  "0.3243502, 0.3441834, 0.3890412, 0.4856939, 0.6714590, 0.9998738, 1.6797963");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("20.1549180, 20.1912300, 20.2670110, 20.4215970, 20.7303380, 21.3735780, 22.7716250", \
+					  "20.8206700, 20.8580570, 20.9345320, 21.0874750, 21.3979590, 22.0402200, 23.4384870", \
+					  "21.5169730, 21.5524740, 21.6288550, 21.7821580, 22.0923710, 22.7346730, 24.1324420", \
+					  "22.2159910, 22.2520760, 22.3277700, 22.4823840, 22.7913000, 23.4338590, 24.8325960", \
+					  "22.9119390, 22.9520420, 23.0243560, 23.1785360, 23.4878660, 24.1306180, 25.5327740", \
+					  "23.5980210, 23.6211650, 23.7105060, 23.8515290, 24.1737870, 24.8170910, 26.2015550", \
+					  "24.2225460, 24.2588720, 24.3369860, 24.4892620, 24.8107100, 25.4536610, 26.8392490");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("0.4201525, 0.4530654, 0.5249244, 0.6807582, 1.0337803, 1.8307619, 3.6830508", \
+					  "0.4200236, 0.4531031, 0.5250981, 0.6813247, 1.0342448, 1.8303287, 3.6830901", \
+					  "0.4213910, 0.4532144, 0.5250619, 0.6819235, 1.0340759, 1.8303022, 3.6833037", \
+					  "0.4199597, 0.4530707, 0.5249545, 0.6807561, 1.0338168, 1.8302518, 3.6818841", \
+					  "0.4201533, 0.4530650, 0.5248220, 0.6807612, 1.0340249, 1.8306956, 3.6832204", \
+					  "0.4201525, 0.4530645, 0.5249247, 0.6807610, 1.0337636, 1.8307769, 3.6830516", \
+					  "0.4201410, 0.4530087, 0.5246988, 0.6809603, 1.0340599, 1.8306536, 3.6824592");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("19.0938320, 19.1214460, 19.1899080, 19.3310010, 19.5916940, 20.0702100, 20.8647020", \
+					  "19.5936780, 19.6269290, 19.6989200, 19.8295920, 20.0963390, 20.5744060, 21.3684480", \
+					  "19.9786370, 20.0107860, 20.0790550, 20.2140150, 20.4806390, 20.9578520, 21.7518390", \
+					  "20.3066100, 20.3402650, 20.4092300, 20.5450150, 20.8044230, 21.2886460, 22.0821690", \
+					  "20.6101960, 20.6437500, 20.7149440, 20.8520330, 21.1099940, 21.5962790, 22.3863360", \
+					  "20.8870610, 20.9189000, 20.9911380, 21.1274590, 21.3852260, 21.8702390, 22.6639600", \
+					  "21.1423450, 21.1792380, 21.2557580, 21.3913830, 21.6402400, 22.1361190, 22.9302370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.0224242, 0.0502844, 0.112759, 0.252852, 0.567, 1.27145");
+					values("0.4795883, 0.5019973, 0.5505683, 0.6635890, 0.9041225, 1.2955894, 1.9908351", \
+					  "0.4799499, 0.5024393, 0.5504317, 0.6645174, 0.9011236, 1.2939644, 1.9914406", \
+					  "0.4801444, 0.5025346, 0.5515758, 0.6620601, 0.9013719, 1.2955066, 1.9906334", \
+					  "0.4786102, 0.5012754, 0.5495387, 0.6636490, 0.9036352, 1.2932068, 1.9906561", \
+					  "0.4808538, 0.5029029, 0.5541536, 0.6676082, 0.9036298, 1.2941318, 1.9950632", \
+					  "0.4795622, 0.5014108, 0.5520478, 0.6630060, 0.9028976, 1.2957062, 1.9882977", \
+					  "0.4794124, 0.5018840, 0.5508329, 0.6641862, 0.9035042, 1.2939276, 1.9909654");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195242;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3427250, 6.3398288, 6.3385916, 6.3404061, 6.2352207, 5.2726014, -10.9367042", \
+					  "6.3239588, 6.3251427, 6.3229583, 6.3259051, 6.2459413, 5.2395462, -11.2247849", \
+					  "6.3092237, 6.3107199, 6.3082453, 6.3090992, 6.2360882, 5.2606766, -11.1378535", \
+					  "6.3091864, 6.3104189, 6.3089214, 6.3133129, 6.2280382, 5.2537130, -11.0151693", \
+					  "6.3137136, 6.3132795, 6.3129417, 6.3140818, 6.2471479, 5.1672795, -11.3025292", \
+					  "6.3137075, 6.3145836, 6.3102849, 6.3126060, 6.2339324, 5.2716019, -11.0504430", \
+					  "6.3139127, 6.3123411, 6.3120184, 6.3182712, 6.2074540, 5.2524931, -11.2766867");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.8054758, 3.8066350, 3.8322399, 3.9394732, 4.2667596, 5.2045801, 5.6154515", \
+					  "3.7304521, 3.7332871, 3.7560502, 3.8699599, 4.1899461, 5.1293761, 5.5462848", \
+					  "3.6575019, 3.6607874, 3.6823661, 3.7853701, 4.1192202, 5.0565234, 5.4724017", \
+					  "3.6600987, 3.6633275, 3.6847747, 3.7856485, 4.1159827, 5.0552960, 5.4725434", \
+					  "3.6585375, 3.6638904, 3.6828071, 3.7901275, 4.1136708, 5.0542470, 5.4700272", \
+					  "3.6556030, 3.6581947, 3.6807127, 3.7892657, 4.1148871, 5.0548622, 5.4717644", \
+					  "3.6534134, 3.6574808, 3.6850538, 3.7879312, 4.1165455, 5.0522432, 5.4749879");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1691360, 6.1699682, 6.1712844, 6.1779297, 6.1802052, 5.9422519, -0.2714331", \
+					  "6.1514843, 6.1552209, 6.1545656, 6.1573980, 6.1629170, 5.9163759, 0.0672436", \
+					  "6.1400197, 6.1406199, 6.1390087, 6.1448569, 6.1469685, 5.8870814, -0.1384264", \
+					  "6.1386188, 6.1393535, 6.1417808, 6.1445221, 6.1444132, 5.9131104, 0.0780415", \
+					  "6.1388214, 6.1400418, 6.1416056, 6.1452698, 6.1497580, 5.9396153, 0.0839936", \
+					  "6.1410193, 6.1428910, 6.1431608, 6.1464572, 6.1511175, 5.9078043, -0.1408069", \
+					  "6.1428161, 6.1427987, 6.1460904, 6.1502888, 6.1658927, 5.8942679, 0.0861562");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7623254, 3.7644196, 3.7733149, 3.8084122, 3.7867290, 3.8562255, 3.8593055", \
+					  "3.6869999, 3.6893344, 3.6979174, 3.7294894, 3.7719784, 3.7872787, 3.7842346", \
+					  "3.6096526, 3.6166348, 3.6249862, 3.6585401, 3.6995069, 3.7212304, 3.7218219", \
+					  "3.6143575, 3.6158225, 3.6244298, 3.6587608, 3.7151632, 3.7141293, 3.7153492", \
+					  "3.5994671, 3.6147626, 3.6234501, 3.6566336, 3.7043467, 3.7113776, 3.7097469", \
+					  "3.6116850, 3.6140560, 3.6238463, 3.6538727, 3.6935102, 3.7057812, 3.7129699", \
+					  "3.6086293, 3.6128651, 3.6237478, 3.6646830, 3.6956023, 3.7408855, 3.7160954");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0852034, 0.0852029, 0.0852004, 0.0851661, 0.0852060, 0.0851611, 0.0850731", \
+					  "0.2331589, 0.2331596, 0.2331639, 0.2331239, 0.2331581, 0.2331562, 0.2330213", \
+					  "0.4163739, 0.4163744, 0.4163756, 0.4164176, 0.4163614, 0.4163594, 0.4164110", \
+					  "0.9362636, 0.9362657, 0.9362565, 0.9363227, 0.9361793, 0.9361748, 0.9363268", \
+					  "1.0883682, 1.0882129, 1.0874075, 1.0851466, 1.0831543, 1.0825712, 1.0824543", \
+					  "1.1086616, 1.1084817, 1.1074847, 1.1048129, 1.1021277, 1.1013559, 1.1012019", \
+					  "1.1096759, 1.1094837, 1.1087037, 1.1058685, 1.1031303, 1.1023760, 1.1022737");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4364873, 2.4364363, 2.4365036, 2.4343393, 2.4399292, 2.4393899, 2.4444109", \
+					  "2.4234950, 2.4236452, 2.4239013, 2.4241145, 2.4322256, 2.4242981, 2.4308279", \
+					  "2.4118842, 2.4113593, 2.4120965, 2.4108239, 2.4171697, 2.4205772, 2.4235310", \
+					  "2.4122322, 2.4117992, 2.4115409, 2.4102980, 2.4126600, 2.4255512, 2.4178770", \
+					  "2.4104887, 2.4108209, 2.4111880, 2.4123230, 2.4165191, 2.4265618, 2.4231414", \
+					  "2.4103255, 2.4130690, 2.4102060, 2.4115409, 2.4202659, 2.4149303, 2.4066073", \
+					  "2.4092704, 2.4097845, 2.4097637, 2.4081688, 2.4196273, 2.4223316, 2.4309440");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851352, 0.0851628, 0.0851600, 0.0851626, 0.0851350, 0.0851471, 0.0851628", \
+					  "0.2442598, 0.2444980, 0.2444985, 0.2445060, 0.2444350, 0.2444956, 0.2444292", \
+					  "0.4396685, 0.4399242, 0.4399672, 0.4401817, 0.4408499, 0.4410759, 0.4412733", \
+					  "0.9879826, 0.9882872, 0.9930752, 1.0105392, 1.0273689, 1.0304826, 1.0312981", \
+					  "1.5628784, 1.5663805, 1.5805878, 1.6309991, 1.6882227, 1.7055165, 1.7084385", \
+					  "1.6977006, 1.7004815, 1.7165794, 1.7726159, 1.8355971, 1.8547298, 1.8581271", \
+					  "1.7021937, 1.7050493, 1.7211116, 1.7774889, 1.8408068, 1.8601002, 1.8639923");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5662012, 5.5679988, 5.5681519, 5.5726119, 5.5735799, 5.3345059, -0.5467073", \
+					  "5.5542500, 5.5541832, 5.5560155, 5.5592889, 5.5696118, 5.3107030, -0.7275499", \
+					  "5.5422272, 5.5421383, 5.5432464, 5.5480320, 5.5418508, 5.3197563, -0.9063735", \
+					  "5.5398708, 5.5419221, 5.5429113, 5.5474012, 5.5444595, 5.2681376, -0.8173312", \
+					  "5.5394489, 5.5412882, 5.5422635, 5.5462130, 5.5407031, 5.2989581, -0.5150822", \
+					  "5.5405087, 5.5396660, 5.5409727, 5.5484823, 5.5400954, 5.2764287, -0.5111355", \
+					  "5.5398775, 5.5391407, 5.5409730, 5.5485724, 5.5394915, 5.2927219, -0.5604838");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1616535, 3.1597657, 3.1654743, 3.2105153, 3.4251641, 4.7889582, 5.0711409", \
+					  "3.0811697, 3.0836091, 3.0899794, 3.1310393, 3.3452247, 4.7146289, 4.9998803", \
+					  "3.0092283, 3.0111736, 3.0186310, 3.0575731, 3.2751751, 4.6439055, 4.9253883", \
+					  "3.0094467, 3.0116211, 3.0156101, 3.0606774, 3.2640837, 4.6408823, 4.9190769", \
+					  "3.0082091, 3.0091162, 3.0173733, 3.0579402, 3.2701242, 4.6399399, 4.9182052", \
+					  "3.0080897, 3.0074702, 3.0182836, 3.0585240, 3.2698821, 4.6380034, 4.9182978", \
+					  "3.0070263, 3.0083590, 3.0120486, 3.0579153, 3.2711846, 4.6377605, 4.9205412");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1015479, 0.1015410, 0.1015468, 0.1015456, 0.1015475, 0.1015509, 0.1015524", \
+					  "0.2369942, 0.2369979, 0.2369928, 0.2369847, 0.2369689, 0.2369667, 0.2369730", \
+					  "0.7148760, 0.7148762, 0.7148636, 0.7148139, 0.7149323, 0.7148636, 0.7146124", \
+					  "0.9905135, 0.9905099, 0.9905117, 0.9905395, 0.9901814, 0.9901511, 0.9905323", \
+					  "1.3387122, 1.3387055, 1.3386960, 1.3386388, 1.3386242, 1.3386025, 1.3383542", \
+					  "1.5339078, 1.5332661, 1.5299629, 1.5197515, 1.5089019, 1.5045102, 1.5034515", \
+					  "1.5737589, 1.5726698, 1.5669414, 1.5494849, 1.5318109, 1.5251998, 1.5237176");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851431, 0.0851404, 0.0851944, 0.0851516, 0.0851414, 0.0851954, 0.0851945", \
+					  "0.2331590, 0.2331594, 0.2331602, 0.2331584, 0.2330330, 0.2330876, 0.2331599", \
+					  "0.4163734, 0.4163723, 0.4163710, 0.4163647, 0.4163573, 0.4162209, 0.4163593", \
+					  "0.9349302, 0.9349262, 0.9349154, 0.9348488, 0.9348275, 0.9351296, 0.9348289", \
+					  "1.0872244, 1.0870553, 1.0862380, 1.0840232, 1.0820028, 1.0812928, 1.0813246", \
+					  "1.1085758, 1.1083962, 1.1073680, 1.1046727, 1.1020001, 1.1010316, 1.1011381", \
+					  "1.1096349, 1.1094661, 1.1084603, 1.1059010, 1.1031120, 1.1023651, 1.1022642");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4424374, 2.4352593, 2.4357424, 2.4376905, 2.4407578, 2.4459554, 2.4539694", \
+					  "2.4245035, 2.4236680, 2.4227302, 2.4185373, 2.4325227, 2.4655807, 2.4371175", \
+					  "2.4248487, 2.4114193, 2.4116069, 2.4130823, 2.4179766, 2.8787547, 2.4187041", \
+					  "2.4088033, 2.4077918, 2.4116585, 2.4130475, 2.4178195, 2.4042655, 2.4170816", \
+					  "2.4036916, 2.4132502, 2.4109844, 2.4128549, 2.4172279, 2.4328864, 2.4250793", \
+					  "2.4135120, 2.4163140, 2.4123074, 2.4118835, 2.4169899, 2.3825153, 2.4138862", \
+					  "2.4204604, 2.4075201, 2.4117615, 2.4136636, 2.4242911, 2.4027335, 2.4304358");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4768059, 3.4746128, 3.4858848, 3.5479444, 3.6233020, 3.8898670, 5.4809892", \
+					  "3.4018595, 3.4037582, 3.4147435, 3.4675045, 3.5491462, 3.8143217, 5.4099568", \
+					  "3.3237404, 3.3265439, 3.3376748, 3.4056215, 3.4727074, 3.7414824, 5.3438543", \
+					  "3.3233598, 3.3263858, 3.3428028, 3.4002731, 3.4762432, 3.7433403, 5.3465240", \
+					  "3.3271788, 3.3296796, 3.3416176, 3.3962453, 3.4731176, 3.7400443, 5.3390838", \
+					  "3.3212535, 3.3241130, 3.3408433, 3.3969480, 3.4720944, 3.7413691, 5.3433277", \
+					  "3.3202035, 3.3233751, 3.3402762, 3.3944374, 3.4725836, 3.7414128, 5.3459002");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1015403, 0.1015438, 0.1015478, 0.1015390, 0.1015468, 0.1015422, 0.1015459", \
+					  "0.2369429, 0.2369431, 0.2369373, 0.2369369, 0.2369262, 0.2369264, 0.2369219", \
+					  "0.7248460, 0.7248404, 0.7248140, 0.7246779, 0.7243297, 0.7241609, 0.7241195", \
+					  "1.0296533, 1.0296577, 1.0296744, 1.0296862, 1.0300252, 1.0300182, 1.0297011", \
+					  "1.3769818, 1.3769794, 1.3769600, 1.3769029, 1.3766777, 1.3768262, 1.3766469", \
+					  "1.5734946, 1.5728345, 1.5693632, 1.5587370, 1.5477083, 1.5433408, 1.5422133", \
+					  "1.6117853, 1.6107034, 1.6050091, 1.5879290, 1.5720872, 1.5635720, 1.5620808");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.4155709, 5.4138496, 5.4091728, 5.3796595, 5.2298227, 4.1432535, -12.3888560", \
+					  "5.4021052, 5.3986262, 5.3945431, 5.3650450, 5.2317297, 4.0958142, -12.2450149", \
+					  "5.3874374, 5.3870458, 5.3822051, 5.3562419, 5.1959586, 4.1389791, -12.3093157", \
+					  "5.3875200, 5.3863456, 5.3830878, 5.3558049, 5.1945715, 4.1269467, -12.5383510", \
+					  "5.3889235, 5.3884569, 5.3792901, 5.3555158, 5.1981174, 4.0688076, -12.3331396", \
+					  "5.3853815, 5.3860051, 5.3847058, 5.3556184, 5.1950636, 4.0411208, -12.3604958", \
+					  "5.3848431, 5.3854745, 5.3809955, 5.3543094, 5.1932307, 4.0105010, -12.0757200");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851494, 0.0851552, 0.0851286, 0.0851514, 0.0851499, 0.0851559, 0.0851039", \
+					  "0.2444367, 0.2444339, 0.2444349, 0.2445016, 0.2445042, 0.2444376, 0.2444447", \
+					  "0.4402977, 0.4402976, 0.4403028, 0.4401967, 0.4402181, 0.4403452, 0.4402082", \
+					  "0.8723121, 0.8723040, 0.8723120, 0.8721253, 0.8720669, 0.8722342, 0.8720666", \
+					  "1.0186002, 1.0186896, 1.0197233, 1.0224158, 1.0247901, 1.0255656, 1.0256285", \
+					  "1.0201925, 1.0211422, 1.0220167, 1.0252059, 1.0280916, 1.0289716, 1.0291077", \
+					  "1.0214052, 1.0211014, 1.0222035, 1.0255044, 1.0284313, 1.0296036, 1.0294428");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0158922, 6.0148930, 6.0067199, 5.9812897, 5.8345451, 4.7569097, -11.9415722", \
+					  "6.0006336, 5.9977718, 5.9947742, 5.9664080, 5.8193312, 4.6796603, -11.9451189", \
+					  "5.9848569, 5.9842775, 5.9784488, 5.9529549, 5.8058308, 4.6867056, -11.6954505", \
+					  "5.9843781, 5.9846044, 5.9817096, 5.9545252, 5.8088804, 4.6691354, -11.8635103", \
+					  "5.9883393, 5.9850672, 5.9808046, 5.9538474, 5.8050632, 4.6875899, -11.9321652", \
+					  "5.9876701, 5.9885592, 5.9827613, 5.9556914, 5.8031619, 4.6600099, -11.9198490", \
+					  "5.9920608, 5.9893772, 5.9773599, 5.9595621, 5.8004315, 4.6392263, -11.7052847");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0108850, 3.0021002, 3.0154924, 3.0175932, 3.0040166, 3.0260040, 3.0216752", \
+					  "2.9399558, 2.9365566, 2.9407102, 2.9425429, 2.9377987, 2.9436586, 2.9492793", \
+					  "2.8674151, 2.8635881, 2.8671913, 2.8690360, 2.8727977, 2.8768299, 2.8726119", \
+					  "2.8664497, 2.8620338, 2.8669740, 2.8675734, 2.8693673, 2.8778544, 2.8736089", \
+					  "2.8658835, 2.8844292, 2.8658686, 2.8686400, 2.9330210, 2.8765647, 2.8785880", \
+					  "2.8657030, 2.8640715, 2.8640810, 2.8648287, 2.8704744, 2.8630929, 2.8717971", \
+					  "2.8644266, 2.8780954, 2.8640901, 2.8620891, 2.7728901, 2.8610131, 2.8728750");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851397, 0.0851550, 0.0851050, 0.0851542, 0.0851493, 0.0851535, 0.0851635", \
+					  "0.2444117, 0.2444968, 0.2444506, 0.2445043, 0.2444992, 0.2444941, 0.2444936", \
+					  "0.4405169, 0.4399471, 0.4397607, 0.4402034, 0.4407551, 0.4410991, 0.4411809", \
+					  "0.9881876, 0.9883093, 0.9935072, 1.0105610, 1.0273819, 1.0304946, 1.0308563", \
+					  "1.5649993, 1.5682837, 1.5822906, 1.6328770, 1.6900724, 1.7073575, 1.7104102", \
+					  "1.7018125, 1.7033903, 1.7200499, 1.7755537, 1.8385160, 1.8575925, 1.8609937", \
+					  "1.7050607, 1.7077276, 1.7237894, 1.7803626, 1.8436817, 1.8628979, 1.8663812");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7320902, 6.7307554, 6.7613095, 6.8755003, 6.7771887, 5.5658929, -0.1988725", \
+					  "6.7186683, 6.7225255, 6.7467448, 6.8582164, 6.7508353, 5.5648023, -0.1827594", \
+					  "6.7077389, 6.7059799, 6.7372995, 6.8509646, 6.7459904, 5.4322627, -0.1991263", \
+					  "6.7017630, 6.7061111, 6.7369523, 6.8469879, 6.7714828, 5.5424434, -0.2175866", \
+					  "6.7056970, 6.7049081, 6.7342068, 6.8437598, 6.7446507, 5.5476095, -0.1957129", \
+					  "6.6998582, 6.7045545, 6.7353866, 6.8448503, 6.7419808, 5.5317062, -0.1676795", \
+					  "6.7051073, 6.7050566, 6.7346887, 6.8483287, 6.7433818, 5.5282470, -0.1688448");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.0158627, 6.0146749, 6.0062363, 5.9804804, 5.8286418, 4.8053501, -11.9015622", \
+					  "5.9989848, 5.9978112, 5.9925099, 5.9662883, 5.8079618, 4.7214841, -11.5069199", \
+					  "5.9848768, 5.9841678, 5.9818827, 5.9534228, 5.8084611, 4.7318947, -11.6884935", \
+					  "5.9843937, 5.9845715, 5.9795265, 5.9525225, 5.8080920, 4.6621341, -12.0216403", \
+					  "5.9874707, 5.9856870, 5.9802555, 5.9537199, 5.7964013, 4.6840346, -12.0493132", \
+					  "5.9877005, 5.9868149, 5.9826767, 5.9575172, 5.8056643, 4.6873895, -11.9083000", \
+					  "5.9920491, 5.9871602, 5.9840442, 5.9597152, 5.7960288, 4.6786201, -12.0154137");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0148489, 3.0160166, 3.0154849, 3.0176210, 3.0203592, 3.0237482, 3.0244475", \
+					  "2.9400156, 2.9374099, 2.9406061, 2.9427324, 2.9420481, 2.9552294, 2.9488807", \
+					  "2.8674175, 2.8666297, 2.8670443, 2.8691321, 2.8698348, 2.8765179, 2.8684590", \
+					  "2.8664152, 2.8654937, 2.8669794, 2.8702490, 2.8732977, 2.8753356, 2.8725405", \
+					  "2.8657138, 2.8653939, 2.8658720, 2.8677265, 2.8723107, 2.8734383, 2.8800731", \
+					  "2.8656275, 2.8648957, 2.8640971, 2.8655558, 2.8767757, 2.8647342, 2.8765634", \
+					  "2.8644353, 2.8628895, 2.8641526, 2.8658368, 2.8723695, 2.8807239, 2.8741975");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.1047656, 6.1027138, 6.1057930, 6.1107213, 6.1222546, 5.8628818, 0.0308433", \
+					  "6.0872909, 6.0864933, 6.0888339, 6.0906081, 6.0867600, 5.9324967, 0.0224150", \
+					  "6.0725801, 6.0747810, 6.0747559, 6.0769811, 6.0718806, 5.8241907, -0.2482950", \
+					  "6.0732852, 6.0727930, 6.0744119, 6.0782058, 6.0731348, 5.8289828, 0.0088887", \
+					  "6.0740906, 6.0748639, 6.0753279, 6.0819337, 6.0745759, 5.8679456, 0.0040432", \
+					  "6.0744779, 6.0758505, 6.0778393, 6.0803039, 6.0847479, 5.8116400, -0.0267970", \
+					  "6.0760245, 6.0777674, 6.0783022, 6.0822070, 6.0837193, 5.8531476, -0.3862788");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1221455, 0.1221358, 0.1221351, 0.1221325, 0.1221381, 0.1221387, 0.1221382", \
+					  "0.2595865, 0.2595814, 0.2595806, 0.2595601, 0.2589796, 0.2584641, 0.2583910", \
+					  "0.3330374, 0.3329966, 0.3329088, 0.3328872, 0.3329566, 0.3329688, 0.3326423", \
+					  "0.8347962, 0.8354254, 0.8389179, 0.8506166, 0.8593680, 0.8591058, 0.8588649", \
+					  "1.3685157, 1.3712461, 1.3839243, 1.4297882, 1.4830932, 1.4989142, 1.5015369", \
+					  "1.7246476, 1.7273887, 1.7430817, 1.7975338, 1.8593262, 1.8778671, 1.8809974", \
+					  "1.9702391, 1.9735865, 1.9889876, 2.0450708, 2.1083444, 2.1274411, 2.1306150");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851932, 0.0851408, 0.0851964, 0.0852017, 0.0851944, 0.0851756, 0.0851900", \
+					  "0.2331480, 0.2331519, 0.2331538, 0.2331530, 0.2331530, 0.2331521, 0.2331527", \
+					  "0.4162320, 0.4162326, 0.4162354, 0.4162331, 0.4162304, 0.4162257, 0.4162264", \
+					  "0.9255153, 0.9255150, 0.9254948, 0.9253679, 0.9247376, 0.9241150, 0.9239250", \
+					  "1.0826332, 1.0826615, 1.0826388, 1.0826014, 1.0828245, 1.0829996, 1.0826626", \
+					  "1.1410328, 1.1410315, 1.1410035, 1.1408121, 1.1402986, 1.1400130, 1.1398437", \
+					  "1.2269235, 1.2259407, 1.2208107, 1.2050441, 1.1899655, 1.1840256, 1.1826908");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0477984, 3.0502780, 3.0658788, 3.1266420, 3.1961700, 3.4639543, 5.0567767", \
+					  "3.0323671, 3.0348694, 3.0520284, 3.1045609, 3.1860909, 3.4522333, 5.0516281", \
+					  "3.0266093, 3.0295321, 3.0391160, 3.1024117, 3.1730785, 3.4449201, 5.0360753", \
+					  "3.0233191, 3.0238437, 3.0406158, 3.1028831, 3.1717501, 3.4442086, 5.0459620", \
+					  "3.0255527, 3.0230981, 3.0389931, 3.0918810, 3.1724636, 3.4403316, 5.0332336", \
+					  "3.0191761, 3.0260914, 3.0384905, 3.0936966, 3.1670659, 3.4402740, 5.0364110", \
+					  "3.0232140, 3.0263011, 3.0338498, 3.0940455, 3.1689513, 3.4371153, 5.0329340");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.6672366, 7.6716454, 7.7022824, 7.8440006, 7.8346071, 6.7245489, 0.9808935", \
+					  "7.6489744, 7.6557285, 7.6869934, 7.8262128, 7.7840278, 6.6762035, 1.0345630", \
+					  "7.6357516, 7.6408517, 7.6733292, 7.8159061, 7.8009051, 6.6970355, 1.0334164", \
+					  "7.6356457, 7.6413226, 7.6721591, 7.8149771, 7.7719898, 6.6800286, 1.0320873", \
+					  "7.6366081, 7.6430153, 7.6741094, 7.8122445, 7.8021779, 6.7021624, 0.9402778", \
+					  "7.6387028, 7.6452305, 7.6762274, 7.8180194, 7.7927098, 6.7086923, 1.1035025", \
+					  "7.6403109, 7.6451256, 7.6778460, 7.8196987, 7.7948696, 6.6967081, 1.0419917");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5723146, 4.5768886, 4.5995177, 4.7331825, 5.0932668, 6.0436177, 6.4618539", \
+					  "4.4964744, 4.4894774, 4.5243076, 4.6580461, 5.0164950, 5.9545008, 6.3793658", \
+					  "4.4236967, 4.4148748, 4.4527403, 4.5855919, 4.9445691, 5.9057286, 6.3108373", \
+					  "4.4234291, 4.4283583, 4.4524545, 4.5847167, 4.9438314, 5.9026620, 6.3082178", \
+					  "4.4093371, 4.4115519, 4.4504513, 4.5765748, 4.9410580, 5.8970394, 6.3050628", \
+					  "4.4222125, 4.4128402, 4.4471834, 4.5831944, 4.9443629, 5.8953631, 6.3058069", \
+					  "4.4213637, 4.4263203, 4.4493518, 4.5819770, 4.9433715, 5.8800567, 6.3064504");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851558, 0.0851385, 0.0852090, 0.0852013, 0.0851523, 0.0851383, 0.0852068", \
+					  "0.2331583, 0.2331235, 0.2331312, 0.2331630, 0.2331587, 0.2331626, 0.2331261", \
+					  "0.4162558, 0.4163096, 0.4163074, 0.4162584, 0.4162515, 0.4162905, 0.4163004", \
+					  "0.9618693, 0.9620483, 0.9620338, 0.9617328, 0.9611864, 0.9607035, 0.9607692", \
+					  "1.1589729, 1.1587708, 1.1588006, 1.1582115, 1.1565706, 1.1551501, 1.1543611", \
+					  "1.2437874, 1.2429200, 1.2368869, 1.2194985, 1.2016359, 1.1950544, 1.1934029", \
+					  "1.2503928, 1.2498210, 1.2434070, 1.2252711, 1.2071844, 1.2002705, 1.1990143");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4471864, 2.4479493, 2.4512038, 2.4739803, 2.6056016, 3.4661476, 4.2379690", \
+					  "2.4339950, 2.4351172, 2.4381180, 2.4613336, 2.5933756, 3.4547456, 4.2122059", \
+					  "2.4222590, 2.4227293, 2.4260721, 2.4469543, 2.5809758, 3.4414055, 4.2200791", \
+					  "2.4220590, 2.4225447, 2.4253840, 2.4472914, 2.5789595, 3.4414068, 4.2126646", \
+					  "2.4203983, 2.4199482, 2.4228426, 2.4452981, 2.5772460, 3.4356587, 4.2140343", \
+					  "2.4196275, 2.4206699, 2.4239732, 2.4455950, 2.5770873, 3.4339522, 4.2105847", \
+					  "2.4190495, 2.4195512, 2.4226922, 2.4449216, 2.5776799, 3.4413103, 4.2061917");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7314102, 2.7333269, 2.7398544, 2.7798069, 2.9962327, 4.3629866, 4.6449963", \
+					  "2.7187130, 2.7197252, 2.7269859, 2.7804197, 2.9821841, 4.3509174, 4.6270875", \
+					  "2.7073076, 2.7083229, 2.7150864, 2.7629136, 2.9706233, 4.3396258, 4.6443313", \
+					  "2.7072176, 2.7081327, 2.7156154, 2.7606547, 2.9651706, 4.3383479, 4.6265263", \
+					  "2.7058525, 2.7078546, 2.7141111, 2.7660121, 2.9696692, 4.3387683, 4.6202142", \
+					  "2.7056964, 2.7066282, 2.7141430, 2.7496847, 2.9682796, 4.3366262, 4.6253974", \
+					  "2.7051476, 2.7061223, 2.7143482, 2.7594386, 2.9683241, 4.3359772, 4.6184544");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851765, 0.0851514, 0.0851396, 0.0852021, 0.0852012, 0.0851494, 0.0851410", \
+					  "0.2331529, 0.2331209, 0.2331516, 0.2331500, 0.2331182, 0.2331175, 0.2331509", \
+					  "0.4163449, 0.4163924, 0.4163434, 0.4163432, 0.4163918, 0.4163922, 0.4163427", \
+					  "0.9058025, 0.9059230, 0.9058009, 0.9055324, 0.9056718, 0.9054576, 0.9052742", \
+					  "1.0437519, 1.0437511, 1.0437425, 1.0437310, 1.0436742, 1.0437526, 1.0437467", \
+					  "1.1026435, 1.1026377, 1.1026131, 1.1024567, 1.1019770, 1.1015979, 1.1014231", \
+					  "1.1867677, 1.1858188, 1.1808722, 1.1660598, 1.1512084, 1.1454101, 1.1441053");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851727, 0.0852014, 0.0851499, 0.0852046, 0.0851471, 0.0852050, 0.0852005", \
+					  "0.2331582, 0.2331618, 0.2331608, 0.2331608, 0.2331601, 0.2331246, 0.2331265", \
+					  "0.4163758, 0.4163792, 0.4163756, 0.4163679, 0.4163645, 0.4164141, 0.4164139", \
+					  "0.9349416, 0.9349409, 0.9349270, 0.9348604, 0.9348422, 0.9349902, 0.9349906", \
+					  "1.0872393, 1.0870730, 1.0862552, 1.0840453, 1.0820195, 1.0814582, 1.0812390", \
+					  "1.1085843, 1.1083891, 1.1073681, 1.1046729, 1.1020010, 1.1012902, 1.1011581", \
+					  "1.1096177, 1.1095044, 1.1084667, 1.1059089, 1.1031137, 1.1023823, 1.1022661");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4358251, 2.4354846, 2.4357585, 2.4375691, 2.4506745, 2.4147677, 2.4539937", \
+					  "2.4256105, 2.4235299, 2.4225697, 2.4253964, 2.4276644, 2.3272555, 2.4183387", \
+					  "2.4152702, 2.4117830, 2.4122080, 2.4222056, 2.4189574, 2.4455858, 2.4254277", \
+					  "2.4131547, 2.4226206, 2.4131690, 2.4098255, 2.4212716, 2.4703218, 2.4230406", \
+					  "2.4107632, 2.4114376, 2.4118492, 2.4132127, 2.4207871, 2.4148106, 2.4117427", \
+					  "2.4099866, 2.4110250, 2.4104127, 2.4113214, 2.4169038, 2.6564112, 2.4149763", \
+					  "2.4107579, 2.4015706, 2.4097127, 2.4108407, 2.4170289, 2.4370227, 2.4232026");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0852001, 0.0851772, 0.0851789, 0.0851760, 0.0851702, 0.0851822, 0.0851983", \
+					  "0.2331262, 0.2331607, 0.2331596, 0.2331571, 0.2331611, 0.2331606, 0.2331619", \
+					  "0.4162713, 0.4162210, 0.4162181, 0.4162166, 0.4162149, 0.4162109, 0.4162104", \
+					  "0.9631988, 0.9630143, 0.9629939, 0.9628720, 0.9623343, 0.9618549, 0.9617423", \
+					  "1.1599721, 1.1601217, 1.1599881, 1.1591787, 1.1576208, 1.1560892, 1.1554212", \
+					  "1.2437997, 1.2427013, 1.2369448, 1.2193933, 1.2017515, 1.1952099, 1.1935968", \
+					  "1.2503621, 1.2492331, 1.2433353, 1.2253018, 1.2070153, 1.2003611, 1.1986362");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4468950, 2.4471045, 2.4510692, 2.4728198, 2.5958858, 3.4673560, 4.2335650", \
+					  "2.4332775, 2.4341392, 2.4379621, 2.4600350, 2.5921608, 3.4547842, 4.2176854", \
+					  "2.4219146, 2.4222325, 2.4258423, 2.4475998, 2.5795752, 3.4321384, 4.2096127", \
+					  "2.4211558, 2.4218801, 2.4257182, 2.4471789, 2.5800238, 3.4422522, 4.2082610", \
+					  "2.4201856, 2.4211748, 2.4244819, 2.4461037, 2.5786027, 3.4320286, 4.2093445", \
+					  "2.4187244, 2.4196067, 2.4235124, 2.4443241, 2.5739429, 3.4521009, 4.2129699", \
+					  "2.4179562, 2.4178406, 2.4250254, 2.4431502, 2.5789424, 3.4384571, 4.2082478");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.2634112, 7.2687828, 7.2990138, 7.4114161, 7.3332573, 6.0206081, 0.4419880", \
+					  "7.2475732, 7.2514174, 7.2820587, 7.3871057, 7.2853919, 6.0869105, 0.1884203", \
+					  "7.2334631, 7.2378433, 7.2682070, 7.3802615, 7.2927473, 6.0779105, 0.3261596", \
+					  "7.2388074, 7.2384916, 7.2665460, 7.3769776, 7.2948394, 6.0825001, 0.2560592", \
+					  "7.2336466, 7.2392155, 7.2697132, 7.3895731, 7.2834045, 6.0800681, 0.3652031", \
+					  "7.2354992, 7.2404809, 7.2708993, 7.3786148, 7.2717099, 6.0846277, 0.3551163", \
+					  "7.2421810, 7.2427687, 7.2712737, 7.3857298, 7.2867535, 6.0900128, 0.3546220");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1221896, 0.1221338, 0.1219668, 0.1221327, 0.1221363, 0.1221373, 0.1220871", \
+					  "0.2595438, 0.2595812, 0.2593097, 0.2595610, 0.2589406, 0.2584646, 0.2583911", \
+					  "0.3316873, 0.3330254, 0.3319803, 0.3329151, 0.3331954, 0.3329976, 0.3326695", \
+					  "0.8347596, 0.8353951, 0.8389351, 0.8505847, 0.8592210, 0.8590675, 0.8588355", \
+					  "1.3701514, 1.3719636, 1.3847230, 1.4305664, 1.4838324, 1.4996660, 1.5022824", \
+					  "1.7268258, 1.7302551, 1.7455237, 1.8003972, 1.8621297, 1.8807268, 1.8838536", \
+					  "1.9730652, 1.9759553, 1.9912070, 2.0480077, 2.1113897, 2.1304391, 2.1336184");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851721, 0.0851426, 0.0851962, 0.0851911, 0.0851994, 0.0852026, 0.0852011", \
+					  "0.2331591, 0.2331604, 0.2331214, 0.2331563, 0.2331257, 0.2331583, 0.2329706", \
+					  "0.4163759, 0.4163775, 0.4164221, 0.4163673, 0.4164152, 0.4163619, 0.4163630", \
+					  "0.9362447, 0.9362488, 0.9363854, 0.9361549, 0.9363123, 0.9361579, 0.9361596", \
+					  "1.0883621, 1.0880300, 1.0873220, 1.0851383, 1.0830436, 1.0825645, 1.0824440", \
+					  "1.1086603, 1.1085067, 1.1074840, 1.1048105, 1.1020623, 1.1010416, 1.1011978", \
+					  "1.1096770, 1.1095617, 1.1087045, 1.1058688, 1.1034397, 1.1023799, 1.1022740");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4369925, 2.4364769, 2.4366066, 2.4346162, 2.4316331, 2.4552518, 2.4441411", \
+					  "2.4232248, 2.4235605, 2.4236507, 2.4236468, 2.4156436, 2.4290918, 2.4285140", \
+					  "2.4116787, 2.4120625, 2.4122095, 2.4133874, 2.4127885, 2.4201478, 2.4406032", \
+					  "2.4117164, 2.4114334, 2.4114665, 2.4122270, 2.4218742, 2.4184688, 2.4233141", \
+					  "2.4113285, 2.4104070, 2.4102610, 2.4126537, 2.4158592, 2.4265573, 2.4336152", \
+					  "2.4100768, 2.4093033, 2.4103324, 2.4120706, 2.4170226, 2.4270343, 2.4323838", \
+					  "2.4093127, 2.4088848, 2.4097446, 2.4083484, 2.4100428, 2.4053074, 2.4310349");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7283831, 6.7312910, 6.7617948, 6.8788149, 6.7783974, 5.5649327, -0.1409022", \
+					  "6.7191155, 6.7183908, 6.7467795, 6.8658965, 6.7655926, 5.5603076, -0.2841590", \
+					  "6.7073074, 6.7070593, 6.7352907, 6.8472523, 6.7474524, 5.5420741, -0.1960100", \
+					  "6.7071961, 6.7066532, 6.7367159, 6.8452910, 6.7513075, 5.5139328, -0.1713353", \
+					  "6.7009434, 6.7058406, 6.7363955, 6.8446909, 6.7529318, 5.5431838, -0.1893897", \
+					  "6.7045333, 6.7049049, 6.7352166, 6.8523113, 6.7557328, 5.5216206, -0.1887175", \
+					  "6.7045192, 6.7043852, 6.7348266, 6.8490148, 6.7511685, 5.5323189, -0.1733650");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.0851006, 0.0851290, 0.0851561, 0.0851557, 0.0851569, 0.0851581, 0.0851530", \
+					  "0.2445095, 0.2444955, 0.2444349, 0.2445031, 0.2444975, 0.2444937, 0.2444902", \
+					  "0.4399429, 0.4399466, 0.4401306, 0.4402017, 0.4407532, 0.4411003, 0.4411767", \
+					  "0.9874474, 0.9882833, 0.9934142, 1.0105494, 1.0273768, 1.0304864, 1.0308610", \
+					  "1.5656809, 1.5682556, 1.5824543, 1.6328648, 1.6900712, 1.7073563, 1.7104103", \
+					  "1.7008499, 1.7033722, 1.7193644, 1.7755422, 1.8385169, 1.8575951, 1.8609885", \
+					  "1.7050075, 1.7077158, 1.7237875, 1.7803549, 1.8436806, 1.8628992, 1.8663753");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.3354656, 7.3347639, 7.3650244, 7.4737482, 7.3734708, 6.1677768, 0.4501996", \
+					  "7.3194246, 7.3182147, 7.3485610, 7.4577376, 7.3826952, 6.1570961, 0.3661362", \
+					  "7.2999906, 7.3042372, 7.3349705, 7.4485012, 7.3401842, 6.1453171, 0.3348144", \
+					  "7.3054576, 7.3045503, 7.3350277, 7.4515950, 7.3439468, 6.0994722, 0.4432106", \
+					  "7.3060097, 7.3046757, 7.3347246, 7.4398861, 7.3566133, 6.4203484, 0.4283463", \
+					  "7.3078728, 7.3071069, 7.3376623, 7.4565360, 7.3735355, 6.1418897, 0.3528228", \
+					  "7.3092953, 7.3087619, 7.3391707, 7.4618061, 7.3739303, 6.1494154, 0.3104078");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7656535, 3.7674016, 3.7755184, 3.8114562, 3.8589265, 3.8417696, 3.8638631", \
+					  "3.6903501, 3.6923225, 3.7004774, 3.7349585, 3.7831973, 3.7731428, 3.7854355", \
+					  "3.6173001, 3.6200622, 3.6276698, 3.6631232, 3.7030644, 3.7167028, 3.7127355", \
+					  "3.6174549, 3.6186406, 3.6271342, 3.6619791, 3.6989175, 3.7164356, 3.7117315", \
+					  "3.6164630, 3.6176760, 3.6261862, 3.6687819, 3.6979365, 3.7128963, 3.7121209", \
+					  "3.6153037, 3.6168385, 3.6252042, 3.6578556, 3.6970438, 3.7015420, 3.7100447", \
+					  "3.6149944, 3.6160747, 3.6264232, 3.6599715, 3.7090043, 3.7176718, 3.7181975");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.4901595, 6.4930895, 6.5000084, 6.5352589, 6.6150648, 6.5659102, 0.4577529", \
+					  "6.4772610, 6.4787312, 6.4850127, 6.5195957, 6.5813102, 6.4927894, 0.6698517", \
+					  "6.4639420, 6.4651528, 6.4714143, 6.5034243, 6.5806008, 6.4686791, 0.6613889", \
+					  "6.4660475, 6.4643197, 6.4714910, 6.4987791, 6.5726094, 6.5276440, 0.6925024", \
+					  "6.4634524, 6.4658554, 6.4725015, 6.5019138, 6.5735049, 6.5039499, 0.3076600", \
+					  "6.4652482, 6.4654477, 6.4740029, 6.5010100, 6.5854472, 6.5054422, 0.4941521", \
+					  "6.4653046, 6.4657995, 6.4731291, 6.5049071, 6.5822250, 6.4495312, 0.6767793");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7746836, 3.7772145, 3.7867841, 3.8378145, 4.0054655, 4.8799357, 5.6477595", \
+					  "3.6995797, 3.7015061, 3.7119609, 3.7641643, 3.9320292, 4.8034281, 5.5679133", \
+					  "3.6247681, 3.6268961, 3.6395262, 3.6904729, 3.8590988, 4.7288666, 5.4866489", \
+					  "3.6255569, 3.6273909, 3.6381670, 3.6894316, 3.8604293, 4.7295466, 5.5141655", \
+					  "3.6245070, 3.6264798, 3.6373023, 3.6882754, 3.8576798, 4.7300805, 5.4939742", \
+					  "3.6243039, 3.6249986, 3.6364942, 3.6869339, 3.8586017, 4.7280251, 5.5013735", \
+					  "3.6226801, 3.6247171, 3.6366091, 3.6847017, 3.8561422, 4.7107572, 5.5077955");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0276104, 3.0267152, 3.0298527, 3.0506679, 3.1787915, 4.0434616, 4.8179003", \
+					  "2.9504387, 2.9514490, 2.9544364, 2.9751095, 3.1079987, 3.9691315, 4.7394350", \
+					  "2.8773046, 2.8779169, 2.8810537, 2.9005483, 3.0328930, 3.9005063, 4.6752737", \
+					  "2.8767286, 2.8773930, 2.8801712, 2.8988233, 3.0352392, 3.9011936, 4.6644971", \
+					  "2.8756737, 2.8759855, 2.8791650, 2.8990530, 3.0343653, 3.8942933, 4.6644078", \
+					  "2.8730836, 2.8747830, 2.8775564, 2.8974870, 3.0324320, 3.8947715, 4.6663570", \
+					  "2.8730580, 2.8735712, 2.8765528, 2.8955922, 3.0212517, 3.8947182, 4.6573898");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.3347600, 6.3293715, 6.3319224, 6.3237055, 6.2545260, 5.2746310, -11.0877092", \
+					  "6.3171977, 6.3227635, 6.3242970, 6.3305212, 6.2321507, 5.2280572, -10.8698149", \
+					  "6.3098274, 6.3068966, 6.3070484, 6.3030962, 6.2277806, 5.2469797, -11.3693285", \
+					  "6.3078680, 6.3025320, 6.3068155, 6.3076100, 6.2150455, 5.2427153, -11.0573223", \
+					  "6.3065089, 6.3093595, 6.3124967, 6.3079279, 6.2299434, 5.2251586, -11.0557782", \
+					  "6.3079556, 6.3084301, 6.3122386, 6.3119633, 6.2314465, 5.2582658, -11.0201900", \
+					  "6.3092979, 6.3089558, 6.3130410, 6.3134721, 6.2340278, 5.2863731, -11.1524017");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9392591, 5.9401520, 5.9476637, 5.9708723, 5.8783516, 4.9856706, -5.1533398", \
+					  "6.0752903, 6.0754769, 6.0824135, 6.1066002, 6.0160435, 5.1512456, -5.0540671", \
+					  "6.2467976, 6.2454625, 6.2535416, 6.2776104, 6.1854972, 5.2975969, -4.8589884", \
+					  "6.7720400, 6.7716245, 6.7796848, 6.8031281, 6.7174686, 5.8599776, -4.3527882", \
+					  "6.9409049, 6.9401961, 6.9474158, 6.9720135, 6.8754733, 6.0000129, -4.1164171", \
+					  "6.9938722, 6.9932809, 6.9987681, 7.0145286, 6.9128346, 6.0140101, -4.0461207", \
+					  "7.0139938, 7.0126612, 7.0168156, 7.0299080, 6.9239356, 6.0198311, -4.0343976");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7730782, 2.7741964, 2.7816921, 2.8167219, 2.9380793, 3.4626402, 3.8906048", \
+					  "2.9188773, 2.9203204, 2.9270759, 2.9636116, 3.0855333, 3.6046307, 4.0322952", \
+					  "3.1053575, 3.1044150, 3.1117996, 3.1494865, 3.2699899, 3.8431720, 4.2260108", \
+					  "3.6039654, 3.6074771, 3.6167390, 3.6626485, 3.7946805, 4.3255740, 4.7481518", \
+					  "4.0071119, 4.0108456, 4.0267071, 4.0951220, 4.2524570, 4.7899885, 5.2159440", \
+					  "4.0889415, 4.0924823, 4.1092874, 4.1796320, 4.3410529, 4.8992015, 5.3048596", \
+					  "4.0923324, 4.0929350, 4.1112722, 4.1823352, 4.3450735, 4.8803750, 5.3125142");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.9904171, 10.9904694, 11.0049952, 11.0636735, 10.9691479, 9.5994272, -7.2623675", \
+					  "11.0077867, 11.0105394, 11.0257491, 11.0836170, 10.9770637, 9.6007522, -7.1206856", \
+					  "11.1457090, 11.1482107, 11.1628314, 11.2213327, 11.1251182, 9.7442492, -7.0805560", \
+					  "11.2439759, 11.2438542, 11.2597626, 11.3188755, 11.2135327, 9.8299342, -6.9044365", \
+					  "11.3607259, 11.3627909, 11.3783561, 11.4359899, 11.3389820, 9.9989302, -6.9158768", \
+					  "11.4278761, 11.4302493, 11.4445133, 11.4995346, 11.3983472, 10.0142024, -6.7858546", \
+					  "11.4447995, 11.4440382, 11.4573710, 11.5155120, 11.4048718, 10.0130946, -6.8300517");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9360986, 5.9360066, 5.9539443, 6.0364909, 6.2511759, 7.1404073, 7.8514353", \
+					  "5.8560710, 5.8563121, 5.8750132, 5.9557780, 6.1822971, 7.0602709, 7.7712414", \
+					  "5.7571250, 5.7585216, 5.7772955, 5.8584686, 6.0858366, 6.9723767, 7.6758907", \
+					  "5.9254755, 5.9278813, 5.9462251, 6.0298890, 6.2618574, 7.1458082, 7.8526592", \
+					  "6.0982729, 6.1070291, 6.1264568, 6.2217255, 6.4774260, 7.3547503, 8.0625182", \
+					  "6.2190565, 6.2199842, 6.2444846, 6.3420661, 6.5919200, 7.4743564, 8.1905256", \
+					  "6.2989225, 6.3055483, 6.3264168, 6.4247023, 6.6584466, 7.5625205, 8.2764440");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3520337, 8.3526288, 8.3526292, 8.3526302, 8.3526311, 8.3526321, 8.3526330", \
+					  "8.8697183, 8.8697191, 8.8697200, 8.8697210, 8.8697219, 8.8697229, 8.9450820", \
+					  "9.2007797, 9.2320143, 9.2320152, 9.2405248, 9.2405258, 9.2405267, 9.2477155", \
+					  "9.5193764, 9.5402806, 9.5402809, 9.5516901, 9.5516906, 9.5516916, 9.5585220", \
+					  "9.8171276, 9.8171278, 9.8171287, 9.8171297, 9.8171306, 9.8171316, 9.8586570", \
+					  "10.0646850, 10.0713630, 10.0713640, 10.0713649, 10.0713659, 10.0713668, 10.1217830", \
+					  "10.3137350, 10.3137355, 10.3137365, 10.3137374, 10.3137384, 10.3137393, 10.3938220");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.1969951, 8.2029281, 8.2385183, 8.4717051, 10.1157500, 20.7622010, 87.2623570", \
+					  "8.6984180, 8.7042720, 8.7402814, 8.9727523, 10.6166060, 21.2538590, 87.8033150", \
+					  "9.0684447, 9.0743031, 9.1103747, 9.3427195, 10.9855090, 21.6238990, 88.1726270", \
+					  "9.3856078, 9.3914654, 9.4276356, 9.6598001, 11.3031450, 21.9524750, 88.4897200", \
+					  "9.6797228, 9.6855892, 9.7218056, 9.9538138, 11.5984400, 22.2476080, 88.7835230", \
+					  "9.9394377, 9.9452961, 9.9815685, 10.2147280, 11.8578000, 22.4942290, 89.0485200", \
+					  "10.1961430, 10.2020010, 10.2382090, 10.4648010, 12.1150660, 22.8002110, 89.2960660");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9945150, 1.0111886, 1.1053632, 1.7005601, 5.7546883, 31.6495910, 191.5794600", \
+					  "0.9979603, 1.0133296, 1.1068032, 1.7034817, 5.7546377, 31.6589100, 191.6284900", \
+					  "0.9979768, 1.0132946, 1.1068376, 1.7034160, 5.7541980, 31.6588710, 191.2941900", \
+					  "0.9983487, 1.0135142, 1.1068350, 1.7036625, 5.7541816, 31.6447700, 191.2986700", \
+					  "0.9986212, 1.0136489, 1.1064546, 1.7033661, 5.7543672, 31.6446820, 191.3274400", \
+					  "0.9986509, 1.0135728, 1.1062091, 1.7035028, 5.7545186, 31.6547360, 191.3823400", \
+					  "0.9966575, 1.0121722, 1.1064472, 1.7035848, 5.7540972, 31.6457900, 191.5625600");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("151.0325400, 151.0688700, 151.1110100, 151.4038200, 153.2212100, 161.8580300, 198.5072800", \
+					  "151.7290700, 151.7290726, 151.7351100, 152.0340500, 153.9036600, 162.4969500, 199.0592600", \
+					  "152.4488500, 152.4488602, 152.4994700, 152.7403800, 154.6085900, 163.1958600, 199.8450900", \
+					  "153.1543700, 153.1543808, 153.1885700, 153.5089800, 155.3198500, 163.9407100, 200.5579100", \
+					  "153.8029300, 153.8331700, 153.8331833, 154.1419400, 155.9627400, 164.5839200, 201.2024700", \
+					  "154.4114500, 154.4345700, 154.4804500, 154.7739400, 156.5893700, 165.2239900, 201.8741000", \
+					  "155.0541800, 155.0541916, 155.0991600, 155.3847300, 157.1995700, 165.8646600, 202.4881700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3263890, 59.3675900, 59.2747010, 59.0056750, 57.0689170, 47.1838070, 92.2719110", \
+					  "59.2655670, 59.2817710, 59.2184720, 58.9591450, 57.0412130, 47.1349180, 92.3391710", \
+					  "59.3783720, 59.3595750, 59.3220730, 58.9577520, 57.0887730, 47.5898720, 92.3379990", \
+					  "59.3150600, 59.2957080, 59.2561800, 59.0108870, 57.0397600, 46.9241140, 92.3215920", \
+					  "59.4221210, 59.4050040, 59.2496470, 59.0060270, 57.0647250, 46.9026420, 92.2934550", \
+					  "59.2653290, 59.3668510, 59.3196350, 59.0070680, 57.0518350, 47.1724740, 92.2716840", \
+					  "59.3558200, 59.3499010, 59.3078940, 59.0065060, 57.0375640, 46.7421980, 92.3321650");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("8.1672482, 8.1734814, 8.2089908, 8.4379739, 10.0602130, 20.7898210, 89.5442870", \
+					  "8.6688772, 8.6754710, 8.7108338, 8.9401215, 10.5622260, 21.3057020, 90.0652210", \
+					  "9.0396792, 9.0455705, 9.0813836, 9.3103552, 10.9325900, 21.6663400, 90.4015920", \
+					  "9.3550785, 9.3626911, 9.3985699, 9.6271675, 11.2495350, 21.9762310, 90.7567280", \
+					  "9.6503605, 9.6562189, 9.6919264, 9.9212780, 11.5432420, 22.3076900, 91.0557030", \
+					  "9.9098614, 9.9157127, 9.9516511, 10.1803460, 11.8070160, 22.5299390, 91.2873470", \
+					  "10.1656830, 10.1721120, 10.2082410, 10.4366550, 12.0493310, 22.7828530, 91.5626610");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0214266, 1.0364947, 1.1280095, 1.7207907, 5.7587313, 31.6340380, 191.6983900", \
+					  "1.0176908, 1.0324472, 1.1269049, 1.7182448, 5.7593361, 31.6573400, 191.3090100", \
+					  "1.0214392, 1.0357291, 1.1276211, 1.7206614, 5.7587255, 31.6087570, 191.6855900", \
+					  "1.0208907, 1.0345954, 1.1288455, 1.7193362, 5.7591363, 31.6527960, 191.4609700", \
+					  "1.0208981, 1.0358212, 1.1267860, 1.7206607, 5.7590088, 31.6466990, 191.5617000", \
+					  "1.0199107, 1.0350556, 1.1291582, 1.7195874, 5.7588370, 31.6068270, 191.6982200", \
+					  "1.0205652, 1.0356518, 1.1256417, 1.7196771, 5.7591398, 31.6490120, 191.5236100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.8398600, 101.8398629, 101.9183700, 102.3243600, 104.9731800, 122.7261100, 159.1449200", \
+					  "102.5212100, 102.5336000, 102.5996700, 103.0123900, 105.6545200, 123.4113500, 159.8559000", \
+					  "103.2279100, 103.2343700, 103.3068100, 103.7074400, 106.3508000, 124.1904600, 160.5555400", \
+					  "103.9296100, 103.9537700, 104.0171400, 104.4241400, 107.0727400, 124.9119400, 161.2708300", \
+					  "104.5648900, 104.5959900, 104.6529800, 105.0728000, 107.7081400, 125.5047100, 161.8512200", \
+					  "105.2092300, 105.2158800, 105.2876800, 105.6943800, 108.3415500, 126.0945500, 162.5453300", \
+					  "105.8149100, 105.8533400, 105.8992800, 106.3315100, 108.9206200, 126.7035700, 163.1522800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4083900, 124.3865300, 124.3797400, 124.2546000, 123.8824400, 122.1593200, 109.8108500", \
+					  "124.4069500, 124.4037400, 124.3785900, 124.2565700, 123.8882400, 122.1607800, 109.8474000", \
+					  "124.3840300, 124.3946000, 124.3198800, 124.3202200, 123.9087300, 122.1334700, 109.8264700", \
+					  "124.3825000, 124.4290800, 124.4092100, 124.2723600, 123.9011000, 122.1433900, 109.8464500", \
+					  "124.3581800, 124.3851200, 124.3766000, 124.2578400, 123.8772300, 122.1142600, 109.7844500", \
+					  "124.4083400, 124.3963500, 124.3796700, 124.2539700, 123.8739500, 122.1587100, 109.9171000", \
+					  "124.3824700, 124.4080000, 124.3800500, 124.2760300, 123.9521200, 122.1676200, 109.8394600");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.1657160, 17.3614620, 18.5624480, 25.9549750, 71.3605100, 350.6552600, 2072.9328000", \
+					  "17.6544430, 17.8454850, 19.0523950, 26.4478180, 71.8458960, 351.1150900, 2072.6982000", \
+					  "18.0212820, 18.2058600, 19.4113720, 26.8035640, 72.2169130, 351.4776400, 2073.2034000", \
+					  "18.3289920, 18.5322100, 19.7300100, 27.1238500, 72.5178710, 351.7758400, 2073.5836000", \
+					  "18.6571330, 18.8079510, 20.0148660, 27.4085320, 72.8715080, 352.1493300, 2073.3296000", \
+					  "18.9105640, 19.0770350, 20.2789320, 27.6797820, 73.0685200, 352.3248000, 2074.3389000", \
+					  "19.1311070, 19.3165870, 20.5336600, 27.9200540, 73.3336380, 352.6221800, 2074.2500000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5692990, 14.9625080, 17.3227070, 31.8698100, 122.0955900, 679.0472200, 4110.7360000", \
+					  "14.5682450, 14.9626200, 17.3225270, 31.8564450, 122.0259900, 678.2599400, 4108.5782000", \
+					  "14.5805970, 14.9601180, 17.3217960, 31.8823510, 122.0684600, 677.8285000, 4109.8938000", \
+					  "14.5847640, 14.9538920, 17.3223440, 31.9082470, 122.0316400, 678.6623300, 4110.3790000", \
+					  "14.5743040, 14.9617260, 17.3230410, 31.8761440, 121.9434400, 678.9320500, 4109.5564000", \
+					  "14.5814540, 14.9594930, 17.3224310, 31.8775410, 122.0257700, 678.3225200, 4110.4199000", \
+					  "14.5720400, 14.9523820, 17.3218150, 31.8918860, 122.0711300, 679.1015000, 4109.5445000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.4366950, 13.4869940, 13.7968130, 15.6221400, 20.7216910, 39.9684020, 82.9742890", \
+					  "14.1182350, 14.1685310, 14.4783400, 16.3039380, 21.4035100, 40.6499300, 83.6620590", \
+					  "14.8200080, 14.8703100, 15.1801360, 17.0100610, 22.1084220, 41.3507130, 84.3645150", \
+					  "15.5204770, 15.5707700, 15.8804440, 17.7037000, 22.8052710, 42.0526510, 85.0637040", \
+					  "16.1801330, 16.2305510, 16.5396500, 18.3677570, 23.4648850, 42.7143300, 85.7186180", \
+					  "16.8042450, 16.8545300, 17.1643640, 18.9933790, 24.0893140, 43.3360760, 86.3480380", \
+					  "17.4246500, 17.4751560, 17.7850590, 19.5992180, 24.7094910, 43.9560420, 86.9704950");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0223983, 3.0905942, 3.5294534, 5.0936890, 6.9759394, 29.0327690, 48.3877540", \
+					  "3.0223921, 3.0905907, 3.5294381, 5.0937139, 6.9759505, 29.0327340, 48.3931830", \
+					  "3.0225258, 3.0910183, 3.5295347, 5.0927729, 6.9754922, 29.0338700, 48.3889300", \
+					  "3.0224121, 3.0912568, 3.5299059, 5.0933555, 6.9762329, 29.0330550, 48.3987640", \
+					  "3.0219662, 3.0927467, 3.5305188, 5.0914006, 6.9765314, 29.0325910, 48.4014460", \
+					  "3.0223928, 3.0905818, 3.5294263, 5.0937383, 6.9760020, 29.0326750, 48.3938760", \
+					  "3.0224705, 3.0909724, 3.5297905, 5.0930766, 6.9756592, 29.0345560, 48.3870690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0699296, 8.0699306, 8.0699316, 8.0699325, 8.0699335, 8.0699344, 8.0699354", \
+					  "8.5652836, 8.5652843, 8.5652853, 8.5652862, 8.5652872, 8.5652881, 8.5652891", \
+					  "8.8986978, 8.8986984, 8.9243066, 8.9243074, 8.9243084, 8.9243093, 8.9243103", \
+					  "9.2503205, 9.2556451, 9.2556453, 9.2556463, 9.2556472, 9.2556482, 9.2556491", \
+					  "9.5447854, 9.5447860, 9.5447870, 9.5447879, 9.5447889, 9.5447898, 9.5447908", \
+					  "9.8076205, 9.8076206, 9.8076215, 9.8076225, 9.8076234, 9.8076244, 9.8076253", \
+					  "10.0555630, 10.0555635, 10.0576690, 10.0576692, 10.0576702, 10.0576711, 10.0576721");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8581319, 7.8616509, 7.8616512, 7.8616517, 7.8616522, 7.8616527, 7.8616531", \
+					  "8.3532843, 8.3559382, 8.3559385, 8.3559394, 8.3559404, 8.3559413, 8.3559423", \
+					  "8.7157570, 8.7163237, 8.7163244, 8.7163253, 8.7163263, 8.7163272, 8.7163282", \
+					  "9.0217167, 9.0272501, 9.0272508, 9.0272518, 9.0272527, 9.0272537, 9.0272546", \
+					  "9.3073504, 9.3112869, 9.3112875, 9.3112884, 9.3112894, 9.3112903, 9.3112913", \
+					  "9.5705417, 9.5745003, 9.5745006, 9.5745016, 9.5745025, 9.5745035, 9.5745044", \
+					  "9.8164747, 9.8164754, 9.8164764, 9.8164773, 9.8164783, 9.8164793, 9.8164802");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6520940, 10.7011090, 11.0126770, 12.9077300, 24.5216900, 96.0399900, 416.6190600", \
+					  "11.1370770, 11.1905280, 11.5006890, 13.3992360, 25.0177460, 96.5610310, 417.0990500", \
+					  "11.5053010, 11.5552650, 11.8743620, 13.7719580, 25.4004500, 96.9174110, 417.4599700", \
+					  "11.8223810, 11.8716080, 12.1795880, 14.0772560, 25.6922180, 97.2241100, 417.8126000", \
+					  "12.1135710, 12.1630570, 12.4697780, 14.3682970, 25.9833900, 97.5044330, 418.1000000", \
+					  "12.3726270, 12.4227420, 12.7281400, 14.6263290, 26.2437770, 97.7642290, 418.3239900", \
+					  "12.6253300, 12.6750150, 12.9809550, 14.8869260, 26.5184710, 98.0319680, 418.6125300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0347307, 3.1117444, 3.5972048, 6.6010291, 25.0202290, 138.8997900, 350.4887000", \
+					  "3.0346803, 3.1127811, 3.5954084, 6.6022277, 25.0206630, 138.7254800, 350.6399100", \
+					  "3.0339411, 3.1116525, 3.5964702, 6.6042141, 25.0056440, 138.8632300, 350.3921600", \
+					  "3.0349760, 3.1117371, 3.5956735, 6.6014234, 25.0202630, 138.9073200, 350.6181900", \
+					  "3.0341107, 3.1120542, 3.5952825, 6.6015335, 25.0196820, 138.7672900, 350.6178800", \
+					  "3.0349838, 3.1118419, 3.5956453, 6.6017303, 25.0200860, 138.7371800, 350.5598700", \
+					  "3.0341392, 3.1125618, 3.5955530, 6.6044921, 25.0068340, 138.8817000, 350.6066500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.0378360, 21.2390780, 22.4182340, 29.6174300, 73.6796580, 345.2395300, 2019.5209000", \
+					  "21.7247860, 21.9206160, 23.0998150, 30.2990910, 74.4199010, 345.9567200, 2018.9921000", \
+					  "22.4361680, 22.6307670, 23.8097290, 31.0090510, 75.1284120, 346.6503200, 2023.1648000", \
+					  "23.1302570, 23.3173690, 24.4963570, 31.6959610, 75.8987470, 347.3502400, 2022.9884000", \
+					  "23.7911910, 23.9776910, 25.1569560, 32.3545070, 76.4200720, 347.9468400, 2025.0761000", \
+					  "24.4171850, 24.6110190, 25.7832580, 33.0063300, 77.1867900, 348.6447300, 2024.7826000", \
+					  "25.0301590, 25.2037570, 26.3926140, 33.6339130, 77.6659230, 349.1575500, 2025.5895000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5313320, 13.9017620, 16.1988540, 30.4245130, 118.3300100, 660.4757300, 4007.9099000", \
+					  "13.5344050, 13.9023490, 16.1984240, 30.4264030, 118.4590200, 660.8754600, 4005.2364000", \
+					  "13.5312510, 13.9015840, 16.1994100, 30.4239690, 118.4537600, 660.4807900, 4003.6971000", \
+					  "13.5183510, 13.9014270, 16.1996500, 30.4254150, 118.4282100, 660.2796200, 4004.2842000", \
+					  "13.5356360, 13.8959120, 16.2032700, 30.4073990, 118.1405800, 660.8067300, 4002.3333000", \
+					  "13.5357250, 13.9053470, 16.2079670, 30.4227470, 118.4718100, 660.6765600, 4002.9995000", \
+					  "13.5275640, 13.8677820, 16.2061230, 30.4206470, 118.1772700, 660.4872200, 4005.5661000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0373264, 8.0522256, 8.0522266, 8.0601463, 8.0601469, 8.0601478, 8.0601488", \
+					  "8.5522727, 8.5522733, 8.5522743, 8.5522752, 8.5522762, 8.5522771, 8.5522781", \
+					  "8.8896019, 8.8898950, 8.9182022, 8.9182029, 8.9182039, 8.9182048, 8.9182058", \
+					  "9.2374108, 9.2412851, 9.2412859, 9.2412868, 9.2412878, 9.2412887, 9.2412897", \
+					  "9.5299617, 9.5299621, 9.5299631, 9.5299640, 9.5299650, 9.5299659, 9.5299669", \
+					  "9.7679749, 9.7877315, 9.7907566, 9.7907568, 9.7907577, 9.7907587, 9.7907596", \
+					  "10.0197020, 10.0278590, 10.0319330, 10.0319334, 10.0319343, 10.0319353, 10.0319362");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3523526, 8.3523527, 8.3523536, 8.3523546, 8.3523555, 8.3523565, 8.3523574", \
+					  "8.8473255, 8.8484917, 8.8484922, 8.8484931, 8.8484941, 8.8484951, 8.8978007", \
+					  "9.2097858, 9.2097860, 9.2097869, 9.2097879, 9.2097888, 9.2097898, 9.2469605", \
+					  "9.5216963, 9.5225259, 9.5225263, 9.5225273, 9.5225282, 9.5225292, 9.5622132", \
+					  "9.8020445, 9.8075761, 9.8075767, 9.8075777, 9.8075786, 9.8075796, 9.8620418", \
+					  "10.0662830, 10.0664880, 10.0664888, 10.0664897, 10.0664907, 10.0664917, 10.1155080", \
+					  "10.3091300, 10.3091302, 10.3091312, 10.3191530, 10.3191534, 10.3191543, 10.3607110");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3495870, 13.4011610, 13.7159660, 15.6034440, 26.9708180, 93.6703230, 185.2806100", \
+					  "14.0296070, 14.0826320, 14.4003740, 16.2841970, 27.6607080, 94.3496590, 185.8831500", \
+					  "14.7363130, 14.7894790, 15.1050160, 16.9950010, 28.3757520, 95.0572100, 186.6674200", \
+					  "15.4383440, 15.4856820, 15.8061010, 17.6953710, 29.0587630, 95.7559220, 187.3661400", \
+					  "16.0905050, 16.1427190, 16.4636140, 18.3537560, 29.7161180, 96.4074900, 187.9948400", \
+					  "16.7175500, 16.7629750, 17.0954200, 18.9753210, 30.3418410, 97.0373720, 188.6236000", \
+					  "17.3375150, 17.3811440, 17.6968110, 19.5829080, 30.9544640, 97.6474650, 189.2494900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0562322, 3.1296716, 3.5769629, 6.3956613, 24.2850650, 97.2259290, 63.7200730", \
+					  "3.0578007, 3.1255318, 3.5769663, 6.3902061, 24.2964720, 97.2138850, 63.6971290", \
+					  "3.0551977, 3.1297605, 3.5769174, 6.3978396, 24.3076390, 97.2233560, 63.7475070", \
+					  "3.0569089, 3.1268923, 3.5686705, 6.3953283, 24.2858360, 97.1913540, 63.6738870", \
+					  "3.0561793, 3.1239022, 3.5685273, 6.3949481, 24.2859970, 97.2262770, 63.6720970", \
+					  "3.0564080, 3.1290628, 3.5769396, 6.3945111, 24.2862550, 97.3000740, 63.6720760", \
+					  "3.0548182, 3.1301253, 3.5728085, 6.3944245, 24.2852870, 97.2343340, 63.6326190");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3549301, 8.3552489, 8.3552490, 8.3552499, 8.3552509, 8.3552518, 8.3854381", \
+					  "8.8491667, 8.8508552, 8.8508554, 8.8508564, 8.8508573, 8.8508583, 8.8984624", \
+					  "9.2113108, 9.2113109, 9.2113119, 9.2113128, 9.2113138, 9.2113147, 9.2626893", \
+					  "9.5231218, 9.5231224, 9.5231233, 9.5231243, 9.5231252, 9.5231262, 9.5702237", \
+					  "9.8077795, 9.8077798, 9.8077808, 9.8077817, 9.8077827, 9.8077837, 9.8516129", \
+					  "10.0703260, 10.0765120, 10.0765129, 10.0765138, 10.0765148, 10.0765157, 10.1094860", \
+					  "10.3093830, 10.3273970, 10.3273979, 10.3273988, 10.3273998, 10.3274007, 10.3559510");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3122340, 13.3632410, 13.6675970, 15.4976070, 20.6715370, 40.0240870, 141.9574700", \
+					  "13.9948990, 14.0464470, 14.3546180, 16.1816070, 21.3555470, 40.7049010, 142.6904800", \
+					  "14.7004750, 14.7505430, 15.0584330, 16.8830870, 22.0586550, 41.4089070, 143.3484000", \
+					  "15.3951390, 15.4466610, 15.7553190, 17.5816390, 22.7638330, 42.1134560, 144.0956800", \
+					  "16.0578080, 16.1129620, 16.4167750, 18.2398310, 23.4164830, 42.7604650, 144.6842800", \
+					  "16.6914250, 16.7389590, 17.0403640, 18.8668100, 24.0374090, 43.3932460, 145.3675400", \
+					  "17.2909010, 17.3429680, 17.6570400, 19.4766440, 24.6510370, 44.0072720, 145.9891200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0256719, 3.1007121, 3.5359073, 5.1143818, 7.0435644, 32.0785890, 98.0607450", \
+					  "3.0275040, 3.1011540, 3.5368446, 5.1107771, 7.0344250, 32.0377470, 98.0262340", \
+					  "3.0306107, 3.1007368, 3.5364998, 5.1152873, 7.0409154, 32.0733910, 98.0616480", \
+					  "3.0323906, 3.1027139, 3.5357315, 5.1159534, 7.0406405, 32.0787410, 98.0644050", \
+					  "3.0309537, 3.0992162, 3.5363676, 5.1150404, 7.0419039, 32.0680580, 98.0616330", \
+					  "3.0324627, 3.1026834, 3.5354684, 5.1109086, 7.0394188, 32.0785030, 98.0740400", \
+					  "3.0324374, 3.1024308, 3.5357783, 5.1149978, 7.0444472, 32.0784040, 98.0338580");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2471940, 17.4406590, 18.6305420, 25.9617040, 71.1979100, 350.2610500, 2071.2625000", \
+					  "17.9262810, 18.1190280, 19.3200500, 26.6515410, 71.9620560, 350.9669900, 2072.5320000", \
+					  "18.6284690, 18.8217570, 20.0261430, 27.3765470, 72.6050190, 351.6033800, 2073.2889000", \
+					  "19.3223850, 19.5124250, 20.7193620, 28.0766820, 73.2976770, 352.3010200, 2073.5699000", \
+					  "19.9868960, 20.1878110, 21.3668590, 28.7005300, 73.9573450, 353.0311100, 2074.3282000", \
+					  "20.6040380, 20.7979820, 22.0229630, 29.3484690, 74.5801430, 353.5924700, 2074.8516000", \
+					  "21.1945470, 21.4072210, 22.6092940, 29.9715170, 75.1855820, 354.1524600, 2076.0717000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5869310, 14.9665200, 17.3269270, 31.8847460, 121.9557500, 677.9509900, 4109.5755000", \
+					  "14.5868960, 14.9535800, 17.3176580, 31.9195440, 122.1047900, 678.9492800, 4110.1994000", \
+					  "14.5824900, 14.9658080, 17.3083750, 31.8819420, 122.1340400, 678.9213700, 4109.8779000", \
+					  "14.5834700, 14.9648920, 17.3176500, 31.8901280, 122.1408900, 678.1095300, 4109.4218000", \
+					  "14.5873060, 14.9688100, 17.3113590, 31.9062820, 122.1096400, 679.0065800, 4110.0566000", \
+					  "14.5825470, 14.9681560, 17.3194510, 31.8754480, 122.1245000, 677.8115000, 4109.7242000", \
+					  "14.5825480, 14.9681370, 17.3176600, 31.8748690, 122.1247300, 677.8361100, 4110.2189000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8317660, 14.8823840, 15.1915960, 17.0199770, 22.1341190, 41.3821260, 84.3967310", \
+					  "15.5147860, 15.5610880, 15.8649340, 17.6991380, 22.8142200, 42.0667160, 85.0799110", \
+					  "16.2146900, 16.2642520, 16.5738110, 18.4005020, 23.5145410, 42.7678690, 85.7353020", \
+					  "16.9122670, 16.9574290, 17.2701020, 19.0936010, 24.2041530, 43.4650960, 86.4685180", \
+					  "17.5627050, 17.6104230, 17.9361060, 19.7635830, 24.8687470, 44.1062510, 87.1335930", \
+					  "18.2026290, 18.2561590, 18.5505090, 20.3747530, 25.5048000, 44.7402260, 87.7521270", \
+					  "18.7937720, 18.8475370, 19.1599480, 20.9905940, 26.0915640, 45.3498320, 88.3766230");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0281759, 3.0984708, 3.5364105, 5.1070965, 6.9858430, 29.0351440, 48.4004270", \
+					  "3.0282217, 3.0985325, 3.5363650, 5.1070539, 6.9858408, 29.0344250, 48.4014320", \
+					  "3.0280607, 3.0981204, 3.5363734, 5.1070801, 6.9858306, 29.0351720, 48.3831680", \
+					  "3.0281520, 3.0982981, 3.5366272, 5.1067981, 6.9851773, 29.0344500, 48.4075070", \
+					  "3.0283773, 3.0981027, 3.5362759, 5.1029070, 6.9847577, 29.0437200, 48.3893100", \
+					  "3.0283935, 3.0980520, 3.5362746, 5.1065738, 6.9851862, 29.0368030, 48.3987110", \
+					  "3.0284661, 3.0981326, 3.5362850, 5.1065725, 6.9848323, 29.0363500, 48.3899140");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.7425420, 16.9349740, 18.1211670, 25.4495780, 70.6860990, 349.6934700, 2070.9208000", \
+					  "17.2260610, 17.4206870, 18.6378380, 25.9759430, 71.2157870, 350.2175600, 2071.4017000", \
+					  "17.5921610, 17.7872880, 18.9809410, 26.3418190, 71.5780000, 350.5763000, 2072.1520000", \
+					  "17.9055310, 18.1000530, 19.3267430, 26.6538510, 71.8926500, 350.9087500, 2072.1434000", \
+					  "18.1953670, 18.3883930, 19.6073910, 26.9451700, 72.1797380, 351.1782200, 2072.3814000", \
+					  "18.4536940, 18.6444140, 19.8763170, 27.2025850, 72.4097480, 351.4531700, 2072.6086000", \
+					  "18.7065690, 18.9029890, 20.1321160, 27.4257270, 72.6563700, 351.7238800, 2073.3391000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5789000, 14.9610740, 17.3076660, 31.8420920, 122.0482500, 678.9565500, 4108.9056000", \
+					  "14.5855190, 14.9631080, 17.3158890, 31.8829900, 121.8958100, 679.1747200, 4110.9106000", \
+					  "14.5835520, 14.9568500, 17.3065600, 31.8826530, 121.8989600, 679.1623800, 4109.8810000", \
+					  "14.5828830, 14.9567970, 17.3054940, 31.8784250, 121.8924400, 679.1090600, 4110.6050000", \
+					  "14.5855040, 14.9570220, 17.3037160, 31.8829690, 121.9032700, 678.5633700, 4109.1239000", \
+					  "14.5832870, 14.9635630, 17.3085420, 31.8827200, 121.9496800, 679.1630100, 4108.2280000", \
+					  "14.5855050, 14.9610620, 17.3102350, 31.8509950, 121.9431900, 678.9193800, 4109.5677000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.5612450, 20.7481660, 21.9134630, 29.0964780, 73.1051410, 344.3584800, 2022.1303000", \
+					  "21.2435440, 21.4347790, 22.5953570, 29.7745990, 73.7934090, 345.0622300, 2019.8650000", \
+					  "21.9483830, 22.1426970, 23.3010130, 30.4868490, 74.5032760, 345.7491600, 2020.5280000", \
+					  "22.6436980, 22.8348880, 23.9975240, 31.1699790, 75.1233880, 346.5457900, 2021.0350000", \
+					  "23.3043290, 23.4932820, 24.6567230, 31.8396970, 75.7812230, 347.1084500, 2022.6864000", \
+					  "23.9256400, 24.1187460, 25.2819850, 32.4585830, 76.4065580, 347.8471100, 2021.8661000", \
+					  "24.5376130, 24.7377020, 25.9015870, 33.0751990, 77.1142650, 348.3534100, 2024.0523000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5227590, 13.9101210, 16.1951320, 30.3866510, 118.4681200, 660.5774300, 4005.5834000", \
+					  "13.5267820, 13.9099340, 16.1991560, 30.3867830, 118.1472100, 660.5286600, 4007.0099000", \
+					  "13.5314180, 13.9097050, 16.1999780, 30.3955400, 118.1567700, 660.5762900, 4007.9663000", \
+					  "13.5322120, 13.9095470, 16.2034030, 30.3818020, 118.1544200, 660.6733200, 4008.2605000", \
+					  "13.5291480, 13.9107460, 16.1970420, 30.3873190, 118.1463100, 660.4473700, 4006.9426000", \
+					  "13.5423490, 13.9089460, 16.2087410, 30.4206120, 118.1546000, 660.4180400, 4004.3797000", \
+					  "13.5288740, 13.8934040, 16.1959510, 30.3928010, 118.6407500, 660.4815300, 4006.6830000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4036227, 8.4038998, 8.4039007, 8.4053422, 8.4181542, 8.4181543, 8.4181552", \
+					  "8.9235481, 8.9235483, 8.9235492, 8.9235502, 8.9293950, 8.9293952, 8.9293962", \
+					  "9.1880041, 9.3208099, 9.3208108, 9.3208118, 9.3208128, 9.3208137, 9.3208147", \
+					  "9.5479979, 9.5498507, 9.5498510, 9.5809494, 9.5809503, 9.5809513, 9.5809522", \
+					  "9.8746353, 9.8746362, 9.8782209, 9.8782211, 9.8782220, 9.8782230, 9.8923056", \
+					  "10.1511290, 10.1511293, 10.1511302, 10.1511312, 10.1511322, 10.1511331, 10.1511341", \
+					  "10.3897660, 10.3897663, 10.3897672, 10.3897682, 10.3897691, 10.3897701, 10.4232980");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8689011, 7.8689015, 7.8689020, 7.8689025, 7.8689030, 7.8689034, 7.8689039", \
+					  "8.3535108, 8.3540233, 8.3540235, 8.3540245, 8.3540254, 8.3540264, 8.3540273", \
+					  "8.7165812, 8.7165819, 8.7165828, 8.7165838, 8.7165847, 8.7165857, 8.7165866", \
+					  "9.0241743, 9.0241752, 9.0241762, 9.0241771, 9.0241781, 9.0241790, 9.0241800", \
+					  "9.3107353, 9.3107362, 9.3107372, 9.3107381, 9.3107391, 9.3107400, 9.3107410", \
+					  "9.5716626, 9.5716634, 9.5716644, 9.5716653, 9.5716663, 9.5716672, 9.5716682", \
+					  "9.8110942, 9.8148876, 9.8148885, 9.8148895, 9.8148904, 9.8148914, 9.8148923");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2153740, 11.2588550, 11.5712280, 13.4693000, 25.0874010, 96.6060580, 417.2682400", \
+					  "11.8847000, 11.9374010, 12.2534000, 14.1500800, 25.7716870, 97.2837600, 417.9527500", \
+					  "12.5919200, 12.6466480, 12.9546180, 14.8545900, 26.4729340, 98.0016350, 418.6453000", \
+					  "13.2819940, 13.3386220, 13.6493830, 15.5474800, 27.1695240, 98.6826270, 419.3414900", \
+					  "13.9517740, 14.0034510, 14.3051790, 16.2020580, 27.8218640, 99.3505370, 420.0621200", \
+					  "14.5756220, 14.6278730, 14.9391240, 16.8346510, 28.4608620, 99.9749690, 420.6773400", \
+					  "15.1959480, 15.2438440, 15.5432890, 17.4450000, 29.0585670, 100.5723600, 421.2217000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0366507, 3.1129432, 3.5964747, 6.6016637, 25.0168010, 138.8862900, 350.7458900", \
+					  "3.0351721, 3.1128484, 3.5970056, 6.6026522, 25.0073140, 138.9138900, 350.7197400", \
+					  "3.0359208, 3.1134626, 3.5965999, 6.6016455, 25.0002330, 138.9049200, 350.5861100", \
+					  "3.0359566, 3.1135707, 3.5965921, 6.6026313, 24.9995560, 138.9156000, 350.5487600", \
+					  "3.0369776, 3.1134624, 3.5963044, 6.6016614, 25.0006970, 138.8707700, 350.5832100", \
+					  "3.0352607, 3.1142280, 3.5966121, 6.6018981, 25.0004980, 138.9095300, 350.5668600", \
+					  "3.0353104, 3.1139000, 3.5966623, 6.6018822, 25.0008720, 138.8730800, 350.7280200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6361070, 10.6361080, 10.7465320, 10.7465330, 10.7465339, 10.7465349, 10.7676420", \
+					  "11.1784000, 11.1784006, 11.1784015, 11.1790000, 11.1790004, 11.1790014, 11.1915780", \
+					  "11.5265260, 11.5523800, 11.5523801, 11.5523811, 11.5523820, 11.5523830, 11.6212490", \
+					  "11.9109260, 11.9109264, 11.9109273, 11.9109283, 11.9109293, 11.9109302, 11.9181220", \
+					  "12.1034010, 12.2275390, 12.2275396, 12.2275405, 12.2275415, 12.2275425, 12.2275434", \
+					  "12.3970110, 12.4904470, 12.4904476, 12.4904485, 12.4904495, 12.4904504, 12.4904514", \
+					  "12.7061640, 12.7061649, 12.7061658, 12.7138660, 12.7138668, 12.7138677, 12.7277510");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.7424850, 16.9348290, 18.1211120, 25.4490460, 70.6858930, 349.6969000, 2070.6668000", \
+					  "17.2259170, 17.4206210, 18.6210570, 25.9759010, 71.1810700, 350.2082100, 2072.1473000", \
+					  "17.5920750, 17.7872280, 19.0160470, 26.3417800, 71.5760180, 350.7810800, 2071.8919000", \
+					  "17.9054370, 18.1000190, 19.3265170, 26.6537460, 71.8968920, 350.9005600, 2072.2719000", \
+					  "18.1952940, 18.3900950, 19.6207990, 26.9451470, 72.1810660, 351.1997900, 2072.4108000", \
+					  "18.4535570, 18.6484070, 19.8756670, 27.2024800, 72.4460700, 351.4605900, 2072.8239000", \
+					  "18.7064980, 18.9012930, 20.1320400, 27.4256640, 72.6629640, 351.7348300, 2072.6920000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5794730, 14.9593810, 17.3066700, 31.8506110, 122.0193900, 677.9872000, 4109.2967000", \
+					  "14.5837280, 14.9628350, 17.3070300, 31.8850400, 122.0588400, 678.2672700, 4110.2653000", \
+					  "14.5830470, 14.9569710, 17.3114590, 31.8883690, 121.8871400, 679.2434400, 4109.6919000", \
+					  "14.5826200, 14.9568380, 17.3089280, 31.9011630, 121.8979800, 679.1934200, 4109.4968000", \
+					  "14.5837850, 14.9628630, 17.3114380, 31.8850940, 121.8884800, 679.0045900, 4108.5214000", \
+					  "14.5829510, 14.9562870, 17.3032650, 31.8767910, 121.9583500, 679.1058800, 4111.4636000", \
+					  "14.5837330, 14.9628880, 17.3112990, 31.8592160, 121.9533100, 678.2951200, 4112.7250000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.5611460, 20.7502850, 21.9134590, 29.0943730, 73.0439350, 344.3744600, 2019.2845000", \
+					  "21.2435280, 21.4314150, 22.5950850, 29.7729340, 73.7193280, 345.1023400, 2019.7940000", \
+					  "21.9481640, 22.1388420, 23.2963870, 30.4867380, 74.4415090, 345.7508600, 2021.3993000", \
+					  "22.6437090, 22.8309870, 23.9974330, 31.1708530, 75.1906480, 346.5845300, 2021.4200000", \
+					  "23.3030840, 23.4935020, 24.6567020, 31.8406630, 75.7947300, 347.1132900, 2022.7658000", \
+					  "23.9293330, 24.1223180, 25.2819770, 32.4543090, 76.4765960, 347.8750200, 2023.1871000", \
+					  "24.5373960, 24.7275180, 25.9016290, 33.0852110, 77.0296040, 348.3728500, 2023.3940000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5242680, 13.9123610, 16.1948410, 30.3858020, 118.1843500, 660.4362300, 4006.8180000", \
+					  "13.5254180, 13.9122070, 16.1991880, 30.3860190, 118.1882600, 660.4832900, 4008.0649000", \
+					  "13.5329420, 13.9099510, 16.2010090, 30.3949580, 118.1424800, 660.4202000, 4007.0731000", \
+					  "13.5330500, 13.9118130, 16.2045970, 30.4202570, 118.5307600, 660.5694700, 4006.8403000", \
+					  "13.5310390, 13.9100320, 16.1975140, 30.3875110, 118.2338100, 660.5743000, 4009.0081000", \
+					  "13.5422750, 13.8995670, 16.2088120, 30.4208710, 118.5021500, 660.3960400, 4006.8121000", \
+					  "13.5304040, 13.9110200, 16.1967330, 30.3865020, 118.2308200, 660.9334900, 4008.2482000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6217820, 10.6721750, 10.9878540, 12.8878110, 24.5032830, 96.0339650, 416.6613200", \
+					  "11.1122820, 11.1622170, 11.4786790, 13.3733860, 24.9892680, 96.5290280, 417.1701000", \
+					  "11.4872330, 11.5295910, 11.8357950, 13.7344520, 25.3499230, 96.8727820, 417.5483900", \
+					  "11.7965340, 11.8416110, 12.1531870, 14.0527780, 25.6630020, 97.1904230, 417.8650700", \
+					  "12.0822690, 12.1309960, 12.4395210, 14.3375160, 25.9528700, 97.4742780, 418.1017900", \
+					  "12.3416240, 12.3984080, 12.7024170, 14.6044100, 26.2106440, 97.7306130, 418.3423800", \
+					  "12.5942990, 12.6461100, 12.9527710, 14.8498560, 26.4649990, 97.9839140, 418.6245200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0350884, 3.1129697, 3.5971908, 6.6030004, 25.0107700, 138.8846400, 350.6964100", \
+					  "3.0350187, 3.1130729, 3.5969772, 6.6014603, 24.9979080, 138.7701200, 350.6918100", \
+					  "3.0365326, 3.1127576, 3.5962664, 6.6015993, 25.0008600, 138.7600900, 350.6775400", \
+					  "3.0352207, 3.1135321, 3.5964105, 6.6015988, 24.9976320, 138.7585700, 350.6954700", \
+					  "3.0361169, 3.1129577, 3.5964940, 6.6018928, 24.9976240, 138.7938700, 350.6759800", \
+					  "3.0362062, 3.1131906, 3.5983543, 6.6015962, 25.0221000, 138.8119700, 350.7021800", \
+					  "3.0364185, 3.1129415, 3.5966574, 6.6015642, 25.0216420, 138.6530500, 350.5821800");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.4087422, 9.4087425, 9.4105328, 9.4170414, 9.4170423, 9.4170433, 9.4192361", \
+					  "9.9392530, 9.9594102, 9.9615676, 9.9615684, 9.9615694, 9.9615703, 9.9859045", \
+					  "10.3284370, 10.3284374, 10.3306090, 10.3306098, 10.3306108, 10.3306118, 10.3306127", \
+					  "10.6090060, 10.6090065, 10.6090074, 10.6415980, 10.6415983, 10.6415992, 10.6416002", \
+					  "10.9312880, 10.9312883, 10.9312892, 10.9312902, 10.9312912, 10.9312921, 10.9312931", \
+					  "11.1466950, 11.1466957, 11.1500360, 11.1548280, 11.1548286, 11.1548296, 11.1933900", \
+					  "11.4467320, 11.4496100, 11.4496103, 11.4496112, 11.4496122, 11.4496131, 11.4496141");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7932720, 10.8440490, 11.1586070, 13.1231020, 19.4771240, 39.5694040, 161.1904700", \
+					  "11.2845130, 11.3340780, 11.6511120, 13.6153060, 19.9626820, 40.0598270, 161.6751900", \
+					  "11.6510930, 11.6957820, 12.0172490, 13.9808230, 20.3295600, 40.4264850, 162.0581600", \
+					  "11.9637930, 12.0142440, 12.3299160, 14.2937840, 20.6421120, 40.7388900, 162.3714100", \
+					  "12.2550870, 12.2965660, 12.6204220, 14.5844710, 20.9319620, 41.0252030, 162.6499400", \
+					  "12.5126950, 12.5653520, 12.8788710, 14.8439590, 21.1902980, 41.2931660, 162.9134500", \
+					  "12.7661680, 12.8174610, 13.1323130, 15.0973150, 21.4440590, 41.5371350, 163.1624000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0909322, 3.1756857, 3.7018695, 5.6422977, 8.4100931, 33.4608750, 200.2936300", \
+					  "3.0909026, 3.1756029, 3.7020829, 5.6419602, 8.4182439, 33.4763260, 200.1958400", \
+					  "3.0908627, 3.1759007, 3.7022021, 5.6417053, 8.4205096, 33.4770180, 200.1854800", \
+					  "3.0908605, 3.1759000, 3.7021817, 5.6418097, 8.4204039, 33.4664920, 200.1878600", \
+					  "3.0908840, 3.1759717, 3.7022030, 5.6419469, 8.4180662, 33.4609780, 200.2516300", \
+					  "3.0909186, 3.1759160, 3.7022276, 5.6413016, 8.4186960, 33.4634580, 200.3426800", \
+					  "3.0909897, 3.1759351, 3.7020227, 5.6410618, 8.4188443, 33.4722790, 200.2139500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.6150550, 13.6658520, 13.9770000, 15.8146720, 20.7869740, 40.0312170, 82.9932960", \
+					  "14.2978680, 14.3489420, 14.6592320, 16.4956000, 21.4695120, 40.7057110, 83.6616040", \
+					  "15.0066380, 15.0577260, 15.3640690, 17.2070870, 22.1800070, 41.4321980, 84.3351840", \
+					  "15.6953260, 15.7461000, 16.0597540, 17.8973580, 22.8680420, 42.1038100, 85.0527190", \
+					  "16.3603600, 16.4118370, 16.7243590, 18.5602950, 23.5329320, 42.7614000, 85.6761910", \
+					  "16.9864300, 17.0369850, 17.3446980, 19.1872620, 24.1578100, 43.4052620, 86.3671450", \
+					  "17.5944860, 17.6487380, 17.9720260, 19.7967300, 24.7687670, 44.0136990, 86.9621740");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9823655, 3.0543714, 3.4976273, 4.9719970, 6.9026322, 29.0086250, 48.3892390", \
+					  "2.9815845, 3.0500038, 3.4976295, 4.9721747, 6.8830781, 29.0091760, 48.3774190", \
+					  "2.9818019, 3.0546867, 3.4976599, 4.9718025, 6.8975692, 29.0114880, 48.3592150", \
+					  "2.9824094, 3.0542893, 3.4975909, 4.9669042, 6.9012809, 29.0158650, 48.4643720", \
+					  "2.9794882, 3.0515195, 3.4911718, 4.9688533, 6.9003105, 28.9989170, 48.3365490", \
+					  "2.9825677, 3.0545919, 3.4954182, 4.9725979, 6.9043353, 29.0074240, 48.3964340", \
+					  "2.9817409, 3.0545253, 3.4974326, 4.9669500, 6.8982127, 29.0064290, 48.3673580");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.5045083, 9.5045085, 9.5051664, 9.5408330, 9.5408340, 9.5408350, 9.5408359", \
+					  "10.0178510, 10.0261190, 10.0261198, 10.0261207, 10.0261217, 10.0261226, 10.0620050", \
+					  "10.3930350, 10.3930355, 10.3930364, 10.3961120, 10.3961130, 10.3961139, 10.4726080", \
+					  "10.7081410, 10.7081419, 10.7089510, 10.7089515, 10.7089525, 10.7089534, 10.7427950", \
+					  "10.9934210, 10.9934211, 10.9934221, 10.9934230, 10.9934240, 10.9934249, 11.0191820", \
+					  "11.2157840, 11.2157846, 11.2620330, 11.2620340, 11.2620349, 11.2620359, 11.2830750", \
+					  "11.5187610, 11.5187612, 11.5187622, 11.5187631, 11.5187641, 11.5187650, 11.5187660");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4121350, 8.4121357, 8.4121366, 8.4121376, 8.4121385, 8.4121395, 8.4452338", \
+					  "8.8493426, 8.8493429, 8.8503640, 8.8518384, 8.8518386, 8.8518396, 8.8626866", \
+					  "9.2227044, 9.2227045, 9.2227054, 9.2227064, 9.2227073, 9.2227083, 9.2245758", \
+					  "9.5814015, 9.5820685, 9.5820690, 9.5823571, 9.5823579, 9.5823589, 9.5943760", \
+					  "9.8753352, 9.8753353, 9.8753362, 9.8753372, 9.8753381, 9.8753391, 9.8924104", \
+					  "10.0759270, 10.1434300, 10.1434303, 10.1434312, 10.1434322, 10.1434331, 10.1847490", \
+					  "10.3837820, 10.3892830, 10.3894750, 10.3896380, 10.3918730, 10.3918739, 10.4399190");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8615292, 7.8698630, 7.8980626, 7.8980630, 7.8980635, 7.8980639, 7.8980644", \
+					  "8.3534912, 8.3552019, 8.3599669, 8.3599678, 8.3599687, 8.3599697, 8.3599706", \
+					  "8.7166097, 8.7166105, 8.7218606, 8.7218614, 8.7218624, 8.7218633, 8.7218643", \
+					  "9.0218242, 9.0263503, 9.0274339, 9.0274349, 9.0274358, 9.0274368, 9.0274377", \
+					  "9.3083674, 9.3084376, 9.3112718, 9.3112722, 9.3112731, 9.3112741, 9.3112751", \
+					  "9.5715320, 9.5715328, 9.5762713, 9.5762716, 9.5762725, 9.5762735, 9.5762744", \
+					  "9.8165760, 9.8165765, 9.8224255, 9.8224264, 9.8224273, 9.8224283, 9.8224292");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2096420, 11.2588020, 11.5707830, 13.5087480, 19.9685320, 40.0587940, 161.6731600", \
+					  "11.8892800, 11.9382010, 12.2499940, 14.1907850, 20.6496860, 40.7417070, 162.3606600", \
+					  "12.5932010, 12.6422480, 12.9529550, 14.8939680, 21.3517380, 41.4397730, 163.0563400", \
+					  "13.2832860, 13.3365850, 13.6443540, 15.5835010, 22.0504290, 42.1398880, 163.7547800", \
+					  "13.9419080, 13.9990130, 14.3112910, 16.2532730, 22.7042010, 42.7921770, 164.4081200", \
+					  "14.5747240, 14.6304850, 14.9305390, 16.8766210, 23.3471110, 43.4302870, 165.0445800", \
+					  "15.1869520, 15.2208730, 15.5502830, 17.4924150, 23.9340710, 44.0314100, 165.6473900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0885738, 3.1731613, 3.6976886, 5.7399809, 8.5404999, 33.4700870, 200.2900200", \
+					  "3.0886849, 3.1731484, 3.6976557, 5.7404304, 8.5401739, 33.4744930, 200.2719800", \
+					  "3.0887142, 3.1733427, 3.6979604, 5.7395608, 8.5401561, 33.4602600, 200.2739000", \
+					  "3.0893759, 3.1735283, 3.6983145, 5.7403668, 8.5398906, 33.4698740, 200.2662700", \
+					  "3.0893208, 3.1735237, 3.6976218, 5.7394334, 8.5401739, 33.4705740, 200.2745700", \
+					  "3.0885652, 3.1732952, 3.6976473, 5.7394316, 8.5406731, 33.4625090, 200.2734800", \
+					  "3.0885805, 3.1733425, 3.6979446, 5.7394325, 8.5401570, 33.4604590, 200.2749300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.8960670, 22.0956890, 23.2518380, 30.3890710, 74.5288350, 345.7809300, 2021.2749000", \
+					  "22.5862880, 22.7789860, 23.9329960, 31.1221240, 75.2049590, 346.3878800, 2021.4027000", \
+					  "23.2821500, 23.4797480, 24.6369880, 31.7773610, 75.7739540, 347.1467100, 2022.6482000", \
+					  "23.9741080, 24.1649420, 25.3322730, 32.4691780, 76.6067100, 348.1019900, 2023.0682000", \
+					  "24.6365630, 24.8264310, 25.9836580, 33.1299010, 77.2451470, 348.7669500, 2023.2335000", \
+					  "25.2768580, 25.4542570, 26.6035480, 33.7495190, 77.8129700, 349.4031700, 2023.9302000", \
+					  "25.8716430, 26.0622070, 27.1928540, 34.3791090, 78.5015290, 349.6877200, 2024.6659000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5472410, 13.9105960, 16.1899840, 30.3954160, 118.3749100, 660.7471100, 4010.1436000", \
+					  "13.5401090, 13.9121170, 16.1908960, 30.3876640, 118.4564100, 660.6368900, 4007.9817000", \
+					  "13.5464930, 13.9143510, 16.1990030, 30.3831560, 118.1999900, 660.4306600, 4009.0558000", \
+					  "13.5431290, 13.9137600, 16.1873040, 30.3955050, 118.3708500, 660.1503600, 4009.2491000", \
+					  "13.5384240, 13.9137590, 16.2023390, 30.4217130, 118.4831600, 660.2570600, 4009.9799000", \
+					  "13.5393860, 13.9148930, 16.1829820, 30.3990220, 118.5281900, 660.1658800, 4007.0322000", \
+					  "13.5455480, 13.9148790, 16.1829860, 30.3602260, 118.5132700, 660.5431500, 4010.2791000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0382918, 8.0396054, 8.0396057, 8.0396066, 8.0396076, 8.0396085, 8.0396095", \
+					  "8.5523144, 8.5523153, 8.5523162, 8.5523172, 8.5523181, 8.5523191, 8.5523201", \
+					  "8.8896943, 8.8896947, 8.9181782, 8.9181791, 8.9181800, 8.9181810, 8.9181819", \
+					  "9.2375707, 9.2413508, 9.2413517, 9.2413526, 9.2413536, 9.2413545, 9.2413555", \
+					  "9.5299315, 9.5299316, 9.5299325, 9.5299335, 9.5299345, 9.5299354, 9.5299364", \
+					  "9.7679944, 9.7881392, 9.7906856, 9.7906862, 9.7906871, 9.7906881, 9.7906890", \
+					  "10.0220370, 10.0255100, 10.0318450, 10.0318456, 10.0318466, 10.0318475, 10.0318485");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3550002, 8.3550010, 8.3550020, 8.3550029, 8.3550039, 8.3550048, 8.3550058", \
+					  "8.8674152, 8.8674160, 8.8674169, 8.8674179, 8.8674188, 8.8674198, 8.9453538", \
+					  "9.1998560, 9.2298160, 9.2298170, 9.2375911, 9.2375913, 9.2375923, 9.2618047", \
+					  "9.5181543, 9.5385042, 9.5385051, 9.5467962, 9.5467964, 9.5467973, 9.5637933", \
+					  "9.7980326, 9.8230313, 9.8230320, 9.8230329, 9.8230339, 9.8230348, 9.8577777", \
+					  "10.0645260, 10.0701580, 10.0701585, 10.0701595, 10.0701604, 10.0701614, 10.1213190", \
+					  "10.2929900, 10.3104020, 10.3104024, 10.3104034, 10.3104044, 10.3104053, 10.3913100");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.7674450, 14.8194700, 15.1372460, 17.0270640, 28.3902820, 95.0872800, 186.6893900", \
+					  "15.4485700, 15.4984650, 15.8142390, 17.7073220, 29.0945650, 95.7636600, 187.3598600", \
+					  "16.1473410, 16.1999290, 16.5164610, 18.4017320, 29.7991130, 96.4691940, 188.0715800", \
+					  "16.8402640, 16.8968080, 17.2102260, 19.1048490, 30.4878720, 97.1636710, 188.7707800", \
+					  "17.5036590, 17.5625040, 17.8848310, 19.7692070, 31.1612000, 97.8249300, 189.4378800", \
+					  "18.1246220, 18.1792040, 18.5046630, 20.3914130, 31.7745080, 98.4391730, 190.0572600", \
+					  "18.7414760, 18.8012860, 19.1001630, 21.0024510, 32.3992530, 99.0607490, 190.6657400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0567591, 3.1257177, 3.5759600, 6.3987375, 24.2862890, 97.2270580, 63.6739020", \
+					  "3.0552025, 3.1291538, 3.5757224, 6.3930976, 24.3050720, 97.1824010, 63.6762040", \
+					  "3.0505272, 3.1274763, 3.5742560, 6.3932282, 24.3050330, 97.1880070, 63.6420200", \
+					  "3.0531055, 3.1295899, 3.5688588, 6.3933401, 24.3049100, 97.2261840, 63.6444430", \
+					  "3.0569187, 3.1259801, 3.5728891, 6.3933725, 24.3053380, 97.2316630, 63.6348290", \
+					  "3.0564320, 3.1236613, 3.5686472, 6.3937855, 24.3049810, 97.2259640, 63.7449990", \
+					  "3.0564540, 3.1236513, 3.5687402, 6.3931029, 24.3050500, 97.2275060, 63.6303170");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3827389, 8.3827396, 8.3827405, 8.3827415, 8.3827425, 8.3827434, 8.3827444", \
+					  "8.8555732, 8.8555742, 8.8555751, 8.8555761, 8.8555770, 8.8555780, 8.8555789", \
+					  "9.2286205, 9.2292680, 9.2292686, 9.2587351, 9.2587352, 9.2587362, 9.2587371", \
+					  "9.5643813, 9.5643821, 9.5643831, 9.5643840, 9.5643850, 9.5643859, 9.5743120", \
+					  "9.8550901, 9.8550907, 9.8558983, 9.8558984, 9.8558994, 9.8559003, 9.8687947", \
+					  "10.0843510, 10.0843511, 10.0843521, 10.0843530, 10.0920140, 10.0920148, 10.0920158", \
+					  "10.3663900, 10.3663908, 10.3781380, 10.3781381, 10.3781391, 10.3781400, 10.3820030");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3520666, 8.3520675, 8.3719014, 8.3719020, 8.3719030, 8.3719039, 8.3719049", \
+					  "8.8729308, 8.8729311, 8.8729320, 8.8729330, 8.8729339, 8.8729349, 8.9288177", \
+					  "9.2016332, 9.2346326, 9.2346330, 9.2419112, 9.2419115, 9.2419124, 9.2557242", \
+					  "9.5211030, 9.5432755, 9.5432764, 9.5542081, 9.5542083, 9.5542093, 9.5706794", \
+					  "9.8031672, 9.8283257, 9.8283258, 9.8283268, 9.8283277, 9.8283287, 9.8580211", \
+					  "10.0849440, 10.0849443, 10.0947370, 10.0947376, 10.0947385, 10.0947395, 10.1241410", \
+					  "10.2883450, 10.3025140, 10.3025146, 10.3025156, 10.3025165, 10.3025175, 10.3672530");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0698861, 8.0698867, 8.0698877, 8.0698886, 8.0698896, 8.0698905, 8.0698915", \
+					  "8.5653182, 8.5653186, 8.5653196, 8.5653205, 8.5653215, 8.5653224, 8.5653234", \
+					  "8.8988559, 8.8988567, 8.9212984, 8.9212986, 8.9212995, 8.9213005, 8.9213014", \
+					  "9.2511057, 9.2555732, 9.2555738, 9.2555748, 9.2555757, 9.2555767, 9.2555776", \
+					  "9.5448174, 9.5448175, 9.5448185, 9.5448194, 9.5448204, 9.5448213, 9.5448223", \
+					  "9.8076525, 9.8076530, 9.8076540, 9.8076549, 9.8076559, 9.8076568, 9.8076578", \
+					  "10.0555680, 10.0555683, 10.0555692, 10.0555702, 10.0555711, 10.0555721, 10.0555730");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.8705256, 7.8705261, 7.8705266, 7.8705271, 7.8705276, 7.8705280, 7.8705285", \
+					  "8.3536795, 8.3558227, 8.3558231, 8.3558240, 8.3558250, 8.3558260, 8.3558269", \
+					  "8.7175618, 8.7175622, 8.7175632, 8.7175642, 8.7175651, 8.7175661, 8.7175670", \
+					  "9.0227292, 9.0254417, 9.0254427, 9.0254436, 9.0254446, 9.0254455, 9.0254465", \
+					  "9.3091224, 9.3106749, 9.3106752, 9.3106761, 9.3106771, 9.3106781, 9.3106790", \
+					  "9.5722541, 9.5746042, 9.5746046, 9.5746055, 9.5746065, 9.5746074, 9.5746084", \
+					  "9.8176791, 9.8176799, 9.8176809, 9.8176818, 9.8176828, 9.8176837, 9.8176847");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6243010, 10.6744900, 10.9847730, 12.9262680, 19.3893080, 39.4770150, 161.0820800", \
+					  "11.1134650, 11.1648770, 11.4748660, 13.4161670, 19.8777920, 39.9612080, 161.5752700", \
+					  "11.4803220, 11.5274530, 11.8395720, 13.7770750, 20.2369850, 40.3271480, 161.9489200", \
+					  "11.7918240, 11.8439050, 12.1526890, 14.0948280, 20.5508730, 40.6453860, 162.2509700", \
+					  "12.0817780, 12.1292530, 12.4387700, 14.3803980, 20.8385590, 40.9287540, 162.5502800", \
+					  "12.3455600, 12.3913270, 12.6992110, 14.6476330, 21.0976730, 41.1878100, 162.8076700", \
+					  "12.5880310, 12.6446420, 12.9525260, 14.8926050, 21.3533140, 41.4457550, 163.0596200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0886458, 3.1734353, 3.6976591, 5.7400560, 8.5402005, 33.4812460, 200.3665700", \
+					  "3.0881813, 3.1733272, 3.6982610, 5.7401568, 8.5422514, 33.4609500, 200.3409200", \
+					  "3.0883016, 3.1733312, 3.6975958, 5.7401950, 8.5409635, 33.4627970, 200.2437300", \
+					  "3.0885901, 3.1732501, 3.6978722, 5.7396181, 8.5408436, 33.4626730, 200.2923800", \
+					  "3.0887910, 3.1727050, 3.6975525, 5.7396270, 8.5339771, 33.4627080, 200.2553100", \
+					  "3.0878999, 3.1732299, 3.6976116, 5.7404566, 8.5381808, 33.4613440, 200.2109800", \
+					  "3.0882301, 3.1732337, 3.6978460, 5.7400671, 8.5337239, 33.4652060, 200.2044300");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.8050880, 10.8083530, 10.8083540, 10.8153170, 10.8153177, 10.8153186, 10.8153196", \
+					  "11.2763710, 11.3134040, 11.3162050, 11.3162056, 11.3162065, 11.3162075, 11.3413010", \
+					  "11.6802710, 11.6802717, 11.6802726, 11.6802736, 11.6802745, 11.6802755, 11.6851690", \
+					  "11.9635320, 11.9635330, 11.9637010, 11.9637018, 11.9637027, 11.9637037, 11.9883930", \
+					  "12.2872710, 12.2872711, 12.2912330, 12.2912336, 12.2912345, 12.2912355, 12.3081950", \
+					  "12.5152660, 12.5280560, 12.5471960, 12.5490920, 12.5490928, 12.5490938, 12.5766940", \
+					  "12.6683040, 12.7914320, 12.7914329, 12.7914339, 12.7914348, 12.7914358, 12.7914367");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2090410, 11.2595200, 11.5708190, 13.5109540, 19.9722230, 40.0595890, 161.6742100", \
+					  "11.8883950, 11.9377100, 12.2501080, 14.1918280, 20.6545890, 40.7417000, 162.3463400", \
+					  "12.5928550, 12.6442200, 12.9527860, 14.8937790, 21.3608260, 41.4449130, 163.0690200", \
+					  "13.2853470, 13.3364400, 13.6482350, 15.5852950, 22.0517440, 42.1411100, 163.7601900", \
+					  "13.9515630, 13.9976040, 14.3073540, 16.2443590, 22.7127690, 42.8018150, 164.4143500", \
+					  "14.5826570, 14.6291110, 14.9192180, 16.8698050, 23.3369520, 43.4255230, 165.0447000", \
+					  "15.1841770, 15.2316790, 15.5447350, 17.4949480, 23.9500830, 44.0424160, 165.6463800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0890057, 3.1736163, 3.6978678, 5.7404566, 8.5414271, 33.4808700, 200.3172300", \
+					  "3.0889913, 3.1735155, 3.6981378, 5.7404645, 8.5412744, 33.4623990, 200.2642700", \
+					  "3.0888483, 3.1736329, 3.6982040, 5.7406178, 8.5387324, 33.4811790, 200.1910800", \
+					  "3.0896929, 3.1736602, 3.6985857, 5.7401768, 8.5412744, 33.4765320, 200.2586500", \
+					  "3.0889591, 3.1736442, 3.6978478, 5.7404881, 8.5412859, 33.4674900, 200.2835500", \
+					  "3.0888494, 3.1736291, 3.6978511, 5.7404055, 8.5412131, 33.4762510, 200.2615800", \
+					  "3.0888549, 3.1736329, 3.6978685, 5.7397980, 8.5412744, 33.4650670, 200.2588800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8302560, 14.8817120, 15.1916810, 17.0228030, 22.1382680, 41.3643770, 84.3391630", \
+					  "15.5114690, 15.5583000, 15.8701160, 17.7003980, 22.8120070, 42.0410870, 85.0165520", \
+					  "16.2118180, 16.2644010, 16.5714250, 18.3999700, 23.5176730, 42.7628490, 85.7608310", \
+					  "16.9020280, 16.9615950, 17.2647460, 19.1019380, 24.2052120, 43.4556110, 86.4261550", \
+					  "17.5631260, 17.6072100, 17.9213620, 19.7502440, 24.8710940, 44.1138610, 87.1241280", \
+					  "18.1859540, 18.2380160, 18.5599410, 20.3832810, 25.4935180, 44.7416080, 87.7444820", \
+					  "18.8004050, 18.8555290, 19.1600940, 21.0096490, 26.1046260, 45.3427730, 88.3517690");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0272780, 3.0969738, 3.5295082, 5.1034408, 6.9955877, 29.0284630, 48.3695110", \
+					  "3.0276588, 3.0995015, 3.5309342, 5.1073625, 6.9903567, 29.0488900, 48.4106980", \
+					  "3.0281049, 3.0995078, 3.5330876, 5.1049645, 6.9907093, 29.0350640, 48.3883160", \
+					  "3.0281617, 3.0969645, 3.5295900, 5.1037068, 6.9903074, 29.0344300, 48.4999240", \
+					  "3.0287528, 3.0987419, 3.5363168, 5.1025055, 6.9903749, 29.0354670, 48.4680670", \
+					  "3.0271801, 3.0995084, 3.5360879, 5.1027507, 6.9811108, 29.0353730, 48.3589030", \
+					  "3.0271456, 3.0995075, 3.5330932, 5.1034292, 6.9844943, 29.0350750, 48.3645690");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2150200, 11.2588070, 11.5707530, 13.4693760, 25.0863720, 96.6184360, 417.2640600", \
+					  "11.8864470, 11.9367640, 12.2531820, 14.1479060, 25.7616840, 97.3024470, 417.9308100", \
+					  "12.5961370, 12.6502830, 12.9558610, 14.8505850, 26.4665500, 97.9958160, 418.6942400", \
+					  "13.2800960, 13.3425510, 13.6528200, 15.5513980, 27.1570290, 98.6933200, 419.3310600", \
+					  "13.9490370, 14.0015980, 14.3080580, 16.2070430, 27.8218460, 99.3481420, 420.0190300", \
+					  "14.5704740, 14.6271100, 14.9338510, 16.8303430, 28.4514790, 99.9726670, 420.6132500", \
+					  "15.1879110, 15.2284020, 15.5496170, 17.4481550, 29.0690320, 100.5887700, 421.2481000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0369645, 3.1132368, 3.5967904, 6.6019745, 24.9990940, 138.7922600, 350.5935200", \
+					  "3.0362519, 3.1131664, 3.5972105, 6.6017285, 25.0131350, 138.9183000, 350.7017800", \
+					  "3.0355383, 3.1139495, 3.5966816, 6.6017209, 25.0210390, 138.7647900, 350.7199400", \
+					  "3.0355121, 3.1138143, 3.5968910, 6.6017387, 25.0208640, 138.7650300, 350.5299400", \
+					  "3.0357092, 3.1132490, 3.5966405, 6.6017241, 25.0212380, 138.7646800, 350.7068100", \
+					  "3.0355967, 3.1139853, 3.5968744, 6.6017463, 25.0011530, 138.7647500, 350.7324800", \
+					  "3.0356044, 3.1134675, 3.5968730, 6.6017458, 25.0011550, 138.7637500, 350.5215900");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8450260, 14.8981230, 15.2162990, 17.0994840, 28.4704580, 98.3522030, 525.4582900", \
+					  "15.5268530, 15.5798130, 15.8985480, 17.7778430, 29.1484920, 99.0313890, 526.1360900", \
+					  "16.2303420, 16.2783850, 16.5929510, 18.4773090, 29.8526320, 99.7876410, 526.8292400", \
+					  "16.9159920, 16.9699740, 17.2911980, 19.1745200, 30.5350520, 100.4263600, 527.4948200", \
+					  "17.5793390, 17.6279130, 17.9414780, 19.8227250, 31.2121390, 101.2062500, 528.1956400", \
+					  "18.1980550, 18.2625420, 18.5792040, 20.4467480, 31.8404150, 101.7654200, 528.7805000", \
+					  "18.8055190, 18.8665580, 19.1841000, 21.0644000, 32.4391710, 102.3704500, 529.4320400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0574721, 3.1303733, 3.5780650, 6.3821077, 24.3038730, 135.4018300, 504.2548400", \
+					  "3.0574814, 3.1303773, 3.5781422, 6.3812426, 24.3036450, 135.4021900, 504.2484800", \
+					  "3.0574485, 3.1303737, 3.5780821, 6.3891377, 24.3038940, 135.4312100, 504.2591100", \
+					  "3.0573242, 3.1303677, 3.5780767, 6.3880452, 24.3054770, 135.4005700, 504.1953300", \
+					  "3.0574856, 3.1210212, 3.5684515, 6.3843091, 24.3049370, 135.2570100, 504.1783900", \
+					  "3.0535152, 3.1303133, 3.5780918, 6.3925660, 24.3072780, 135.4323900, 504.2056700", \
+					  "3.0535230, 3.1310541, 3.5784578, 6.3925669, 24.3072740, 135.4327000, 504.2480200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.4058120, 8.4058128, 8.4058137, 8.4058147, 8.4058157, 8.4058166, 8.4121679", \
+					  "8.9056744, 8.9056745, 8.9056755, 8.9056764, 8.9056774, 8.9056783, 8.9056793", \
+					  "9.1947623, 9.1947628, 9.2505701, 9.2759915, 9.2759920, 9.2759929, 9.2885175", \
+					  "9.5741362, 9.5741363, 9.5741373, 9.5741382, 9.5741392, 9.5741401, 9.5959436", \
+					  "9.8648600, 9.8648601, 9.8654978, 9.8654981, 9.8654991, 9.8655000, 9.8817532", \
+					  "10.1229740, 10.1305600, 10.1348400, 10.1348405, 10.1348415, 10.1348424, 10.1548140", \
+					  "10.3145180, 10.3538650, 10.3649240, 10.3649250, 10.3649259, 10.3649269, 10.3649278");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.3561744, 8.3561750, 8.3561759, 8.3561769, 8.3561779, 8.3561788, 8.5509901", \
+					  "8.8498231, 8.8515524, 8.8515525, 8.8515535, 8.8515544, 8.8515554, 8.8996410", \
+					  "9.2117745, 9.2117754, 9.2117763, 9.2117773, 9.2117782, 9.2117792, 9.2649515", \
+					  "9.5238439, 9.5238443, 9.5238452, 9.5238462, 9.5238472, 9.5238481, 9.5661719", \
+					  "9.8085096, 9.8085122, 9.8085123, 9.8085132, 9.8085142, 9.8085151, 9.8549737", \
+					  "10.0635440, 10.0659170, 10.0659175, 10.0683850, 10.0683857, 10.0683866, 10.1157130", \
+					  "10.3104320, 10.3139990, 10.3147820, 10.3242550, 10.3242555, 10.3242565, 10.3629860");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.5014581, 7.5014585, 7.5014589, 7.5014594, 7.5014599, 7.5014604, 7.5526163", \
+					  "8.1438944, 8.1438947, 8.1438957, 8.1438966, 8.1438976, 8.1438985, 8.1626172", \
+					  "8.8293834, 8.8312389, 8.8312393, 8.8312402, 8.8312412, 8.8312421, 8.9370360", \
+					  "9.5311439, 9.5344639, 9.5344644, 9.5344654, 9.5344663, 9.5344673, 9.5691286", \
+					  "10.1419340, 10.1903330, 10.1903339, 10.1903348, 10.1903358, 10.1903368, 10.2689000", \
+					  "10.8177380, 10.8177381, 10.8177391, 10.8177400, 10.8177410, 10.8177419, 10.9283330", \
+					  "11.4562880, 11.4633170, 11.4633174, 11.4633184, 11.4633194, 11.4633203, 11.5092050");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.7309740, 14.7816120, 15.0900430, 16.9121620, 22.0896920, 41.4498910, 143.3494000", \
+					  "15.4087820, 15.4614790, 15.7732960, 17.5917470, 22.7721270, 42.1282960, 144.0740800", \
+					  "16.1143830, 16.1642680, 16.4744520, 18.2968750, 23.4732270, 42.8297890, 144.7633900", \
+					  "16.8087800, 16.8564180, 17.1686170, 18.9892030, 24.1602950, 43.5246400, 145.4943500", \
+					  "17.4662030, 17.5132550, 17.8313510, 19.6347790, 24.8278390, 44.1722140, 146.1612100", \
+					  "18.0918210, 18.1390640, 18.4513790, 20.2714410, 25.4707080, 44.8113900, 146.7027600", \
+					  "18.7084250, 18.7450250, 19.0660450, 20.8763250, 26.0561790, 45.4037230, 147.3268400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0299059, 3.0997092, 3.5330447, 5.1153011, 7.0397368, 32.0360020, 98.0621520", \
+					  "3.0306202, 3.1015044, 3.5366186, 5.1153251, 7.0374524, 32.0776860, 98.1087000", \
+					  "3.0308340, 3.1007017, 3.5358783, 5.1153104, 7.0515283, 32.0783790, 98.0590680", \
+					  "3.0293292, 3.1013037, 3.5358094, 5.1154352, 7.0393704, 32.0790040, 98.0745230", \
+					  "3.0308709, 3.1027887, 3.5358423, 5.1154405, 7.0446537, 32.0786460, 98.0248270", \
+					  "3.0324476, 3.0999430, 3.5366177, 5.1153171, 7.0404256, 32.0786100, 98.0547410", \
+					  "3.0310836, 3.0993781, 3.5365320, 5.1153264, 7.0414310, 32.0670280, 98.0635950");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.4753395, 7.4753397, 7.4895556, 7.4895561, 7.4895566, 7.4895571, 7.4895576", \
+					  "8.1349292, 8.1349302, 8.1349311, 8.1349321, 8.1349330, 8.1349340, 8.1349350", \
+					  "8.8363974, 8.8363977, 8.8363987, 8.8363996, 8.8364006, 8.8364015, 8.9682013", \
+					  "9.5285584, 9.5285593, 9.5285602, 9.5287129, 9.5287138, 9.5287147, 9.6069055", \
+					  "10.1834530, 10.1872570, 10.1888660, 10.2046260, 10.2046266, 10.2046276, 10.3090300", \
+					  "10.8220370, 10.8220373, 10.8220382, 10.8278430, 10.8278432, 10.8278442, 10.9392910", \
+					  "11.4458150, 11.4473400, 11.4540270, 11.4540277, 11.4540287, 11.4540296, 11.5504570");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2423910, 17.4451370, 18.6301850, 25.9621500, 71.2158440, 350.2559000, 2071.5772000", \
+					  "17.9287730, 18.1353620, 19.3070020, 26.6761420, 71.9017810, 350.9072100, 2072.9917000", \
+					  "18.6285940, 18.8207410, 20.0388030, 27.3785470, 72.6049690, 351.6043700, 2073.3482000", \
+					  "19.3206380, 19.5150050, 20.7150050, 28.0397270, 73.2976350, 352.2993900, 2073.6766000", \
+					  "19.9998350, 20.1806110, 21.3734270, 28.7217810, 73.9620490, 353.0088300, 2074.2084000", \
+					  "20.6166040, 20.8008140, 21.9969040, 29.3552350, 74.5858980, 353.5872700, 2075.5588000", \
+					  "21.2202360, 21.4008490, 22.6108590, 29.9581370, 75.1994660, 354.1882400, 2075.9044000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5818810, 14.9617880, 17.3103580, 31.8840950, 121.9017300, 679.0440900, 4111.5354000", \
+					  "14.5866320, 14.9643870, 17.3190330, 31.8884330, 122.1004600, 679.0094700, 4109.8338000", \
+					  "14.5863690, 14.9650870, 17.3149210, 31.9037280, 121.9113600, 679.0134000, 4110.8201000", \
+					  "14.5864810, 14.9643410, 17.3110650, 31.8710360, 121.9134300, 679.0107200, 4110.6823000", \
+					  "14.5859500, 14.9659910, 17.3160350, 31.9198750, 121.9080200, 678.9972000, 4110.5495000", \
+					  "14.5864800, 14.9674690, 17.3253390, 31.8904510, 121.9052000, 678.9878700, 4112.1634000", \
+					  "14.5866390, 14.9652560, 17.3270450, 31.8902420, 121.9117500, 679.0126000, 4111.3617000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.9070310, 22.0988930, 23.2588690, 30.4485180, 74.3998430, 345.7265600, 2020.8745000", \
+					  "22.5790200, 22.7790000, 23.9415050, 31.1115540, 75.1269130, 346.6379300, 2020.9241000", \
+					  "23.2899920, 23.4784880, 24.6391760, 31.8349650, 75.7855010, 347.1161300, 2022.3040000", \
+					  "23.9790940, 24.1712200, 25.3253380, 32.5085240, 76.4609350, 347.8120900, 2022.8883000", \
+					  "24.6284110, 24.8423490, 25.9895470, 33.1772830, 77.1331800, 348.5025400, 2023.5702000", \
+					  "25.2650310, 25.4610500, 26.6309040, 33.8076620, 77.7939560, 349.0901000, 2023.5220000", \
+					  "25.8597680, 26.0749480, 27.2193970, 34.3948410, 78.4108000, 350.0064500, 2024.7378000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5419760, 13.9015660, 16.2105080, 30.4026710, 118.1883500, 660.6453600, 4009.7189000", \
+					  "13.5404510, 13.9020630, 16.2104450, 30.4227930, 118.5113500, 660.5525300, 4006.6939000", \
+					  "13.5345950, 13.9145200, 16.2106280, 30.4021980, 118.1850400, 660.5270600, 4009.0604000", \
+					  "13.5418880, 13.9020510, 16.2157350, 30.4227900, 118.1938200, 660.5340600, 4009.2673000", \
+					  "13.5410620, 13.9086910, 16.2065830, 30.3961090, 118.2561900, 660.8325400, 4008.8225000", \
+					  "13.5418230, 13.9113180, 16.2103420, 30.4017400, 118.5144100, 660.5246200, 4006.0431000", \
+					  "13.5347170, 13.9012930, 16.2077520, 30.4227830, 118.5138400, 660.9255900, 4009.3296000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.6487570, 10.7002080, 11.0106590, 12.9525270, 19.3935910, 39.4833930, 161.0943800", \
+					  "11.1388700, 11.1887330, 11.5000060, 13.4414450, 19.8833610, 39.9733220, 161.5912200", \
+					  "11.5061430, 11.5567750, 11.8671060, 13.8091000, 20.2506620, 40.3376180, 161.9481900", \
+					  "11.8193130, 11.8697260, 12.1802430, 14.1219940, 20.5690200, 40.6578840, 162.2688300", \
+					  "12.1088140, 12.1596870, 12.4705110, 14.4118560, 20.8562660, 40.9421550, 162.5615900", \
+					  "12.3666070, 12.4174020, 12.7283020, 14.6696570, 21.1149640, 41.1999680, 162.8190200", \
+					  "12.6200590, 12.6708960, 12.9817690, 14.9232020, 21.3713850, 41.4540580, 163.0657200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0885818, 3.1733629, 3.6979755, 5.7276859, 8.5248475, 33.4649430, 200.2156900", \
+					  "3.0886356, 3.1734217, 3.6980243, 5.7270455, 8.5246974, 33.4611730, 200.2827800", \
+					  "3.0887595, 3.1732783, 3.6979737, 5.7274026, 8.5261354, 33.4626730, 200.2542100", \
+					  "3.0885838, 3.1733651, 3.6980188, 5.7273071, 8.5250482, 33.4762120, 200.2665100", \
+					  "3.0886742, 3.1734670, 3.6981180, 5.7277028, 8.5281702, 33.4752780, 200.2588700", \
+					  "3.0886722, 3.1734655, 3.6981149, 5.7272342, 8.5281622, 33.4801840, 200.2717000", \
+					  "3.0886722, 3.1734653, 3.6981125, 5.7267289, 8.5281755, 33.4613300, 200.2967800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.0490100, 21.2395420, 22.4201990, 29.6528050, 73.7411270, 345.1907600, 2020.7481000", \
+					  "21.7303400, 21.9225760, 23.1033750, 30.3361030, 74.4221400, 345.8534900, 2019.4743000", \
+					  "22.4407500, 22.6314170, 23.8121080, 31.0544690, 75.2040280, 346.6511200, 2022.3958000", \
+					  "23.1302620, 23.3210540, 24.5008690, 31.7453970, 75.8933330, 347.3944900, 2021.3809000", \
+					  "23.7946750, 23.9853790, 25.1647490, 32.3961340, 76.4900250, 348.0457700, 2023.1007000", \
+					  "24.4135650, 24.6052720, 25.7882120, 33.0315150, 77.0560720, 348.3929700, 2022.2515000", \
+					  "25.0169630, 25.2046930, 26.3942630, 33.6427060, 77.8019850, 349.2582200, 2025.2369000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5152010, 13.9066950, 16.1977930, 30.4229070, 118.4928300, 660.4680000, 4008.5379000", \
+					  "13.5284630, 13.9020200, 16.1892400, 30.4209140, 118.4913400, 660.8902400, 4005.0795000", \
+					  "13.5153360, 13.9066440, 16.1891390, 30.4252800, 118.5750900, 660.6475200, 4006.5138000", \
+					  "13.5158920, 13.9063330, 16.1950520, 30.4271420, 118.5999600, 660.8625000, 4006.3687000", \
+					  "13.5158050, 13.9065430, 16.1913130, 30.4197770, 118.5177300, 661.1055000, 4002.8071000", \
+					  "13.5246800, 13.9027590, 16.1954750, 30.4300440, 118.2187000, 660.4319700, 4003.2728000", \
+					  "13.5121710, 13.8890550, 16.2076950, 30.4279270, 118.3234200, 660.8816000, 4007.0695000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2060300, 11.2558180, 11.5705760, 13.4687430, 25.0899430, 96.6133200, 417.2249200", \
+					  "11.8867630, 11.9388530, 12.2517980, 14.1539860, 25.7628920, 97.3009550, 417.9098300", \
+					  "12.5957160, 12.6448970, 12.9524040, 14.8505650, 26.4687770, 97.9938620, 418.6621300", \
+					  "13.2882910, 13.3365500, 13.6525800, 15.5487980, 27.1661890, 98.6846940, 419.3625500", \
+					  "13.9454540, 13.9942720, 14.3059010, 16.2105880, 27.8244890, 99.3654370, 420.0125000", \
+					  "14.5688060, 14.6297540, 14.9312350, 16.8319830, 28.4604140, 99.9875310, 420.6392000", \
+					  "15.1763700, 15.2397990, 15.5429200, 17.4388310, 29.0594460, 100.5848200, 421.2520300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0345013, 3.1122185, 3.5966028, 6.6018395, 25.0013170, 138.8444000, 350.6979200", \
+					  "3.0345846, 3.1123533, 3.5969330, 6.6047101, 25.0206080, 138.9165500, 350.5479600", \
+					  "3.0348277, 3.1128011, 3.5962231, 6.6017320, 25.0194600, 138.8578900, 350.6979700", \
+					  "3.0354925, 3.1127483, 3.5971697, 6.6018502, 25.0194960, 138.7740700, 350.7094600", \
+					  "3.0348375, 3.1126843, 3.5962433, 6.6043593, 25.0012330, 138.7319200, 350.6957600", \
+					  "3.0352736, 3.1129188, 3.5962802, 6.6017538, 25.0015630, 138.8540600, 350.6984300", \
+					  "3.0348244, 3.1124414, 3.5962897, 6.6017347, 25.0016880, 138.8577700, 350.6981400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.8976820, 22.0965810, 23.2514430, 30.3898700, 74.5303410, 345.7773500, 2021.1914000", \
+					  "22.5830950, 22.7630160, 23.9305300, 31.0724350, 75.2104300, 346.4593300, 2022.3486000", \
+					  "23.2837910, 23.4734840, 24.6338040, 31.8183130, 75.9094330, 347.1151900, 2022.5007000", \
+					  "23.9752790, 24.1666940, 25.3210390, 32.5169440, 76.5942050, 348.1020800, 2023.6573000", \
+					  "24.6390840, 24.8247410, 25.9969790, 33.1241840, 77.1804520, 348.5382400, 2025.9743000", \
+					  "25.2707880, 25.4535610, 26.6115650, 33.7501160, 77.8236000, 349.1422000, 2024.3647000", \
+					  "25.8664110, 26.0378870, 27.2253780, 34.3672290, 78.5001570, 349.7101500, 2025.0434000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5146660, 13.9135170, 16.1883200, 30.3917530, 118.4029400, 660.7574500, 4009.3209000", \
+					  "13.5252660, 13.8843900, 16.1922240, 30.3953130, 118.3586800, 660.4195700, 4009.9353000", \
+					  "13.5252240, 13.8986890, 16.1860110, 30.4235140, 118.3875700, 660.4948300, 4008.9203000", \
+					  "13.5267050, 13.8984250, 16.1927090, 30.3949540, 118.2661300, 660.1529200, 4009.2204000", \
+					  "13.5348310, 13.8854650, 16.1922600, 30.3658010, 118.5196900, 660.6526900, 4008.0809000", \
+					  "13.5340030, 13.8991120, 16.1855830, 30.3793190, 118.5292100, 660.4244000, 4009.3478000", \
+					  "13.5229830, 13.8987480, 16.1876200, 30.3790340, 118.4308900, 660.4869900, 4009.4651000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2501000, 17.4378040, 18.6332730, 25.9695630, 71.2499780, 350.2628100, 2072.1923000", \
+					  "17.9287410, 18.1150690, 19.3195080, 26.6402740, 71.8731740, 350.8952500, 2072.9046000", \
+					  "18.6263860, 18.8262810, 20.0213370, 27.3782050, 72.6052390, 351.6606200, 2073.7896000", \
+					  "19.3263820, 19.5164290, 20.7326000, 28.0767200, 73.2984450, 352.3064200, 2073.8814000", \
+					  "19.9817210, 20.1740140, 21.3649010, 28.7313160, 73.9531250, 352.9561600, 2074.5488000", \
+					  "20.6171670, 20.8024120, 21.9951420, 29.3533870, 74.5957890, 353.5839700, 2075.4933000", \
+					  "21.2269440, 21.4035240, 22.6162150, 29.9705540, 75.1945490, 354.1860800, 2075.9569000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5866680, 14.9538940, 17.3264870, 31.8909270, 122.1371900, 678.9592800, 4110.3640000", \
+					  "14.5765320, 14.9633760, 17.3024370, 31.8737430, 122.0628700, 679.0038500, 4111.2144000", \
+					  "14.5869010, 14.9659090, 17.3275260, 31.9039020, 121.9329800, 679.0107200, 4111.0006000", \
+					  "14.5868790, 14.9647730, 17.3175180, 31.8868700, 121.9430000, 678.9936200, 4109.8051000", \
+					  "14.5865390, 14.9612070, 17.3071640, 31.8878720, 121.9242200, 678.0404600, 4109.4659000", \
+					  "14.5862040, 14.9662500, 17.3251230, 31.8881700, 121.9227100, 678.9742900, 4110.9934000", \
+					  "14.5869950, 14.9661780, 17.3169300, 31.8882090, 121.9312700, 679.0555700, 4110.6500000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.8461790, 14.8979170, 15.2133310, 17.1056150, 28.4756450, 98.4552460, 525.5009800", \
+					  "15.5255810, 15.5809730, 15.8962710, 17.7859190, 29.1586350, 99.1353840, 526.1484300", \
+					  "16.2275850, 16.2776370, 16.5944570, 18.4832220, 29.8566900, 99.8307710, 526.8184400", \
+					  "16.9264800, 16.9745940, 17.2854550, 19.1881020, 30.5502010, 100.5295300, 527.5479100", \
+					  "17.5760370, 17.6344180, 17.9411860, 19.8296650, 31.2068630, 101.1808700, 528.1596600", \
+					  "18.2078870, 18.2559980, 18.5774220, 20.4620070, 31.8316640, 101.8172300, 528.8321200", \
+					  "18.8200410, 18.8733310, 19.1872740, 21.0815680, 32.4550480, 102.4228700, 529.4412500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0544196, 3.1270260, 3.5723631, 6.3949717, 24.2911470, 135.4210900, 504.2833800", \
+					  "3.0541014, 3.1269844, 3.5723107, 6.3950596, 24.2940800, 135.4218000, 504.2912800", \
+					  "3.0544109, 3.1269241, 3.5724046, 6.3939747, 24.2911310, 135.4225500, 504.2184100", \
+					  "3.0544676, 3.1269745, 3.5729191, 6.3950671, 24.3035710, 135.4202500, 504.2919000", \
+					  "3.0534519, 3.1306953, 3.5701142, 6.3922516, 24.3007920, 135.4173900, 504.2110700", \
+					  "3.0533958, 3.1269647, 3.5785077, 6.3950938, 24.2793820, 135.4170800, 504.3025300", \
+					  "3.0545981, 3.1270537, 3.5728731, 6.3951018, 24.2921930, 135.4228100, 504.3352200");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("11.2090580, 11.2575920, 11.5692290, 13.5115330, 19.9690840, 40.0634510, 161.6792800", \
+					  "11.8884170, 11.9404150, 12.2477890, 14.1956110, 20.6465140, 40.7444420, 162.3632100", \
+					  "12.5948120, 12.6417690, 12.9522430, 14.8944890, 21.3544040, 41.4430230, 163.0660200", \
+					  "13.2831910, 13.3421630, 13.6474580, 15.5793370, 22.0450540, 42.1420340, 163.7534800", \
+					  "13.9498190, 14.0024420, 14.3072320, 16.2516680, 22.7068750, 42.8000110, 164.4287400", \
+					  "14.5785950, 14.6347260, 14.9401860, 16.8883980, 23.3339680, 43.4167260, 165.0397500", \
+					  "15.1854460, 15.2311690, 15.5339630, 17.4821350, 23.9146460, 44.0284680, 165.6540300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0886831, 3.1731482, 3.6982077, 5.7398060, 8.5404821, 33.4775660, 200.2774400", \
+					  "3.0888225, 3.1730685, 3.6978207, 5.7405503, 8.5352543, 33.4656180, 200.1909700", \
+					  "3.0885410, 3.1732903, 3.6975754, 5.7397251, 8.5401473, 33.4804820, 200.2744700", \
+					  "3.0883076, 3.1734624, 3.6975667, 5.7401124, 8.5401428, 33.4805390, 200.3005600", \
+					  "3.0886804, 3.1732912, 3.6978807, 5.7401590, 8.5401686, 33.4616030, 200.2741700", \
+					  "3.0882630, 3.1735110, 3.6975736, 5.7405027, 8.5405034, 33.4655890, 200.2536200", \
+					  "3.0885408, 3.1731520, 3.6975745, 5.7397269, 8.5401561, 33.4656680, 200.2537500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.2492860, 17.4450530, 18.6312620, 25.9629530, 71.2007160, 350.2993900, 2071.0372000", \
+					  "17.9409680, 18.1510790, 19.3212790, 26.6697350, 71.9199210, 350.9712800, 2071.6698000", \
+					  "18.6291640, 18.8245010, 20.0170370, 27.3806100, 72.6043580, 351.6072100, 2072.4553000", \
+					  "19.3213570, 19.5198670, 20.7141880, 28.0392150, 73.2786630, 352.2887100, 2073.1493000", \
+					  "19.9804210, 20.1721000, 21.3674540, 28.7279140, 73.9601020, 353.0326800, 2073.7891000", \
+					  "20.6039670, 20.7955640, 22.0262330, 29.3588920, 74.6163810, 353.5848500, 2074.4478000", \
+					  "21.2112320, 21.4013770, 22.6141290, 29.9665360, 75.1867830, 354.1922000, 2075.1654000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5829100, 14.9618560, 17.3266450, 31.8653940, 122.0864200, 679.1705100, 4110.1225000", \
+					  "14.5842640, 14.9672040, 17.3043610, 31.9028820, 122.0517000, 679.1690900, 4108.2403000", \
+					  "14.5867480, 14.9657940, 17.3054300, 31.8868770, 122.0700500, 678.6219700, 4107.1030000", \
+					  "14.5860370, 14.9522070, 17.3030410, 31.8987330, 121.9489300, 678.0442200, 4109.7342000", \
+					  "14.5825000, 14.9644670, 17.3053840, 31.8670390, 122.0732000, 678.5006700, 4109.1012000", \
+					  "14.5824060, 14.9656150, 17.3175620, 31.9039370, 122.1841800, 678.8365600, 4108.9561000", \
+					  "14.5867190, 14.9657320, 17.3173940, 31.8858860, 122.0729500, 679.0671100, 4107.1039000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.9058940, 22.0979590, 23.2590000, 30.4481030, 74.4029550, 345.7430200, 2022.4936000", \
+					  "22.5862620, 22.7774530, 23.9390250, 31.1313780, 75.0840310, 346.4218400, 2020.6032000", \
+					  "23.2895750, 23.4782340, 24.6394460, 31.8253970, 75.9040620, 347.1234600, 2024.7664000", \
+					  "23.9775130, 24.1651610, 25.3234200, 32.5240600, 76.5291190, 347.8065200, 2022.1928000", \
+					  "24.6418300, 24.8262810, 25.9782740, 33.1678580, 77.1238290, 348.4553000, 2023.8670000", \
+					  "25.2634410, 25.4517300, 26.6132800, 33.8077830, 77.7546770, 349.1107300, 2023.8947000", \
+					  "25.8877380, 26.0700670, 27.2188460, 34.3952560, 78.3766580, 349.9283400, 2024.6018000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5425770, 13.9003910, 16.2128760, 30.3988660, 118.1581900, 660.5383800, 4007.7830000", \
+					  "13.5341920, 13.9003760, 16.2100470, 30.3984570, 118.1577900, 660.5127400, 4007.4792000", \
+					  "13.5323990, 13.9002570, 16.2100040, 30.3952100, 118.4886600, 660.5058600, 4008.5479000", \
+					  "13.5369490, 13.9144310, 16.2157820, 30.4001230, 118.5190500, 660.5261000, 4007.8739000", \
+					  "13.5403560, 13.9043190, 16.2035240, 30.3903110, 118.2015100, 660.4877900, 4007.1445000", \
+					  "13.5445110, 13.9147480, 16.2072990, 30.3991360, 118.1981900, 660.5124600, 4009.7448000", \
+					  "13.5333640, 13.9147580, 16.2073320, 30.4230450, 118.1581400, 660.5177400, 4007.4715000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.7928300, 10.8442350, 11.1610480, 13.0754720, 24.7432280, 96.3363790, 416.8150800", \
+					  "11.2852810, 11.3367040, 11.6521350, 13.5733710, 25.2244860, 96.8341140, 417.2872000", \
+					  "11.6474090, 11.7105390, 12.0185280, 13.9350750, 25.5977850, 97.1977340, 417.6347700", \
+					  "11.9652950, 12.0240850, 12.3314730, 14.2481330, 25.9103030, 97.5324280, 417.9972600", \
+					  "12.2451770, 12.3057980, 12.6249770, 14.5378800, 26.2024940, 97.8226710, 418.2493300", \
+					  "12.5122210, 12.5639720, 12.8802120, 14.7954530, 26.4817710, 98.0591470, 418.5110100", \
+					  "12.7711060, 12.8210420, 13.1301730, 15.0456780, 26.7107690, 98.3099040, 418.7736500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0283642, 3.1062444, 3.5918177, 6.6019687, 24.9999630, 138.6186600, 350.4274300", \
+					  "3.0275964, 3.1059126, 3.5913514, 6.6031012, 24.9924700, 138.8467400, 350.4833300", \
+					  "3.0290139, 3.1067549, 3.5913679, 6.6018688, 25.0218050, 138.9085200, 350.4870000", \
+					  "3.0289100, 3.1070913, 3.5914240, 6.6019834, 25.0002900, 138.9275200, 350.4627300", \
+					  "3.0285126, 3.1053800, 3.5928631, 6.6020456, 24.9995860, 138.6962900, 350.3323300", \
+					  "3.0276508, 3.1062215, 3.5917682, 6.6020034, 25.0299210, 138.6354900, 350.4663100", \
+					  "3.0288805, 3.1080813, 3.5913934, 6.6009549, 25.0173040, 138.7566000, 350.2470300");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.6350700, 13.6874340, 14.0016240, 15.9016460, 27.2908030, 97.1910200, 524.2872600", \
+					  "14.3164640, 14.3690860, 14.6832430, 16.5796000, 27.9725580, 97.8745620, 524.9078200", \
+					  "15.0223530, 15.0746760, 15.3933200, 17.2897320, 28.6823650, 98.5812390, 525.6151200", \
+					  "15.7163150, 15.7686060, 16.0803260, 17.9761890, 29.3714990, 99.2632110, 526.3169100", \
+					  "16.3757670, 16.4280750, 16.7411520, 18.6374080, 30.0307370, 99.9281580, 526.9707800", \
+					  "17.0062350, 17.0569940, 17.3771840, 19.2708660, 30.6693910, 100.5281300, 527.6077100", \
+					  "17.6265900, 17.6790350, 17.9849360, 19.8811170, 31.2621250, 101.2156100, 528.2484500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0098009, 3.0847211, 3.5343126, 6.3792345, 24.3055280, 135.4082700, 504.0405400", \
+					  "3.0098295, 3.0847427, 3.5343086, 6.3790342, 24.3054980, 135.4119200, 504.0125800", \
+					  "3.0098171, 3.0847296, 3.5343195, 6.3789574, 24.3055960, 135.4131900, 504.0167300", \
+					  "3.0099963, 3.0848397, 3.5342818, 6.3795662, 24.3050880, 135.4035400, 504.0271800", \
+					  "3.0098499, 3.0847660, 3.5343333, 6.3792345, 24.3054630, 135.4089000, 503.9858000", \
+					  "3.0106180, 3.0864005, 3.5361705, 6.3805667, 24.3049300, 135.3787300, 504.0292300", \
+					  "3.0134739, 3.0855065, 3.5379570, 6.3766552, 24.3089000, 135.4178200, 504.0298000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("17.1630620, 17.3533370, 18.5574450, 25.9544280, 71.3469530, 350.5997800, 2072.5643000", \
+					  "17.6574220, 17.8488830, 19.0523140, 26.4480860, 71.8384000, 351.0913900, 2073.0263000", \
+					  "18.0203690, 18.2169360, 19.4183990, 26.8144830, 72.3018020, 351.4573200, 2073.2277000", \
+					  "18.3524980, 18.5326830, 19.7321960, 27.1263440, 72.5966630, 351.7700700, 2073.7125000", \
+					  "18.6205700, 18.8089380, 20.0241190, 27.4154170, 72.8043120, 352.0845300, 2073.8880000", \
+					  "18.8775540, 19.0811530, 20.2834890, 27.6742260, 73.0824110, 352.3466900, 2074.1475000", \
+					  "19.1280930, 19.3166070, 20.5291220, 27.9217500, 73.3270370, 352.6319600, 2074.4665000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5811240, 14.9552000, 17.3228740, 31.9076750, 122.0356000, 678.9878200, 4110.4408000", \
+					  "14.5782560, 14.9624850, 17.3228030, 31.9059480, 122.0311800, 678.9482000, 4110.1016000", \
+					  "14.5835330, 14.9569410, 17.3226230, 31.9076710, 122.0840300, 678.3419100, 4107.9165000", \
+					  "14.5803400, 14.9477780, 17.3225310, 31.8447310, 122.1020500, 678.8973800, 4110.5227000", \
+					  "14.5735230, 14.9654010, 17.3220940, 31.8473140, 122.0476000, 679.0313600, 4111.1616000", \
+					  "14.5745020, 14.9481120, 17.3199360, 31.8687690, 121.9508200, 679.0178900, 4110.9543000", \
+					  "14.5815720, 14.9547680, 17.3229610, 31.9070210, 121.9449100, 678.1041300, 4109.9702000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.4523180, 13.5038210, 13.8210400, 15.7017030, 27.0806840, 96.9986970, 524.0673900", \
+					  "14.1340610, 14.1855500, 14.4983390, 16.3906350, 27.7654650, 97.7368870, 524.7332000", \
+					  "14.8389790, 14.8858310, 15.2081830, 17.0928500, 28.4638430, 98.3996390, 525.4017900", \
+					  "15.5326840, 15.5866540, 15.9010260, 17.7932850, 29.1613720, 99.1357400, 526.1765100", \
+					  "16.1937860, 16.2464810, 16.5615200, 18.4526370, 29.8234360, 99.8006730, 526.8084900", \
+					  "16.8230980, 16.8710130, 17.1889030, 19.0768130, 30.4492040, 100.4236100, 527.4263800", \
+					  "17.4284980, 17.4915090, 17.7948200, 19.6974050, 31.0694550, 101.0336100, 528.0156800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.84, 1.67, 2.5, 3.34, 4.17, 5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0487624, 3.1212877, 3.5734036, 6.3904664, 24.2862280, 135.2983600, 504.2788300", \
+					  "3.0470171, 3.1208440, 3.5638301, 6.3895844, 24.2658980, 135.4175100, 504.2690000", \
+					  "3.0489766, 3.1202114, 3.5709462, 6.3935088, 24.3000910, 135.4216400, 504.2348300", \
+					  "3.0490643, 3.1207408, 3.5703243, 6.3935497, 24.2956270, 135.4177100, 504.2669500", \
+					  "3.0490317, 3.1212912, 3.5696868, 6.3933028, 24.2857040, 135.4194400, 504.2765000", \
+					  "3.0483618, 3.1214042, 3.5673733, 6.3925665, 24.2778240, 135.4169500, 504.2786000", \
+					  "3.0486054, 3.1213954, 3.5679544, 6.3927161, 24.2795470, 135.4176500, 504.2464300");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.0871120, -1.1226234, -1.1581349, -1.1568318, -1.1555129, -1.1542098, -1.1529067");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.0913981, 1.1371144, 1.1828307, 1.1825844, 1.1823350, 1.1820887, 1.1818423");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.159349;
+			capacitance : 0.158989;
+			fall_capacitance : 0.158629;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0535827, -0.0504271, -0.0472714, -0.0467763, -0.0462752, -0.0457801, -0.0452849");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0465013, 0.0469119, 0.0473226, 0.0467778, 0.0462264, 0.0456817, 0.0451369");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.152465;
+			capacitance : 0.151916;
+			fall_capacitance : 0.151367;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0541671, -0.0506889, -0.0472107, -0.0470104, -0.0468076, -0.0466073, -0.0464070");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0467319, 0.0469859, 0.0472400, 0.0467542, 0.0462626, 0.0457768, 0.0452911");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p40v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65.lib
new file mode 100644
index 0000000..d5214fe
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65.lib
@@ -0,0 +1,9104 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.387400e+00;
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.9702500";
+		}
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3715000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3076300";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3669900";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3836500";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2954800";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909700";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3670000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2205800";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2907400";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2751900";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2939100";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3113800";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2175000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3512100";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3667600";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3874000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006153;
+			capacitance : 0.006009;
+			fall_capacitance : 0.005865;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0257693, 3.8799966, 3.7606392, 3.6521431, 3.5726136, 3.4788548, 3.4066572", \
+					  "4.4686977, 4.3244508, 4.1974267, 4.0976367, 4.0022277, 3.9108515, 3.8496076", \
+					  "4.9257356, 4.7810683, 4.6407445, 4.5683682, 4.4500415, 4.3665891, 4.3335427", \
+					  "5.3584988, 5.2304599, 5.0988474, 4.9896636, 4.9037270, 4.8291716, 4.7570381", \
+					  "5.7792631, 5.6365417, 5.4771701, 5.3773633, 5.3229654, 5.1969240, 5.1362326", \
+					  "6.1299577, 5.9811332, 5.8434735, 5.7451508, 5.7100887, 5.5586289, 5.5013579", \
+					  "6.5308082, 6.3850332, 6.2464045, 6.1452366, 6.0745478, 6.0012766, 5.9417509");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7511197, 3.6012156, 3.3985766, 3.2101986, 3.0379713, 2.8191907, 2.6398095", \
+					  "4.1940680, 4.0379431, 3.8421806, 3.6337369, 3.4839791, 3.2652239, 3.0858063", \
+					  "4.6526412, 4.4965159, 4.3006623, 4.0938557, 3.9057364, 3.7120414, 3.5413239", \
+					  "5.1059923, 4.9498670, 4.7569449, 4.5595703, 4.3943598, 4.1723783, 3.9959558", \
+					  "5.5061096, 5.3499847, 5.1551091, 4.9497094, 4.7600566, 4.5713764, 4.3786892", \
+					  "5.8932253, 5.7371004, 5.5510785, 5.3448679, 5.1828504, 4.9527096, 4.7811349", \
+					  "6.2444239, 6.0882986, 5.8967021, 5.6968966, 5.5288443, 5.3038239, 5.1283234");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4770297, -3.3463378, -3.1691105, -3.1143516, -2.9921556, -2.9316733, -2.8809665", \
+					  "-3.9197798, -3.7847252, -3.6637897, -3.5596652, -3.4333711, -3.4117584, -3.3117866", \
+					  "-4.3736843, -4.2429226, -4.1176917, -4.0204921, -3.9115686, -3.8376908, -3.7575140", \
+					  "-4.8229729, -4.6780888, -4.5563746, -4.4640449, -4.3453145, -4.3466263, -4.2210779", \
+					  "-5.2292701, -5.0730582, -4.9581496, -4.8739246, -4.7527153, -4.6824582, -4.6115744", \
+					  "-5.6149380, -5.4716122, -5.3329587, -5.2583037, -5.1846555, -5.0842266, -4.9858288", \
+					  "-5.9829978, -5.8260172, -5.7208546, -5.6151494, -5.5253994, -5.4879640, -5.3561464");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5685912, -3.3401952, -3.1663085, -3.0389970, -2.8544322, -2.6835553, -2.4804216", \
+					  "-4.0098153, -3.7774337, -3.6122898, -3.4782905, -3.3107840, -3.1185096, -2.9160645", \
+					  "-4.4652452, -4.2328636, -4.0413989, -3.9352834, -3.7328267, -3.5767300, -3.3647198", \
+					  "-4.9008546, -4.6633219, -4.4804886, -4.3680350, -4.1402950, -4.0201364, -3.7955760", \
+					  "-5.2996747, -5.0657667, -4.8971060, -4.7659642, -4.5043073, -4.3498500, -4.1893952", \
+					  "-5.6564852, -5.4241950, -5.2523614, -5.1274990, -4.9297994, -4.7567188, -4.5215078", \
+					  "-6.0698737, -5.8461073, -5.7385432, -5.5305473, -5.3251778, -5.1426083, -4.9689334");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224908, 0.0257746, 0.0290584, 0.0289290, 0.0288046, 0.0286751, 0.0285457");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263634, 0.0309371, 0.0355109, 0.0353925, 0.0352788, 0.0351604, 0.0350420");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988038";
+				miller_cap_rise : "0.000928911";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72795e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14697e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49628e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.26404e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.9355e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.1969e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36268e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.3303e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57192e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39921e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1041102, 0.2118606, 0.3327214, 0.4728181, 0.6703711");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1746016, 0.2829072, 0.4025857, 0.5436957, 0.7396858");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277507, 0.0492649, 0.0709742, 0.0928093, 0.1219215");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997314, 0.1214195, 0.1431007, 0.1650098, 0.1940989");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.0241");
+						index_3("0.001");
+						index_4("10.4998003, 11.0987684, 13.4154425, 15.1599797, 15.6007103");
+						values("0.411744, 0.658791, 0.823489, 0.658791, 0.411744");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7755");
+						index_3("0.001");
+						index_4("6.3866243, 6.7941362, 8.5622821, 9.5233473, 9.8141053");
+						values("0.423059, 0.676894, 0.846117, 0.676894, 0.423059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.67184");
+						index_3("0.001");
+						index_4("4.3398996, 4.6454471, 5.7998463, 6.6348767, 6.8465570");
+						values("0.426131, 0.681809, 0.852262, 0.681809, 0.426131");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.5121");
+						index_3("0.001");
+						index_4("5.2995300, 5.6354907, 6.9146065, 7.6112088, 7.8371145");
+						values("0.220317, 0.352508, 0.440635, 0.352508, 0.220317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38773");
+						index_3("0.001");
+						index_4("3.2311960, 3.4563161, 4.3675931, 4.7822289, 4.9316532");
+						values("0.22477, 0.359632, 0.44954, 0.359632, 0.22477");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33592");
+						index_3("0.001");
+						index_4("2.2005820, 2.3688657, 2.9466072, 3.3292422, 3.4401073");
+						values("0.225228, 0.360365, 0.450457, 0.360365, 0.225228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1632");
+						index_3("0.001");
+						index_4("9.5189303, 10.1338952, 12.4984936, 14.2289650, 14.6750941");
+						values("0.590793, 0.945269, 1.18159, 0.945269, 0.590793");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86556");
+						index_3("0.001");
+						index_4("1.4461659, 1.5720343, 2.2076909, 2.6201543, 2.8063497");
+						values("1.16755, 0.908072, 0.735091, 0.908072, 1.16755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67475");
+						index_3("0.001");
+						index_4("0.8485610, 0.9331678, 1.2830803, 1.6061686, 1.7387658");
+						values("1.15876, 0.894015, 0.717519, 0.894015, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14248");
+						index_3("0.001");
+						index_4("0.5816960, 0.6458944, 0.9562865, 1.1367866, 1.2568899");
+						values("1.1571, 0.891357, 0.714197, 0.891357, 1.1571");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43278");
+						index_3("0.001");
+						index_4("0.7327888, 0.7996550, 1.0846288, 1.3295507, 1.4413723");
+						values("1.38706, 1.2593, 1.17413, 1.2593, 1.38706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.837377");
+						index_3("0.001");
+						index_4("0.4326273, 0.4778790, 0.6768407, 0.8203802, 0.9054703");
+						values("1.38644, 1.2583, 1.17287, 1.2583, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.571239");
+						index_3("0.001");
+						index_4("0.2974548, 0.3319364, 0.4844723, 0.5826845, 0.6657838");
+						values("1.38405, 1.25448, 1.1681, 1.25448, 1.38405");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51213");
+						index_3("0.001");
+						index_4("1.2689805, 1.3937592, 1.9761109, 2.3765516, 2.5654611");
+						values("0.926793, 0.522869, 0.253586, 0.522869, 0.926793");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004154;
+			capacitance : 0.004247;
+			rise_capacitance : 0.004341;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5167255, -3.3625486, -3.2542020, -3.1387739, -3.0693274, -2.9882865, -2.8915696", \
+					  "-3.9610015, -3.8100933, -3.6881544, -3.5920799, -3.5075022, -3.4390359, -3.3557714", \
+					  "-4.4133796, -4.2575283, -4.1481624, -4.0457821, -3.9735110, -3.8845262, -3.7827272", \
+					  "-4.8459367, -4.6850055, -4.5792096, -4.4798238, -4.4000498, -4.3054087, -4.2196985", \
+					  "-5.2447559, -5.0999513, -4.9810642, -4.8785591, -4.7664967, -4.7119661, -4.6077992", \
+					  "-5.6046183, -5.4582882, -5.3378752, -5.2384245, -5.1600543, -5.0842701, -4.9920518", \
+					  "-6.0135383, -5.8662534, -5.7486120, -5.6484224, -5.5317939, -5.4391360, -5.4053963");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4343279, -3.2784663, -3.1144944, -2.9105122, -2.7140318, -2.5530184, -2.3830524", \
+					  "-3.8755521, -3.7264584, -3.5273397, -3.3965628, -3.1587070, -2.9907347, -2.8240474", \
+					  "-4.3294561, -4.1735945, -4.0080829, -3.8071610, -3.6106862, -3.4569405, -3.2720424", \
+					  "-4.7802710, -4.6244121, -4.4670656, -4.2609438, -4.0767902, -3.9053211, -3.7220204", \
+					  "-5.1850424, -5.0308004, -4.8651422, -4.6718151, -4.4677805, -4.3044490, -4.1154268", \
+					  "-5.5707103, -5.4143398, -5.2492350, -5.0760584, -4.8612032, -4.6893535, -4.5043833", \
+					  "-5.9296148, -5.7804794, -5.5773699, -5.3743691, -5.2279667, -5.0712218, -4.8601271");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9815398, 3.8335928, 3.7056543, 3.5907031, 3.5038592, 3.4300268, 3.3373775", \
+					  "4.4244686, 4.2741835, 4.1490378, 4.0355379, 3.9452617, 3.8752351, 3.7675927", \
+					  "4.8830320, 4.7344042, 4.6072819, 4.4921012, 4.4231510, 4.3269413, 4.2270852", \
+					  "5.3142697, 5.1661273, 5.0388012, 4.9258107, 4.8365892, 4.7673343, 4.6881368", \
+					  "5.7026617, 5.5562411, 5.4282896, 5.3139589, 5.2310847, 5.1557268, 5.0791249", \
+					  "6.0704715, 5.9209991, 5.7992067, 5.6802485, 5.5900136, 5.5185614, 5.4169729", \
+					  "6.4865769, 6.3386299, 6.1989896, 6.0861874, 6.0063623, 5.9279739, 5.8261341");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5969665, 3.5316703, 3.3235759, 3.1127112, 2.9361689, 2.7846268, 2.5965923", \
+					  "4.0409461, 3.9741240, 3.8052457, 3.5629735, 3.3926207, 3.2243197, 3.0526730", \
+					  "4.4962339, 4.4294122, 4.2375799, 4.0304918, 3.8445485, 3.6777395, 3.5006973", \
+					  "4.9096485, 4.8413303, 4.6605487, 4.4561939, 4.2654181, 4.1125164, 3.9303998", \
+					  "5.3598315, 5.2713703, 5.1154945, 4.8748195, 4.7008498, 4.5443887, 4.3527384", \
+					  "5.7229806, 5.6604142, 5.4756575, 5.2649692, 5.0869147, 4.8970315, 4.7196441", \
+					  "6.0794932, 6.0113974, 5.8304804, 5.6196441, 5.4323864, 5.2274294, 5.0884353");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0268996, 0.0342354, 0.0415713, 0.0414648, 0.0413626, 0.0412561, 0.0411497");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221975, 0.0285463, 0.0348950, 0.0348019, 0.0347125, 0.0346194, 0.0345262");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000986283";
+				miller_cap_rise : "0.000933794";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.16383, 0.384026, 0.368488, 0.360757, 0.350315, 0.343632, 0.335813, 0.326847, 0.316756, 0.305551, 0.293235, 0.279803, 0.265247, 0.249559, 0.232731, 0.214755, 0.195622, 0.175325, 0.153855, 0.131205, 0.107367, 0.0823347, 0.0561005, 0.0286578, -1.77223e-09, -0.0584479, -0.116762, -0.297163, -1.47532", \
+					  "2.07619, 0.187988, 0.181932, 0.179549, 0.176816, 0.17527, 0.173565, 0.171658, 0.169485, 0.16695, 0.163909, 0.160161, 0.155475, 0.149672, 0.142654, 0.134374, 0.124804, 0.113926, 0.101725, 0.0881851, 0.0732954, 0.0570434, 0.0394175, 0.0204066, 2.86278e-09, -0.0432929, -0.0885778, -0.240972, -1.46517", \
+					  "2.03668, 0.091587, 0.0869857, 0.0858945, 0.0847116, 0.084077, 0.083407, 0.0826953, 0.0819333, 0.0811098, 0.0802093, 0.0792094, 0.0780768, 0.0767573, 0.0751559, 0.0730909, 0.0702543, 0.0663179, 0.0610914, 0.0544952, 0.0464922, 0.0370588, 0.0261765, 0.0138287, 7.26403e-09, -0.0312024, -0.0661468, -0.196171, -1.45678", \
+					  "2.02929, 0.0738073, 0.0610035, 0.0602097, 0.0593626, 0.0589145, 0.0584466, 0.0579557, 0.0574378, 0.0568876, 0.056298, 0.0556597, 0.0549592, 0.0541766, 0.0532801, 0.0522128, 0.050857, 0.0489526, 0.0460983, 0.0419908, 0.0365033, 0.0295851, 0.0212086, 0.011353, 8.8665e-09, -0.0266461, -0.0576978, -0.179183, -1.45367", \
+					  "2.02539, 0.0716535, 0.0387916, 0.0382463, 0.0376732, 0.037374, 0.0370648, 0.036744, 0.03641, 0.0360604, 0.0356923, 0.0353019, 0.0348841, 0.0344317, 0.0339343, 0.0333758, 0.0327276, 0.0319297, 0.0308213, 0.0290166, 0.0260647, 0.0217444, 0.0159811, 0.00874075, 1.03871e-08, -0.021832, -0.0487695, -0.161116, -1.45042", \
+					  "2.02488, 0.0763439, 0.029292, 0.0288545, 0.0283983, 0.0281617, 0.0279183, 0.0276673, 0.0274075, 0.0271374, 0.0268554, 0.026559, 0.0262452, 0.0259099, 0.0255474, 0.025149, 0.0247007, 0.0241767, 0.0235148, 0.0225203, 0.020732, 0.0177096, 0.01328, 0.00738663, 1.10435e-08, -0.0193319, -0.044131, -0.151669, -1.44873", \
+					  "2.02538, 0.0848482, 0.0209735, 0.0206313, 0.02028, 0.0200988, 0.0199135, 0.0197234, 0.0195278, 0.0193258, 0.0191165, 0.0188985, 0.0186701, 0.018429, 0.0181721, 0.017895, 0.017591, 0.0172488, 0.0168455, 0.0163166, 0.0154231, 0.0136298, 0.0105328, 0.0060042, 1.154e-08, -0.0167732, -0.0393816, -0.141942, -1.44701", \
+					  "2.02695, 0.0971579, 0.0139482, 0.0136652, 0.0134072, 0.013275, 0.0131405, 0.0130033, 0.0128629, 0.0127189, 0.0125709, 0.0124179, 0.0122593, 0.0120938, 0.0119198, 0.0117353, 0.0115371, 0.0113204, 0.0110768, 0.0107873, 0.0103851, 0.00957577, 0.00776209, 0.00460029, 1.16856e-08, -0.0141605, -0.0345273, -0.131935, -1.44525", \
+					  "2.02962, 0.113238, 0.00847237, 0.00806037, 0.00788426, 0.00779486, 0.00770427, 0.00761234, 0.00751889, 0.00742371, 0.00732653, 0.00722702, 0.00712478, 0.00701927, 0.00690982, 0.00679552, 0.00667508, 0.00654662, 0.00640712, 0.00625096, 0.00606355, 0.0057773, 0.00503147, 0.00319392, 1.07128e-08, -0.0115015, -0.0295783, -0.121651, -1.44347", \
+					  "2.03347, 0.133027, 0.00537421, 0.00395608, 0.0038451, 0.00379177, 0.00373801, 0.00368375, 0.00362892, 0.00357345, 0.00351722, 0.00346013, 0.00340202, 0.0033427, 0.00328193, 0.00321938, 0.00315463, 0.00308706, 0.00301574, 0.00293914, 0.00285414, 0.00275088, 0.00256117, 0.0018467, 1.4992e-09, -0.00881148, -0.0245519, -0.111103, -1.44165", \
+					  "2.03855, 0.156401, 0.00649723, 0.00151415, 0.00140754, 0.00138188, 0.00135617, 0.00133035, 0.00130441, 0.00127832, 0.00125205, 0.00122559, 0.00119888, 0.00117188, 0.00114453, 0.00111675, 0.00108843, 0.00105942, 0.00102952, 0.000998399, 0.000965461, 0.000929333, 0.000883801, 0.000747555, -1.32237e-07, -0.00612923, -0.0194853, -0.100328, -1.4398", \
+					  "2.04481, 0.182913, 0.0129065, 0.000772231, 0.000375754, 0.000365759, 0.000356625, 0.00034751, 0.000338391, 0.000329263, 0.000320119, 0.000310955, 0.000301763, 0.000292535, 0.000283261, 0.000273928, 0.000264517, 0.000255004, 0.000245353, 0.000235512, 0.00022539, 0.000214798, 0.00020311, 0.000183698, -2.4171e-06, -0.00357835, -0.0144784, -0.0894179, -1.43795", \
+					  "2.05193, 0.21164, 0.0236863, 0.00203524, 8.36653e-05, 6.8028e-05, 6.42099e-05, 6.07389e-05, 5.73468e-05, 5.39884e-05, 5.06477e-05, 4.73167e-05, 4.39909e-05, 4.06666e-05, 3.7341e-05, 3.40111e-05, 3.06735e-05, 2.73238e-05, 2.39563e-05, 2.05625e-05, 1.71279e-05, 1.36239e-05, 9.96297e-06, 5.3948e-06, -3.23947e-05, -0.00156204, -0.00980972, -0.0785676, -1.43613", \
+					  "2.05967, 0.241777, 0.037571, 0.00655293, 1.57553e-05, -9.80294e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136622, -0.000144697, -0.000152677, -0.000160596, -0.000168475, -0.000176328, -0.000184165, -0.000191992, -0.000199814, -0.000207637, -0.000215463, -0.000223297, -0.000231147, -0.000239029, -0.000247059, -0.000261006, -0.000711109, -0.00605706, -0.0681982, -1.43455", \
+					  "2.06792, 0.272878, 0.0536073, 0.0143885, 2.02928e-06, -0.00069896, -0.000776024, -0.000821314, -0.00086057, -0.000897369, -0.000932854, -0.000967535, -0.00100168, -0.00103546, -0.00106897, -0.00110228, -0.00113544, -0.00116849, -0.00120145, -0.00123435, -0.0012672, -0.00130003, -0.00133284, -0.00136568, -0.00139927, -0.00155748, -0.00430577, -0.0593296, -1.4338", \
+					  "2.07666, 0.304659, 0.0709378, 0.0239119, 1.65509e-07, -0.00300714, -0.00354245, -0.00373181, -0.0038701, -0.00399047, -0.00410182, -0.00420777, -0.00431015, -0.00441002, -0.00450804, -0.00460465, -0.00470014, -0.00479476, -0.00488866, -0.00498197, -0.0050748, -0.00516724, -0.00525937, -0.00535128, -0.0054431, -0.00564417, -0.00678563, -0.0542062, -1.43529", \
+					  "2.08585, 0.336896, 0.0887657, 0.0338126, 1.17894e-08, -0.00742754, -0.0100947, -0.010845, -0.0112434, -0.011545, -0.0118041, -0.0120396, -0.0122602, -0.0124705, -0.0126734, -0.0128708, -0.0130637, -0.0132532, -0.0134398, -0.0136241, -0.0138064, -0.0139871, -0.0141664, -0.0143446, -0.014522, -0.0148777, -0.0154661, -0.0549686, -1.44023", \
+					  "2.09552, 0.369396, 0.106504, 0.0436128, 2.13004e-09, -0.0126689, -0.0195325, -0.0221179, -0.0231701, -0.0238251, -0.0243326, -0.0247662, -0.0251562, -0.0255176, -0.0258587, -0.026185, -0.0264998, -0.0268056, -0.0271041, -0.0273966, -0.0276841, -0.0279674, -0.0282472, -0.028524, -0.0287984, -0.0293423, -0.0299297, -0.0617486, -1.44856", \
+					  "2.10565, 0.401984, 0.123837, 0.0531608, 1.55781e-09, -0.0180018, -0.0298941, -0.0361165, -0.0387214, -0.0400601, -0.0409741, -0.0416989, -0.04232, -0.0428766, -0.0433894, -0.0438707, -0.0443283, -0.0447674, -0.0451919, -0.0456044, -0.0460069, -0.0464011, -0.0467883, -0.0471696, -0.047546, -0.0482876, -0.0490281, -0.0738755, -1.45976", \
+					  "2.11624, 0.434496, 0.140625, 0.0623977, 1.50858e-09, -0.0232154, -0.0402563, -0.0511873, -0.0567544, -0.0593994, -0.0609817, -0.0621333, -0.0630677, -0.0638744, -0.0645979, -0.0652632, -0.0658858, -0.0664758, -0.06704, -0.0675835, -0.06811, -0.0686223, -0.0691226, -0.0696129, -0.0700946, -0.0710383, -0.0719655, -0.0907681, -1.47338", \
+					  "2.12729, 0.466769, 0.156812, 0.0712958, 1.48934e-09, -0.0282488, -0.0503505, -0.0662741, -0.0760422, -0.0809918, -0.0836722, -0.0854522, -0.0868116, -0.0879383, -0.0889199, -0.0898034, -0.0906163, -0.0913763, -0.0920954, -0.0927818, -0.0934415, -0.0940792, -0.0946985, -0.0953022, -0.0958927, -0.0970424, -0.0981633, -0.111974, -1.4891", \
+					  "2.1388, 0.498642, 0.172372, 0.0798406, 1.47252e-09, -0.0330812, -0.0600882, -0.0809675, -0.0955224, -0.103939, -0.10838, -0.111086, -0.113024, -0.11456, -0.115858, -0.116999, -0.118032, -0.118984, -0.119874, -0.120716, -0.121519, -0.12229, -0.123035, -0.123757, -0.12446, -0.12582, -0.127137, -0.137123, -1.50662", \
+					  "2.15077, 0.529947, 0.187296, 0.0880253, 1.45668e-09, -0.0377045, -0.0694342, -0.0951304, -0.114571, -0.127344, -0.134431, -0.138494, -0.141218, -0.14328, -0.144964, -0.146412, -0.147697, -0.148865, -0.149945, -0.150957, -0.151914, -0.152827, -0.153704, -0.154549, -0.155369, -0.156945, -0.158459, -0.1659, -1.52568", \
+					  "2.16319, 0.560511, 0.20158, 0.0958473, 1.44187e-09, -0.0421164, -0.0783732, -0.108712, -0.132933, -0.150467, -0.161138, -0.167151, -0.170946, -0.173681, -0.17584, -0.177648, -0.179224, -0.180636, -0.181927, -0.183124, -0.184248, -0.185312, -0.186327, -0.187302, -0.188242, -0.19004, -0.191755, -0.197938, -1.54606", \
+					  "2.17602, 0.590159, 0.215224, 0.103307, 1.42827e-09, -0.0463171, -0.0869, -0.121691, -0.15052, -0.172851, -0.18784, -0.19654, -0.201786, -0.205387, -0.208128, -0.210364, -0.212275, -0.213962, -0.215485, -0.216884, -0.218187, -0.219413, -0.220575, -0.221685, -0.222751, -0.224776, -0.226696, -0.232636, -1.56756", \
+					  "2.20276, 0.646044, 0.240616, 0.117155, 1.40572e-09, -0.0540947, -0.102722, -0.145828, -0.183285, -0.214726, -0.239156, -0.255469, -0.265213, -0.271363, -0.275704, -0.279051, -0.281795, -0.28414, -0.286207, -0.288069, -0.289773, -0.291355, -0.292838, -0.294239, -0.295572, -0.298077, -0.300417, -0.307083, -1.61331", \
+					  "2.22148, 0.696577, 0.263539, 0.129613, 1.39197e-09, -0.0610674, -0.116943, -0.167574, -0.212861, -0.25256, -0.285979, -0.311569, -0.328386, -0.338709, -0.345505, -0.350438, -0.354301, -0.357492, -0.360231, -0.362647, -0.364822, -0.366811, -0.368654, -0.370377, -0.372003, -0.375022, -0.377805, -0.385476, -1.66173", \
+					  "2.26203, 0.815292, 0.318576, 0.159318, 2.47964e-10, -0.0775997, -0.1508, -0.219557, -0.283814, -0.343474, -0.398337, -0.447927, -0.491061, -0.525445, -0.549481, -0.565156, -0.575777, -0.58353, -0.589571, -0.594517, -0.598716, -0.602379, -0.605641, -0.608595, -0.611303, -0.616159, -0.620463, -0.631477, -1.81406", \
+					  "2.3038, 0.929962, 0.372732, 0.188193, 2.40268e-09, -0.0935733, -0.183821, -0.270716, -0.35423, -0.434329, -0.510965, -0.584065, -0.653495, -0.71899, -0.779977, -0.835203, -0.882328, -0.918828, -0.944806, -0.963056, -0.976404, -0.986685, -0.994973, -1.0019, -1.00784, -1.01773, -1.02583, -1.04433, -2.0707");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1209427, 0.2626228, 0.4168454, 0.5946643, 0.8420087");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1933133, 0.3350121, 0.4893853, 0.6670136, 0.9142022");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0293949, 0.0563669, 0.0834657, 0.1105976, 0.1476548");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1018034, 0.1287339, 0.1559109, 0.1830648, 0.2201620");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("26.4862");
+						index_3("0.001");
+						index_4("13.2249881, 13.9761024, 16.8624195, 19.0797678, 19.6486846");
+						values("0.401293, 0.642068, 0.802586, 0.642068, 0.401293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("16.093");
+						index_3("0.001");
+						index_4("8.0416215, 8.5557147, 10.5826070, 11.9887464, 12.3576693");
+						values("0.412481, 0.65997, 0.824962, 0.65997, 0.412481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("10.9234");
+						index_3("0.001");
+						index_4("5.4637568, 5.8487340, 7.2684861, 8.3509214, 8.6201356");
+						values("0.415546, 0.664873, 0.831091, 0.664873, 0.415546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("13.2431");
+						index_3("0.001");
+						index_4("6.6641944, 7.0738016, 8.7502407, 9.5865790, 9.8718803");
+						values("0.211996, 0.339193, 0.423992, 0.339193, 0.211996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("8.0465");
+						index_3("0.001");
+						index_4("4.0587782, 4.3372681, 5.5247337, 6.0217502, 6.2103697");
+						values("0.217214, 0.347543, 0.434428, 0.347543, 0.217214");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("5.46169");
+						index_3("0.001");
+						index_4("2.7628634, 2.9719288, 3.8106356, 4.1896395, 4.3305311");
+						values("0.2186, 0.349761, 0.437201, 0.349761, 0.2186");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("24.1395");
+						index_3("0.001");
+						index_4("11.9975515, 12.7616129, 15.6998933, 17.9134673, 18.4774468");
+						values("0.580269, 0.92843, 1.16054, 0.92843, 0.580269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("3.43516");
+						index_3("0.001");
+						index_4("1.7288094, 1.8776652, 2.6228945, 3.1437856, 3.3669320");
+						values("1.18675, 0.938802, 0.773503, 0.938802, 1.18675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("2.0076");
+						index_3("0.001");
+						index_4("1.0142769, 1.1147908, 1.6101532, 1.9287777, 2.0877870");
+						values("1.1806, 0.928957, 0.761196, 0.928957, 1.1806");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("1.3695");
+						index_3("0.001");
+						index_4("0.6936763, 0.7694975, 1.0646516, 1.3627068, 1.5098323");
+						values("1.1751, 0.920162, 0.750202, 0.920162, 1.1751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("1.71758");
+						index_3("0.001");
+						index_4("0.8746419, 0.9546045, 1.2787284, 1.5953644, 1.7298204");
+						values("1.39658, 1.27452, 1.19316, 1.27452, 1.39658");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("1.0038");
+						index_3("0.001");
+						index_4("0.5137859, 0.5673010, 0.8375683, 0.9843585, 1.0899306");
+						values("1.39107, 1.26571, 1.18213, 1.26571, 1.39107");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("0.684751");
+						index_3("0.001");
+						index_4("0.3532492, 0.3934422, 0.5428919, 0.6983915, 0.8011422");
+						values("1.39264, 1.26822, 1.18527, 1.26822, 1.39264");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("3.0114");
+						index_3("0.001");
+						index_4("1.5155838, 1.6640941, 2.3616786, 2.8550396, 3.0844370");
+						values("0.964854, 0.583766, 0.329708, 0.583766, 0.964854");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005757;
+			capacitance : 0.005906;
+			rise_capacitance : 0.006054;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486577, 3.9028881, 3.7804100, 3.6750361, 3.5923600, 3.4941135, 3.4416415", \
+					  "4.4900442, 4.3473268, 4.2233530, 4.1235586, 4.0261175, 3.9334867, 3.8860802", \
+					  "4.9486102, 4.8045068, 4.6819093, 4.5794216, 4.4938291, 4.3849016, 4.3502395", \
+					  "5.3989917, 5.2355762, 5.1276923, 5.0058294, 4.9289162, 4.8283278, 4.7798697", \
+					  "5.7697165, 5.6225298, 5.5000100, 5.3971164, 5.3225738, 5.2151717, 5.1697564", \
+					  "6.1374966, 5.9932542, 5.8662002, 5.7740619, 5.6798542, 5.5805443, 5.5057206", \
+					  "6.5537645, 6.4079935, 6.2810009, 6.1814423, 6.0887951, 6.0031125, 5.9463988");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6473220, 3.5281851, 3.3399228, 3.1717198, 3.0332897, 2.8318561, 2.5968041", \
+					  "4.1048225, 3.9841599, 3.7960024, 3.6114192, 3.4466923, 3.2832533, 3.0524583", \
+					  "4.5523183, 4.4331816, 4.2460353, 4.0639179, 3.9364967, 3.7276971, 3.4957961", \
+					  "4.9640428, 4.8449056, 4.6586702, 4.4884499, 4.3501787, 4.1401529, 3.9135480", \
+					  "5.3998724, 5.2807357, 5.0924112, 4.9228073, 4.7468494, 4.5814999, 4.3555047", \
+					  "5.8025294, 5.6818664, 5.4923273, 5.3130969, 5.1609186, 4.9748559, 4.7489828", \
+					  "6.1843986, 6.0652614, 5.8811927, 5.7054928, 5.5379810, 5.3597773, 5.1273619");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5472203, -3.3948328, -3.2744229, -3.1672682, -3.0867590, -3.0460851, -2.9502038", \
+					  "-3.9930221, -3.8396568, -3.7278764, -3.6086371, -3.6030454, -3.4286331, -3.4180505", \
+					  "-4.4423484, -4.2935426, -4.1832575, -4.0653580, -4.0614931, -3.9246612, -3.8313123", \
+					  "-4.8749055, -4.7276576, -4.6160166, -4.5026744, -4.4929084, -4.3569838, -4.2649250", \
+					  "-5.2752511, -5.1276349, -5.0264279, -4.8958777, -4.8586488, -4.7422614, -4.6941763", \
+					  "-5.6335880, -5.4863262, -5.3805993, -5.2557622, -5.1894988, -5.1385542, -5.0613755", \
+					  "-6.0425071, -5.8967712, -5.7920617, -5.6764772, -5.6472846, -5.4999265, -5.4711530");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5182372, -3.3240026, -3.1647196, -2.9692761, -2.7875768, -2.6045283, -2.4046218", \
+					  "-3.9625127, -3.7637006, -3.5815344, -3.4106726, -3.2298814, -3.0416305, -2.8592157", \
+					  "-4.4148913, -4.2191310, -4.0393504, -3.8671157, -3.6717213, -3.4975233, -3.2997502", \
+					  "-4.8641803, -4.6920896, -4.4805659, -4.3189396, -4.1454242, -3.9446386, -3.7608967", \
+					  "-5.2704776, -5.0747173, -4.9138406, -4.7252071, -4.5347159, -4.3525030, -4.1639010", \
+					  "-5.6561455, -5.4765381, -5.2837403, -5.1136577, -4.9343020, -4.7329475, -4.5425301", \
+					  "-6.0150500, -5.8210061, -5.6562010, -5.4580475, -5.2978197, -5.1022059, -4.8999087");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0257856, 0.0290601, 0.0289351, 0.0288150, 0.0286899, 0.0285648");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263507, 0.0308971, 0.0354436, 0.0353219, 0.0352050, 0.0350833, 0.0349616");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988165";
+				miller_cap_rise : "0.000929189";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72807e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14685e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49617e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.2639e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93537e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19678e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36256e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33018e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57178e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39933e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34266e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039403, 0.2113628, 0.3318726, 0.4716165, 0.6687019");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744172, 0.2823863, 0.4017333, 0.5424573, 0.7379632");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277201, 0.0491792, 0.0708337, 0.0926163, 0.1216460");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996952, 0.1213340, 0.1429640, 0.1648389, 0.1938405");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.969");
+						index_3("0.001");
+						index_4("10.4723192, 11.0697236, 13.3812671, 15.1203598, 15.5598865");
+						values("0.411862, 0.658978, 0.823723, 0.658978, 0.411862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.742");
+						index_3("0.001");
+						index_4("6.3699204, 6.7766566, 8.5344872, 9.4981688, 9.7883607");
+						values("0.423185, 0.677097, 0.846371, 0.677097, 0.423185");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64912");
+						index_3("0.001");
+						index_4("4.3285713, 4.6333259, 5.7853328, 6.6175542, 6.8286526");
+						values("0.426242, 0.681988, 0.852485, 0.681988, 0.426242");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4845");
+						index_3("0.001");
+						index_4("5.2857632, 5.6208968, 6.8970474, 7.5911936, 7.8165506");
+						values("0.220399, 0.352638, 0.440797, 0.352638, 0.220399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37099");
+						index_3("0.001");
+						index_4("3.2228523, 3.4477145, 4.3527394, 4.7698526, 4.9187873");
+						values("0.224876, 0.359802, 0.449753, 0.359802, 0.224876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32456");
+						index_3("0.001");
+						index_4("2.1949235, 2.3628112, 2.9395651, 3.3204682, 3.4310739");
+						values("0.225318, 0.360508, 0.450635, 0.360508, 0.225318");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.113");
+						index_3("0.001");
+						index_4("9.4939725, 10.1073382, 12.4668156, 14.1917888, 14.6367219");
+						values("0.590902, 0.945443, 1.1818, 0.945443, 0.590902");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.85916");
+						index_3("0.001");
+						index_4("1.4429753, 1.5685793, 2.2040594, 2.6142897, 2.7999999");
+						values("1.16741, 0.907856, 0.734821, 0.907856, 1.16741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67101");
+						index_3("0.001");
+						index_4("0.8467010, 0.9311354, 1.2802781, 1.6025809, 1.7348656");
+						values("1.15863, 0.893813, 0.717267, 0.893813, 1.15863");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13993");
+						index_3("0.001");
+						index_4("0.5804266, 0.6444995, 0.9539650, 1.1342387, 1.2540604");
+						values("1.15694, 0.891102, 0.713877, 0.891102, 1.15694");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42958");
+						index_3("0.001");
+						index_4("0.7311921, 0.7979169, 1.0826477, 1.3265766, 1.4381035");
+						values("1.38701, 1.25922, 1.17402, 1.25922, 1.38701");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.835506");
+						index_3("0.001");
+						index_4("0.4316941, 0.4768612, 0.6753953, 0.8185657, 0.9034792");
+						values("1.3864, 1.25824, 1.1728, 1.25824, 1.3864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.569963");
+						index_3("0.001");
+						index_4("0.2968176, 0.3312545, 0.4832034, 0.5813943, 0.6642873");
+						values("1.38401, 1.25442, 1.16803, 1.25442, 1.38401");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.50652");
+						index_3("0.001");
+						index_4("1.2662001, 1.3907187, 1.9727520, 2.3712059, 2.5596749");
+						values("0.926468, 0.522348, 0.252935, 0.522348, 0.926468");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026190;
+			capacitance : 0.026280;
+			fall_capacitance : 0.026371;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031046, -0.0033688, -0.0036331, -0.0037343, -0.0038314, -0.0039325, -0.0040337");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251305, 0.0258799, 0.0266294, 0.0264934, 0.0263630, 0.0262271, 0.0260911");
+				}
+			}
+			/* Copied over from enable_vswitch_h of gpio_ovtv2. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.031693;
+			capacitance : 0.032127;
+			rise_capacitance : 0.032560;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502289, 0.0651197, 0.0800105, 0.0800087, 0.0800069, 0.0800051, 0.0800033");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0633641, 0.0800514, 0.0967387, 0.0967645, 0.0967892, 0.0968150, 0.0968408");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000835074";
+				miller_cap_rise : "0.000743838";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.22561, 0.38204, 0.365315, 0.356656, 0.344803, 0.337321, 0.328756, 0.319135, 0.308478, 0.296799, 0.2841, 0.270385, 0.255654, 0.239907, 0.223146, 0.205371, 0.186582, 0.166782, 0.145972, 0.124153, 0.101327, 0.077496, 0.052663, 0.0268301, -3.63798e-09, -0.0545844, -0.109054, -0.275399, -1.04022", \
+					  "1.15059, 0.187443, 0.181433, 0.17899, 0.176172, 0.17457, 0.172794, 0.170795, 0.168499, 0.165798, 0.162529, 0.158483, 0.153453, 0.147308, 0.139986, 0.131464, 0.12173, 0.110779, 0.0986088, 0.0852189, 0.0706094, 0.0547811, 0.0377354, 0.0194743, 3.63798e-09, -0.0410873, -0.0838841, -0.224876, -1.03133", \
+					  "1.11558, 0.0908212, 0.0868744, 0.0857762, 0.0845841, 0.0839436, 0.0832669, 0.0825471, 0.0817753, 0.0809397, 0.0800238, 0.079004, 0.0778441, 0.0764855, 0.0748229, 0.0726574, 0.0696736, 0.0655759, 0.0602147, 0.0535362, 0.0455214, 0.0361627, 0.0254568, 0.0134026, 1.27329e-08, -0.0299989, -0.0633389, -0.184111, -1.02425", \
+					  "1.10815, 0.0718441, 0.0609464, 0.0601499, 0.0592993, 0.058849, 0.0583786, 0.0578847, 0.0573633, 0.0568089, 0.0562141, 0.0555693, 0.0548604, 0.0540664, 0.0531537, 0.0520611, 0.0506599, 0.0486729, 0.0457082, 0.0415021, 0.0359574, 0.0290432, 0.0207482, 0.0110679, 1.63709e-08, -0.0257446, -0.0554805, -0.168556, -1.02167", \
+					  "1.10335, 0.0682504, 0.0387665, 0.0382204, 0.0376463, 0.0373464, 0.0370364, 0.0367149, 0.0363798, 0.036029, 0.0356595, 0.0352673, 0.0348473, 0.0343921, 0.033891, 0.0333272, 0.032671, 0.0318584, 0.0307172, 0.0288513, 0.0258353, 0.0214801, 0.0157321, 0.00857435, 2.00089e-08, -0.0212036, -0.0471041, -0.151959, -1.01898", \
+					  "1.10204, 0.0719107, 0.0292767, 0.0288389, 0.0283822, 0.0281453, 0.0279016, 0.0276502, 0.0273899, 0.0271193, 0.0268365, 0.0265393, 0.0262245, 0.025888, 0.0255239, 0.0251233, 0.0246719, 0.0241427, 0.0234701, 0.0224485, 0.0206126, 0.0175503, 0.0131153, 0.00726942, 2.18279e-08, -0.0188265, -0.0427229, -0.143258, -1.0176", \
+					  "1.10152, 0.0790289, 0.0209644, 0.0206227, 0.0202711, 0.0200899, 0.0199044, 0.0197142, 0.0195184, 0.0193162, 0.0191066, 0.0188883, 0.0186595, 0.0184179, 0.0181604, 0.0178825, 0.0175773, 0.0172334, 0.0168271, 0.0162909, 0.015376, 0.0135499, 0.0104361, 0.00592841, 2.18279e-08, -0.0163803, -0.0382158, -0.134285, -1.01619", \
+					  "1.10182, 0.0894923, 0.01394, 0.013661, 0.0134029, 0.0132708, 0.0131362, 0.0129989, 0.0128584, 0.0127144, 0.0125663, 0.0124133, 0.0122545, 0.0120888, 0.0119146, 0.0117298, 0.0115313, 0.0113142, 0.0110698, 0.0107787, 0.0103719, 0.00954731, 0.00771578, 0.0045574, 2.36469e-08, -0.0138684, -0.0335871, -0.125036, -1.01477", \
+					  "1.10301, 0.103168, 0.00845267, 0.00805863, 0.00788256, 0.00779316, 0.00770256, 0.00761063, 0.00751718, 0.00742198, 0.00732479, 0.00722526, 0.00712298, 0.00701744, 0.00690795, 0.00679359, 0.00667307, 0.0065445, 0.00640484, 0.00624841, 0.00606038, 0.00577152, 0.00501666, 0.00317465, 2.18279e-08, -0.0112971, -0.0288449, -0.115511, -1.01332", \
+					  "1.10514, 0.119911, 0.00530792, 0.00395523, 0.0038446, 0.00379128, 0.00373752, 0.00368326, 0.00362844, 0.00357296, 0.00351674, 0.00345965, 0.00340154, 0.00334222, 0.00328144, 0.00321889, 0.00315413, 0.00308654, 0.0030152, 0.00293857, 0.0028535, 0.00275006, 0.00255915, 0.00184122, 1.09139e-08, -0.00868076, -0.0240044, -0.105721, -1.01184", \
+					  "1.10829, 0.13955, 0.00627283, 0.001512, 0.00140745, 0.00138179, 0.00135608, 0.00133027, 0.00130433, 0.00127824, 0.00125198, 0.00122551, 0.0011988, 0.00117181, 0.00114446, 0.00111667, 0.00108835, 0.00105935, 0.00102945, 0.000998327, 0.000965385, 0.000929249, 0.00088368, 0.00074699, -1.23691e-07, -0.00605682, -0.0191006, -0.095697, -1.01036", \
+					  "1.11241, 0.161657, 0.0122474, 0.000761427, 0.000375743, 0.000365785, 0.000356654, 0.000347542, 0.000338427, 0.000329301, 0.00032016, 0.000310999, 0.000301809, 0.000292584, 0.000283312, 0.000273982, 0.000264574, 0.000255064, 0.000245416, 0.000235577, 0.000225456, 0.000214868, 0.000203181, 0.000183762, -2.33194e-06, -0.00354741, -0.0142303, -0.0855183, -1.00887", \
+					  "1.11729, 0.185409, 0.0222042, 0.00197295, 8.36558e-05, 6.84264e-05, 6.46521e-05, 6.12145e-05, 5.7853e-05, 5.45242e-05, 5.12127e-05, 4.79113e-05, 4.46134e-05, 4.13174e-05, 3.80196e-05, 3.47191e-05, 3.14103e-05, 2.80888e-05, 2.4751e-05, 2.13859e-05, 1.79771e-05, 1.45028e-05, 1.08703e-05, 6.33008e-06, -3.1423e-05, -0.00155301, -0.00966767, -0.0753594, -1.00742", \
+					  "1.12271, 0.210125, 0.0348653, 0.00627893, 1.57418e-05, -9.51688e-05, -0.00010743, -0.000116479, -0.000124829, -0.000132884, -0.000140782, -0.000148587, -0.000156335, -0.000164043, -0.000171727, -0.000179394, -0.000187052, -0.000194705, -0.000202357, -0.000210015, -0.000217682, -0.000225364, -0.000233076, -0.000240938, -0.000254704, -0.000703087, -0.0059821, -0.0656066, -1.00613", \
+					  "1.12863, 0.235459, 0.0492931, 0.0136303, 2.02096e-06, -0.000683028, -0.000758385, -0.000802775, -0.000841285, -0.000877402, -0.000912238, -0.000946291, -0.000979826, -0.001013, -0.00104591, -0.00107863, -0.00111121, -0.00114367, -0.00117605, -0.00120838, -0.00124065, -0.00127291, -0.00130514, -0.00133741, -0.00137042, -0.00152678, -0.00424218, -0.0572228, -1.00543", \
+					  "1.13504, 0.261203, 0.0646345, 0.0223897, 1.63456e-07, -0.00294625, -0.00347676, -0.00366352, -0.00379983, -0.00391851, -0.00402832, -0.00413284, -0.00423385, -0.0043324, -0.00442913, -0.00452447, -0.00461873, -0.00471212, -0.00480481, -0.00489693, -0.00498857, -0.00507984, -0.0051708, -0.00526155, -0.0053522, -0.00555043, -0.00666497, -0.0523687, -1.00628", \
+					  "1.142, 0.287188, 0.0800925, 0.0312739, 1.14646e-08, -0.00723418, -0.00992853, -0.0106878, -0.0110855, -0.0113853, -0.0116423, -0.0118758, -0.0120944, -0.0123028, -0.0125039, -0.0126994, -0.0128906, -0.0130783, -0.0132632, -0.0134457, -0.0136263, -0.0138054, -0.013983, -0.0141596, -0.0143353, -0.0146875, -0.0152557, -0.0531678, -1.00956", \
+					  "1.14954, 0.313253, 0.0951216, 0.0398408, 2.03019e-09, -0.0121771, -0.0190832, -0.0217962, -0.0228828, -0.0235462, -0.0240555, -0.024489, -0.024878, -0.0252379, -0.0255774, -0.0259019, -0.0262148, -0.0265187, -0.0268153, -0.0271059, -0.0273915, -0.0276729, -0.0279507, -0.0282256, -0.028498, -0.0290379, -0.0296145, -0.0598211, -1.01523", \
+					  "1.15774, 0.339232, 0.109479, 0.0479734, 1.47465e-09, -0.0170398, -0.0288163, -0.0353639, -0.0381894, -0.0395981, -0.0405373, -0.0412731, -0.0418994, -0.0424583, -0.042972, -0.0434532, -0.0439101, -0.0443483, -0.0447715, -0.0451825, -0.0455834, -0.045976, -0.0463614, -0.0467408, -0.0471153, -0.0478527, -0.0485866, -0.0717346, -1.02295", \
+					  "1.16669, 0.364946, 0.123092, 0.055645, 1.4182e-09, -0.0216361, -0.0382136, -0.0494918, -0.0556607, -0.0586039, -0.0602971, -0.0614974, -0.0624569, -0.0632779, -0.06401, -0.0646806, -0.0653065, -0.0658983, -0.0664635, -0.0670073, -0.0675336, -0.0680453, -0.0685448, -0.0690339, -0.0695143, -0.0704545, -0.0713768, -0.0883964, -1.0324", \
+					  "1.17651, 0.390198, 0.135956, 0.0628575, 1.38834e-09, -0.0259319, -0.0470622, -0.0631131, -0.0737686, -0.0795276, -0.0825663, -0.084499, -0.0859347, -0.0871047, -0.0881132, -0.0890143, -0.0898393, -0.0906078, -0.0913328, -0.0920234, -0.0926861, -0.0933259, -0.0939464, -0.0945506, -0.0951412, -0.0962896, -0.0974071, -0.109426, -1.04335", \
+					  "1.18736, 0.414773, 0.148085, 0.0696239, 1.36069e-09, -0.029931, -0.0553269, -0.0759227, -0.0913323, -0.101171, -0.106522, -0.109631, -0.111761, -0.113403, -0.114765, -0.115949, -0.11701, -0.117983, -0.118889, -0.119742, -0.120554, -0.121332, -0.122082, -0.122808, -0.123513, -0.124877, -0.126193, -0.134535, -1.05559", \
+					  "1.19945, 0.438456, 0.159503, 0.075962, 1.33467e-09, -0.0336475, -0.0630199, -0.0878743, -0.107861, -0.12238, -0.131233, -0.136224, -0.139383, -0.141673, -0.143492, -0.145026, -0.14637, -0.147581, -0.148692, -0.149728, -0.150704, -0.151632, -0.15252, -0.153375, -0.154201, -0.155787, -0.157306, -0.163475, -1.06894", \
+					  "1.21305, 0.461049, 0.170239, 0.0818916, 1.31056e-09, -0.0370979, -0.0701682, -0.0989902, -0.123261, -0.142436, -0.155702, -0.163548, -0.168247, -0.171441, -0.173861, -0.175832, -0.177517, -0.179006, -0.180353, -0.181593, -0.182751, -0.183842, -0.184879, -0.185871, -0.186825, -0.188643, -0.19037, -0.195804, -1.08325", \
+					  "1.22849, 0.482412, 0.18032, 0.0874333, 1.28854e-09, -0.0402995, -0.0768034, -0.109312, -0.137563, -0.161111, -0.179086, -0.190807, -0.197778, -0.202248, -0.205465, -0.207989, -0.210089, -0.211906, -0.213525, -0.214996, -0.216355, -0.217625, -0.218823, -0.219962, -0.221052, -0.223114, -0.225056, -0.230648, -1.09839", \
+					  "1.26626, 0.521242, 0.198636, 0.0974348, 1.25144e-09, -0.0460216, -0.0886646, -0.127765, -0.16312, -0.194433, -0.221138, -0.242092, -0.256301, -0.265103, -0.270857, -0.275007, -0.278248, -0.280925, -0.283225, -0.285258, -0.287094, -0.288778, -0.290343, -0.291811, -0.2932, -0.295788, -0.298186, -0.304777, -1.13072", \
+					  "1.31298, 0.555118, 0.214683, 0.106126, 1.22526e-09, -0.0509367, -0.0988522, -0.14361, -0.185051, -0.222962, -0.256995, -0.286436, -0.309885, -0.326173, -0.336588, -0.343535, -0.348581, -0.352522, -0.355769, -0.358547, -0.360991, -0.363188, -0.365194, -0.367049, -0.368783, -0.371966, -0.374865, -0.382594, -1.16506", \
+					  "1.41186, 0.632714, 0.251379, 0.125741, 1.24996e-09, -0.061845, -0.12146, -0.178764, -0.233669, -0.286073, -0.335848, -0.382817, -0.42668, -0.466852, -0.502128, -0.530576, -0.551105, -0.565142, -0.575027, -0.582418, -0.588262, -0.593088, -0.597208, -0.600817, -0.604039, -0.609646, -0.614465, -0.626243, -1.2738", \
+					  "1.49594, 0.705692, 0.285048, 0.143407, 2.02172e-09, -0.0714808, -0.141477, -0.209952, -0.276863, -0.34217, -0.405824, -0.467775, -0.527961, -0.586307, -0.642709, -0.697008, -0.748933, -0.797984, -0.843203, -0.882961, -0.915394, -0.939961, -0.958032, -0.971566, -0.982094, -0.997718, -1.00917, -1.0324, -1.46082");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1189972, 0.2574779, 0.4130698, 0.5922232, 0.8424859");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1910833, 0.3289591, 0.4850985, 0.6637704, 0.9136702");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0286899, 0.0559697, 0.0837750, 0.1117561, 0.1501011");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1016355, 0.1287563, 0.1565778, 0.1853735, 0.2236401");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("26.2461");
+						index_3("0.001");
+						index_4("13.0953835, 13.8383088, 16.8761153, 18.9283161, 19.4861626");
+						values("0.400775, 0.64124, 0.80155, 0.64124, 0.400775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("15.9446");
+						index_3("0.001");
+						index_4("7.9613579, 8.4656068, 10.6244630, 11.8918340, 12.2542449");
+						values("0.411309, 0.658094, 0.822618, 0.658094, 0.411309");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("10.822");
+						index_3("0.001");
+						index_4("5.4084265, 5.7859002, 7.4459567, 8.2810855, 8.5460507");
+						values("0.414641, 0.663426, 0.829282, 0.663426, 0.414641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("13.1231");
+						index_3("0.001");
+						index_4("6.6054211, 7.0092687, 8.6369292, 9.5008618, 9.7835943");
+						values("0.213784, 0.342055, 0.427569, 0.342055, 0.213784");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("7.97228");
+						index_3("0.001");
+						index_4("4.0237697, 4.2994896, 5.4180011, 5.9650548, 6.1532621");
+						values("0.21864, 0.349825, 0.437281, 0.349825, 0.21864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("5.41101");
+						index_3("0.001");
+						index_4("2.7366513, 2.9440249, 3.7434494, 4.1537936, 4.2926943");
+						values("0.221495, 0.354391, 0.442989, 0.354391, 0.221495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("23.9169");
+						index_3("0.001");
+						index_4("11.8726166, 12.6359265, 15.5726371, 17.7621405, 18.3251376");
+						values("0.576246, 0.921993, 1.15249, 0.921993, 0.576246");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("3.45431");
+						index_3("0.001");
+						index_4("1.7375928, 1.8866642, 2.6282233, 3.1592069, 3.3855415");
+						values("1.19296, 0.948736, 0.78592, 0.948736, 1.19296");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("2.01883");
+						index_3("0.001");
+						index_4("1.0187831, 1.1187040, 1.6088235, 1.9377474, 2.0975840");
+						values("1.18631, 0.9381, 0.772624, 0.9381, 1.18631");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("1.37702");
+						index_3("0.001");
+						index_4("0.6969738, 0.7727375, 1.1389132, 1.3699782, 1.5170153");
+						values("1.18279, 0.932462, 0.765578, 0.932462, 1.18279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("1.72716");
+						index_3("0.001");
+						index_4("0.8795537, 0.9592592, 1.3528277, 1.6005593, 1.7376588");
+						values("1.39872, 1.27796, 1.19745, 1.27796, 1.39872");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("1.00942");
+						index_3("0.001");
+						index_4("0.5171869, 0.5707879, 0.8351597, 0.9877772, 1.0936520");
+						values("1.39687, 1.27499, 1.19374, 1.27499, 1.39687");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("0.688508");
+						index_3("0.001");
+						index_4("0.3545197, 0.3949625, 0.5735328, 0.7001388, 0.8030241");
+						values("1.39411, 1.27058, 1.18822, 1.27058, 1.39411");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("3.02825");
+						index_3("0.001");
+						index_4("1.5236606, 1.6749978, 2.3960311, 2.8656646, 3.0979251");
+						values("0.971095, 0.593752, 0.34219, 0.593752, 0.971095");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.050800;
+			capacitance : 0.051200;
+			rise_capacitance : 0.051599;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0119384, -0.0121619, -0.0123855, -0.0123867, -0.0123878, -0.0123889, -0.0123901");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0121638, 0.0122941, 0.0124244, 0.0124067, 0.0123897, 0.0123720, 0.0123543");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00125409";
+				miller_cap_rise : "0.000530199";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.21863, 0.228223, 0.215816, 0.211412, 0.206115, 0.202999, 0.199461, 0.195386, 0.190638, 0.185092, 0.178696, 0.171464, 0.163418, 0.15456, 0.144885, 0.13438, 0.123031, 0.110824, 0.0977423, 0.0837713, 0.0688945, 0.0530957, 0.0363583, 0.0186654, -6.87701e-09, -0.0391835, -0.0803074, -0.23011, -1.60147", \
+					  "2.13457, 0.114693, 0.106717, 0.105035, 0.103139, 0.10209, 0.100956, 0.099722, 0.0983642, 0.096853, 0.0951458, 0.0931814, 0.0908689, 0.0880779, 0.0846468, 0.0804346, 0.0753581, 0.0693738, 0.062453, 0.0545721, 0.045709, 0.035842, 0.0249495, 0.0130095, -6.20516e-09, -0.028492, -0.0598217, -0.18215, -1.59219", \
+					  "2.09295, 0.058434, 0.0515776, 0.0508141, 0.0499817, 0.049534, 0.0490611, 0.0485593, 0.0480236, 0.0474478, 0.0468238, 0.0461408, 0.045384, 0.0445319, 0.0435524, 0.0423927, 0.0409578, 0.0390692, 0.036474, 0.032981, 0.0285021, 0.0229941, 0.016426, 0.00877018, -7.30729e-09, -0.0205291, -0.0446785, -0.146585, -1.58539", \
+					  "2.08148, 0.0433784, 0.0362623, 0.0357206, 0.0351368, 0.0348258, 0.0344999, 0.0341567, 0.0337938, 0.0334077, 0.0329942, 0.0325479, 0.0320615, 0.0315247, 0.0309232, 0.0302348, 0.0294226, 0.0284148, 0.0270509, 0.0250428, 0.0221381, 0.0182242, 0.0132515, 0.00718661, -7.64926e-09, -0.0175656, -0.0390678, -0.133355, -1.58295", \
+					  "2.07162, 0.0316956, 0.0230201, 0.0226627, 0.0222823, 0.0220818, 0.0218732, 0.0216556, 0.0214276, 0.0211876, 0.0209337, 0.0206632, 0.0203729, 0.0200585, 0.0197139, 0.0193306, 0.0188955, 0.0183864, 0.0177581, 0.0168895, 0.0154827, 0.0132029, 0.00989737, 0.00550838, -5.3655e-09, -0.0144327, -0.033151, -0.119367, -1.58041", \
+					  "2.06737, 0.0273623, 0.0172967, 0.017018, 0.0167234, 0.016569, 0.0164092, 0.0162431, 0.0160701, 0.0158889, 0.0156983, 0.0154968, 0.0152822, 0.0150518, 0.0148021, 0.0145278, 0.0142216, 0.0138715, 0.0134556, 0.012921, 0.0120961, 0.0106047, 0.00815112, 0.0046312, -6.58765e-09, -0.0128001, -0.0300742, -0.11208, -1.57911", \
+					  "2.06364, 0.0240809, 0.0122404, 0.0120316, 0.0118128, 0.0116989, 0.0115814, 0.0114601, 0.0113343, 0.0112034, 0.0110666, 0.010923, 0.0107714, 0.0106101, 0.0104371, 0.0102495, 0.0100434, 0.00981251, 0.00954657, 0.00922428, 0.00878008, 0.00796753, 0.00635872, 0.00372626, -4.89438e-09, -0.0111219, -0.0269175, -0.104605, -1.57779", \
+					  "2.06045, 0.0218743, 0.00791213, 0.00776466, 0.00761195, 0.00753302, 0.0074521, 0.00736895, 0.00728327, 0.00719472, 0.00710288, 0.00700722, 0.00690709, 0.00680166, 0.00668985, 0.00657023, 0.0064408, 0.00629863, 0.00613919, 0.0059542, 0.00572346, 0.00536188, 0.00452789, 0.002792, -3.71235e-09, -0.00939712, -0.0236814, -0.0969602, -1.57647", \
+					  "2.05788, 0.020792, 0.00440982, 0.00431356, 0.00421733, 0.00416802, 0.00411778, 0.0040665, 0.00401404, 0.00396025, 0.00390492, 0.00384782, 0.00378866, 0.00372706, 0.00366257, 0.00359455, 0.00352217, 0.00344425, 0.00335903, 0.00326363, 0.00315231, 0.00300698, 0.002715, 0.0018371, -6.001e-09, -0.00762399, -0.0203687, -0.08919, -1.57517", \
+					  "2.05606, 0.0209592, 0.00191495, 0.00185178, 0.00180115, 0.00177551, 0.00174957, 0.0017233, 0.00169666, 0.00166958, 0.001642, 0.00161384, 0.001585, 0.00155537, 0.00152479, 0.00149306, 0.00145991, 0.001425, 0.00138779, 0.0013475, 0.00130273, 0.0012503, 0.00117542, 0.000926097, -7.6374e-09, -0.00580234, -0.0169883, -0.0813603, -1.57388", \
+					  "2.05512, 0.022493, 0.00062161, 0.000533617, 0.000513822, 0.00050411, 0.000494361, 0.000484567, 0.000474718, 0.000464802, 0.000454805, 0.000444712, 0.0004345, 0.000424148, 0.000413622, 0.000402881, 0.000391876, 0.000380534, 0.000368753, 0.00035639, 0.000343205, 0.000328732, 0.000311538, 0.000277025, -1.23424e-08, -0.00394766, -0.0135664, -0.0735495, -1.57263", \
+					  "2.05491, 0.0251099, 0.000440484, 0.000103927, 9.67325e-05, 9.43385e-05, 9.19608e-05, 8.95875e-05, 8.72147e-05, 8.48424e-05, 8.24697e-05, 8.00919e-05, 7.77076e-05, 7.53126e-05, 7.29067e-05, 7.04781e-05, 6.80278e-05, 6.55401e-05, 6.30005e-05, 6.03982e-05, 5.76966e-05, 5.484e-05, 5.16888e-05, 4.71954e-05, -3.79956e-08, -0.00216687, -0.0101778, -0.0658339, -1.57142", \
+					  "2.05503, 0.02822, 0.00113274, 2.7793e-05, 1.40129e-05, 1.35397e-05, 1.31393e-05, 1.2745e-05, 1.23546e-05, 1.19646e-05, 1.15769e-05, 1.11882e-05, 1.08028e-05, 1.04161e-05, 1.00342e-05, 9.65336e-06, 9.2684e-06, 8.8821e-06, 8.48886e-06, 8.09132e-06, 7.6788e-06, 7.25456e-06, 6.78973e-06, 6.18972e-06, -1.61352e-07, -0.000815794, -0.00697672, -0.0582771, -1.57025", \
+					  "2.05528, 0.0314959, 0.00247582, 7.48047e-05, 1.70078e-06, 1.16881e-06, 1.03817e-06, 9.37075e-07, 8.4362e-07, 7.52443e-07, 6.64932e-07, 5.78208e-07, 5.00496e-07, 4.43133e-07, 3.93656e-07, 3.46064e-07, 2.96672e-07, 2.45217e-07, 1.96024e-07, 1.46733e-07, 9.57953e-08, 4.16548e-08, -1.22575e-08, -8.72298e-08, -8.39532e-07, -0.00018826, -0.00416811, -0.0509281, -1.56913", \
+					  "2.05559, 0.0348345, 0.00422999, 0.000366521, 1.90967e-07, -2.54937e-06, -3.01782e-06, -3.32032e-06, -3.58226e-06, -3.82546e-06, -4.05553e-06, -4.25325e-06, -4.34579e-06, -4.36989e-06, -4.38445e-06, -4.39683e-06, -4.40813e-06, -4.4186e-06, -4.43236e-06, -4.44143e-06, -4.45388e-06, -4.46457e-06, -4.47408e-06, -4.48674e-06, -4.57031e-06, -3.46027e-05, -0.0019877, -0.0438237, -1.56805", \
+					  "2.05598, 0.0381944, 0.00621603, 0.00114912, 2.56522e-08, -1.58625e-05, -1.85622e-05, -2.02452e-05, -2.16746e-05, -2.2982e-05, -2.41528e-05, -2.48589e-05, -2.50219e-05, -2.50779e-05, -2.51172e-05, -2.51503e-05, -2.518e-05, -2.52072e-05, -2.52326e-05, -2.52565e-05, -2.52837e-05, -2.53054e-05, -2.53254e-05, -2.53479e-05, -2.53746e-05, -2.96253e-05, -0.0006818, -0.0370023, -1.56704", \
+					  "2.05645, 0.0415444, 0.00829705, 0.00231848, 8.37602e-09, -9.63801e-05, -0.00011388, -0.000123467, -0.000131113, -0.000137682, -0.000142502, -0.000144163, -0.000144547, -0.000144752, -0.00014491, -0.000145046, -0.000145169, -0.000145283, -0.00014539, -0.000145491, -0.000145588, -0.000145681, -0.00014577, -0.000145856, -0.000145942, -0.000146636, -0.000287904, -0.0305688, -1.56613", \
+					  "2.05696, 0.0448531, 0.0103558, 0.00359159, 6.59591e-09, -0.000458626, -0.000578938, -0.00062936, -0.00066305, -0.000687463, -0.00070087, -0.000704915, -0.000706312, -0.000707161, -0.000707816, -0.000708371, -0.000708862, -0.000709309, -0.000709723, -0.000710111, -0.000710479, -0.00071083, -0.000711167, -0.000711492, -0.000711806, -0.000712466, -0.000736857, -0.0249035, -1.56556", \
+					  "2.05747, 0.0480875, 0.0123007, 0.00478557, 6.2526e-09, -0.00115613, -0.00168797, -0.00190513, -0.00201769, -0.00208347, -0.00211517, -0.0021268, -0.00213204, -0.0021354, -0.00213795, -0.00214006, -0.00214188, -0.00214352, -0.002145, -0.00214637, -0.00214765, -0.00214886, -0.00215001, -0.0021511, -0.00215216, -0.00215415, -0.00215968, -0.0205125, -1.56558", \
+					  "2.05797, 0.0512127, 0.01408, 0.00583911, 6.38271e-09, -0.00185241, -0.00303496, -0.00368429, -0.00401349, -0.00417992, -0.00425657, -0.00428936, -0.00430643, -0.00431771, -0.00432626, -0.00433323, -0.00433917, -0.0043444, -0.0043491, -0.00435339, -0.00435735, -0.00436105, -0.00436453, -0.00436782, -0.00437095, -0.00437682, -0.00438277, -0.0174537, -1.56616", \
+					  "2.05844, 0.0541578, 0.0156781, 0.00674955, 6.37806e-09, -0.00241702, -0.00417899, -0.00535883, -0.00607196, -0.00645752, -0.0066432, -0.00673165, -0.00678171, -0.00681564, -0.00684138, -0.00686225, -0.00687991, -0.00689531, -0.00690903, -0.00692144, -0.00693283, -0.00694337, -0.00695322, -0.00696248, -0.00697123, -0.0069875, -0.0070025, -0.0155774, -1.56704", \
+					  "2.05888, 0.056963, 0.0171008, 0.00753248, 6.37271e-09, -0.00286398, -0.0050714, -0.00667386, -0.00774486, -0.00838441, -0.00872398, -0.0089035, -0.0090126, -0.00908909, -0.009148, -0.00919612, -0.009237, -0.00927271, -0.00930453, -0.00933334, -0.00935975, -0.00938419, -0.009407, -0.00942843, -0.00944869, -0.00948627, -0.00952069, -0.0146551, -1.56794", \
+					  "2.05929, 0.0595745, 0.0183631, 0.0082068, 6.36687e-09, -0.00322281, -0.00577276, -0.00768731, -0.00901981, -0.00985316, -0.010322, -0.0105866, -0.0107553, -0.0108768, -0.0109717, -0.0110501, -0.0111171, -0.0111759, -0.0112285, -0.0112763, -0.0113202, -0.011361, -0.0113991, -0.011435, -0.011469, -0.0115322, -0.0115902, -0.01446, -1.56862", \
+					  "2.05967, 0.0619838, 0.019483, 0.00879005, 6.365e-09, -0.00351638, -0.0063363, -0.00848686, -0.0100101, -0.0109832, -0.0115478, -0.0118789, -0.012096, -0.0122548, -0.0123802, -0.0124843, -0.0125737, -0.0126525, -0.0127231, -0.0127874, -0.0128466, -0.0129017, -0.0129532, -0.0130018, -0.0130478, -0.0131336, -0.0132126, -0.0148493, -1.56907", \
+					  "2.06001, 0.064193, 0.0204779, 0.00929725, 6.36773e-09, -0.00376076, -0.00679881, -0.00913393, -0.010802, -0.0118795, -0.0125167, -0.0128995, -0.013155, -0.013344, -0.0134941, -0.0136193, -0.013727, -0.0138222, -0.0139076, -0.0139855, -0.0140573, -0.0141241, -0.0141867, -0.0142457, -0.0143017, -0.0144061, -0.0145022, -0.0155979, -1.56939", \
+					  "2.06061, 0.0680533, 0.0221549, 0.0101312, 6.37811e-09, -0.00414407, -0.00751332, -0.0101194, -0.0119938, -0.013218, -0.0139583, -0.0144162, -0.0147286, -0.0149628, -0.0151502, -0.0153072, -0.0154429, -0.0155629, -0.0156709, -0.0157695, -0.0158604, -0.0159451, -0.0160245, -0.0160995, -0.0161706, -0.0163032, -0.0164255, -0.0172816, -1.56989", \
+					  "2.06109, 0.0712643, 0.0235007, 0.0107836, 6.3849e-09, -0.00443082, -0.0080401, -0.0108365, -0.0128521, -0.0141755, -0.0149862, -0.0154964, -0.0158492, -0.0161157, -0.01633, -0.01651, -0.0166659, -0.0168039, -0.0169283, -0.0170419, -0.0171467, -0.0172444, -0.017336, -0.0174225, -0.0175046, -0.0176577, -0.0177988, -0.0186651, -1.57048", \
+					  "2.06206, 0.0780594, 0.0262486, 0.0120797, 6.3798e-09, -0.0049755, -0.00902558, -0.0121608, -0.0144217, -0.015916, -0.0168495, -0.0174526, -0.017878, -0.0182033, -0.0184668, -0.0186891, -0.0188822, -0.0190535, -0.0192081, -0.0193494, -0.01948, -0.0196017, -0.0197158, -0.0198236, -0.0199259, -0.0201168, -0.0202927, -0.0212433, -1.57206", \
+					  "2.06283, 0.0840227, 0.0286419, 0.0131837, 6.36305e-09, -0.0054227, -0.00982284, -0.0132194, -0.0156655, -0.0172882, -0.0183151, -0.01899, -0.0194724, -0.0198442, -0.0201469, -0.0204031, -0.020626, -0.0208242, -0.0210031, -0.0211668, -0.0213181, -0.0214591, -0.0215915, -0.0217164, -0.021835, -0.0220563, -0.0222602, -0.0232833, -1.5734");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3333445, 0.8068742, 1.2145999, 1.5640818, 2.0252790");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4073131, 0.8806020, 1.2887534, 1.6376458, 2.0989806");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.4202169, 0.8850260, 1.3609316, 1.9823262, 2.9695873");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4950134, 0.9596912, 1.4359485, 2.0575429, 3.0436005");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("85.7623");
+						index_3("0.001");
+						index_4("43.1397546, 45.5912424, 64.7550852, 69.4971884, 71.8869145");
+						values("0.375075, 0.60012, 0.75015, 0.60012, 0.375075");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("51.9262");
+						index_3("0.001");
+						index_4("26.1843178, 27.8833451, 40.3305421, 43.2447995, 44.9263383");
+						values("0.395634, 0.633014, 0.791267, 0.633014, 0.395634");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("34.9206");
+						index_3("0.001");
+						index_4("17.6458184, 18.9148938, 27.6117080, 29.7502118, 31.0300307");
+						values("0.40005, 0.64008, 0.8001, 0.64008, 0.40005");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("42.8811");
+						index_3("0.001");
+						index_4("21.6081693, 22.8531814, 31.8343387, 35.0143962, 36.2920722");
+						values("0.179824, 0.287719, 0.359648, 0.287719, 0.179824");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("25.9631");
+						index_3("0.001");
+						index_4("13.1090231, 13.9713166, 19.4608931, 21.8285825, 22.7589223");
+						values("0.187399, 0.299839, 0.374799, 0.299839, 0.187399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("17.4603");
+						index_3("0.001");
+						index_4("8.8404938, 9.4868618, 13.3989730, 15.0274606, 15.7739816");
+						values("0.187897, 0.300636, 0.375794, 0.300636, 0.187897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("77.8894");
+						index_3("0.001");
+						index_4("39.4179193, 41.6380455, 59.8315581, 64.6009424, 66.8595760");
+						values("0.647868, 1.03659, 1.29574, 1.03659, 0.647868");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("96.5048");
+						index_3("0.001");
+						index_4("48.7054384, 51.3820253, 71.3682122, 79.6113570, 82.0792349");
+						values("1.19057, 0.944911, 0.781139, 0.944911, 1.19057");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("55.8588");
+						index_3("0.001");
+						index_4("28.2469086, 29.9951723, 42.5358683, 47.4429995, 49.0357534");
+						values("1.17669, 0.922711, 0.753389, 0.922711, 1.17669");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("37.6851");
+						index_3("0.001");
+						index_4("19.0955256, 20.4066170, 29.5593760, 32.7200469, 33.8886532");
+						values("1.1697, 0.911523, 0.739404, 0.911523, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("48.2524");
+						index_3("0.001");
+						index_4("24.6023383, 26.1489138, 36.7422013, 39.9570163, 41.2163889");
+						values("1.40586, 1.28937, 1.21171, 1.28937, 1.40586");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("27.9294");
+						index_3("0.001");
+						index_4("14.3076010, 15.3136033, 21.9241552, 23.8137521, 24.6426119");
+						values("1.39914, 1.27862, 1.19827, 1.27862, 1.39914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("18.8425");
+						index_3("0.001");
+						index_4("9.7042858, 10.4687826, 14.7701691, 16.4045902, 17.0420683");
+						values("1.39504, 1.27206, 1.19008, 1.27206, 1.39504");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("83.7883");
+						index_3("0.001");
+						index_4("41.9025013, 44.1832468, 62.1012954, 71.4251090, 73.5904663");
+						values("1.01287, 0.660589, 0.425736, 0.660589, 1.01287");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016687;
+			capacitance : 0.016507;
+			fall_capacitance : 0.016327;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0177023, 0.0173015, 0.0169008, 0.0168346, 0.0167711, 0.0167049, 0.0166387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0206866, 0.0203479, 0.0200092, 0.0199618, 0.0199163, 0.0198689, 0.0198215");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000757039";
+				miller_cap_rise : "0.00050014";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.96739, 0.445156, 0.416165, 0.403119, 0.386983, 0.377496, 0.367, 0.355475, 0.342913, 0.329311, 0.314665, 0.298973, 0.282237, 0.264454, 0.245625, 0.225751, 0.204833, 0.18287, 0.159864, 0.135816, 0.110728, 0.0846, 0.057435, 0.0292343, -3.92092e-09, -0.0583582, -0.115006, -0.295747, -1.5178", \
+					  "1.88543, 0.287376, 0.272477, 0.266818, 0.260573, 0.257153, 0.253472, 0.24946, 0.245018, 0.240002, 0.234207, 0.227371, 0.21924, 0.20965, 0.198524, 0.185831, 0.171556, 0.155693, 0.138237, 0.119186, 0.0985392, 0.0762966, 0.0524584, 0.0270256, -2.23071e-09, -0.055612, -0.111351, -0.295026, -1.52158", \
+					  "1.8268, 0.167797, 0.1583, 0.155017, 0.151554, 0.149736, 0.147847, 0.145874, 0.143799, 0.141598, 0.13924, 0.136678, 0.133843, 0.130624, 0.126834, 0.122149, 0.116122, 0.108393, 0.0987923, 0.0872569, 0.0737619, 0.058295, 0.0408486, 0.021418, -1.51785e-09, -0.0465535, -0.0961564, -0.271274, -1.5174", \
+					  "1.8109, 0.131302, 0.120217, 0.117586, 0.114846, 0.113423, 0.111959, 0.110446, 0.108873, 0.10723, 0.1055, 0.103661, 0.101682, 0.0995157, 0.0970883, 0.0942671, 0.0907923, 0.0861956, 0.0799593, 0.0717952, 0.0616005, 0.0493379, 0.0349899, 0.0185464, -1.52817e-09, -0.0417394, -0.0878874, -0.257346, -1.51475", \
+					  "1.79792, 0.11085, 0.0845072, 0.0824722, 0.0803785, 0.0793042, 0.0782077, 0.0770852, 0.0759323, 0.074743, 0.0735099, 0.0722231, 0.0708692, 0.0694294, 0.0678759, 0.0661641, 0.0642147, 0.061858, 0.0586965, 0.0540853, 0.04755, 0.0389158, 0.0281269, 0.0151596, -1.54038e-09, -0.0359616, -0.0778542, -0.239887, -1.51142", \
+					  "1.7926, 0.108444, 0.0681001, 0.0663431, 0.064547, 0.0636306, 0.0626992, 0.0617504, 0.0607811, 0.0597874, 0.0587645, 0.057706, 0.0566034, 0.0554452, 0.0542147, 0.0528869, 0.0514196, 0.049731, 0.047621, 0.0445857, 0.0399179, 0.0332148, 0.0243514, 0.0132865, -1.54708e-09, -0.0327224, -0.0721813, -0.22977, -1.50949", \
+					  "1.78817, 0.110432, 0.0529724, 0.0514801, 0.0499653, 0.0491967, 0.0484191, 0.0476307, 0.0468297, 0.0460135, 0.0451792, 0.0443227, 0.0434391, 0.0425214, 0.0415602, 0.0405413, 0.0394431, 0.038227, 0.0368083, 0.0349425, 0.0319969, 0.0272468, 0.020379, 0.0113072, -1.5549e-09, -0.0292648, -0.0660889, -0.21871, -1.50738", \
+					  "1.78472, 0.116298, 0.0393612, 0.0381177, 0.0368682, 0.0362381, 0.0356034, 0.0349632, 0.0343163, 0.0336612, 0.0329962, 0.032319, 0.0316267, 0.0309155, 0.0301801, 0.0294132, 0.0286039, 0.0277343, 0.0267702, 0.025624, 0.0239879, 0.0210906, 0.0162482, 0.00923752, -1.57267e-09, -0.0256077, -0.0596014, -0.206708, -1.50509", \
+					  "1.78233, 0.125754, 0.0275249, 0.0264806, 0.0254814, 0.0249809, 0.0244792, 0.0239758, 0.0234701, 0.0229614, 0.0224485, 0.0219305, 0.0214058, 0.0208724, 0.0203277, 0.0197682, 0.0191888, 0.0185816, 0.0179331, 0.0172147, 0.0163354, 0.0149025, 0.0120194, 0.00710029, -1.75448e-09, -0.0217761, -0.0527541, -0.193782, -1.50263", \
+					  "1.78108, 0.138623, 0.0178747, 0.0167803, 0.0160173, 0.0156383, 0.0152607, 0.014884, 0.0145081, 0.0141326, 0.0137571, 0.013381, 0.0130037, 0.0126244, 0.0122419, 0.0118548, 0.0114608, 0.0110571, 0.0106387, 0.0101966, 0.00970884, 0.00908185, 0.00781582, 0.00493614, -4.72146e-09, -0.0178038, -0.0455947, -0.179979, -1.49999", \
+					  "1.78106, 0.154775, 0.0113971, 0.00922583, 0.00867979, 0.00841601, 0.00815507, 0.00789689, 0.0076414, 0.00738848, 0.007138, 0.00688978, 0.00664361, 0.00639922, 0.00615623, 0.00591416, 0.00567234, 0.00542981, 0.00518512, 0.00493588, 0.00467721, 0.00439427, 0.00399215, 0.00284458, -1.90778e-08, -0.0137382, -0.03819, -0.165395, -1.4972", \
+					  "1.78232, 0.17411, 0.010293, 0.00407989, 0.00367139, 0.00351717, 0.00336659, 0.00321954, 0.00307599, 0.00293589, 0.0027992, 0.00266588, 0.00253585, 0.00240903, 0.00228532, 0.00216458, 0.00204664, 0.00193126, 0.0018181, 0.00170664, 0.00159599, 0.00148407, 0.00136196, 0.00112086, -8.59442e-07, -0.0096593, -0.0306441, -0.150211, -1.49431", \
+					  "1.78495, 0.196505, 0.0163855, 0.00172894, 0.00105513, 0.000991557, 0.000932439, 0.000875977, 0.000822057, 0.000770597, 0.000721517, 0.000674739, 0.000630177, 0.000587745, 0.000547356, 0.000508912, 0.000472315, 0.000437454, 0.000404205, 0.00037242, 0.000341899, 0.000312311, 0.000282741, 0.000244965, -1.27668e-05, -0.00576493, -0.0231631, -0.134708, -1.49138", \
+					  "1.78884, 0.22143, 0.0286599, 0.00276103, 0.000212519, 0.000171606, 0.000152022, 0.000133821, 0.000116464, 9.98327e-05, 8.38595e-05, 6.84943e-05, 5.36932e-05, 3.94157e-05, 2.5624e-05, 1.22816e-05, -6.46865e-07, -1.31965e-05, -2.54029e-05, -3.73046e-05, -4.8946e-05, -6.03898e-05, -7.17731e-05, -8.40182e-05, -0.000137379, -0.00268916, -0.0162426, -0.119339, -1.48861", \
+					  "1.79352, 0.247689, 0.0446568, 0.008178, 4.02997e-05, -0.000154852, -0.000199207, -0.000238494, -0.000276883, -0.000315007, -0.000353067, -0.000391148, -0.000429295, -0.000467536, -0.000505885, -0.000544357, -0.000582958, -0.000621696, -0.000660579, -0.000699613, -0.000738806, -0.000778174, -0.000817743, -0.000857673, -0.000905673, -0.00170784, -0.0109998, -0.105135, -1.4866", \
+					  "1.79849, 0.274407, 0.0626036, 0.0176753, 7.76892e-06, -0.00103554, -0.00123949, -0.00139747, -0.00154775, -0.00169548, -0.00184226, -0.00198871, -0.00213519, -0.00228186, -0.00242883, -0.00257617, -0.00272391, -0.00287209, -0.00302073, -0.00316984, -0.00331943, -0.00346953, -0.00362016, -0.00377138, -0.00392459, -0.00437068, -0.00965701, -0.0944166, -1.48687", \
+					  "1.80361, 0.301271, 0.0814262, 0.0290098, 1.3151e-06, -0.00408242, -0.00504932, -0.00554796, -0.00597603, -0.00638061, -0.00677424, -0.00716187, -0.00754595, -0.00792783, -0.00830831, -0.00868793, -0.00906703, -0.00944587, -0.00982462, -0.0102034, -0.0105824, -0.0109617, -0.0113413, -0.0117215, -0.0121025, -0.0128926, -0.0155695, -0.0905853, -1.49151", \
+					  "1.80884, 0.328144, 0.100305, 0.0403909, 1.48009e-07, -0.00964825, -0.013477, -0.0148947, -0.0158428, -0.0166599, -0.0174206, -0.0181511, -0.0188633, -0.0195633, -0.020255, -0.0209405, -0.0216216, -0.0222992, -0.0229742, -0.0236471, -0.0243185, -0.0249887, -0.0256582, -0.0263272, -0.0269961, -0.0283404, -0.0301433, -0.0948458, -1.50084", \
+					  "1.81418, 0.354927, 0.118605, 0.0511764, 1.09622e-08, -0.0159824, -0.0250074, -0.0288286, -0.0307546, -0.032172, -0.0333982, -0.0345298, -0.0356064, -0.0366477, -0.0376647, -0.0386641, -0.0396504, -0.0406265, -0.0415947, -0.0425565, -0.0435131, -0.0444656, -0.0454148, -0.0463614, -0.0473063, -0.049195, -0.0511675, -0.105475, -1.51339", \
+					  "1.81962, 0.381539, 0.13593, 0.0612152, 1.96182e-09, -0.0221112, -0.037062, -0.045213, -0.0490415, -0.0513796, -0.0532059, -0.0548018, -0.0562716, -0.0576636, -0.0590034, -0.0603062, -0.0615815, -0.0628357, -0.0640735, -0.065298, -0.0665118, -0.0677168, -0.0689146, -0.0701065, -0.071294, -0.0736607, -0.0760403, -0.120722, -1.52792", \
+					  "1.82516, 0.407899, 0.15211, 0.0704958, 1.51467e-09, -0.0277914, -0.0485169, -0.0620512, -0.0691577, -0.0729732, -0.075616, -0.0777725, -0.0796805, -0.0814416, -0.0831075, -0.0847072, -0.0862585, -0.0877733, -0.0892596, -0.0907231, -0.0921682, -0.0935981, -0.0950155, -0.0964226, -0.0978215, -0.100602, -0.103375, -0.139586, -1.54378", \
+					  "1.8308, 0.433926, 0.167122, 0.079047, 1.48774e-09, -0.0329934, -0.0591175, -0.0781556, -0.0897906, -0.0959407, -0.0997395, -0.102603, -0.105019, -0.107183, -0.109189, -0.111088, -0.11291, -0.114675, -0.116395, -0.118081, -0.119738, -0.121372, -0.122987, -0.124586, -0.126172, -0.129315, -0.132438, -0.161474, -1.56062", \
+					  "1.83655, 0.459536, 0.181006, 0.0869106, 1.48922e-09, -0.0377395, -0.0688463, -0.0931124, -0.109884, -0.119425, -0.124887, -0.128672, -0.131696, -0.134314, -0.136686, -0.138895, -0.140991, -0.143003, -0.14495, -0.146847, -0.148704, -0.150528, -0.152324, -0.154098, -0.155854, -0.159321, -0.162754, -0.185977, -1.57822", \
+					  "1.84239, 0.484633, 0.193824, 0.0941317, 1.50606e-09, -0.0420634, -0.0777455, -0.106863, -0.128781, -0.142654, -0.150476, -0.155487, -0.159261, -0.162404, -0.16518, -0.167721, -0.170099, -0.17236, -0.174533, -0.176636, -0.178685, -0.18069, -0.182657, -0.184594, -0.186506, -0.19027, -0.193983, -0.212785, -1.59641", \
+					  "1.84834, 0.509109, 0.205644, 0.100756, 1.5431e-09, -0.0460002, -0.0858729, -0.119459, -0.146234, -0.164959, -0.175975, -0.182637, -0.187353, -0.191118, -0.19435, -0.197251, -0.199929, -0.202447, -0.204848, -0.207157, -0.209395, -0.211575, -0.213707, -0.215799, -0.217858, -0.2219, -0.22587, -0.241651, -1.61506", \
+					  "1.86056, 0.555677, 0.226549, 0.112385, 1.70649e-09, -0.0528436, -0.100052, -0.1415, -0.176862, -0.205155, -0.22471, -0.236473, -0.243947, -0.249381, -0.253752, -0.257503, -0.260855, -0.263935, -0.266817, -0.269551, -0.27217, -0.274697, -0.277149, -0.27954, -0.28188, -0.286438, -0.29088, -0.304542, -1.65336", \
+					  "1.8732, 0.598025, 0.244216, 0.122118, 2.02939e-09, -0.0585068, -0.111833, -0.159877, -0.202429, -0.238903, -0.26776, -0.287312, -0.299369, -0.30738, -0.313358, -0.31822, -0.322404, -0.326142, -0.329568, -0.332765, -0.335788, -0.338675, -0.341453, -0.344141, -0.346756, -0.35181, -0.35669, -0.371024, -1.69253", \
+					  "1.91289, 0.690339, 0.281888, 0.142548, 5.0597e-09, -0.0702166, -0.136365, -0.198384, -0.25619, -0.309638, -0.358412, -0.401719, -0.43773, -0.464191, -0.481776, -0.493705, -0.502492, -0.509475, -0.515341, -0.520466, -0.525072, -0.529296, -0.53323, -0.536936, -0.54046, -0.547086, -0.553294, -0.570587, -1.81109", \
+					  "1.95932, 0.757391, 0.3112, 0.158039, 2.27696e-08, -0.079003, -0.155143, -0.22839, -0.29871, -0.366064, -0.430404, -0.491655, -0.549688, -0.604245, -0.654765, -0.700033, -0.737954, -0.76683, -0.787543, -0.802611, -0.814154, -0.823474, -0.83133, -0.838169, -0.844273, -0.854949, -0.864243, -0.887635, -2.00031");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0412758, 0.0804001, 0.1207574, 0.1631514, 0.2269966");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1152098, 0.1544195, 0.1950266, 0.2374467, 0.3010282");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0207166, 0.0338345, 0.0473220, 0.0624993, 0.0843353");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0908851, 0.1058203, 0.1194388, 0.1345616, 0.1564956");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("6.1201");
+						index_3("0.001");
+						index_4("3.0591338, 3.2664808, 3.9241300, 4.5175139, 4.6923212");
+						values("0.397171, 0.635474, 0.794342, 0.635474, 0.397171");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("3.63806");
+						index_3("0.001");
+						index_4("1.8242748, 1.9673113, 2.4931477, 2.7927980, 2.9070911");
+						values("0.411273, 0.658037, 0.822547, 0.658037, 0.411273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("2.49642");
+						index_3("0.001");
+						index_4("1.2551436, 1.3642787, 1.7149092, 1.9727940, 2.0575111");
+						values("0.420461, 0.672738, 0.840922, 0.672738, 0.420461");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("3.06005");
+						index_3("0.001");
+						index_4("1.5445368, 1.6564730, 2.0191217, 2.2924712, 2.3786366");
+						values("0.209092, 0.334546, 0.418183, 0.334546, 0.209092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("1.81903");
+						index_3("0.001");
+						index_4("0.9226166, 0.9994876, 1.2292397, 1.4177552, 1.4762563");
+						values("0.213969, 0.342351, 0.427938, 0.342351, 0.213969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("1.24821");
+						index_3("0.001");
+						index_4("0.6359782, 0.6945705, 0.8698399, 1.0018331, 1.0461282");
+						values("0.217945, 0.348711, 0.435889, 0.348711, 0.217945");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("5.45709");
+						index_3("0.001");
+						index_4("2.7200092, 2.9196730, 3.6946373, 4.1477019, 4.3155592");
+						values("0.587518, 0.940028, 1.17504, 0.940028, 0.587518");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("1.96303");
+						index_3("0.001");
+						index_4("0.9839952, 1.0576146, 1.4221995, 1.6470744, 1.7510248");
+						values("1.19292, 0.948672, 0.78584, 0.948672, 1.19292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.13486");
+						index_3("0.001");
+						index_4("0.5708908, 0.6204132, 0.8261284, 1.0015715, 1.0729300");
+						values("1.1803, 0.928487, 0.760609, 0.928487, 1.1803");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.758979");
+						index_3("0.001");
+						index_4("0.3837780, 0.4208785, 0.5933087, 0.6974604, 0.7501521");
+						values("1.17547, 0.920757, 0.750947, 0.920757, 1.17547");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("0.981513");
+						index_3("0.001");
+						index_4("0.5000952, 0.5413296, 0.7077653, 0.8390098, 0.8997585");
+						values("1.38991, 1.26385, 1.17981, 1.26385, 1.38991");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("0.567428");
+						index_3("0.001");
+						index_4("0.2919827, 0.3196240, 0.4245786, 0.5124911, 0.5558410");
+						values("1.38841, 1.26145, 1.17681, 1.26145, 1.38841");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.37949");
+						index_3("0.001");
+						index_4("0.1970661, 0.2181356, 0.3054647, 0.3583264, 0.3911840");
+						values("1.38625, 1.258, 1.1725, 1.258, 1.38625");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.70228");
+						index_3("0.001");
+						index_4("0.8465674, 0.9156882, 1.2596035, 1.4864485, 1.5869335");
+						values("1.01412, 0.662585, 0.428231, 0.662585, 1.01412");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035033;
+			capacitance : 0.035678;
+			rise_capacitance : 0.036324;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7043819, -3.5694831, -3.4562177, -3.3560194, -3.2862366, -3.2196478, -3.1599318", \
+					  "-4.1364505, -4.0091812, -3.8944081, -3.7943734, -3.7192262, -3.6590170, -3.6042078", \
+					  "-4.6010362, -4.4677943, -4.3534587, -4.2498038, -4.1506858, -4.1120931, -4.0565864", \
+					  "-5.0487989, -4.9139001, -4.7958155, -4.7464918, -4.6260761, -4.5644352, -4.5058750", \
+					  "-5.4581483, -5.3232491, -5.2088775, -5.1082607, -5.0338993, -4.9744854, -4.9138153", \
+					  "-5.8407641, -5.7137954, -5.5877806, -5.4924018, -5.4241524, -5.3593349, -5.2890125", \
+					  "-6.1996685, -6.0647698, -5.9586267, -5.8802232, -5.7772895, -5.7019529, -5.6567446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4089106, -2.2999480, -2.1575876, -3.1190623, -1.8561995, -1.7445084, -1.6287131", \
+					  "-2.8501874, -2.7427504, -2.5870032, -2.4198116, -2.3046373, -3.2304567, -3.0604387", \
+					  "-3.3056413, -3.1966787, -3.0503735, -2.9106357, -2.7521389, -2.6417430, -2.5133761", \
+					  "-3.7397860, -4.8347417, -3.4988763, -3.3292202, -3.2041478, -3.0964086, -2.9276439", \
+					  "-4.1432584, -4.0205627, -3.8776717, -4.8441377, -3.6030427, -3.4785132, -3.3035316", \
+					  "-4.5077925, -4.3827082, -4.2359846, -4.1054357, -3.9641283, -4.8626669, -3.6850149", \
+					  "-4.9284858, -4.8027384, -4.6501658, -4.4889663, -4.3769838, -4.2318373, -4.0925931");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9998252, 3.8588220, 3.7455894, 3.6631092, 3.5603165, 3.4834325, 3.4094412", \
+					  "4.4152804, 4.2941133, 4.1806059, 4.1009225, 3.9879787, 3.9145281, 3.8633766", \
+					  "4.8860474, 4.7620399, 4.6238998, 4.5510016, 4.4663751, 4.3727060, 4.3177677", \
+					  "5.3218534, 5.1915310, 5.0719205, 4.9854252, 4.9116576, 4.8176674, 4.7472948", \
+					  "5.7102343, 5.5832310, 5.4877665, 5.3605265, 5.2829330, 5.2304626, 5.1383171", \
+					  "6.1177022, 5.9477075, 5.8876200, 5.7367536, 5.6415719, 5.6262806, 5.5095451", \
+					  "6.5048973, 6.3537134, 6.2512007, 6.1389061, 6.0608108, 5.9879331, 5.9288905");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7389023, 3.5670554, 3.3699868, 3.1740564, 2.9911596, 2.8379888, 2.6749390", \
+					  "4.1995849, 4.0292639, 3.8231962, 3.6283654, 3.4513557, 3.3103467, 3.1264747", \
+					  "4.6459985, 4.4756770, 4.2789202, 4.0811668, 3.8938047, 3.7435515, 3.5865698", \
+					  "5.0700984, 4.9284752, 4.7003439, 4.5020510, 4.3277948, 4.1960851, 4.0244024", \
+					  "5.5127017, 5.3408547, 5.1260723, 4.9407268, 4.7668543, 4.6072029, 4.4283158", \
+					  "5.8976262, 5.7257792, 5.5273775, 5.3280156, 5.1508680, 4.9824370, 4.8256224", \
+					  "6.2357326, 6.0654111, 5.8707699, 5.6592858, 5.4958312, 5.3561731, 5.1578278");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1100509, 0.1402729, 0.1704948, 0.2003868, 0.2290831, 0.2589750, 0.2888670");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1367426, 0.1896642, 0.2425858, 0.2469757, 0.2511901, 0.2555800, 0.2599699");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00217425";
+				miller_cap_rise : "0.0017395";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10609, 0.943219, 0.883767, 0.852949, 0.814525, 0.792354, 0.768196, 0.742049, 0.713916, 0.683803, 0.651716, 0.617663, 0.581652, 0.543691, 0.503788, 0.461953, 0.418194, 0.372521, 0.324943, 0.27547, 0.22411, 0.170873, 0.11577, 0.0588089, -6.62279e-09, -0.118939, -0.237725, -0.634801, -2.57728", \
+					  "2.87144, 0.531706, 0.512266, 0.504404, 0.495377, 0.490257, 0.484591, 0.478213, 0.47087, 0.462178, 0.451599, 0.438585, 0.422766, 0.403978, 0.382161, 0.357306, 0.329423, 0.298529, 0.264647, 0.2278, 0.188014, 0.145314, 0.0997279, 0.051281, -6.69173e-09, -0.10701, -0.217611, -0.607544, -2.58107", \
+					  "2.73578, 0.277813, 0.26536, 0.261646, 0.257654, 0.255527, 0.253294, 0.250934, 0.248422, 0.245724, 0.242793, 0.239562, 0.235926, 0.231707, 0.226563, 0.219797, 0.21038, 0.197502, 0.180827, 0.160271, 0.135836, 0.10755, 0.0754535, 0.0395884, -6.80193e-09, -0.0875096, -0.183691, -0.55315, -2.57609", \
+					  "2.70013, 0.217932, 0.190158, 0.187392, 0.184466, 0.18293, 0.181334, 0.179671, 0.177926, 0.176086, 0.17413, 0.17203, 0.169747, 0.167222, 0.164362, 0.160988, 0.156695, 0.150562, 0.141313, 0.128153, 0.110837, 0.0893311, 0.0636595, 0.0338658, -6.85627e-09, -0.0778857, -0.166883, -0.525442, -2.57255", \
+					  "2.67182, 0.1905, 0.122861, 0.120909, 0.118876, 0.117822, 0.116739, 0.115622, 0.114466, 0.113265, 0.11201, 0.110689, 0.10929, 0.107791, 0.106163, 0.10436, 0.102304, 0.099817, 0.0963981, 0.0907767, 0.0814647, 0.0678035, 0.0496598, 0.0270434, -6.92147e-09, -0.0663757, -0.146753, -0.491869, -2.56785", \
+					  "2.66103, 0.189001, 0.093186, 0.0915994, 0.0899587, 0.0891137, 0.0882492, 0.0873627, 0.0864507, 0.0855091, 0.0845328, 0.0835153, 0.0824479, 0.0813191, 0.0801128, 0.0788052, 0.0773584, 0.0757017, 0.0736626, 0.0706689, 0.0652212, 0.055779, 0.0417964, 0.023194, -6.95826e-09, -0.0598622, -0.135348, -0.472673, -2.56502", \
+					  "2.65282, 0.195727, 0.0667594, 0.0655062, 0.0642261, 0.0635709, 0.0629038, 0.0622232, 0.0615271, 0.0608132, 0.0600783, 0.0593189, 0.05853, 0.0577054, 0.0568366, 0.0559113, 0.0549116, 0.0538075, 0.0525394, 0.0509404, 0.0483483, 0.0430006, 0.0333718, 0.019049, -6.99894e-09, -0.0528239, -0.123011, -0.451759, -2.5618", \
+					  "2.64748, 0.210785, 0.0441278, 0.0431181, 0.0421683, 0.0416852, 0.0411957, 0.0406989, 0.0401937, 0.0396788, 0.0391527, 0.0386133, 0.0380584, 0.0374845, 0.0368877, 0.036262, 0.0355993, 0.034887, 0.0341034, 0.0332017, 0.0320242, 0.0297942, 0.0244669, 0.0146262, -7.05707e-09, -0.0452568, -0.109725, -0.429044, -2.55809", \
+					  "2.64532, 0.234259, 0.0262194, 0.0249917, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230094, 0.0226672, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181092, 0.0173181, 0.0153837, 0.0100039, -7.42796e-09, -0.0371739, -0.095493, -0.404448, -2.55305", \
+					  "2.64676, 0.266248, 0.0153565, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.0096315, 0.00942346, 0.00921144, 0.0089944, 0.00877084, 0.00853856, 0.00829397, 0.00803008, 0.0077268, 0.00725593, 0.00547698, -2.29814e-08, -0.028626, -0.0803558, -0.377899, -2.54525", \
+					  "2.65217, 0.306708, 0.0156899, 0.00423619, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285059, 0.00275885, 0.00266549, 0.00256978, 0.00247049, 0.00236484, 0.00224158, 0.00195401, -3.98099e-07, -0.0197767, -0.0644516, -0.349338, -2.53688", \
+					  "2.6613, 0.354364, 0.0283608, 0.00200111, 0.000984578, 0.000953294, 0.000924644, 0.000896207, 0.00086792, 0.000839766, 0.000811735, 0.000783813, 0.000755988, 0.00072824, 0.000700551, 0.000672893, 0.000645228, 0.000617508, 0.000589661, 0.00056158, 0.00053308, 0.000503783, 0.000472481, 0.000427441, -6.96082e-06, -0.0112028, -0.0482156, -0.318761, -2.52792", \
+					  "2.67283, 0.40642, 0.0500367, 0.00492732, 0.000213863, 0.000170622, 0.000159697, 0.000149773, 0.000140108, 0.000130577, 0.000121137, 0.000111768, 0.000102453, 9.31882e-05, 8.39629e-05, 7.47718e-05, 6.56066e-05, 5.64605e-05, 4.73178e-05, 3.81621e-05, 2.89627e-05, 1.96596e-05, 1.00691e-05, -1.31579e-06, -8.81718e-05, -0.00461365, -0.0327962, -0.286392, -2.51844", \
+					  "2.68576, 0.460811, 0.0775249, 0.0148038, 4.03949e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374846, -0.000395172, -0.000415324, -0.000435359, -0.000455312, -0.000475209, -0.000495068, -0.0005149, -0.000534722, -0.000554536, -0.00057436, -0.000594208, -0.000614113, -0.000634333, -0.000668083, -0.00190926, -0.020105, -0.253221, -2.5088", \
+					  "2.69976, 0.516621, 0.108753, 0.0308962, 5.30601e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305515, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.00344759, -0.00385041, -0.0130621, -0.22201, -2.50034", \
+					  "2.71479, 0.573367, 0.141946, 0.0496878, 4.21304e-07, -0.00705462, -0.00845087, -0.00891356, -0.00923962, -0.00951923, -0.00977583, -0.0100188, -0.0102528, -0.0104804, -0.0107034, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124052, -0.0126129, -0.0128202, -0.0132813, -0.0168565, -0.198127, -2.49607", \
+					  "2.73087, 0.630682, 0.175505, 0.0687438, 2.5947e-08, -0.0163332, -0.0227546, -0.0246049, -0.0255367, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282854, -0.0287327, -0.0291667, -0.0295904, -0.0300058, -0.0304146, -0.0308178, -0.0312164, -0.0316112, -0.0320028, -0.0323917, -0.0327785, -0.0335554, -0.0350696, -0.18617, -2.49856", \
+					  "2.74808, 0.688247, 0.208339, 0.0872477, 2.79597e-09, -0.0268105, -0.0422271, -0.0484676, -0.0509589, -0.0524511, -0.0535829, -0.0545387, -0.0553918, -0.0561782, -0.0569179, -0.0576234, -0.0583026, -0.0589613, -0.0596033, -0.0602317, -0.0608487, -0.0614562, -0.0620556, -0.0626484, -0.0632356, -0.0643987, -0.0656982, -0.186797, -2.50817", \
+					  "2.76649, 0.745744, 0.239934, 0.104965, 1.5647e-09, -0.0371686, -0.0628067, -0.0771414, -0.0833792, -0.0864774, -0.088528, -0.090125, -0.0914789, -0.0926832, -0.0937873, -0.0948196, -0.0957983, -0.0967355, -0.0976398, -0.0985171, -0.0993724, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105775, -0.199256, -2.52424", \
+					  "2.78623, 0.802852, 0.270111, 0.121826, 1.48659e-09, -0.0470638, -0.0828508, -0.107029, -0.12017, -0.126438, -0.130051, -0.132612, -0.134657, -0.136404, -0.13796, -0.139383, -0.14071, -0.141964, -0.14316, -0.14431, -0.145423, -0.146504, -0.147558, -0.14859, -0.149604, -0.151586, -0.153532, -0.222818, -2.54598", \
+					  "2.80745, 0.859228, 0.298828, 0.137816, 1.46745e-09, -0.0564182, -0.101943, -0.13614, -0.15848, -0.170361, -0.17665, -0.180681, -0.183689, -0.186144, -0.188262, -0.190155, -0.191888, -0.193502, -0.195024, -0.196473, -0.197863, -0.199205, -0.200506, -0.201772, -0.20301, -0.205416, -0.207757, -0.256824, -2.57268", \
+					  "2.83032, 0.914506, 0.326092, 0.152942, 1.45317e-09, -0.0652237, -0.119983, -0.163846, -0.196079, -0.216072, -0.226786, -0.233082, -0.237446, -0.240834, -0.243656, -0.246114, -0.248322, -0.250347, -0.252233, -0.254011, -0.255703, -0.257323, -0.258885, -0.260397, -0.261867, -0.264707, -0.267449, -0.300644, -2.60368", \
+					  "2.85509, 0.968298, 0.351929, 0.167224, 1.44275e-09, -0.0734931, -0.136963, -0.190002, -0.231926, -0.261461, -0.278809, -0.288576, -0.29487, -0.299496, -0.303205, -0.306347, -0.309112, -0.311607, -0.313902, -0.316044, -0.318063, -0.319983, -0.321821, -0.323592, -0.325305, -0.328592, -0.331741, -0.353694, -2.6384", \
+					  "2.88203, 1.02021, 0.376376, 0.180687, 1.43804e-09, -0.0812468, -0.152908, -0.214602, -0.265734, -0.30505, -0.330989, -0.345893, -0.354947, -0.361231, -0.366064, -0.370039, -0.37346, -0.376496, -0.379252, -0.381795, -0.384171, -0.386414, -0.388548, -0.390591, -0.392558, -0.396307, -0.399873, -0.415297, -2.67631", \
+					  "2.91152, 1.06988, 0.399474, 0.19336, 1.44135e-09, -0.0885078, -0.167854, -0.237686, -0.297485, -0.346198, -0.38175, -0.403704, -0.416678, -0.425201, -0.431471, -0.436466, -0.440664, -0.444324, -0.4476, -0.450589, -0.453356, -0.455948, -0.458397, -0.460729, -0.462962, -0.467192, -0.471184, -0.484143, -2.71697", \
+					  "2.97977, 1.1615, 0.4418, 0.216461, 1.48375e-09, -0.101649, -0.194932, -0.279547, -0.35509, -0.42086, -0.475289, -0.515504, -0.540996, -0.556656, -0.56719, -0.575006, -0.581239, -0.586464, -0.591001, -0.595042, -0.598711, -0.602092, -0.605244, -0.60821, -0.611021, -0.616275, -0.621158, -0.634841, -2.80502", \
+					  "3.04612, 1.24231, 0.479282, 0.236781, 1.59845e-09, -0.113106, -0.218566, -0.316118, -0.405436, -0.486027, -0.556913, -0.615872, -0.659389, -0.687414, -0.705206, -0.717466, -0.726675, -0.734053, -0.740244, -0.745613, -0.750385, -0.754707, -0.758678, -0.762368, -0.765829, -0.772213, -0.778054, -0.79385, -2.89992", \
+					  "3.21153, 1.4283, 0.566484, 0.283526, 2.75987e-09, -0.139117, -0.272303, -0.399389, -0.520182, -0.634451, -0.741871, -0.841896, -0.933431, -1.01408, -1.07955, -1.12665, -1.15823, -1.17996, -1.19591, -1.20836, -1.21855, -1.2272, -1.23474, -1.24144, -1.24751, -1.25821, -1.26754, -1.29081, -3.20128", \
+					  "3.41027, 1.6049, 0.648484, 0.326739, 1.00728e-08, -0.162792, -0.321456, -0.475906, -0.626049, -0.771786, -0.913005, -1.04957, -1.18132, -1.308, -1.42921, -1.54421, -1.65152, -1.74829, -1.83005, -1.89325, -1.93912, -1.97235, -1.99733, -2.01696, -2.033, -2.05825, -2.07785, -2.12007, -3.70852");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0766252, 0.1443729, 0.2175079, 0.3027121, 0.4273146");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1479861, 0.2157110, 0.2889447, 0.3738479, 0.4978575");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0267871, 0.0462872, 0.0662096, 0.0866975, 0.1162931");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0984737, 0.1179702, 0.1378280, 0.1583835, 0.1878842");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.9016");
+						index_3("0.001");
+						index_4("6.9541410, 7.3391498, 8.8528809, 10.0997899, 10.4163950");
+						values("0.419988, 0.671981, 0.839976, 0.671981, 0.419988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.49114");
+						index_3("0.001");
+						index_4("4.2554720, 4.5216267, 5.7295876, 6.3779119, 6.5905454");
+						values("0.432901, 0.692641, 0.865801, 0.692641, 0.432901");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.73725");
+						index_3("0.001");
+						index_4("2.8810213, 3.0811243, 3.9529765, 4.4247611, 4.5821423");
+						values("0.437101, 0.699362, 0.874203, 0.699362, 0.437101");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.95081");
+						index_3("0.001");
+						index_4("3.5148237, 3.7304946, 4.4896486, 5.0767527, 5.2377423");
+						values("0.221735, 0.354776, 0.44347, 0.354776, 0.221735");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.24557");
+						index_3("0.001");
+						index_4("2.1560476, 2.3049820, 2.8418689, 3.1984489, 3.3125169");
+						values("0.22843, 0.365488, 0.45686, 0.365488, 0.22843");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.86862");
+						index_3("0.001");
+						index_4("1.4641907, 1.5768628, 2.0255541, 2.2240450, 2.3059591");
+						values("0.230231, 0.368369, 0.460461, 0.368369, 0.230231");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.7367");
+						index_3("0.001");
+						index_4("6.3394863, 6.7282359, 8.5444503, 9.5258727, 9.8482833");
+						values("0.603941, 0.966306, 1.20788, 0.966306, 0.603941");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.62845");
+						index_3("0.001");
+						index_4("1.3275445, 1.4457187, 2.0005622, 2.3521917, 2.4979574");
+						values("1.1656, 0.904965, 0.731206, 0.904965, 1.1656");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.53128");
+						index_3("0.001");
+						index_4("0.7772650, 0.8568353, 1.2324570, 1.4345538, 1.5335758");
+						values("1.16029, 0.896463, 0.720579, 0.896463, 1.16029");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.04215");
+						index_3("0.001");
+						index_4("0.5308896, 0.5903220, 0.8176050, 1.0107610, 1.0894645");
+						values("1.15884, 0.89414, 0.717675, 0.89414, 1.15884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.31423");
+						index_3("0.001");
+						index_4("0.6741472, 0.7375558, 0.9865233, 1.1934942, 1.2788755");
+						values("1.39177, 1.26683, 1.18353, 1.26683, 1.39177");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.765642");
+						index_3("0.001");
+						index_4("0.3961692, 0.4386193, 0.6483293, 0.7320630, 0.7922588");
+						values("1.39127, 1.26603, 1.18254, 1.26603, 1.39127");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.521075");
+						index_3("0.001");
+						index_4("0.2721006, 0.3050607, 0.4431317, 0.5185584, 0.5705949");
+						values("1.38996, 1.26393, 1.17991, 1.26393, 1.38996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.29693");
+						index_3("0.001");
+						index_4("1.1597463, 1.2756429, 1.8122408, 2.1256938, 2.2702790");
+						values("0.930507, 0.528811, 0.261014, 0.528811, 0.930507");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009441;
+			capacitance : 0.009687;
+			rise_capacitance : 0.009934;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0040147, 0.0182602, 0.0405350, 0.2355953, 0.4228531, 0.6179133, 0.8129736");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352494, 0.0506913, 0.0661331, 0.1050723, 0.1424539, 0.1813931, 0.2203323");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_H"; */
+				miller_cap_fall : "0.00155884";
+				miller_cap_rise : "0.00118822";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("2.71446, 0.263742, 0.248352, 0.243264, 0.237113, 0.233476, 0.229329, 0.224531, 0.218913, 0.212336, 0.204759, 0.196229, 0.186787, 0.176446, 0.165204, 0.153049, 0.139967, 0.125943, 0.11096, 0.0950027, 0.0780536, 0.0600961, 0.041113, 0.0210869, -4.0142e-09, -0.0440901, -0.0901134, -0.257539, -1.62616", \
+					  "2.62712, 0.13893, 0.127312, 0.125302, 0.123029, 0.121765, 0.120395, 0.118897, 0.117243, 0.115391, 0.113286, 0.110845, 0.107947, 0.104425, 0.1001, 0.0948481, 0.0886098, 0.0813525, 0.0730507, 0.0636805, 0.053219, 0.0416433, 0.0289304, 0.0150571, -3.68788e-09, -0.0327248, -0.0683652, -0.206877, -1.61764", \
+					  "2.58304, 0.0759242, 0.0645753, 0.0636247, 0.0625836, 0.0620213, 0.0614258, 0.0607915, 0.0601118, 0.0593779, 0.0585784, 0.0576979, 0.0567147, 0.0555974, 0.0542977, 0.0527362, 0.0507735, 0.0481783, 0.0446924, 0.040158, 0.0345049, 0.0276931, 0.0196911, 0.0104696, -1.65415e-09, -0.0241422, -0.0520794, -0.168696, -1.61105", \
+					  "2.57097, 0.0590565, 0.0467188, 0.0460288, 0.0452816, 0.044882, 0.0444618, 0.0440179, 0.0435466, 0.043043, 0.042501, 0.0419125, 0.0412666, 0.0405478, 0.0397339, 0.0387899, 0.0376562, 0.0362177, 0.0342423, 0.0314116, 0.0275151, 0.0224659, 0.0162193, 0.00874116, -2.98091e-09, -0.0209227, -0.0460008, -0.154359, -1.60869", \
+					  "2.56067, 0.0459863, 0.0309775, 0.030507, 0.0300036, 0.0297371, 0.0294591, 0.0291679, 0.0288615, 0.0285376, 0.0281931, 0.0278241, 0.0274255, 0.0269904, 0.026509, 0.0259673, 0.0253429, 0.0245972, 0.0236481, 0.0222892, 0.0201457, 0.0169303, 0.0125321, 0.00690091, -3.10643e-09, -0.0175038, -0.0395637, -0.139118, -1.60622", \
+					  "2.55625, 0.0411709, 0.0240366, 0.0236609, 0.0232617, 0.0230516, 0.0228332, 0.0226056, 0.0223672, 0.0221166, 0.0218517, 0.0215698, 0.0212678, 0.020941, 0.0205834, 0.0201865, 0.019737, 0.0192136, 0.0185745, 0.0177151, 0.0163451, 0.0140489, 0.0106049, 0.00593616, -3.81476e-09, -0.0157158, -0.0362051, -0.131141, -1.60495", \
+					  "2.55236, 0.0374698, 0.0177945, 0.017504, 0.0171977, 0.0170375, 0.0168717, 0.0166996, 0.0165205, 0.0163332, 0.0161364, 0.0159286, 0.0157076, 0.0154708, 0.0152145, 0.0149335, 0.0146207, 0.0142642, 0.0138439, 0.0133142, 0.0125309, 0.0111004, 0.00862072, 0.0049395, -3.88744e-09, -0.0138737, -0.0327507, -0.122924, -1.60366", \
+					  "2.54904, 0.0348784, 0.0123151, 0.0121, 0.0118757, 0.0117591, 0.0116391, 0.0115152, 0.0113869, 0.0112537, 0.0111147, 0.0109689, 0.0108153, 0.0106522, 0.0104776, 0.0102888, 0.0100818, 0.00985069, 0.00958595, 0.00926897, 0.00884838, 0.00811574, 0.00658064, 0.00390841, -1.83682e-09, -0.0119759, -0.0291996, -0.114479, -1.60236", \
+					  "2.54633, 0.0334143, 0.00767829, 0.00752697, 0.00737404, 0.00729518, 0.00721445, 0.00713163, 0.00704644, 0.00695855, 0.00686757, 0.006773, 0.00667423, 0.00657049, 0.00646075, 0.00634366, 0.00621737, 0.00607919, 0.00592498, 0.00574753, 0.00553094, 0.0052152, 0.00450015, 0.00284175, -2.15278e-09, -0.0100209, -0.0255525, -0.105839, -1.60106", \
+					  "2.54431, 0.0331543, 0.0040263, 0.00391637, 0.003824, 0.00377685, 0.00372891, 0.00368007, 0.00363022, 0.00357922, 0.0035269, 0.00347305, 0.00341742, 0.00335968, 0.00329943, 0.00323613, 0.00316905, 0.00309718, 0.00301901, 0.00293216, 0.00283215, 0.00270686, 0.00248527, 0.00175751, -4.2949e-09, -0.00800668, -0.021814, -0.0970701, -1.59978", \
+					  "2.54314, 0.0342751, 0.00164799, 0.0014945, 0.00144937, 0.00142685, 0.00140413, 0.00138118, 0.00135797, 0.00133444, 0.00131055, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115507, 0.00112577, 0.00109476, 0.00106146, 0.00102488, 0.000982936, 0.000927799, 0.000769705, -1.16892e-08, -0.00593466, -0.0179983, -0.0882592, -1.59853", \
+					  "2.5429, 0.0368472, 0.000919705, 0.000366696, 0.00034858, 0.000341216, 0.000333855, 0.000326479, 0.00031908, 0.000311651, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265826, 0.000257806, 0.000249586, 0.000241109, 0.00023228, 0.000222957, 0.000212863, 0.000201258, 0.000181869, -5.40064e-08, -0.00383664, -0.0141488, -0.0794999, -1.59731", \
+					  "2.5433, 0.0403722, 0.00179854, 7.91151e-05, 5.63979e-05, 5.47951e-05, 5.32903e-05, 5.17959e-05, 5.03058e-05, 4.88185e-05, 4.73338e-05, 4.58482e-05, 4.43621e-05, 4.28747e-05, 4.13809e-05, 3.9879e-05, 3.83638e-05, 3.68314e-05, 3.52769e-05, 3.36882e-05, 3.20451e-05, 3.03235e-05, 2.84434e-05, 2.59313e-05, -3.01094e-07, -0.00190435, -0.0103782, -0.0708745, -1.59613", \
+					  "2.54391, 0.0441927, 0.00372817, 0.00012705, 7.16368e-06, 6.29377e-06, 5.97967e-06, 5.70456e-06, 5.43896e-06, 5.17852e-06, 4.92171e-06, 4.66598e-06, 4.41287e-06, 4.16012e-06, 3.90763e-06, 3.65584e-06, 3.40227e-06, 3.14832e-06, 2.89319e-06, 2.63419e-06, 2.36522e-06, 2.09623e-06, 1.80532e-06, 1.44537e-06, -1.76024e-06, -0.000610603, -0.00688218, -0.0624488, -1.595", \
+					  "2.54455, 0.0479886, 0.00621157, 0.00059878, 7.76817e-07, -3.2311e-06, -3.91512e-06, -4.36889e-06, -4.76524e-06, -5.13415e-06, -5.48933e-06, -5.83479e-06, -6.1735e-06, -6.50728e-06, -6.83731e-06, -7.16434e-06, -7.48882e-06, -7.81479e-06, -8.13881e-06, -8.4602e-06, -8.78696e-06, -9.10629e-06, -9.43195e-06, -9.76904e-06, -1.04024e-05, -0.000127335, -0.00389877, -0.0542766, -1.59392", \
+					  "2.54518, 0.0516055, 0.00884674, 0.00174935, 8.30275e-08, -2.45783e-05, -2.86727e-05, -3.12183e-05, -3.33809e-05, -3.53697e-05, -3.72573e-05, -3.90783e-05, -4.08524e-05, -4.25922e-05, -4.4306e-05, -4.59998e-05, -4.7678e-05, -4.9344e-05, -5.10001e-05, -5.26484e-05, -5.42902e-05, -5.59315e-05, -5.75671e-05, -5.92002e-05, -6.08603e-05, -8.07423e-05, -0.00174215, -0.0464329, -1.59293", \
+					  "2.54577, 0.0549366, 0.0112934, 0.00325695, 1.39572e-08, -0.000150777, -0.000177561, -0.000191506, -0.00020247, -0.000212117, -0.000220995, -0.000229361, -0.000237359, -0.000245078, -0.000252579, -0.000259905, -0.000267089, -0.000274154, -0.000281118, -0.000287998, -0.000294803, -0.000301545, -0.000308231, -0.00031487, -0.000321468, -0.000336659, -0.000822061, -0.039132, -1.59217", \
+					  "2.54632, 0.0579221, 0.0133986, 0.00467055, 7.29352e-09, -0.000595314, -0.000742545, -0.000799816, -0.000838, -0.000868809, -0.000895602, -0.000919813, -0.000942204, -0.000963233, -0.000983205, -0.00100233, -0.00102076, -0.00103861, -0.00105597, -0.00107291, -0.00108948, -0.00110574, -0.00112171, -0.00113742, -0.00115291, -0.00118354, -0.00130125, -0.0327648, -1.59188", \
+					  "2.54681, 0.0605565, 0.0151715, 0.00585415, 6.65239e-09, -0.0012839, -0.0017784, -0.00195375, -0.00204808, -0.00211589, -0.00217098, -0.00221848, -0.00226089, -0.0022996, -0.00233552, -0.00236925, -0.0024012, -0.00243169, -0.00246095, -0.00248918, -0.0025165, -0.00254304, -0.00256889, -0.00259414, -0.00261884, -0.00266685, -0.00272685, -0.0274178, -1.59205", \
+					  "2.54725, 0.0628712, 0.0166693, 0.0068289, 6.58674e-09, -0.00195978, -0.00299402, -0.00342708, -0.00362709, -0.00375215, -0.00384591, -0.00392272, -0.00398886, -0.0040476, -0.00410091, -0.00415005, -0.00419589, -0.00423905, -0.00427999, -0.00431907, -0.00435655, -0.00439265, -0.00442756, -0.00446141, -0.00449433, -0.00455775, -0.00462038, -0.0229886, -1.59252", \
+					  "2.54763, 0.0649106, 0.0179477, 0.00763841, 6.57671e-09, -0.0025354, -0.004146, -0.00498844, -0.00538167, -0.00559739, -0.00574415, -0.00585741, -0.00595109, -0.00603191, -0.00610362, -0.00616855, -0.0062282, -0.00628366, -0.0063357, -0.00638488, -0.00643166, -0.00647639, -0.00651933, -0.00656072, -0.00660074, -0.00667727, -0.00675012, -0.019521, -1.59318", \
+					  "2.54796, 0.066718, 0.0190505, 0.00831947, 6.57268e-09, -0.00301078, -0.0051431, -0.00646468, -0.00716398, -0.00752735, -0.00775022, -0.00791017, -0.00803635, -0.00814168, -0.00823288, -0.00831388, -0.00838717, -0.00845443, -0.00851686, -0.00857531, -0.00863045, -0.00868278, -0.0087327, -0.00878054, -0.00882654, -0.00891389, -0.00899612, -0.0171886, -1.59395", \
+					  "2.54826, 0.0683301, 0.0200116, 0.00889982, 6.56974e-09, -0.00340331, -0.00598009, -0.00776413, -0.00884943, -0.00944086, -0.00977783, -0.0100005, -0.0101663, -0.0102994, -0.0104114, -0.0105088, -0.0105955, -0.010674, -0.010746, -0.0108127, -0.0108752, -0.010934, -0.0109898, -0.0110429, -0.0110938, -0.0111896, -0.0112791, -0.0161685, -1.59478", \
+					  "2.54852, 0.0697772, 0.0208566, 0.00940005, 6.56732e-09, -0.00373006, -0.00667807, -0.00886824, -0.0103514, -0.0112447, -0.0117514, -0.0120621, -0.0122783, -0.0124437, -0.0125784, -0.0126926, -0.0127923, -0.0128812, -0.0129618, -0.0130358, -0.0131044, -0.0131685, -0.0132288, -0.013286, -0.0133404, -0.0134423, -0.0135366, -0.0164087, -1.59564", \
+					  "2.54876, 0.0710838, 0.0216055, 0.0098356, 6.56527e-09, -0.00400487, -0.00726171, -0.00979414, -0.0116384, -0.012862, -0.0135981, -0.0140343, -0.0143173, -0.0145217, -0.0146814, -0.0148129, -0.0149251, -0.0150235, -0.0151114, -0.0151912, -0.0152644, -0.0153323, -0.0153958, -0.0154556, -0.0155121, -0.0156172, -0.0157137, -0.0175682, -1.59651", \
+					  "2.54916, 0.0733506, 0.0228739, 0.0105569, 6.56198e-09, -0.00443877, -0.00816953, -0.0112193, -0.0136215, -0.0154194, -0.0166733, -0.0174779, -0.017971, -0.0182865, -0.0185073, -0.0186748, -0.0188094, -0.018922, -0.0190192, -0.0191048, -0.0191816, -0.0192515, -0.0193156, -0.0193751, -0.0194307, -0.0195324, -0.019624, -0.0208726, -1.59822", \
+					  "2.54948, 0.07525, 0.0239071, 0.0111295, 6.55946e-09, -0.00476373, -0.00883304, -0.0122351, -0.0150033, -0.01718, -0.0188185, -0.0199843, -0.0207588, -0.0212449, -0.0215513, -0.021758, -0.0219092, -0.0220271, -0.0221235, -0.022205, -0.0222757, -0.0223383, -0.0223945, -0.0224457, -0.0224927, -0.022577, -0.0226513, -0.0237717, -1.59978", \
+					  "2.55015, 0.0794569, 0.0261038, 0.0123039, 6.55454e-09, -0.00537566, -0.01003, -0.0139757, -0.0172294, -0.0198181, -0.0217919, -0.023239, -0.0242791, -0.025029, -0.0255749, -0.0259714, -0.0262525, -0.0264433, -0.0265689, -0.0266531, -0.026713, -0.0267585, -0.0267948, -0.0268249, -0.0268508, -0.0268935, -0.0269283, -0.027928, -1.60237", \
+					  "2.55071, 0.0836557, 0.0281803, 0.0133623, 6.55038e-09, -0.00586628, -0.0109293, -0.0151802, -0.0186135, -0.0212442, -0.0231467, -0.0244802, -0.0254326, -0.0261466, -0.0267085, -0.0271683, -0.027555, -0.0278867, -0.0281747, -0.0284262, -0.0286457, -0.0288358, -0.0289976, -0.0291311, -0.0292364, -0.0293695, -0.0294358, -0.0304395, -1.60403");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1319318, 0.2388661, 0.3445142, 0.4559897, 0.6160467");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2095338, 0.3164698, 0.4220795, 0.5333810, 0.6935154");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2532284, 0.4409282, 0.6303947, 0.8372298, 1.1441795");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3109820, 0.4984489, 0.6871742, 0.8945616, 1.2026180");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("22.6524");
+						index_3("0.001");
+						index_4("11.4597951, 12.1770100, 16.5012127, 18.3582729, 19.1446841");
+						values("0.470114, 0.752183, 0.940228, 0.752183, 0.470114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("13.0954");
+						index_3("0.001");
+						index_4("6.6540111, 7.1380961, 9.6775703, 11.0125008, 11.5573065");
+						values("0.481259, 0.770015, 0.962518, 0.770015, 0.481259");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("8.74077");
+						index_3("0.001");
+						index_4("4.4608198, 4.8210121, 6.7452998, 7.5703425, 7.9903046");
+						values("0.487861, 0.780577, 0.975721, 0.780577, 0.487861");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("11.3262");
+						index_3("0.001");
+						index_4("5.8114680, 6.2448606, 8.4230301, 9.2844781, 9.7000872");
+						values("0.228679, 0.365887, 0.457359, 0.365887, 0.228679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("6.54772");
+						index_3("0.001");
+						index_4("3.3881103, 3.6797992, 5.0701654, 5.5738752, 5.8762329");
+						values("0.230416, 0.368666, 0.460832, 0.368666, 0.230416");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("4.37039");
+						index_3("0.001");
+						index_4("2.2819859, 2.5032272, 3.3340566, 3.8459980, 4.0830431");
+						values("0.231071, 0.369714, 0.462143, 0.369714, 0.231071");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("19.6432");
+						index_3("0.001");
+						index_4("9.8951663, 10.5471351, 14.5192578, 16.4235450, 17.2067636");
+						values("0.705296, 1.12847, 1.41059, 1.12847, 0.705296");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("37.3754");
+						index_3("0.001");
+						index_4("18.8442667, 20.0872260, 27.0706594, 30.0332137, 31.0007880");
+						values("1.1711, 0.883763, 0.692204, 0.883763, 1.1711");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("21.2218");
+						index_3("0.001");
+						index_4("10.7392540, 11.5658689, 16.0016465, 17.6542240, 18.2768357");
+						values("1.15403, 0.856452, 0.658065, 0.856452, 1.15403");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("14.0398");
+						index_3("0.001");
+						index_4("7.1347059, 7.7741213, 10.8126884, 11.9930814, 12.4478364");
+						values("1.14557, 0.842917, 0.641147, 0.842917, 1.14557");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.6877");
+						index_3("0.001");
+						index_4("9.5662354, 10.3012048, 13.8528391, 15.1331031, 15.6279728");
+						values("1.40825, 1.26321, 1.16651, 1.26321, 1.40825");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.6109");
+						index_3("0.001");
+						index_4("5.4837108, 5.9858733, 8.2039161, 8.9036135, 9.2242013");
+						values("1.40279, 1.25446, 1.15558, 1.25446, 1.40279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("7.0199");
+						index_3("0.001");
+						index_4("3.6599522, 4.0318475, 5.6178392, 6.0544869, 6.2895494");
+						values("1.40079, 1.25126, 1.15158, 1.25126, 1.40079");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.8327");
+						index_3("0.001");
+						index_4("15.9441740, 17.0712557, 23.6699244, 26.3810721, 27.2847679");
+						values("0.946351, 0.524162, 0.242703, 0.524162, 0.946351");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006154;
+			capacitance : 0.006304;
+			rise_capacitance : 0.006454;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1463138, 4.0020665, 3.8751218, 3.7767836, 3.6900163, 3.6360970, 3.5550232", \
+					  "4.5892448, 4.4449980, 4.3240774, 4.2170501, 4.1253341, 4.0530115, 4.0010402", \
+					  "5.0478100, 4.9035627, 4.7810882, 4.6797999, 4.5930382, 4.4993691, 4.4699087", \
+					  "5.4821021, 5.3348031, 5.2111345, 5.1095175, 5.0227527, 4.9321354, 4.8912956", \
+					  "5.8689718, 5.7262500, 5.5978580, 5.5009617, 5.4126746, 5.3492204, 5.2925116", \
+					  "6.2352588, 6.0884283, 5.9642094, 5.8672452, 5.7774361, 5.7051291, 5.6685894", \
+					  "6.6513397, 6.5070925, 6.3946224, 6.2818100, 6.1938901, 6.1105288, 6.0674011");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7282313, 3.5319959, 3.3566896, 3.1650611, 3.0079466, 2.7850691, 2.6079277", \
+					  "4.1728748, 3.9829849, 3.8023685, 3.5953003, 3.4541159, 3.2310641, 3.0525478", \
+					  "4.6282456, 4.4383553, 4.2624911, 4.0565389, 3.9133961, 3.6894415, 3.5194217", \
+					  "5.0544580, 4.8660940, 4.6958187, 4.4981221, 4.3387510, 4.1150388, 3.9658857", \
+					  "5.4542897, 5.2613481, 5.0903453, 4.8965785, 4.7117575, 4.5227127, 4.3611157", \
+					  "5.8577563, 5.6667749, 5.4890344, 5.3046010, 5.0880436, 4.9099225, 4.7503995", \
+					  "6.2166768, 6.0267243, 5.8577257, 5.6546916, 5.4861922, 5.2649616, 5.0922353");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6631870, -3.5235554, -3.3964664, -3.3073732, -3.2231273, -3.1666276, -3.0669955", \
+					  "-4.1028853, -3.9647793, -3.8453695, -3.7409677, -3.6669312, -3.5925928, -3.5081562", \
+					  "-4.5583152, -4.4202097, -4.2992243, -4.2040275, -4.1151293, -4.0790892, -3.9776375", \
+					  "-5.0091302, -4.8694983, -4.7565140, -4.6675283, -4.5569763, -4.5217001, -4.4130732", \
+					  "-5.4139015, -5.2757960, -5.1605357, -5.0596138, -4.9676505, -4.8694575, -4.8236714", \
+					  "-5.7995694, -5.6598967, -5.5315216, -5.4452813, -5.3539073, -5.2969315, -5.2001840", \
+					  "-6.1584739, -6.0184512, -5.8904501, -5.8013676, -5.7335476, -5.6240330, -5.5442004");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800902, -3.3240030, -3.1410014, -2.2699051, -2.7936593, -2.6014053, -2.4308857", \
+					  "-3.9228400, -3.7637011, -3.0065170, -3.4171832, -3.2346017, -3.0396640, -2.8631594", \
+					  "-4.3767445, -4.2191314, -4.0377650, -3.9075789, -3.6823686, -3.4914091, -3.3017564", \
+					  "-4.8077757, -4.6544907, -3.8945045, -4.3414865, -4.1131940, -3.9547505, -3.7360534", \
+					  "-5.2323307, -5.0747177, -4.8980239, -4.0298082, -3.9622742, -4.3439898, -4.1723204", \
+					  "-4.8993096, -5.4765336, -5.2979516, -5.1147977, -4.9053461, -4.7290937, -4.5455819", \
+					  "-5.9769027, -5.8210087, -5.6562143, -5.5042763, -5.2833943, -5.0991808, -4.9001169");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263645, 0.0309374, 0.0355104, 0.0353904, 0.0352752, 0.0351553, 0.0350353");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0258229, 0.0291348, 0.0290027, 0.0288759, 0.0287439, 0.0286118");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987757";
+				miller_cap_rise : "0.000928142";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72797e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14695e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49626e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.264e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93546e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19687e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36265e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33027e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57187e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39923e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1040598, 0.2117060, 0.3324728, 0.4724376, 0.6698057");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1745466, 0.2827524, 0.4023334, 0.5433291, 0.7391765");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277421, 0.0492401, 0.0709281, 0.0927373, 0.1218279");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997198, 0.1213935, 0.1430590, 0.1649557, 0.1940202");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.008");
+						index_3("0.001");
+						index_4("10.4917672, 11.0902812, 13.4053852, 15.1484025, 15.5887812");
+						values("0.411783, 0.658853, 0.823566, 0.658853, 0.411783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7657");
+						index_3("0.001");
+						index_4("6.3817341, 6.7889306, 8.5561303, 9.5160983, 9.8065975");
+						values("0.423095, 0.676953, 0.846191, 0.676953, 0.423095");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.66518");
+						index_3("0.001");
+						index_4("4.3365782, 4.6418895, 5.7955110, 6.6297995, 6.8413086");
+						values("0.426166, 0.681866, 0.852332, 0.681866, 0.426166");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.504");
+						index_3("0.001");
+						index_4("5.2954894, 5.6311976, 6.9092520, 7.6053512, 7.8310778");
+						values("0.220343, 0.352549, 0.440686, 0.352549, 0.220343");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38283");
+						index_3("0.001");
+						index_4("3.2287539, 3.4538015, 4.3632481, 4.7786066, 4.9278868");
+						values("0.224804, 0.359686, 0.449608, 0.359686, 0.224804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33259");
+						index_3("0.001");
+						index_4("2.1989228, 2.3670899, 2.9445583, 3.3266688, 3.4374590");
+						values("0.225257, 0.360412, 0.450515, 0.360412, 0.225257");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1485");
+						index_3("0.001");
+						index_4("9.5116162, 10.1261183, 12.4892493, 14.2180763, 14.6638558");
+						values("0.590829, 0.945327, 1.18166, 0.945327, 0.590829");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86346");
+						index_3("0.001");
+						index_4("1.4451185, 1.5708993, 2.2065743, 2.6182102, 2.8042553");
+						values("1.16754, 0.90806, 0.735075, 0.90806, 1.16754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67353");
+						index_3("0.001");
+						index_4("0.8479551, 0.9325051, 1.2821714, 1.6050006, 1.7374971");
+						values("1.15876, 0.894013, 0.717517, 0.894013, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14164");
+						index_3("0.001");
+						index_4("0.5812782, 0.6454362, 0.9554680, 1.1359472, 1.2559615");
+						values("1.15708, 0.891329, 0.714161, 0.891329, 1.15708");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43173");
+						index_3("0.001");
+						index_4("0.7322647, 0.7990891, 1.0838620, 1.3285846, 1.4403199");
+						values("1.38707, 1.25931, 1.17413, 1.25931, 1.38707");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.836763");
+						index_3("0.001");
+						index_4("0.4323215, 0.4775443, 0.6763978, 0.8197854, 0.9048375");
+						values("1.38644, 1.25831, 1.17289, 1.25831, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.57082");
+						index_3("0.001");
+						index_4("0.2972458, 0.3317164, 0.4840129, 0.5822623, 0.6652957");
+						values("1.38406, 1.25449, 1.16811, 1.25449, 1.38406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51029");
+						index_3("0.001");
+						index_4("1.2680668, 1.3927565, 1.9750487, 2.3748066, 2.5635721");
+						values("0.92675, 0.522799, 0.253499, 0.522799, 0.92675");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017243;
+			capacitance : 0.017403;
+			rise_capacitance : 0.017563;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0592545, 0.0885380, 0.1178214, 0.1480761, 0.1771206, 0.2073752, 0.2376299");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0656024, 0.1179989, 0.1703955, 0.1748871, 0.1791991, 0.1836908, 0.1881824");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000744298";
+				miller_cap_rise : "0.000492995";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.99507, 0.444487, 0.415259, 0.40205, 0.385704, 0.376112, 0.365518, 0.353906, 0.341271, 0.32761, 0.31292, 0.297203, 0.280459, 0.262689, 0.243894, 0.224075, 0.203235, 0.181375, 0.158497, 0.134604, 0.109698, 0.0837824, 0.0568587, 0.0289302, -4.07686e-09, -0.0577521, -0.113836, -0.291728, -1.42417", \
+					  "1.92305, 0.287125, 0.272206, 0.266528, 0.260257, 0.256819, 0.253116, 0.249075, 0.244595, 0.239528, 0.233667, 0.226748, 0.218528, 0.208854, 0.197659, 0.184915, 0.170612, 0.154747, 0.137317, 0.118325, 0.0977708, 0.075658, 0.0519893, 0.0267686, -2.24712e-09, -0.0550518, -0.110222, -0.29098, -1.4278", \
+					  "1.87186, 0.167679, 0.158207, 0.154922, 0.151455, 0.149634, 0.147743, 0.145766, 0.143687, 0.141481, 0.139115, 0.136544, 0.133695, 0.130458, 0.12664, 0.121912, 0.115831, 0.10805, 0.0984106, 0.0868581, 0.0733724, 0.0579455, 0.0405746, 0.0212591, -1.51249e-09, -0.046147, -0.095267, -0.267651, -1.42369", \
+					  "1.85853, 0.131125, 0.120161, 0.117529, 0.114787, 0.113364, 0.111898, 0.110383, 0.108809, 0.107164, 0.105431, 0.103589, 0.101605, 0.0994326, 0.096996, 0.0941603, 0.0906609, 0.0860265, 0.0797491, 0.0715544, 0.061348, 0.0490985, 0.0347937, 0.0184283, -1.5234e-09, -0.0414055, -0.0871203, -0.253975, -1.42113", \
+					  "1.84799, 0.11061, 0.0844761, 0.082441, 0.0803472, 0.0792727, 0.0781759, 0.0770532, 0.0758998, 0.0747099, 0.073476, 0.0721882, 0.0708331, 0.0693915, 0.0678355, 0.0661202, 0.064165, 0.0617975, 0.0586151, 0.0539758, 0.0474183, 0.0387778, 0.0280051, 0.0150819, -1.53637e-09, -0.0357061, -0.0772254, -0.236831, -1.41791", \
+					  "1.84381, 0.108258, 0.0680784, 0.0663215, 0.0645255, 0.0636091, 0.0626777, 0.0617288, 0.0607593, 0.0597654, 0.0587422, 0.0576832, 0.05658, 0.055421, 0.0541894, 0.05286, 0.0513902, 0.0496974, 0.0475781, 0.0445244, 0.0398353, 0.0331204, 0.0242628, 0.0132275, -1.54382e-09, -0.0325064, -0.0716256, -0.226895, -1.41604", \
+					  "1.84045, 0.110347, 0.052958, 0.051466, 0.0499513, 0.0491828, 0.0484052, 0.0476169, 0.0468158, 0.0459996, 0.0451652, 0.0443086, 0.0434248, 0.0425068, 0.0415451, 0.0405257, 0.0394266, 0.0382088, 0.0367871, 0.0349135, 0.0319528, 0.0271892, 0.0203197, 0.0112652, -1.55191e-09, -0.0290876, -0.0656073, -0.216032, -1.414", \
+					  "1.83798, 0.116347, 0.0393522, 0.038109, 0.0368597, 0.0362297, 0.0355951, 0.034955, 0.0343081, 0.0336531, 0.0329881, 0.0323109, 0.0316186, 0.0309073, 0.0301718, 0.0294048, 0.0285952, 0.027725, 0.02676, 0.0256117, 0.0239693, 0.0210612, 0.016213, 0.00921014, -1.5702e-09, -0.0254675, -0.0591937, -0.204242, -1.41179", \
+					  "1.83647, 0.125957, 0.0275192, 0.0264758, 0.0254767, 0.0249763, 0.0244747, 0.0239714, 0.0234658, 0.0229571, 0.0224443, 0.0219263, 0.0214016, 0.0208683, 0.0203236, 0.0197641, 0.0191847, 0.0185774, 0.0179287, 0.0172098, 0.0163292, 0.0148915, 0.0120025, 0.00708473, -1.75219e-09, -0.0216703, -0.0524185, -0.191542, -1.40941", \
+					  "1.83599, 0.138996, 0.0178698, 0.0167779, 0.016015, 0.0156362, 0.0152586, 0.014882, 0.0145061, 0.0141307, 0.0137552, 0.0133792, 0.0130019, 0.0126226, 0.0122402, 0.0118531, 0.0114592, 0.0110555, 0.010637, 0.0101949, 0.00970696, 0.0090792, 0.00781027, 0.00492914, -4.71673e-09, -0.0177288, -0.045328, -0.177976, -1.40687", \
+					  "1.8366, 0.155327, 0.0113912, 0.00922486, 0.00867895, 0.0084152, 0.00815429, 0.00789615, 0.00764069, 0.0073878, 0.00713734, 0.00688916, 0.00664302, 0.00639865, 0.00615568, 0.00591364, 0.00567183, 0.00542932, 0.00518465, 0.00493542, 0.00467675, 0.00439378, 0.00399129, 0.0028426, -1.37995e-08, -0.0136895, -0.0379873, -0.163638, -1.40419", \
+					  "1.83837, 0.174847, 0.010293, 0.00407948, 0.00367118, 0.00351697, 0.00336641, 0.00321937, 0.00307583, 0.00293574, 0.00279907, 0.00266575, 0.00253573, 0.00240892, 0.00228522, 0.00216449, 0.00204655, 0.00193118, 0.00181802, 0.00170657, 0.00159593, 0.00148401, 0.0013619, 0.00112066, -8.57188e-07, -0.00963178, -0.0304987, -0.148702, -1.40141", \
+					  "1.84136, 0.19743, 0.0164138, 0.00172874, 0.00105511, 0.000991535, 0.000932419, 0.000875959, 0.000822041, 0.000770582, 0.000721505, 0.000674727, 0.000630167, 0.000587737, 0.000547348, 0.000508905, 0.000472309, 0.000437448, 0.0004042, 0.000372415, 0.000341895, 0.000312308, 0.000282738, 0.000244959, -1.27653e-05, -0.00575271, -0.0230668, -0.13344, -1.3986", \
+					  "1.84548, 0.22254, 0.0287394, 0.00276243, 0.000212514, 0.000171605, 0.000152021, 0.00013382, 0.000116464, 9.9832e-05, 8.38589e-05, 6.84938e-05, 5.36927e-05, 3.94153e-05, 2.56236e-05, 1.22813e-05, -6.47143e-07, -1.31967e-05, -2.54032e-05, -3.73048e-05, -4.89462e-05, -6.039e-05, -7.17733e-05, -8.40183e-05, -0.000137375, -0.00268569, -0.0161854, -0.118298, -1.39596", \
+					  "1.85028, 0.248985, 0.0448063, 0.0081899, 4.02957e-05, -0.000154854, -0.000199208, -0.000238495, -0.000276885, -0.000315009, -0.000353068, -0.00039115, -0.000429297, -0.000467538, -0.000505888, -0.000544359, -0.000582961, -0.000621699, -0.000660582, -0.000699616, -0.00073881, -0.000778178, -0.000817747, -0.000857678, -0.000905673, -0.0017072, -0.0109699, -0.104295, -1.39406", \
+					  "1.85536, 0.275894, 0.0628393, 0.0177153, 7.76563e-06, -0.00103559, -0.00123952, -0.0013975, -0.00154778, -0.00169552, -0.00184229, -0.00198875, -0.00213523, -0.0022819, -0.00242888, -0.00257622, -0.00272397, -0.00287215, -0.00302079, -0.0031699, -0.0033195, -0.0034696, -0.00362023, -0.00377146, -0.00392467, -0.00437051, -0.00964201, -0.0937415, -1.39444", \
+					  "1.86058, 0.302955, 0.0817634, 0.0290954, 1.31348e-06, -0.00408343, -0.00504972, -0.0055483, -0.00597637, -0.00638096, -0.0067746, -0.00716225, -0.00754635, -0.00792824, -0.00830874, -0.00868838, -0.0090675, -0.00944636, -0.00982513, -0.010204, -0.010583, -0.0109622, -0.0113419, -0.0117221, -0.0121032, -0.0128931, -0.0155604, -0.0900315, -1.39914", \
+					  "1.86591, 0.330027, 0.10076, 0.0405361, 1.47651e-07, -0.00965666, -0.0134807, -0.0148969, -0.0158447, -0.0166616, -0.0174224, -0.0181529, -0.0188651, -0.0195652, -0.0202569, -0.0209425, -0.0216236, -0.0223013, -0.0229763, -0.0236493, -0.0243208, -0.024991, -0.0256605, -0.0263296, -0.0269986, -0.0283429, -0.0301405, -0.0943751, -1.40852", \
+					  "1.87135, 0.357015, 0.119194, 0.0513916, 1.09216e-08, -0.0160097, -0.0250275, -0.0288383, -0.0307613, -0.0321777, -0.0334035, -0.034535, -0.0356116, -0.0366529, -0.0376699, -0.0386694, -0.0396557, -0.0406319, -0.0416002, -0.0425621, -0.0435188, -0.0444714, -0.0454207, -0.0463674, -0.0473125, -0.0492013, -0.0511724, -0.105063, -1.4211", \
+					  "1.87688, 0.383833, 0.136665, 0.0615078, 1.95203e-09, -0.0221675, -0.0371199, -0.0452462, -0.0490603, -0.0513937, -0.0532182, -0.0548132, -0.0562826, -0.0576743, -0.0590141, -0.0603168, -0.0615921, -0.0628465, -0.0640843, -0.065309, -0.0665228, -0.0677279, -0.0689258, -0.0701179, -0.0713056, -0.0736726, -0.0760522, -0.120354, -1.43564", \
+					  "1.88252, 0.410403, 0.152999, 0.0708706, 1.50517e-09, -0.0278841, -0.0486311, -0.0621357, -0.0692042, -0.0730036, -0.0756402, -0.0777939, -0.0797004, -0.0814607, -0.0831261, -0.0847254, -0.0862766, -0.0877913, -0.0892776, -0.0907412, -0.0921863, -0.0936163, -0.0950339, -0.0964412, -0.0978402, -0.100621, -0.103394, -0.139254, -1.4515", \
+					  "1.88825, 0.436645, 0.168168, 0.0795069, 1.47663e-09, -0.0331276, -0.0593013, -0.0783197, -0.0898922, -0.0960011, -0.0997833, -0.10264, -0.105051, -0.107213, -0.109218, -0.111116, -0.112938, -0.114702, -0.116422, -0.118108, -0.119765, -0.121399, -0.123014, -0.124613, -0.1262, -0.129343, -0.132466, -0.161177, -1.46834", \
+					  "1.89408, 0.462475, 0.182209, 0.0874567, 1.47644e-09, -0.0379183, -0.0691088, -0.0933762, -0.110076, -0.119538, -0.124962, -0.12873, -0.131746, -0.13436, -0.136729, -0.138936, -0.141031, -0.143041, -0.144988, -0.146885, -0.148741, -0.150565, -0.152362, -0.154136, -0.155891, -0.159359, -0.162792, -0.185718, -1.48592", \
+					  "1.90002, 0.487801, 0.195181, 0.0947639, 1.49154e-09, -0.0422886, -0.0780924, -0.10724, -0.129097, -0.142853, -0.150599, -0.155577, -0.159335, -0.162469, -0.16524, -0.167777, -0.170153, -0.172412, -0.174584, -0.176687, -0.178735, -0.180739, -0.182706, -0.184643, -0.186555, -0.190319, -0.194032, -0.212573, -1.50408", \
+					  "1.90605, 0.512516, 0.207152, 0.101473, 1.52671e-09, -0.0462724, -0.0863073, -0.119956, -0.146694, -0.165284, -0.176173, -0.182773, -0.187459, -0.191208, -0.194431, -0.197326, -0.2, -0.202515, -0.204914, -0.207222, -0.209458, -0.211637, -0.213768, -0.21586, -0.217919, -0.221961, -0.225931, -0.241504, -1.52269", \
+					  "1.91843, 0.55961, 0.228345, 0.113265, 1.68533e-09, -0.0532083, -0.100663, -0.142249, -0.177641, -0.205833, -0.225173, -0.236766, -0.244154, -0.249544, -0.253891, -0.257627, -0.260969, -0.264042, -0.26692, -0.26965, -0.272266, -0.27479, -0.277241, -0.279631, -0.28197, -0.286527, -0.290968, -0.304565, -1.56091", \
+					  "1.93121, 0.602566, 0.246276, 0.123148, 2.00154e-09, -0.0589585, -0.112615, -0.160876, -0.203533, -0.239985, -0.268648, -0.287905, -0.299758, -0.307664, -0.313585, -0.318414, -0.322577, -0.326301, -0.329717, -0.332906, -0.335924, -0.338806, -0.341581, -0.344266, -0.346879, -0.351929, -0.356808, -0.371129, -1.59996", \
+					  "1.97117, 0.696819, 0.284579, 0.143936, 4.97204e-09, -0.0708787, -0.137573, -0.200025, -0.258156, -0.311821, -0.360691, -0.403926, -0.439609, -0.465548, -0.482705, -0.49438, -0.503021, -0.509915, -0.515724, -0.52081, -0.525388, -0.529591, -0.533508, -0.537201, -0.540715, -0.547325, -0.553523, -0.570803, -1.7181", \
+					  "2.01766, 0.76533, 0.314455, 0.15974, 2.23139e-08, -0.0798517, -0.156747, -0.230658, -0.301552, -0.369394, -0.434135, -0.495701, -0.553956, -0.608624, -0.659095, -0.70405, -0.741309, -0.769359, -0.7894, -0.804026, -0.815288, -0.824425, -0.832154, -0.838904, -0.844942, -0.855526, -0.864762, -0.888066, -1.90668");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0532962, 0.1164085, 0.1813058, 0.2502645, 0.3510651");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1273617, 0.1906818, 0.2556366, 0.3244453, 0.4253668");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0247755, 0.0458702, 0.0679639, 0.0922574, 0.1270162");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0960268, 0.1176206, 0.1398183, 0.1640589, 0.1986304");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("9.4203");
+						index_3("0.001");
+						index_4("4.6987122, 5.0139785, 6.0092810, 6.9565790, 7.2268171");
+						values("0.379821, 0.607714, 0.759642, 0.607714, 0.379821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("5.59997");
+						index_3("0.001");
+						index_4("2.7975166, 3.0135330, 3.7222505, 4.3007233, 4.4768596");
+						values("0.395866, 0.633385, 0.791731, 0.633385, 0.395866");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("3.8425");
+						index_3("0.001");
+						index_4("1.9229443, 2.0880286, 2.6148077, 3.0375345, 3.1689454");
+						values("0.404932, 0.647891, 0.809864, 0.647891, 0.404932");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("4.71015");
+						index_3("0.001");
+						index_4("2.3646207, 2.5321322, 3.0859696, 3.5278772, 3.6613608");
+						values("0.202854, 0.324566, 0.405708, 0.324566, 0.202854");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("2.79998");
+						index_3("0.001");
+						index_4("1.4101202, 1.5271114, 1.8797496, 2.1807894, 2.2707915");
+						values("0.207758, 0.332413, 0.415517, 0.332413, 0.207758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("1.92125");
+						index_3("0.001");
+						index_4("0.9704518, 1.0580654, 1.3239404, 1.5399026, 1.6096363");
+						values("0.211294, 0.33807, 0.422588, 0.33807, 0.211294");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("8.39995");
+						index_3("0.001");
+						index_4("4.1784931, 4.4860587, 5.5609351, 6.3854093, 6.6469788");
+						values("0.563338, 0.90134, 1.12668, 0.90134, 0.563338");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("2.99541");
+						index_3("0.001");
+						index_4("1.4968313, 1.6078118, 2.1347620, 2.5120513, 2.6726054");
+						values("1.21059, 0.976947, 0.821183, 0.976947, 1.21059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("1.73173");
+						index_3("0.001");
+						index_4("0.8675804, 0.9419412, 1.2755231, 1.5274573, 1.6353887");
+						values("1.19859, 0.957748, 0.797185, 0.957748, 1.19859");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("1.15818");
+						index_3("0.001");
+						index_4("0.5820265, 0.6381582, 0.8809192, 1.0615978, 1.1438231");
+						values("1.19212, 0.947395, 0.784243, 0.947395, 1.19212");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("1.49771");
+						index_3("0.001");
+						index_4("0.7573862, 0.8174991, 1.0607351, 1.2781419, 1.3721204");
+						values("1.39754, 1.27607, 1.19509, 1.27607, 1.39754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("0.865864");
+						index_3("0.001");
+						index_4("0.4405668, 0.4810424, 0.6590119, 0.7796020, 0.8466494");
+						values("1.39366, 1.26985, 1.18732, 1.26985, 1.39366");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("0.579092");
+						index_3("0.001");
+						index_4("0.2960353, 0.3264005, 0.4389243, 0.5435620, 0.5950410");
+						values("1.39067, 1.26507, 1.18133, 1.26507, 1.39067");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("2.59759");
+						index_3("0.001");
+						index_4("1.2889997, 1.3938822, 1.8333276, 2.2676232, 2.4210315");
+						values("1.03805, 0.700874, 0.476093, 0.700874, 1.03805");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004824;
+			capacitance : 0.004921;
+			rise_capacitance : 0.005018;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5899558, -3.4475908, -3.3217448, -3.2206821, -3.1603086, -3.0719180, -2.9951370", \
+					  "-4.0311800, -3.8872889, -3.7812808, -3.6593714, -3.6011347, -3.5181635, -3.4409386", \
+					  "-4.4866104, -4.3427392, -4.2257741, -4.1125269, -4.0188037, -3.9337584, -3.8719549", \
+					  "-4.9358990, -4.7935342, -4.6697914, -4.5737402, -4.4961321, -4.3861569, -4.3471837", \
+					  "-5.3421962, -5.1995405, -5.0953386, -4.9683073, -4.9026411, -4.7863755, -4.7412738", \
+					  "-5.7278641, -5.5854994, -5.4686009, -5.3624156, -5.2828410, -5.1906379, -5.1285764", \
+					  "-6.0867686, -5.9444038, -5.8274667, -5.7175995, -5.6417530, -5.5905300, -5.4893816");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4098828, -3.3280622, -3.1486198, -2.9350448, -2.7528033, -2.5781805, -2.4168047", \
+					  "-3.8450032, -3.7799676, -3.5684062, -3.3732354, -3.2349598, -3.0481293, -2.8443201", \
+					  "-4.3050112, -4.2353975, -4.0391030, -3.8331406, -3.6824026, -3.5065128, -3.3087204", \
+					  "-4.7360424, -4.6510308, -4.4377095, -4.2657679, -4.0997008, -3.9246652, -3.7124621", \
+					  "-5.1363879, -5.0713515, -4.8467894, -4.6703572, -4.4573218, -4.3068062, -4.1183951", \
+					  "-5.4962503, -5.4266962, -5.2039339, -5.0292363, -4.8505077, -4.6875390, -4.4970922", \
+					  "-5.9111664, -5.8280373, -5.6273659, -5.4400370, -5.2590236, -5.1172348, -4.9290760");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868147, 3.9397408, 3.8081194, 3.6958932, 3.6156691, 3.5170034, 3.4304682", \
+					  "4.5313653, 4.3858169, 4.2656183, 4.1563458, 4.0569097, 3.9615509, 3.8831390", \
+					  "4.9859626, 4.8395548, 4.6984197, 4.5804492, 4.5129347, 4.4085471, 4.3465461", \
+					  "5.4177128, 5.2691130, 5.1526039, 5.0267917, 4.9404323, 4.8479090, 4.7649092", \
+					  "5.8143848, 5.6627270, 5.5690792, 5.4495953, 5.3412903, 5.2461522, 5.1657796", \
+					  "6.1889887, 6.0373380, 5.9360310, 5.7966288, 5.7084073, 5.6544709, 5.5450616", \
+					  "6.5884718, 6.4429234, 6.3126564, 6.1913521, 6.1142156, 6.0420231, 5.9461314");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6824442, 3.5161523, 3.3170496, 3.1118515, 2.9583771, 2.7616880, 2.5895690", \
+					  "4.1427260, 3.9749082, 3.7681878, 3.5626411, 3.4158223, 3.2402867, 3.0527257", \
+					  "4.5970759, 4.4292581, 4.2394744, 4.0249771, 3.8717805, 3.6747938, 3.5070582", \
+					  "5.0195575, 4.8517397, 4.6535960, 4.4519155, 4.2876465, 4.1198931, 3.9259367", \
+					  "5.3950349, 5.2288274, 5.0343492, 4.8234073, 4.6712388, 4.4939943, 4.3096520", \
+					  "5.8215897, 5.6537715, 5.4584047, 5.2441045, 5.0924845, 4.9139353, 4.7251663", \
+					  "6.1813428, 6.0150509, 5.8328856, 5.6082639, 5.4504102, 5.2712677, 5.0866189");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221885, 0.0283796, 0.0345707, 0.0344056, 0.0342470, 0.0340818, 0.0339166");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0267267, 0.0333573, 0.0399879, 0.0398996, 0.0398147, 0.0397264, 0.0396380");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0009802";
+				miller_cap_rise : "0.000957";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.81867, 0.385238, 0.37022, 0.362958, 0.353271, 0.347068, 0.339734, 0.331211, 0.321508, 0.310637, 0.2986, 0.285388, 0.270989, 0.255387, 0.238567, 0.220515, 0.201214, 0.18065, 0.158805, 0.135666, 0.111216, 0.0854396, 0.0583218, 0.029847, -1.73236e-09, -0.0609779, -0.121811, -0.312481, -1.97083", \
+					  "2.69467, 0.188368, 0.182221, 0.179871, 0.177184, 0.175669, 0.174004, 0.172147, 0.170039, 0.167593, 0.164676, 0.161094, 0.15661, 0.151018, 0.144195, 0.136076, 0.126623, 0.115808, 0.103606, 0.0899926, 0.0749467, 0.0584461, 0.0404691, 0.0209942, 1.20862e-09, -0.0447024, -0.0915929, -0.252256, -1.95882", \
+					  "2.63871, 0.0919581, 0.0870507, 0.0859636, 0.084786, 0.0841547, 0.0834886, 0.0827815, 0.0820251, 0.0812085, 0.0803166, 0.0793281, 0.0782107, 0.0769131, 0.0753454, 0.0733361, 0.0705841, 0.066745, 0.0616032, 0.055062, 0.0470723, 0.0375996, 0.0266149, 0.0140905, 3.62618e-09, -0.0319565, -0.0679224, -0.204525, -1.94865", \
+					  "2.62769, 0.074494, 0.0610369, 0.0602447, 0.0593997, 0.0589527, 0.0584863, 0.0579972, 0.0574813, 0.0569335, 0.0563469, 0.0557123, 0.0550167, 0.0542405, 0.0533531, 0.0523, 0.0509694, 0.0491117, 0.0463227, 0.042276, 0.0368258, 0.0299089, 0.0214863, 0.0115265, 4.41974e-09, -0.0272068, -0.0590915, -0.186488, -1.94486", \
+					  "2.62128, 0.0725924, 0.0388063, 0.0382615, 0.037689, 0.0373901, 0.0370813, 0.0367611, 0.0364277, 0.0360788, 0.0357115, 0.0353221, 0.0349055, 0.0344548, 0.0339596, 0.033404, 0.0327604, 0.0319708, 0.0308809, 0.0291116, 0.0261984, 0.0219004, 0.0161297, 0.00884103, 5.11625e-09, -0.0222197, -0.0498094, -0.167346, -1.94087", \
+					  "2.61996, 0.0774649, 0.0293009, 0.0288637, 0.0284078, 0.0281713, 0.0279281, 0.0276773, 0.0274178, 0.0271481, 0.0268664, 0.0265705, 0.0262573, 0.0259227, 0.0255611, 0.025164, 0.0247175, 0.0241965, 0.0235406, 0.0225615, 0.0208012, 0.0178031, 0.0133778, 0.0074569, 5.38871e-09, -0.0196424, -0.0450073, -0.157354, -1.9388", \
+					  "2.61999, 0.0862429, 0.0209786, 0.0206364, 0.0202851, 0.0201041, 0.0199188, 0.0197288, 0.0195333, 0.0193315, 0.0191223, 0.0189045, 0.0186763, 0.0184355, 0.018179, 0.0179024, 0.017599, 0.0172578, 0.0168562, 0.0163315, 0.0154502, 0.0136764, 0.0105899, 0.00604939, 5.56322e-09, -0.0170136, -0.0401043, -0.147081, -1.93669", \
+					  "2.62142, 0.0989581, 0.0139512, 0.0136676, 0.0134097, 0.0132776, 0.0131431, 0.0130058, 0.0128655, 0.0127216, 0.0125735, 0.0124207, 0.0122621, 0.0120967, 0.0119228, 0.0117385, 0.0115405, 0.0113241, 0.0110809, 0.0107922, 0.0103927, 0.00959227, 0.00778927, 0.00462573, 5.53496e-09, -0.0143385, -0.035108, -0.136529, -1.93453", \
+					  "2.62431, 0.115619, 0.0084731, 0.00806137, 0.00788526, 0.00779585, 0.00770527, 0.00761334, 0.0075199, 0.00742473, 0.00732756, 0.00722806, 0.00712583, 0.00702034, 0.00691092, 0.00679665, 0.00667625, 0.00654786, 0.00640846, 0.00625245, 0.0060654, 0.00578066, 0.00504011, 0.00320529, 4.77939e-09, -0.0116254, -0.0300294, -0.125704, -1.93232", \
+					  "2.62874, 0.136213, 0.00536273, 0.00395628, 0.00384539, 0.00379206, 0.00373829, 0.00368403, 0.00362921, 0.00357373, 0.00351751, 0.00346042, 0.00340231, 0.00334299, 0.00328222, 0.00321968, 0.00315493, 0.00308736, 0.00301605, 0.00293947, 0.00285451, 0.00275135, 0.00256235, 0.00184992, -2.99446e-09, -0.00889033, -0.0248872, -0.114622, -1.93007", \
+					  "2.63481, 0.160665, 0.00646497, 0.00151296, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998448, 0.000965512, 0.000929389, 0.000883879, 0.000747893, -1.29315e-07, -0.00617266, -0.0197199, -0.103329, -1.92779", \
+					  "2.64242, 0.18856, 0.0129116, 0.00076407, 0.00037576, 0.000365798, 0.000356667, 0.000347555, 0.000338439, 0.000329313, 0.000320172, 0.00031101, 0.00030182, 0.000292595, 0.000283324, 0.000273992, 0.000264584, 0.000255073, 0.000245425, 0.000235586, 0.000225466, 0.000214878, 0.000203192, 0.000183789, -2.33474e-06, -0.00359667, -0.0146288, -0.0919219, -1.92549", \
+					  "2.65115, 0.218967, 0.0238448, 0.00200393, 8.36698e-05, 6.84276e-05, 6.46532e-05, 6.12153e-05, 5.78539e-05, 5.45252e-05, 5.12135e-05, 4.79114e-05, 4.46142e-05, 4.13185e-05, 3.80214e-05, 3.47199e-05, 3.14107e-05, 2.80894e-05, 2.47503e-05, 2.13849e-05, 1.79787e-05, 1.45032e-05, 1.08708e-05, 6.33123e-06, -3.14337e-05, -0.00156575, -0.00989363, -0.0806093, -1.92323", \
+					  "2.66061, 0.25105, 0.0380128, 0.00651114, 1.57616e-05, -9.51763e-05, -0.000107435, -0.000116484, -0.000124833, -0.000132888, -0.000140786, -0.000148592, -0.000156339, -0.000164048, -0.000171731, -0.000179399, -0.000187057, -0.000194711, -0.000202365, -0.000210022, -0.000217689, -0.00022537, -0.000233084, -0.000240945, -0.000254729, -0.000705241, -0.00609046, -0.069824, -1.92124", \
+					  "2.67068, 0.284329, 0.0544644, 0.0144192, 2.03309e-06, -0.000683421, -0.000758546, -0.000802911, -0.000841416, -0.000877532, -0.00091237, -0.000946425, -0.000979963, -0.00101314, -0.00104606, -0.00107878, -0.00111136, -0.00114383, -0.00117621, -0.00120854, -0.00124082, -0.00127308, -0.00130532, -0.00133759, -0.00137062, -0.00152802, -0.0042927, -0.0605926, -1.92024", \
+					  "2.68128, 0.318478, 0.0723462, 0.0241211, 1.66431e-07, -0.00296062, -0.00348035, -0.00366584, -0.00380183, -0.00392038, -0.00403013, -0.00413462, -0.00423562, -0.00433418, -0.00443092, -0.00452627, -0.00462055, -0.00471396, -0.00480668, -0.00489882, -0.00499049, -0.00508178, -0.00517278, -0.00526355, -0.00535424, -0.0055533, -0.00670435, -0.0551665, -1.92188", \
+					  "2.6924, 0.353236, 0.0908656, 0.034294, 1.192e-08, -0.00737783, -0.00997927, -0.0107097, -0.011101, -0.0113983, -0.0116542, -0.011887, -0.0121052, -0.0123134, -0.0125143, -0.0127097, -0.0129008, -0.0130885, -0.0132734, -0.013456, -0.0136366, -0.0138157, -0.0139934, -0.0141701, -0.0143458, -0.0146986, -0.0152919, -0.0557091, -1.92763", \
+					  "2.70404, 0.388377, 0.109427, 0.0444459, 2.1669e-09, -0.0126768, -0.0194297, -0.0219327, -0.022958, -0.0236016, -0.0241022, -0.0245308, -0.0249169, -0.0252748, -0.025613, -0.0259365, -0.0262488, -0.0265522, -0.0268484, -0.0271387, -0.0274241, -0.0277054, -0.0279832, -0.0282581, -0.0285306, -0.0290708, -0.029658, -0.0623479, -1.93741", \
+					  "2.71617, 0.423701, 0.127694, 0.0544153, 1.59386e-09, -0.0181244, -0.0299178, -0.0359633, -0.038473, -0.0397767, -0.0406738, -0.0413883, -0.0420022, -0.0425531, -0.0430612, -0.0435384, -0.0439924, -0.0444283, -0.0448498, -0.0452595, -0.0456594, -0.0460511, -0.0464359, -0.0468149, -0.047189, -0.0479263, -0.0486639, -0.0743834, -1.95059", \
+					  "2.72879, 0.459023, 0.145508, 0.0641346, 1.55206e-09, -0.0235027, -0.0405141, -0.0512181, -0.0565554, -0.0590966, -0.0606354, -0.061764, -0.062684, -0.0634805, -0.0641961, -0.0648551, -0.0654724, -0.0660577, -0.0666177, -0.0671575, -0.0676805, -0.0681896, -0.068687, -0.0691744, -0.0696534, -0.0705921, -0.071515, -0.091205, -1.96665", \
+					  "2.74188, 0.494174, 0.162793, 0.0735678, 1.54059e-09, -0.0287444, -0.0509362, -0.0666519, -0.0760571, -0.0807534, -0.0833246, -0.085053, -0.0863831, -0.0874908, -0.0884587, -0.0893317, -0.0901362, -0.0908893, -0.0916024, -0.0922835, -0.0929385, -0.093572, -0.0941873, -0.0947874, -0.0953746, -0.0965183, -0.097634, -0.112331, -1.9852", \
+					  "2.75544, 0.52899, 0.179512, 0.0826926, 1.53122e-09, -0.0338229, -0.0610845, -0.0818328, -0.095966, -0.103918, -0.10811, -0.110704, -0.112582, -0.114083, -0.115357, -0.116481, -0.1175, -0.118441, -0.119323, -0.120157, -0.120954, -0.121719, -0.122458, -0.123175, -0.123874, -0.125227, -0.126537, -0.137362, -2.00589", \
+					  "2.76944, 0.563307, 0.19564, 0.0914941, 1.52244e-09, -0.0387247, -0.0709123, -0.0966024, -0.115645, -0.127768, -0.134369, -0.138201, -0.14081, -0.142807, -0.14445, -0.145868, -0.147132, -0.148283, -0.14935, -0.150351, -0.151299, -0.152204, -0.153073, -0.153912, -0.154726, -0.156292, -0.157799, -0.165956, -2.02841", \
+					  "2.78387, 0.596961, 0.211165, 0.0999627, 1.51432e-09, -0.043442, -0.0803939, -0.110892, -0.134802, -0.151599, -0.161483, -0.167056, -0.170637, -0.173257, -0.175346, -0.177107, -0.17865, -0.180036, -0.181306, -0.182488, -0.183598, -0.184651, -0.185656, -0.186622, -0.187555, -0.18934, -0.191045, -0.197753, -2.05249", \
+					  "2.79867, 0.629782, 0.226076, 0.108092, 1.50704e-09, -0.0479701, -0.0895138, -0.124666, -0.153318, -0.174932, -0.188861, -0.196802, -0.201668, -0.20507, -0.207696, -0.209858, -0.211717, -0.213365, -0.214859, -0.216235, -0.217519, -0.218729, -0.219878, -0.220976, -0.222031, -0.22404, -0.225947, -0.232227, -2.07791", \
+					  "2.82843, 0.692257, 0.25404, 0.123321, 1.49621e-09, -0.0564495, -0.106636, -0.15059, -0.188248, -0.219226, -0.242422, -0.257179, -0.2659, -0.271518, -0.275568, -0.27874, -0.281368, -0.283633, -0.28564, -0.287456, -0.289124, -0.290676, -0.292134, -0.293514, -0.294829, -0.297305, -0.299624, -0.306472, -2.13199", \
+					  "2.84626, 0.74953, 0.279532, 0.137177, 1.49329e-09, -0.0641594, -0.122252, -0.174303, -0.220274, -0.259922, -0.292394, -0.316014, -0.330794, -0.339874, -0.346002, -0.350553, -0.354178, -0.35721, -0.359834, -0.362165, -0.364274, -0.366211, -0.36801, -0.369699, -0.371294, -0.374265, -0.377013, -0.384807, -2.18927", \
+					  "2.8897, 0.886069, 0.341726, 0.17084, 8.72084e-10, -0.0828624, -0.160331, -0.232422, -0.299128, -0.360381, -0.415947, -0.465128, -0.506169, -0.536546, -0.556462, -0.569411, -0.57845, -0.585257, -0.590694, -0.595228, -0.599132, -0.602574, -0.605666, -0.608484, -0.611082, -0.61577, -0.619954, -0.630873, -2.36948", \
+					  "2.933, 1.01886, 0.404387, 0.204449, 2.67642e-09, -0.101532, -0.19879, -0.29178, -0.380508, -0.464969, -0.545133, -0.620925, -0.692151, -0.758347, -0.818408, -0.870044, -0.910321, -0.938807, -0.958465, -0.972589, -0.983322, -0.99189, -0.998999, -1.00508, -1.01039, -1.0194, -1.02693, -1.04457, -2.67232");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1137164, 0.2371759, 0.3752265, 0.5325143, 0.7542476");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1848564, 0.3093312, 0.4458783, 0.6044216, 0.8253704");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0284867, 0.0527123, 0.0772274, 0.1026872, 0.1351921");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1007103, 0.1249713, 0.1493420, 0.1739168, 0.2063203");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("23.7825");
+						index_3("0.001");
+						index_4("11.8711976, 12.5499252, 15.0895695, 17.1562072, 17.6572956");
+						values("0.409174, 0.654679, 0.818348, 0.654679, 0.409174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("14.4516");
+						index_3("0.001");
+						index_4("7.2226649, 7.6846024, 9.4211165, 10.7764734, 11.1063447");
+						values("0.41891, 0.670256, 0.83782, 0.670256, 0.41891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("9.80956");
+						index_3("0.001");
+						index_4("4.9084553, 5.2542168, 6.6199276, 7.4997073, 7.7434526");
+						values("0.422775, 0.67644, 0.84555, 0.67644, 0.422775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("11.8913");
+						index_3("0.001");
+						index_4("5.9897244, 6.3635826, 7.6688858, 8.6120639, 8.8684122");
+						values("0.216248, 0.345997, 0.432496, 0.345997, 0.216248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("7.22579");
+						index_3("0.001");
+						index_4("3.6484224, 3.9004620, 4.7619324, 5.4108588, 5.5803664");
+						values("0.222153, 0.355445, 0.444307, 0.355445, 0.222153");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("4.90478");
+						index_3("0.001");
+						index_4("2.4847892, 2.6725687, 3.3122624, 3.7683260, 3.8933398");
+						values("0.222623, 0.356196, 0.445246, 0.356196, 0.222623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("21.6774");
+						index_3("0.001");
+						index_4("10.7693602, 11.4644503, 14.3770201, 16.1016868, 16.6050355");
+						values("0.587803, 0.940484, 1.17561, 0.940484, 0.587803");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("3.15257");
+						index_3("0.001");
+						index_4("1.5883992, 1.7250324, 2.3324912, 2.8825134, 3.0881883");
+						values("1.17874, 0.92599, 0.757487, 0.92599, 1.17874");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("1.84323");
+						index_3("0.001");
+						index_4("0.9324958, 1.0252115, 1.4581160, 1.7692125, 1.9156677");
+						values("1.17052, 0.912826, 0.741033, 0.912826, 1.17052");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("1.25766");
+						index_3("0.001");
+						index_4("0.6386877, 0.7082930, 1.0249667, 1.2521476, 1.3850943");
+						values("1.16686, 0.90697, 0.733712, 0.90697, 1.16686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("1.57629");
+						index_3("0.001");
+						index_4("0.8042125, 0.8771687, 1.2548584, 1.4653449, 1.5884164");
+						values("1.39184, 1.26694, 1.18368, 1.26694, 1.39184");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("0.921616");
+						index_3("0.001");
+						index_4("0.4742330, 0.5239927, 0.7532785, 0.9023005, 0.9983047");
+						values("1.3899, 1.26384, 1.1798, 1.26384, 1.3899");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("0.62883");
+						index_3("0.001");
+						index_4("0.3258460, 0.3641411, 0.5188624, 0.6416617, 0.7338855");
+						values("1.38934, 1.26294, 1.17867, 1.26294, 1.38934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("2.76485");
+						index_3("0.001");
+						index_4("1.3933931, 1.5303517, 2.1499441, 2.6182551, 2.8270986");
+						values("0.94787, 0.556592, 0.29574, 0.556592, 0.94787");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004852;
+			capacitance : 0.004649;
+			fall_capacitance : 0.004446;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074624, -0.0074270, -0.0073917, -0.0073595, -0.0073287, -0.0072965, -0.0072644");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074093, 0.0073762, 0.0073432, 0.0073523, 0.0073610, 0.0073701, 0.0073792");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0257693, 4.4686977, 4.9257356, 5.3584988, 5.7792631, 6.1299577, 6.5308082", \
+					  "3.8799966, 4.3244508, 4.7810683, 5.2304599, 5.6365417, 5.9811332, 6.3850332", \
+					  "3.7606392, 4.1974267, 4.6407445, 5.0988474, 5.4771701, 5.8434735, 6.2464045", \
+					  "3.6521431, 4.0976367, 4.5683682, 4.9896636, 5.3773633, 5.7451508, 6.1452366", \
+					  "3.5726136, 4.0022277, 4.4500415, 4.9037270, 5.3229654, 5.7100887, 6.0745478", \
+					  "3.4788548, 3.9108515, 4.3665891, 4.8291716, 5.1969240, 5.5586289, 6.0012766", \
+					  "3.4066572, 3.8496076, 4.3335427, 4.7570381, 5.1362326, 5.5013579, 5.9417509");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4770297, -3.9197798, -4.3736843, -4.8229729, -5.2292701, -5.6149380, -5.9829978", \
+					  "-3.3463378, -3.7847252, -4.2429226, -4.6780888, -5.0730582, -5.4716122, -5.8260172", \
+					  "-3.1691105, -3.6637897, -4.1176917, -4.5563746, -4.9581496, -5.3329587, -5.7208546", \
+					  "-3.1143516, -3.5596652, -4.0204921, -4.4640449, -4.8739246, -5.2583037, -5.6151494", \
+					  "-2.9921556, -3.4333711, -3.9115686, -4.3453145, -4.7527153, -5.1846555, -5.5253994", \
+					  "-2.9316733, -3.4117584, -3.8376908, -4.3466263, -4.6824582, -5.0842266, -5.4879640", \
+					  "-2.8809665, -3.3117866, -3.7575140, -4.2210779, -4.6115744, -4.9858288, -5.3561464");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7043819, -4.1364505, -4.6010362, -5.0487989, -5.4581483, -5.8407641, -6.1996685", \
+					  "-3.5694831, -4.0091812, -4.4677943, -4.9139001, -5.3232491, -5.7137954, -6.0647698", \
+					  "-3.4562177, -3.8944081, -4.3534587, -4.7958155, -5.2088775, -5.5877806, -5.9586267", \
+					  "-3.3560194, -3.7943734, -4.2498038, -4.7464918, -5.1082607, -5.4924018, -5.8802232", \
+					  "-3.2862366, -3.7192262, -4.1506858, -4.6260761, -5.0338993, -5.4241524, -5.7772895", \
+					  "-3.2196478, -3.6590170, -4.1120931, -4.5644352, -4.9744854, -5.3593349, -5.7019529", \
+					  "-3.1599318, -3.6042078, -4.0565864, -4.5058750, -4.9138153, -5.2890125, -5.6567446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9998252, 4.4152804, 4.8860474, 5.3218534, 5.7102343, 6.1177022, 6.5048973", \
+					  "3.8588220, 4.2941133, 4.7620399, 5.1915310, 5.5832310, 5.9477075, 6.3537134", \
+					  "3.7455894, 4.1806059, 4.6238998, 5.0719205, 5.4877665, 5.8876200, 6.2512007", \
+					  "3.6631092, 4.1009225, 4.5510016, 4.9854252, 5.3605265, 5.7367536, 6.1389061", \
+					  "3.5603165, 3.9879787, 4.4663751, 4.9116576, 5.2829330, 5.6415719, 6.0608108", \
+					  "3.4834325, 3.9145281, 4.3727060, 4.8176674, 5.2304626, 5.6262806, 5.9879331", \
+					  "3.4094412, 3.8633766, 4.3177677, 4.7472948, 5.1383171, 5.5095451, 5.9288905");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1463138, 4.5892448, 5.0478100, 5.4821021, 5.8689718, 6.2352588, 6.6513397", \
+					  "4.0020665, 4.4449980, 4.9035627, 5.3348031, 5.7262500, 6.0884283, 6.5070925", \
+					  "3.8751218, 4.3240774, 4.7810882, 5.2111345, 5.5978580, 5.9642094, 6.3946224", \
+					  "3.7767836, 4.2170501, 4.6797999, 5.1095175, 5.5009617, 5.8672452, 6.2818100", \
+					  "3.6900163, 4.1253341, 4.5930382, 5.0227527, 5.4126746, 5.7774361, 6.1938901", \
+					  "3.6360970, 4.0530115, 4.4993691, 4.9321354, 5.3492204, 5.7051291, 6.1105288", \
+					  "3.5550232, 4.0010402, 4.4699087, 4.8912956, 5.2925116, 5.6685894, 6.0674011");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6631870, -4.1028853, -4.5583152, -5.0091302, -5.4139015, -5.7995694, -6.1584739", \
+					  "-3.5235554, -3.9647793, -4.4202097, -4.8694983, -5.2757960, -5.6598967, -6.0184512", \
+					  "-3.3964664, -3.8453695, -4.2992243, -4.7565140, -5.1605357, -5.5315216, -5.8904501", \
+					  "-3.3073732, -3.7409677, -4.2040275, -4.6675283, -5.0596138, -5.4452813, -5.8013676", \
+					  "-3.2231273, -3.6669312, -4.1151293, -4.5569763, -4.9676505, -5.3539073, -5.7335476", \
+					  "-3.1666276, -3.5925928, -4.0790892, -4.5217001, -4.8694575, -5.2969315, -5.6240330", \
+					  "-3.0669955, -3.5081562, -3.9776375, -4.4130732, -4.8236714, -5.2001840, -5.5442004");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5899558, -4.0311800, -4.4866104, -4.9358990, -5.3421962, -5.7278641, -6.0867686", \
+					  "-3.4475908, -3.8872889, -4.3427392, -4.7935342, -5.1995405, -5.5854994, -5.9444038", \
+					  "-3.3217448, -3.7812808, -4.2257741, -4.6697914, -5.0953386, -5.4686009, -5.8274667", \
+					  "-3.2206821, -3.6593714, -4.1125269, -4.5737402, -4.9683073, -5.3624156, -5.7175995", \
+					  "-3.1603086, -3.6011347, -4.0188037, -4.4961321, -4.9026411, -5.2828410, -5.6417530", \
+					  "-3.0719180, -3.5181635, -3.9337584, -4.3861569, -4.7863755, -5.1906379, -5.5905300", \
+					  "-2.9951370, -3.4409386, -3.8719549, -4.3471837, -4.7412738, -5.1285764, -5.4893816");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868147, 4.5313653, 4.9859626, 5.4177128, 5.8143848, 6.1889887, 6.5884718", \
+					  "3.9397408, 4.3858169, 4.8395548, 5.2691130, 5.6627270, 6.0373380, 6.4429234", \
+					  "3.8081194, 4.2656183, 4.6984197, 5.1526039, 5.5690792, 5.9360310, 6.3126564", \
+					  "3.6958932, 4.1563458, 4.5804492, 5.0267917, 5.4495953, 5.7966288, 6.1913521", \
+					  "3.6156691, 4.0569097, 4.5129347, 4.9404323, 5.3412903, 5.7084073, 6.1142156", \
+					  "3.5170034, 3.9615509, 4.4085471, 4.8479090, 5.2461522, 5.6544709, 6.0420231", \
+					  "3.4304682, 3.8831390, 4.3465461, 4.7649092, 5.1657796, 5.5450616, 5.9461314");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5167255, -3.9610015, -4.4133796, -4.8459367, -5.2447559, -5.6046183, -6.0135383", \
+					  "-3.3625486, -3.8100933, -4.2575283, -4.6850055, -5.0999513, -5.4582882, -5.8662534", \
+					  "-3.2542020, -3.6881544, -4.1481624, -4.5792096, -4.9810642, -5.3378752, -5.7486120", \
+					  "-3.1387739, -3.5920799, -4.0457821, -4.4798238, -4.8785591, -5.2384245, -5.6484224", \
+					  "-3.0693274, -3.5075022, -3.9735110, -4.4000498, -4.7664967, -5.1600543, -5.5317939", \
+					  "-2.9882865, -3.4390359, -3.8845262, -4.3054087, -4.7119661, -5.0842701, -5.4391360", \
+					  "-2.8915696, -3.3557714, -3.7827272, -4.2196985, -4.6077992, -4.9920518, -5.4053963");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9815398, 4.4244686, 4.8830320, 5.3142697, 5.7026617, 6.0704715, 6.4865769", \
+					  "3.8335928, 4.2741835, 4.7344042, 5.1661273, 5.5562411, 5.9209991, 6.3386299", \
+					  "3.7056543, 4.1490378, 4.6072819, 5.0388012, 5.4282896, 5.7992067, 6.1989896", \
+					  "3.5907031, 4.0355379, 4.4921012, 4.9258107, 5.3139589, 5.6802485, 6.0861874", \
+					  "3.5038592, 3.9452617, 4.4231510, 4.8365892, 5.2310847, 5.5900136, 6.0063623", \
+					  "3.4300268, 3.8752351, 4.3269413, 4.7673343, 5.1557268, 5.5185614, 5.9279739", \
+					  "3.3373775, 3.7675927, 4.2270852, 4.6881368, 5.0791249, 5.4169729, 5.8261341");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425549, -4.1746235, -4.6376833, -5.0869719, -5.4963214, -5.8774116, -6.2378415", \
+					  "-3.5992073, -4.0305570, -4.4899020, -4.9375248, -5.3430995, -5.7241760, -6.0846197", \
+					  "-3.4702567, -3.9161360, -4.3694148, -4.8260122, -5.2265269, -5.6191542, -5.9753875", \
+					  "-3.3867189, -3.8172618, -4.2848993, -4.7358887, -5.1389592, -5.5261530, -5.8850575", \
+					  "-3.3228575, -3.7138514, -4.2164602, -4.6535879, -5.0644171, -5.4592399, -5.8288256", \
+					  "-3.2397171, -3.6762672, -4.1093344, -4.5658510, -4.9911099, -5.3578050, -5.7061651", \
+					  "-3.1812943, -3.6362513, -4.0794743, -4.4967196, -4.9045432, -5.3024178, -5.6704776");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0425754, 4.4534845, 4.9257920, 5.3646854, 5.7485330, 6.1270653, 6.5475095", \
+					  "3.8969719, 4.3307689, 4.7981963, 5.2465479, 5.6550087, 6.0058752, 6.3902681", \
+					  "3.7834236, 4.2218360, 4.6804110, 5.1242715, 5.5046774, 5.9319445, 6.2945820", \
+					  "3.6882648, 4.1190103, 4.5959350, 5.0348177, 5.4094811, 5.7672314, 6.1989043", \
+					  "3.6057879, 4.0322717, 4.5137234, 4.9655394, 5.3318868, 5.7512437, 6.1186565", \
+					  "3.5200534, 3.9522721, 4.4047959, 4.8543705, 5.2397436, 5.6030183, 6.0314929", \
+					  "3.4518799, 3.8963058, 4.3614790, 4.7912170, 5.2195144, 5.6036356, 5.9771897");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6702337, 4.1131701, 4.5732634, 5.0251197, 5.4267673, 5.7592085, 6.1650905", \
+					  "3.5198409, 3.9642883, 4.4228557, 4.8768690, 5.2745486, 5.6088017, 6.0145029", \
+					  "3.3975003, 3.8388246, 4.2959843, 4.7271789, 5.1200931, 5.4876280, 5.8878580", \
+					  "3.3083210, 3.7451720, 4.1945747, 4.6609381, 5.0355767, 5.4504492, 5.8056953", \
+					  "3.2146268, 3.6620960, 4.1320687, 4.5633548, 4.9611198, 5.3594913, 5.7098959", \
+					  "3.1211727, 3.5998307, 4.0599238, 4.5117801, 4.8746805, 5.2236018, 5.6375738", \
+					  "3.0709049, 3.5169021, 3.9556694, 4.4129232, 4.7678495, 5.2190845, 5.5447352");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5029632, -3.9472392, -4.3996173, -4.8489063, -5.2552036, -5.6408715, -5.9936724", \
+					  "-3.3634679, -3.8045053, -4.2600472, -4.7109349, -5.1155215, -5.5029756, -5.8603373", \
+					  "-3.2426701, -3.6667919, -4.1269696, -4.5821921, -4.9959727, -5.3695796, -5.7332048", \
+					  "-3.1428861, -3.1031506, -4.0466148, -4.4981980, -4.9016237, -5.2888611, -5.6551809", \
+					  "-3.0694176, -3.0207734, -3.9689724, -3.9099974, -4.8451791, -5.2381363, -5.5801072", \
+					  "-3.0279989, -3.4256395, -3.9195093, -4.3587060, -4.7694672, -5.1207138, -5.5030595", \
+					  "-2.8999482, -3.3738001, -3.7910832, -4.2861485, -4.6759300, -5.0552253, -5.4354922");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486577, 4.4900442, 4.9486102, 5.3989917, 5.7697165, 6.1374966, 6.5537645", \
+					  "3.9028881, 4.3473268, 4.8045068, 5.2355762, 5.6225298, 5.9932542, 6.4079935", \
+					  "3.7804100, 4.2233530, 4.6819093, 5.1276923, 5.5000100, 5.8662002, 6.2810009", \
+					  "3.6750361, 4.1235586, 4.5794216, 5.0058294, 5.3971164, 5.7740619, 6.1814423", \
+					  "3.5923600, 4.0261175, 4.4938291, 4.9289162, 5.3225738, 5.6798542, 6.0887951", \
+					  "3.4941135, 3.9334867, 4.3849016, 4.8283278, 5.2151717, 5.5805443, 6.0031125", \
+					  "3.4416415, 3.8860802, 4.3502395, 4.7798697, 5.1697564, 5.5057206, 5.9463988");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5472203, -3.9930221, -4.4423484, -4.8749055, -5.2752511, -5.6335880, -6.0425071", \
+					  "-3.3948328, -3.8396568, -4.2935426, -4.7276576, -5.1276349, -5.4863262, -5.8967712", \
+					  "-3.2744229, -3.7278764, -4.1832575, -4.6160166, -5.0264279, -5.3805993, -5.7920617", \
+					  "-3.1672682, -3.6086371, -4.0653580, -4.5026744, -4.8958777, -5.2557622, -5.6764772", \
+					  "-3.0867590, -3.6030454, -4.0614931, -4.4929084, -4.8586488, -5.1894988, -5.6472846", \
+					  "-3.0460851, -3.4286331, -3.9246612, -4.3569838, -4.7422614, -5.1385542, -5.4999265", \
+					  "-2.9502038, -3.4180505, -3.8313123, -4.2649250, -4.6941763, -5.0613755, -5.4711530");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0774063, 0.3661463, 0.8100215, 1.2330517, 1.6375096, 2.0307056, 2.3902809", \
+					  "-0.2179084, 0.2348286, 0.6798310, 1.0977495, 1.4743166, 1.8985833, 2.2737785", \
+					  "-0.3410472, 0.1089237, 0.5683376, 0.9919647, 1.3807624, 1.7442802, 2.1104909", \
+					  "-0.4334523, 0.0112132, 0.4777308, 0.9080393, 1.3032093, 1.6643387, 2.0137639", \
+					  "-0.5171666, -0.0750987, 0.3983915, 0.8305834, 1.2229366, 1.5993514, 1.9664968", \
+					  "-0.5998993, -0.1649495, 0.3179179, 0.7447017, 1.1410475, 1.5238088, 1.8813478", \
+					  "-0.6736859, -0.2375447, 0.2240285, 0.6670371, 1.0862151, 1.4715047, 1.8183169");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4735606, 0.0230268, -0.4160068, -0.8114308, -1.2177282, -1.6079736, -1.9699297", \
+					  "0.6247309, 0.1697260, -0.2765302, -0.6931115, -1.0810984, -1.4362486, -1.7646354", \
+					  "0.7478874, 0.2960048, -0.1554966, -0.5784480, -0.9662728, -1.3412595, -1.6849051", \
+					  "0.8430556, 0.3907732, -0.0633616, -0.4892964, -0.8713668, -1.2506404, -1.5988637", \
+					  "0.9353136, 0.4523912, 0.0010369, -0.4171149, -0.7943160, -1.1656906, -1.5247306", \
+					  "1.0211272, 0.5426262, 0.0802300, -0.3164848, -0.7185361, -1.0812457, -1.4352299", \
+					  "1.0796092, 0.6114209, 0.1594369, -0.2390226, -0.6322401, -1.0642398, -1.3783122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6662346, -4.1059327, -4.5613630, -5.0106516, -5.4169493, -5.8026168, -6.1615212", \
+					  "-3.5235174, -3.9632157, -4.4186456, -4.8694606, -5.2742319, -5.6584188, -6.0170732", \
+					  "-3.3934146, -3.8300729, -4.2904664, -4.7411730, -5.1470985, -5.5404780, -5.8888927", \
+					  "-3.3058473, -3.7394418, -4.2025016, -4.6691115, -5.0580879, -5.4437558, -5.8187590", \
+					  "-3.2231564, -3.6684357, -4.1136096, -4.5554331, -4.9632056, -5.3539934, -5.7334903", \
+					  "-3.1864502, -3.5971705, -4.0806729, -4.5217241, -4.8771167, -5.3003728, -5.6270679", \
+					  "-3.0699307, -3.5253731, -3.9775736, -4.4160344, -4.8343527, -5.2123911, -5.5442017");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0806974, 4.5221394, 4.9807214, 5.4135290, 5.8019765, 6.1683279, 6.5855639", \
+					  "3.9348789, 4.3778468, 4.8365381, 5.2661846, 5.6608290, 6.0301384, 6.4397463", \
+					  "3.8082438, 4.2508435, 4.7078997, 5.1437590, 5.5352574, 5.9000829, 6.3160853", \
+					  "3.7097141, 4.1511948, 4.6082398, 5.0425471, 5.4328176, 5.7944696, 6.2060306", \
+					  "3.6250385, 4.0585142, 4.5229014, 4.9541836, 5.3411038, 5.7431298, 6.1267234", \
+					  "3.5277477, 4.0081394, 4.4462348, 4.8727216, 5.2474849, 5.6340708, 6.0590922", \
+					  "3.4686543, 3.9381456, 4.3721829, 4.8019387, 5.1919112, 5.5860328, 5.9887395");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "ENABLE_H"; */
+				miller_cap_fall : "0.00155778";
+				miller_cap_rise : "0.00119597";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.24376, 0.26334, 0.248167, 0.243049, 0.236855, 0.233188, 0.229005, 0.224161, 0.21849, 0.211858, 0.204235, 0.19567, 0.186201, 0.175844, 0.164593, 0.152439, 0.139368, 0.125366, 0.110418, 0.0945094, 0.0776239, 0.0597462, 0.0408604, 0.0209503, -6.06366e-09, -0.043778, -0.089445, -0.25448, -1.4972", \
+					  "3.17111, 0.138731, 0.127274, 0.12526, 0.122981, 0.121714, 0.12034, 0.118838, 0.117178, 0.115319, 0.113205, 0.110752, 0.107838, 0.104296, 0.0999484, 0.0946754, 0.0884204, 0.0811522, 0.0728465, 0.0634809, 0.0530337, 0.0414835, 0.0288089, 0.0149884, -3.94803e-09, -0.0325487, -0.0679646, -0.204838, -1.48875", \
+					  "3.13456, 0.0759111, 0.064566, 0.0636146, 0.0625727, 0.0620099, 0.0614138, 0.0607789, 0.0600983, 0.0593635, 0.0585629, 0.0576809, 0.0566959, 0.0555762, 0.0542733, 0.0527072, 0.0507375, 0.0481324, 0.0446358, 0.0400933, 0.0344369, 0.0276282, 0.0196375, 0.010437, -4.34854e-09, -0.024043, -0.0518341, -0.167323, -1.48226", \
+					  "3.12474, 0.0591341, 0.0467139, 0.0460236, 0.045276, 0.0448761, 0.0444557, 0.0440115, 0.0435399, 0.0430359, 0.0424934, 0.0419043, 0.0412577, 0.040538, 0.0397229, 0.0387772, 0.0376411, 0.0361987, 0.0342167, 0.0313783, 0.0274762, 0.0224258, 0.0161841, 0.00871876, -3.51971e-09, -0.0208467, -0.0458038, -0.153207, -1.47994", \
+					  "3.11646, 0.0461944, 0.0309753, 0.0305046, 0.0300011, 0.0297346, 0.0294564, 0.0291651, 0.0288586, 0.0285346, 0.02819, 0.0278208, 0.0274219, 0.0269865, 0.0265047, 0.0259625, 0.0253375, 0.0245908, 0.0236399, 0.0222773, 0.0201288, 0.01691, 0.0125122, 0.00688725, -4.59167e-09, -0.017449, -0.0394121, -0.13818, -1.47753", \
+					  "3.11296, 0.0414606, 0.0240352, 0.0236594, 0.0232602, 0.02305, 0.0228316, 0.0226039, 0.0223655, 0.0221148, 0.0218498, 0.0215679, 0.0212656, 0.0209387, 0.020581, 0.0201838, 0.019734, 0.0192101, 0.0185703, 0.0177092, 0.0163359, 0.0140362, 0.0105913, 0.00592621, -7.3843e-10, -0.0156707, -0.0360748, -0.130306, -1.47628", \
+					  "3.10992, 0.0378475, 0.0177937, 0.0175032, 0.0171969, 0.0170366, 0.0168708, 0.0166987, 0.0165195, 0.0163322, 0.0161354, 0.0159275, 0.0157065, 0.0154696, 0.0152132, 0.0149321, 0.0146191, 0.0142625, 0.0138418, 0.0133116, 0.0125269, 0.0110937, 0.00861234, 0.00493277, -2.97822e-09, -0.0138376, -0.0326407, -0.12219, -1.47502", \
+					  "3.10736, 0.0353472, 0.0123147, 0.0120996, 0.0118753, 0.0117587, 0.0116386, 0.0115147, 0.0113865, 0.0112532, 0.0111142, 0.0109684, 0.0108148, 0.0106516, 0.010477, 0.0102881, 0.0100811, 0.00984991, 0.00958508, 0.00926792, 0.00884694, 0.00811304, 0.0065763, 0.00390435, -2.24528e-09, -0.0119481, -0.0291086, -0.113841, -1.47375", \
+					  "3.10532, 0.033974, 0.0076781, 0.00752679, 0.00737386, 0.00729499, 0.00721426, 0.00713144, 0.00704624, 0.00695835, 0.00686737, 0.00677279, 0.00667402, 0.00657026, 0.00646051, 0.00634342, 0.00621712, 0.00607892, 0.00592467, 0.00574718, 0.0055305, 0.00521449, 0.00449856, 0.00283974, -1.93636e-09, -0.0100005, -0.0254794, -0.105291, -1.47248", \
+					  "3.10386, 0.0338015, 0.00402622, 0.00391631, 0.00382395, 0.00377679, 0.00372885, 0.00368001, 0.00363016, 0.00357916, 0.00352684, 0.00347299, 0.00341736, 0.00335962, 0.00329937, 0.00323606, 0.00316898, 0.0030971, 0.00301893, 0.00293207, 0.00283205, 0.00270674, 0.00248499, 0.00175684, -4.37271e-09, -0.00799268, -0.0217571, -0.0966051, -1.47123", \
+					  "3.10312, 0.0350028, 0.00164796, 0.00149449, 0.00144936, 0.00142684, 0.00140412, 0.00138117, 0.00135795, 0.00133443, 0.00131054, 0.00128624, 0.00126144, 0.00123606, 0.00120998, 0.00118304, 0.00115506, 0.00112576, 0.00109475, 0.00106145, 0.00102486, 0.000982922, 0.000927777, 0.000769621, -1.35145e-08, -0.00592603, -0.017956, -0.0878691, -1.47001", \
+					  "3.10316, 0.0376452, 0.000920054, 0.000366695, 0.000348579, 0.000341215, 0.000333854, 0.000326478, 0.000319079, 0.000311649, 0.000304183, 0.000296668, 0.000289092, 0.000281441, 0.000273693, 0.000265825, 0.000257805, 0.000249584, 0.000241108, 0.000232279, 0.000222954, 0.000212863, 0.000201255, 0.000181865, -5.67266e-08, -0.00383224, -0.0141192, -0.0791762, -1.46882", \
+					  "3.10373, 0.0412325, 0.00180117, 7.91156e-05, 5.63979e-05, 5.4795e-05, 5.32901e-05, 5.17957e-05, 5.03055e-05, 4.88181e-05, 4.73334e-05, 4.58477e-05, 4.43615e-05, 4.28741e-05, 4.13803e-05, 3.98783e-05, 3.8363e-05, 3.68305e-05, 3.5276e-05, 3.36872e-05, 3.2044e-05, 3.03224e-05, 2.84423e-05, 2.59282e-05, -3.03123e-07, -0.00190283, -0.0103592, -0.0706092, -1.46767", \
+					  "3.10447, 0.0451103, 0.0037363, 0.000127073, 7.16368e-06, 6.29371e-06, 5.97955e-06, 5.70437e-06, 5.43871e-06, 5.17819e-06, 4.92132e-06, 4.66553e-06, 4.41236e-06, 4.15954e-06, 3.90699e-06, 3.65513e-06, 3.40149e-06, 3.14748e-06, 2.89229e-06, 2.63322e-06, 2.36419e-06, 2.09513e-06, 1.80416e-06, 1.44416e-06, -1.76102e-06, -0.000610372, -0.00687143, -0.0622343, -1.46657", \
+					  "3.10524, 0.0489599, 0.00622796, 0.000599231, 7.76816e-07, -3.23117e-06, -3.91525e-06, -4.36909e-06, -4.76549e-06, -5.13448e-06, -5.48972e-06, -5.83524e-06, -6.17402e-06, -6.50786e-06, -6.83796e-06, -7.16505e-06, -7.48959e-06, -7.81564e-06, -8.13971e-06, -8.46117e-06, -8.78799e-06, -9.10739e-06, -9.43311e-06, -9.77027e-06, -1.04038e-05, -0.000127326, -0.00389401, -0.0541062, -1.46552", \
+					  "3.10599, 0.0526275, 0.0088726, 0.00175185, 8.30271e-08, -2.45784e-05, -2.86729e-05, -3.12185e-05, -3.33812e-05, -3.53701e-05, -3.72578e-05, -3.90788e-05, -4.0853e-05, -4.25928e-05, -4.43067e-05, -4.60006e-05, -4.76789e-05, -4.93449e-05, -5.10011e-05, -5.26494e-05, -5.42913e-05, -5.59327e-05, -5.75683e-05, -5.92015e-05, -6.08617e-05, -8.07462e-05, -0.00174083, -0.0463004, -1.46456", \
+					  "3.10669, 0.0560061, 0.0113285, 0.0032631, 1.39568e-08, -0.000150782, -0.000177563, -0.000191508, -0.000202471, -0.000212118, -0.000220997, -0.000229363, -0.000237361, -0.000245079, -0.000252581, -0.000259907, -0.000267091, -0.000274156, -0.000281121, -0.000288, -0.000294806, -0.000301548, -0.000308233, -0.000314872, -0.000321471, -0.000336658, -0.000821876, -0.0390322, -1.46383", \
+					  "3.10735, 0.0590352, 0.0134422, 0.00468086, 7.29295e-09, -0.000595417, -0.000742582, -0.000799839, -0.000838018, -0.000868824, -0.000895617, -0.000919827, -0.000942217, -0.000963246, -0.000983218, -0.00100234, -0.00102077, -0.00103862, -0.00105598, -0.00107292, -0.0010895, -0.00110575, -0.00112172, -0.00113743, -0.00115292, -0.00118355, -0.00130124, -0.032691, -1.46357", \
+					  "3.10794, 0.0617085, 0.0152228, 0.00586858, 6.65135e-09, -0.00128455, -0.0017787, -0.0019539, -0.00204818, -0.00211598, -0.00217105, -0.00221855, -0.00226094, -0.00229966, -0.00233558, -0.0023693, -0.00240125, -0.00243174, -0.002461, -0.00248922, -0.00251654, -0.00254308, -0.00256893, -0.00259418, -0.00261888, -0.00266689, -0.00272689, -0.0273682, -1.46378", \
+					  "3.10846, 0.0640574, 0.0167278, 0.00684723, 6.5853e-09, -0.00196158, -0.00299527, -0.00342767, -0.00362743, -0.0037524, -0.00384611, -0.0039229, -0.00398902, -0.00404775, -0.00410104, -0.00415018, -0.00419601, -0.00423916, -0.0042801, -0.00431917, -0.00435665, -0.00439275, -0.00442766, -0.00446151, -0.00449443, -0.00455784, -0.00462047, -0.022957, -1.4643", \
+					  "3.10891, 0.0661267, 0.0180127, 0.00766036, 6.57495e-09, -0.0025387, -0.00414909, -0.00499019, -0.0053826, -0.005598, -0.00574461, -0.00585779, -0.00595141, -0.0060322, -0.00610389, -0.00616879, -0.00622843, -0.00628388, -0.0063359, -0.00638508, -0.00643185, -0.00647657, -0.00651951, -0.00656089, -0.00660091, -0.00667742, -0.00675027, -0.0194993, -1.46499", \
+					  "3.10932, 0.0679601, 0.0191216, 0.00834476, 6.57065e-09, -0.00301566, -0.00514864, -0.00646861, -0.00716617, -0.00752865, -0.00775112, -0.00791087, -0.00803693, -0.00814218, -0.00823332, -0.00831428, -0.00838754, -0.00845478, -0.00851718, -0.00857562, -0.00863074, -0.00868306, -0.00873297, -0.0087808, -0.0088268, -0.00891413, -0.00899635, -0.017178, -1.4658", \
+					  "3.10967, 0.0695951, 0.0200882, 0.00892818, 6.56749e-09, -0.00340972, -0.00598829, -0.00777103, -0.00885386, -0.00944342, -0.00977947, -0.0100017, -0.0101672, -0.0103002, -0.0104121, -0.0105094, -0.010596, -0.0106745, -0.0107464, -0.0108132, -0.0108756, -0.0109344, -0.0109902, -0.0110433, -0.0110941, -0.01119, -0.0112794, -0.0161625, -1.46666", \
+					  "3.10998, 0.0710624, 0.0209384, 0.00943123, 6.57119e-09, -0.00373792, -0.00668888, -0.00887844, -0.010359, -0.0112493, -0.0117542, -0.012064, -0.0122797, -0.0124449, -0.0125794, -0.0126934, -0.0127931, -0.0128819, -0.0129625, -0.0130364, -0.0131049, -0.013169, -0.0132293, -0.0132865, -0.0133409, -0.0134427, -0.013537, -0.0164052, -1.46756", \
+					  "3.11026, 0.072387, 0.0216921, 0.00986936, 6.56048e-09, -0.00401405, -0.00727496, -0.00980761, -0.0116495, -0.0128697, -0.0136029, -0.0140373, -0.0143194, -0.0145233, -0.0146827, -0.014814, -0.0149261, -0.0150244, -0.0151122, -0.0151919, -0.0152651, -0.015333, -0.0153964, -0.0154561, -0.0155126, -0.0156177, -0.0157142, -0.0175661, -1.46846", \
+					  "3.11073, 0.0746843, 0.022969, 0.0105952, 6.55763e-09, -0.00445021, -0.00818699, -0.0112385, -0.0136395, -0.0154341, -0.0166839, -0.0174848, -0.0179754, -0.0182895, -0.0185095, -0.0186766, -0.0188108, -0.0189233, -0.0190203, -0.0191058, -0.0191825, -0.0192523, -0.0193164, -0.0193758, -0.0194314, -0.019533, -0.0196246, -0.0208712, -1.47024", \
+					  "3.11111, 0.0766084, 0.0240096, 0.0111718, 6.55607e-09, -0.00477702, -0.00885386, -0.0122588, -0.0150266, -0.0172006, -0.0188349, -0.0199963, -0.0207668, -0.0212499, -0.0215546, -0.0217604, -0.021911, -0.0220286, -0.0221247, -0.022206, -0.0222766, -0.0223391, -0.0223953, -0.0224464, -0.0224934, -0.0225776, -0.0226518, -0.0237703, -1.47184", \
+					  "3.11187, 0.0808676, 0.0262236, 0.0123549, 6.55111e-09, -0.0053927, -0.0100572, -0.0140075, -0.0172611, -0.0198465, -0.0218151, -0.0232569, -0.0242925, -0.025039, -0.0255824, -0.0259769, -0.0262564, -0.0264459, -0.0265706, -0.0266543, -0.0267139, -0.0267592, -0.0267953, -0.0268254, -0.0268512, -0.0268938, -0.0269286, -0.0279262, -1.47446", \
+					  "3.11247, 0.0851575, 0.0283184, 0.0134222, 6.54661e-09, -0.00588657, -0.0109615, -0.015217, -0.018649, -0.0212743, -0.0231698, -0.0244974, -0.0254457, -0.0261569, -0.026717, -0.0271754, -0.0275612, -0.0278921, -0.0281795, -0.0284304, -0.0286494, -0.028839, -0.0290003, -0.0291333, -0.0292381, -0.0293704, -0.0294362, -0.0304375, -1.47613");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1309730, 0.2371170, 0.3420117, 0.4526331, 0.6099858");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2073817, 0.3133037, 0.4188167, 0.5283915, 0.6861403");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2509568, 0.4357425, 0.6226989, 0.8279100, 1.1338153");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3083766, 0.4931356, 0.6792439, 0.8854154, 1.1913813");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("22.484");
+						index_3("0.001");
+						index_4("11.3745905, 12.0872720, 16.3178160, 18.2132519, 19.0043720");
+						values("0.471486, 0.754378, 0.942972, 0.754378, 0.471486");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("12.9979");
+						index_3("0.001");
+						index_4("6.6047282, 7.0860247, 9.7474123, 10.9281021, 11.4725545");
+						values("0.483317, 0.773307, 0.966634, 0.773307, 0.483317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("8.67567");
+						index_3("0.001");
+						index_4("4.4274141, 4.7878914, 6.6719357, 7.5148186, 7.9330275");
+						values("0.490657, 0.785052, 0.981314, 0.785052, 0.490657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("11.242");
+						index_3("0.001");
+						index_4("5.7690770, 6.1959274, 8.4035012, 9.2115606, 9.6290735");
+						values("0.229623, 0.367397, 0.459247, 0.367397, 0.229623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("6.49896");
+						index_3("0.001");
+						index_4("3.3633434, 3.6607024, 4.9709928, 5.5392091, 5.8363835");
+						values("0.234143, 0.374629, 0.468286, 0.374629, 0.234143");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("4.33783");
+						index_3("0.001");
+						index_4("2.2661142, 2.4847230, 3.3473163, 3.8178808, 4.0533022");
+						values("0.233643, 0.373828, 0.467285, 0.373828, 0.233643");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("19.4969");
+						index_3("0.001");
+						index_4("9.8240248, 10.4758334, 14.4701022, 16.2972580, 17.0762854");
+						values("0.707674, 1.13228, 1.41535, 1.13228, 0.707674");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("36.913");
+						index_3("0.001");
+						index_4("18.6093099, 19.8236073, 26.9412435, 29.6569476, 30.6186266");
+						values("1.17025, 0.882393, 0.690492, 0.882393, 1.17025");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("20.9592");
+						index_3("0.001");
+						index_4("10.6078296, 11.4355820, 15.8304765, 17.4398414, 18.0516346");
+						values("1.1539, 0.856241, 0.657802, 0.856241, 1.1539");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("13.8661");
+						index_3("0.001");
+						index_4("7.0454962, 7.6670466, 10.6531207, 11.8478000, 12.2971720");
+						values("1.14442, 0.84107, 0.638837, 0.84107, 1.14442");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.4565");
+						index_3("0.001");
+						index_4("9.4538595, 10.1897596, 13.8021770, 14.9491994, 15.4348016");
+						values("1.40794, 1.2627, 1.16587, 1.2627, 1.40794");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.4177413, 5.9103789, 8.0571401, 8.7938356, 9.1105962");
+						values("1.40159, 1.25254, 1.15318, 1.25254, 1.40159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("6.93307");
+						index_3("0.001");
+						index_4("3.6190009, 3.9930486, 5.4793910, 5.9768558, 6.2116371");
+						values("1.39933, 1.24893, 1.14866, 1.24893, 1.39933");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.4389");
+						index_3("0.001");
+						index_4("15.7426590, 16.8669744, 23.4200578, 26.0596418, 26.9523575");
+						values("0.94701, 0.525217, 0.244021, 0.525217, 0.94701");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023133;
+			capacitance : 0.023996;
+			rise_capacitance : 0.024297;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425549, -3.5992073, -3.4702567, -3.3867189, -3.3228575, -3.2397171, -3.1812943", \
+					  "-4.1746235, -4.0305570, -3.9161360, -3.8172618, -3.7138514, -3.6762672, -3.6362513", \
+					  "-4.6376833, -4.4899020, -4.3694148, -4.2848993, -4.2164602, -4.1093344, -4.0794743", \
+					  "-5.0869719, -4.9375248, -4.8260122, -4.7358887, -4.6535879, -4.5658510, -4.4967196", \
+					  "-5.4963214, -5.3430995, -5.2265269, -5.1389592, -5.0644171, -4.9911099, -4.9045432", \
+					  "-5.8774116, -5.7241760, -5.6191542, -5.5261530, -5.4592399, -5.3578050, -5.3024178", \
+					  "-6.2378415, -6.0846197, -5.9753875, -5.8850575, -5.8288256, -5.7061651, -5.6704776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4272388, -2.3075830, -2.1680082, -3.1717672, -1.8639438, -1.7261979, -1.6636713", \
+					  "-2.8730931, -2.7488598, -2.5814594, -2.3936479, -2.3099755, -2.1040242, -3.0936768", \
+					  "-3.3285469, -3.2043137, -3.0912361, -2.8468332, -2.7694220, -2.6376116, -2.5562332", \
+					  "-3.7642176, -4.8667903, -3.4887093, -3.3123901, -3.1818527, -3.0256128, -2.9418094", \
+					  "-4.1631127, -4.0266725, -3.8669767, -4.8937971, -3.6137244, -3.4832015, -3.3661098", \
+					  "-4.5306991, -4.3678076, -4.2353189, -4.1190120, -3.9903065, -4.8794659, -3.7204095", \
+					  "-4.9908315, -4.8635462, -4.6417942, -4.4961845, -4.3708612, -4.2641581, -4.1488175");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0425754, 3.8969719, 3.7834236, 3.6882648, 3.6057879, 3.5200534, 3.4518799", \
+					  "4.4534845, 4.3307689, 4.2218360, 4.1190103, 4.0322717, 3.9522721, 3.8963058", \
+					  "4.9257920, 4.7981963, 4.6804110, 4.5959350, 4.5137234, 4.4047959, 4.3614790", \
+					  "5.3646854, 5.2465479, 5.1242715, 5.0348177, 4.9655394, 4.8543705, 4.7912170", \
+					  "5.7485330, 5.6550087, 5.5046774, 5.4094811, 5.3318868, 5.2397436, 5.2195144", \
+					  "6.1270653, 6.0058752, 5.9319445, 5.7672314, 5.7512437, 5.6030183, 5.6036356", \
+					  "6.5475095, 6.3902681, 6.2945820, 6.1989043, 6.1186565, 6.0314929, 5.9771897");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7816415, 3.6040862, 3.4046404, 3.2194889, 3.0395276, 2.8634859, 2.7034964", \
+					  "4.2169400, 4.0469182, 3.8345960, 3.6505222, 3.4855133, 3.2675103, 3.1494718", \
+					  "4.6816069, 4.5039553, 4.3043887, 4.1204056, 3.9256792, 3.7747932, 3.6050006", \
+					  "5.1143696, 4.9314928, 4.7370226, 4.5634428, 4.3706663, 4.1512005, 4.0362898", \
+					  "5.5042871, 5.3121572, 5.1323291, 4.9903124, 4.7497955, 4.5829974, 4.4205957", \
+					  "5.8705683, 5.6800438, 5.4865142, 5.2985796, 5.1183092, 4.9711642, 4.8017957", \
+					  "6.2765169, 6.1003916, 5.9056682, 5.7341170, 5.5451228, 5.3710870, 5.2071470");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00226308";
+				miller_cap_rise : "0.00175641";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10942, 0.943241, 0.883804, 0.852995, 0.814579, 0.792412, 0.768256, 0.742112, 0.713981, 0.68387, 0.651784, 0.617731, 0.58172, 0.543757, 0.503852, 0.462015, 0.418253, 0.372576, 0.324993, 0.275513, 0.224147, 0.170903, 0.11579, 0.0588196, -6.73738e-09, -0.118962, -0.23777, -0.634969, -2.57966", \
+					  "2.87452, 0.531711, 0.512272, 0.504411, 0.495384, 0.490265, 0.4846, 0.478223, 0.470882, 0.462192, 0.451616, 0.438604, 0.422789, 0.404003, 0.382189, 0.357336, 0.329453, 0.298559, 0.264676, 0.227827, 0.188038, 0.145334, 0.0997427, 0.051289, -7.19632e-09, -0.107028, -0.217649, -0.607707, -2.58345", \
+					  "2.73872, 0.277819, 0.265362, 0.261648, 0.257656, 0.255529, 0.253296, 0.250936, 0.248424, 0.245726, 0.242796, 0.239565, 0.235929, 0.231711, 0.226567, 0.219803, 0.210388, 0.197511, 0.180837, 0.160282, 0.135847, 0.107561, 0.0754616, 0.0395931, -8.85509e-09, -0.0875222, -0.18372, -0.553297, -2.57847", \
+					  "2.70303, 0.217946, 0.190159, 0.187393, 0.184467, 0.182931, 0.181335, 0.179672, 0.177927, 0.176087, 0.174131, 0.172031, 0.169748, 0.167224, 0.164364, 0.16099, 0.156698, 0.150566, 0.141318, 0.128159, 0.110844, 0.0893378, 0.063665, 0.0338691, -4.53996e-09, -0.0778959, -0.166908, -0.525582, -2.57493", \
+					  "2.67469, 0.190519, 0.122861, 0.12091, 0.118876, 0.117823, 0.116739, 0.115623, 0.114467, 0.113265, 0.11201, 0.11069, 0.10929, 0.107791, 0.106163, 0.104361, 0.102305, 0.0998179, 0.0963994, 0.0907788, 0.0814676, 0.0678069, 0.049663, 0.0270456, -6.09334e-09, -0.0663834, -0.146773, -0.492, -2.57024", \
+					  "2.66389, 0.189024, 0.0931863, 0.0915996, 0.0899589, 0.0891139, 0.0882495, 0.0873629, 0.0864509, 0.0855094, 0.0845331, 0.0835156, 0.0824482, 0.0813194, 0.0801131, 0.0788056, 0.0773588, 0.0757022, 0.0736632, 0.0706698, 0.0652226, 0.0557811, 0.0417985, 0.0231955, -5.72163e-09, -0.0598686, -0.135366, -0.472798, -2.5674", \
+					  "2.65567, 0.195755, 0.0667595, 0.0655063, 0.0642262, 0.063571, 0.062904, 0.0622234, 0.0615273, 0.0608133, 0.0600785, 0.059319, 0.0585302, 0.0577056, 0.0568367, 0.0559115, 0.0549118, 0.0538077, 0.0525396, 0.0509407, 0.0483489, 0.0430016, 0.0333731, 0.01905, -6.82278e-09, -0.052829, -0.123026, -0.451878, -2.56418", \
+					  "2.65032, 0.210819, 0.0441279, 0.0431181, 0.0421684, 0.0416853, 0.0411958, 0.040699, 0.0401937, 0.0396789, 0.0391527, 0.0386134, 0.0380584, 0.0374846, 0.0368877, 0.0362621, 0.0355994, 0.0348871, 0.0341035, 0.0332018, 0.0320244, 0.0297945, 0.0244675, 0.0146268, -9.87035e-09, -0.0452608, -0.109738, -0.429156, -2.56047", \
+					  "2.64816, 0.2343, 0.0262196, 0.0249918, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230095, 0.0226673, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181093, 0.0173182, 0.0153839, 0.0100042, -7.71041e-09, -0.0371767, -0.0955031, -0.404553, -2.55542", \
+					  "2.64959, 0.2663, 0.0153568, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.00963151, 0.00942346, 0.00921145, 0.00899441, 0.00877085, 0.00853857, 0.00829398, 0.00803009, 0.00772681, 0.00725595, 0.00547703, -2.08438e-08, -0.0286279, -0.0803637, -0.377996, -2.54763", \
+					  "2.65501, 0.306773, 0.0156907, 0.0042362, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285058, 0.00275885, 0.00266549, 0.00256978, 0.0024705, 0.00236484, 0.00224158, 0.00195401, -3.98572e-07, -0.0197777, -0.0644572, -0.349426, -2.53925", \
+					  "2.66414, 0.354445, 0.0283632, 0.00200113, 0.000984578, 0.000953294, 0.000924645, 0.000896207, 0.00086792, 0.000839765, 0.000811735, 0.000783813, 0.000755987, 0.000728239, 0.000700551, 0.000672893, 0.000645229, 0.00061751, 0.000589663, 0.000561578, 0.000533079, 0.000503784, 0.000472482, 0.000427442, -6.96365e-06, -0.0112032, -0.0482193, -0.318841, -2.53028", \
+					  "2.67567, 0.40652, 0.0500423, 0.00492745, 0.000213863, 0.000170622, 0.000159697, 0.000149772, 0.000140108, 0.000130577, 0.000121137, 0.000111767, 0.000102454, 9.31884e-05, 8.39638e-05, 7.4772e-05, 6.5605e-05, 5.64593e-05, 4.73185e-05, 3.81602e-05, 2.8963e-05, 1.96592e-05, 1.00694e-05, -1.31394e-06, -8.81734e-05, -0.00461375, -0.0327983, -0.286461, -2.52079", \
+					  "2.68861, 0.460931, 0.0775351, 0.0148046, 4.0395e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374847, -0.000395172, -0.000415324, -0.000435358, -0.000455312, -0.00047521, -0.000495066, -0.000514902, -0.000534721, -0.000554534, -0.000574358, -0.000594206, -0.000614111, -0.000634333, -0.000668081, -0.00190928, -0.020106, -0.253279, -2.51114", \
+					  "2.70262, 0.516762, 0.108769, 0.0308989, 5.30608e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305516, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.0034476, -0.00385041, -0.0130626, -0.222058, -2.50269", \
+					  "2.71765, 0.573529, 0.14197, 0.0496935, 4.21319e-07, -0.00705468, -0.00845089, -0.00891357, -0.00923963, -0.00951924, -0.00977584, -0.0100188, -0.0102528, -0.0104804, -0.0107035, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124053, -0.0126129, -0.0128202, -0.0132813, -0.0168568, -0.198165, -2.49841", \
+					  "2.73374, 0.630867, 0.175538, 0.0687536, 2.5949e-08, -0.0163337, -0.0227549, -0.024605, -0.0255368, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282855, -0.0287327, -0.0291667, -0.0295904, -0.0300059, -0.0304146, -0.0308179, -0.0312165, -0.0316113, -0.0320028, -0.0323917, -0.0327786, -0.0335555, -0.0350698, -0.186201, -2.50091", \
+					  "2.75095, 0.688455, 0.208383, 0.0872623, 2.79635e-09, -0.0268123, -0.0422284, -0.0484682, -0.0509592, -0.0524513, -0.0535831, -0.0545389, -0.0553919, -0.0561783, -0.056918, -0.0576235, -0.0583028, -0.0589614, -0.0596034, -0.0602318, -0.0608488, -0.0614563, -0.0620557, -0.0626485, -0.0632357, -0.0643988, -0.0656984, -0.186825, -2.51053", \
+					  "2.76937, 0.745976, 0.239989, 0.104985, 1.56496e-09, -0.0371723, -0.0628106, -0.0771437, -0.0833802, -0.0864781, -0.0885285, -0.0901255, -0.0914792, -0.0926836, -0.0937876, -0.0948199, -0.0957986, -0.0967358, -0.09764, -0.0985174, -0.0993726, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105776, -0.199281, -2.52661", \
+					  "2.78911, 0.803108, 0.270178, 0.121853, 1.48686e-09, -0.0470699, -0.0828586, -0.107036, -0.120173, -0.12644, -0.130052, -0.132613, -0.134658, -0.136405, -0.13796, -0.139384, -0.140711, -0.141964, -0.143161, -0.144311, -0.145423, -0.146504, -0.147559, -0.148591, -0.149604, -0.151587, -0.153533, -0.222842, -2.54837", \
+					  "2.81032, 0.85951, 0.298908, 0.137848, 1.46774e-09, -0.0564272, -0.101955, -0.136152, -0.158489, -0.170366, -0.176653, -0.180683, -0.18369, -0.186146, -0.188264, -0.190156, -0.191889, -0.193503, -0.195025, -0.196474, -0.197864, -0.199206, -0.200507, -0.201773, -0.203011, -0.205416, -0.207757, -0.256846, -2.57509", \
+					  "2.83319, 0.914814, 0.326185, 0.152981, 1.45349e-09, -0.065236, -0.120002, -0.163866, -0.196095, -0.216082, -0.226791, -0.233086, -0.237449, -0.240837, -0.243658, -0.246116, -0.248323, -0.250348, -0.252234, -0.254013, -0.255704, -0.257325, -0.258886, -0.260398, -0.261868, -0.264708, -0.26745, -0.300665, -2.60613", \
+					  "2.85795, 0.968632, 0.352036, 0.16727, 1.44311e-09, -0.073509, -0.136988, -0.19003, -0.231952, -0.261479, -0.27882, -0.288583, -0.294875, -0.2995, -0.303208, -0.30635, -0.309114, -0.311609, -0.313905, -0.316046, -0.318065, -0.319985, -0.321823, -0.323594, -0.325306, -0.328593, -0.331743, -0.353712, -2.64088", \
+					  "2.88488, 1.02057, 0.376496, 0.18074, 1.43843e-09, -0.0812663, -0.15294, -0.21464, -0.265772, -0.305082, -0.33101, -0.345905, -0.354955, -0.361237, -0.366069, -0.370044, -0.373464, -0.3765, -0.379255, -0.381798, -0.384174, -0.386417, -0.388551, -0.390594, -0.39256, -0.39631, -0.399875, -0.41531, -2.67882", \
+					  "2.91434, 1.07028, 0.399607, 0.193421, 1.44177e-09, -0.0885312, -0.167894, -0.237735, -0.297536, -0.346245, -0.381784, -0.403725, -0.416691, -0.425211, -0.431479, -0.436473, -0.44067, -0.444329, -0.447604, -0.450593, -0.45336, -0.455952, -0.458401, -0.460732, -0.462966, -0.467195, -0.471187, -0.484151, -2.71951", \
+					  "2.9825, 1.16196, 0.441959, 0.216537, 1.48425e-09, -0.10168, -0.194987, -0.279618, -0.35517, -0.42094, -0.475361, -0.515557, -0.54103, -0.556678, -0.567205, -0.575019, -0.58125, -0.586473, -0.591008, -0.595049, -0.598718, -0.602098, -0.60525, -0.608215, -0.611026, -0.61628, -0.621163, -0.634846, -2.80765", \
+					  "3.04864, 1.24282, 0.479467, 0.236871, 1.59904e-09, -0.113145, -0.218636, -0.31621, -0.405544, -0.486143, -0.557028, -0.615973, -0.659462, -0.687462, -0.705238, -0.71749, -0.726693, -0.734069, -0.740257, -0.745625, -0.750396, -0.754717, -0.758687, -0.762376, -0.765837, -0.77222, -0.778061, -0.793857, -2.90263", \
+					  "3.21358, 1.42897, 0.566736, 0.283653, 2.7611e-09, -0.139177, -0.272415, -0.399544, -0.520374, -0.634672, -0.742113, -0.84215, -0.933685, -1.01432, -1.07974, -1.12679, -1.15833, -1.18003, -1.19596, -1.2084, -1.21859, -1.22723, -1.23476, -1.24147, -1.24753, -1.25823, -1.26756, -1.29082, -3.20426", \
+					  "3.41214, 1.60571, 0.648809, 0.326906, 1.0078e-08, -0.162874, -0.321615, -0.476134, -0.62634, -0.772134, -0.913404, -1.05002, -1.1818, -1.30851, -1.42974, -1.54475, -1.65205, -1.74878, -1.83047, -1.89357, -1.93935, -1.97253, -1.99747, -2.01707, -2.0331, -2.05832, -2.0779, -2.12011, -3.71194");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0751929, 0.1425939, 0.2154001, 0.3002747, 0.4244672");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1469966, 0.2144195, 0.2877457, 0.3719209, 0.4951714");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0255361, 0.0449254, 0.0647596, 0.0852475, 0.1147706");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0972117, 0.1166955, 0.1364795, 0.1570171, 0.1864380");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.7613");
+						index_3("0.001");
+						index_4("6.8862347, 7.2676286, 8.8206699, 9.9952784, 10.3095043");
+						values("0.41686, 0.666976, 0.83372, 0.666976, 0.41686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.4054");
+						index_3("0.001");
+						index_4("4.2115909, 4.4746304, 5.5221119, 6.3128945, 6.5237900");
+						values("0.430771, 0.689234, 0.861543, 0.689234, 0.430771");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.67932");
+						index_3("0.001");
+						index_4("2.8500052, 3.0473715, 3.8177136, 4.3808007, 4.5349297");
+						values("0.435845, 0.697353, 0.871691, 0.697353, 0.435845");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.88063");
+						index_3("0.001");
+						index_4("3.4785954, 3.6913950, 4.6395857, 5.0256917, 5.1852435");
+						values("0.221221, 0.353953, 0.442442, 0.353953, 0.221221");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.2027");
+						index_3("0.001");
+						index_4("2.1337621, 2.2813222, 2.9291086, 3.1731174, 3.2820710");
+						values("0.226971, 0.363154, 0.453942, 0.363154, 0.226971");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.83966");
+						index_3("0.001");
+						index_4("1.4494273, 1.5606367, 1.9947137, 2.2005266, 2.2818503");
+						values("0.228035, 0.364855, 0.456069, 0.364855, 0.228035");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.6081");
+						index_3("0.001");
+						index_4("6.2743771, 6.6609437, 8.2644248, 9.4253320, 9.7466409");
+						values("0.60152, 0.962433, 1.20304, 0.962433, 0.60152");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.56289");
+						index_3("0.001");
+						index_4("1.2943391, 1.4092226, 1.9780497, 2.2948003, 2.4371362");
+						values("1.17556, 0.920894, 0.751118, 0.920894, 1.17556");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.49309");
+						index_3("0.001");
+						index_4("0.7572725, 0.8350104, 1.1850984, 1.3989022, 1.4952117");
+						values("1.1697, 0.911525, 0.739407, 0.911525, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.01616");
+						index_3("0.001");
+						index_4("0.5169897, 0.5754980, 0.8488364, 0.9855231, 1.0630974");
+						values("1.16603, 0.905649, 0.732061, 0.905649, 1.16603");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.28144");
+						index_3("0.001");
+						index_4("0.6571300, 0.7201951, 1.0207358, 1.1647871, 1.2488612");
+						values("1.39546, 1.27274, 1.19092, 1.27274, 1.39546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.746544");
+						index_3("0.001");
+						index_4("0.3855548, 0.4282105, 0.5881290, 0.7138073, 0.7728802");
+						values("1.39473, 1.27158, 1.18947, 1.27158, 1.39473");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.508078");
+						index_3("0.001");
+						index_4("0.2643969, 0.2961246, 0.4427336, 0.5056108, 0.5571669");
+						values("1.39534, 1.27255, 1.19068, 1.27255, 1.39534");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.23963");
+						index_3("0.001");
+						index_4("1.1301031, 1.2435884, 1.7693928, 2.0737030, 2.2146965");
+						values("0.944019, 0.55043, 0.288038, 0.55043, 0.944019");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006354;
+			capacitance : 0.006212;
+			fall_capacitance : 0.006069;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6702337, 3.5198409, 3.3975003, 3.3083210, 3.2146268, 3.1211727, 3.0709049", \
+					  "4.1131701, 3.9642883, 3.8388246, 3.7451720, 3.6620960, 3.5998307, 3.5169021", \
+					  "4.5732634, 4.4228557, 4.2959843, 4.1945747, 4.1320687, 4.0599238, 3.9556694", \
+					  "5.0251197, 4.8768690, 4.7271789, 4.6609381, 4.5633548, 4.5117801, 4.4129232", \
+					  "5.4267673, 5.2745486, 5.1200931, 5.0355767, 4.9611198, 4.8746805, 4.7678495", \
+					  "5.7592085, 5.6088017, 5.4876280, 5.4504492, 5.3594913, 5.2236018, 5.2190845", \
+					  "6.1650905, 6.0145029, 5.8878580, 5.8056953, 5.7098959, 5.6375738, 5.5447352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1890445, 4.0170709, 3.8346697, 3.6463932, 3.4744268, 3.2819883, 3.0849455", \
+					  "4.6478233, 4.4773761, 4.2933881, 4.1012219, 3.9290072, 3.7271751, 3.5578023", \
+					  "5.1022780, 4.9327043, 4.7478424, 4.5530191, 4.3951370, 4.1968685, 4.0139095", \
+					  "5.5260594, 5.3540863, 5.1704532, 4.9936777, 4.8181457, 4.5996773, 4.4285893", \
+					  "5.9412158, 5.7295691, 5.5440553, 5.3529588, 5.1904115, 4.9980502, 4.7952871", \
+					  "6.3401509, 6.1697037, 5.9783940, 5.7965231, 5.6242698, 5.4335157, 5.2341627", \
+					  "6.6893977, 6.5158988, 6.3336246, 6.1516479, 5.9734722, 5.7719481, 5.5843319");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5029632, -3.3634679, -3.2426701, -3.1428861, -3.0694176, -3.0279989, -2.8999482", \
+					  "-3.9472392, -3.8045053, -3.6667919, -3.1031506, -3.0207734, -3.4256395, -3.3738001", \
+					  "-4.3996173, -4.2600472, -4.1269696, -4.0466148, -3.9689724, -3.9195093, -3.7910832", \
+					  "-4.8489063, -4.7109349, -4.5821921, -4.4981980, -3.9099974, -4.3587060, -4.2861485", \
+					  "-5.2552036, -5.1155215, -4.9959727, -4.9016237, -4.8451791, -4.7694672, -4.6759300", \
+					  "-5.6408715, -5.5029756, -5.3695796, -5.2888611, -5.2381363, -5.1207138, -5.0552253", \
+					  "-5.9936724, -5.8603373, -5.7332048, -5.6551809, -5.5801072, -5.5030595, -5.4354922");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6784508, -3.5284175, -3.3353782, -3.1470124, -2.9435629, -2.7728439, -2.5948712", \
+					  "-4.1181487, -3.9696417, -3.7799525, -3.5732290, -3.4365530, -3.2156553, -3.0376343", \
+					  "-4.5735790, -4.4235457, -4.2459096, -4.0313008, -3.8479990, -3.6742262, -3.4915570", \
+					  "-5.0061361, -4.8561028, -4.6710269, -4.4668031, -4.2880816, -4.1046204, -3.9485328", \
+					  "-5.4049558, -5.2564483, -5.0683934, -4.8627735, -4.6764048, -4.4949233, -4.3014123", \
+					  "-5.7648177, -5.6149043, -5.4309310, -5.2241084, -5.0586177, -4.8592110, -4.6667352", \
+					  "-6.1782083, -6.0277598, -5.8519946, -5.6459452, -5.4644708, -5.2792088, -5.0901130");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263749, 0.0309620, 0.0355490, 0.0354413, 0.0353379, 0.0352302, 0.0351225");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224572, 0.0256981, 0.0289390, 0.0288389, 0.0287429, 0.0286428, 0.0285428");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.000988256";
+				miller_cap_rise : "0.000933588";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72805e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50166e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27045e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94293e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37091e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33867e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57987e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39291e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1038168, 0.2119605, 0.3317199, 0.4723342, 0.6694523");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1743548, 0.2822474, 0.4025512, 0.5445606, 0.7476207");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274997, 0.0489806, 0.0704971, 0.0917145, 0.1207964");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996057, 0.1212619, 0.1427823, 0.1643646, 0.1933294");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9591");
+						index_3("0.001");
+						index_4("10.4676679, 11.0648362, 13.3736406, 15.1131982, 15.5525648");
+						values("0.411066, 0.657706, 0.822132, 0.657706, 0.411066");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.736");
+						index_3("0.001");
+						index_4("6.3666665, 6.7742603, 8.5651160, 9.4968956, 9.7851099");
+						values("0.422248, 0.675596, 0.844495, 0.675596, 0.422248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64503");
+						index_3("0.001");
+						index_4("4.3267116, 4.6315711, 5.7864281, 6.6141170, 6.8251749");
+						values("0.425305, 0.680488, 0.85061, 0.680488, 0.425305");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.2846331, 5.6100521, 6.9203377, 7.5878338, 7.8119420");
+						values("0.21916, 0.350655, 0.438319, 0.350655, 0.21916");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.36798");
+						index_3("0.001");
+						index_4("3.2212822, 3.4467277, 4.3358957, 4.7664820, 4.9163113");
+						values("0.224426, 0.359081, 0.448852, 0.359081, 0.224426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32252");
+						index_3("0.001");
+						index_4("2.1941583, 2.3640283, 3.0198706, 3.3203460, 3.4299969");
+						values("0.225287, 0.360459, 0.450573, 0.360459, 0.225287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1039");
+						index_3("0.001");
+						index_4("9.4904305, 10.0992563, 12.5727974, 14.1861360, 14.6298090");
+						values("0.589983, 0.943972, 1.17997, 0.943972, 0.589983");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84381");
+						index_3("0.001");
+						index_4("1.4351560, 1.5600420, 2.1484236, 2.6012848, 2.7860726");
+						values("1.17048, 0.912762, 0.740952, 0.912762, 1.17048");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.66204");
+						index_3("0.001");
+						index_4("0.8424910, 0.9263899, 1.2751291, 1.5938573, 1.7252852");
+						values("1.16344, 0.901496, 0.72687, 0.901496, 1.16344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13381");
+						index_3("0.001");
+						index_4("0.5772234, 0.6409423, 0.9494789, 1.1282934, 1.2483425");
+						values("1.16017, 0.896273, 0.720341, 0.896273, 1.16017");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42191");
+						index_3("0.001");
+						index_4("0.7276572, 0.7944659, 1.1512676, 1.3223284, 1.4317136");
+						values("1.3906, 1.26495, 1.18119, 1.26495, 1.3906");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.831022");
+						index_3("0.001");
+						index_4("0.4292196, 0.4743594, 0.6682208, 0.8151679, 0.8995267");
+						values("1.38772, 1.26035, 1.17544, 1.26035, 1.38772");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.566904");
+						index_3("0.001");
+						index_4("0.2950762, 0.3293197, 0.4817812, 0.5783775, 0.6616633");
+						values("1.3852, 1.25632, 1.1704, 1.25632, 1.3852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.49307");
+						index_3("0.001");
+						index_4("1.2592985, 1.3834208, 1.9554018, 2.3587111, 2.5464943");
+						values("0.931197, 0.529914, 0.262393, 0.529914, 0.931197");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014607;
+			capacitance : 0.015082;
+			rise_capacitance : 0.015556;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116067, -0.0013654, 0.0088758, 0.0208884, 0.0324205, 0.0444331, 0.0564456");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439349, 0.1026500, 0.1613651, 0.4213280, 0.6708923, 0.9308551, 1.1908180");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00140765";
+				miller_cap_rise : "0.000949824";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("1.86224, 0.261617, 0.248448, 0.243376, 0.237248, 0.233626, 0.229499, 0.224725, 0.219135, 0.212586, 0.205034, 0.196522, 0.187094, 0.176763, 0.165526, 0.15337, 0.140282, 0.126246, 0.111245, 0.0952619, 0.0782795, 0.0602801, 0.0412459, 0.0211586, -8.94047e-10, -0.0442542, -0.0904651, -0.258781, -1.6818", \
+					  "1.78141, 0.136589, 0.127332, 0.125325, 0.123054, 0.121791, 0.120423, 0.118928, 0.117277, 0.115429, 0.113329, 0.110894, 0.108004, 0.104493, 0.10018, 0.0949387, 0.0887092, 0.0814577, 0.0731579, 0.0637854, 0.0533164, 0.0417272, 0.0289942, 0.0150932, -1.74633e-10, -0.0328174, -0.0685758, -0.207488, -1.67134", \
+					  "1.74053, 0.0740282, 0.0645802, 0.0636299, 0.0625893, 0.0620273, 0.061432, 0.0607981, 0.0601188, 0.0593855, 0.0585866, 0.0577068, 0.0567245, 0.0556084, 0.0543104, 0.0527514, 0.0507923, 0.0482024, 0.0447221, 0.040192, 0.0345406, 0.0277272, 0.0197192, 0.0104867, 5.33415e-10, -0.0241943, -0.0522083, -0.168879, -1.66313", \
+					  "1.72923, 0.0573232, 0.0467214, 0.0460315, 0.0452846, 0.044885, 0.0444649, 0.0440212, 0.0435501, 0.0430467, 0.042505, 0.0419168, 0.0412712, 0.040553, 0.0397397, 0.0387965, 0.0376641, 0.0362277, 0.0342557, 0.031429, 0.0275356, 0.022487, 0.0162378, 0.00875293, 8.20408e-10, -0.0209627, -0.0461043, -0.154397, -1.66017", \
+					  "1.71953, 0.044579, 0.0309787, 0.0305082, 0.0300049, 0.0297385, 0.0294605, 0.0291693, 0.028863, 0.0285392, 0.0281948, 0.0278259, 0.0274274, 0.0269924, 0.0265112, 0.0259698, 0.0253458, 0.0246006, 0.0236525, 0.0222955, 0.0201546, 0.016941, 0.0125425, 0.0069081, 1.12378e-09, -0.0175326, -0.0396434, -0.13901, -1.65706", \
+					  "1.71535, 0.0398515, 0.0240373, 0.0236617, 0.0232625, 0.0230524, 0.0228341, 0.0226064, 0.0223681, 0.0221176, 0.0218527, 0.0215709, 0.0212689, 0.0209422, 0.0205847, 0.0201879, 0.0197386, 0.0192154, 0.0185768, 0.0177182, 0.01635, 0.0140555, 0.010612, 0.00594139, 1.27631e-09, -0.0157395, -0.0362736, -0.130962, -1.65545", \
+					  "1.71166, 0.0362215, 0.0177949, 0.0175045, 0.0171982, 0.0170379, 0.0168721, 0.0167001, 0.016521, 0.0163337, 0.016137, 0.0159292, 0.0157082, 0.0154714, 0.0152151, 0.0149343, 0.0146215, 0.0142651, 0.0138449, 0.0133156, 0.012533, 0.011104, 0.00862512, 0.00494304, 1.42097e-09, -0.0138926, -0.0328085, -0.122674, -1.65382", \
+					  "1.70848, 0.0336962, 0.0123153, 0.0121002, 0.0118759, 0.0117593, 0.0116393, 0.0115154, 0.0113872, 0.0112539, 0.0111149, 0.0109692, 0.0108156, 0.0106525, 0.0104779, 0.0102891, 0.0100821, 0.00985109, 0.00958641, 0.00926952, 0.00884914, 0.00811715, 0.00658291, 0.00391055, 1.52218e-09, -0.0119905, -0.0292473, -0.11416, -1.65216", \
+					  "1.70587, 0.0323074, 0.00767831, 0.00752706, 0.00737413, 0.00729527, 0.00721454, 0.00713172, 0.00704654, 0.00695865, 0.00686768, 0.00677311, 0.00667435, 0.0065706, 0.00646087, 0.00634379, 0.00621751, 0.00607934, 0.00592514, 0.00574772, 0.00553117, 0.00521557, 0.00450099, 0.00284281, 1.37866e-09, -0.0100316, -0.0255909, -0.105454, -1.65052", \
+					  "1.70391, 0.0321495, 0.00402614, 0.00391639, 0.00382403, 0.00377688, 0.00372893, 0.0036801, 0.00363025, 0.00357925, 0.00352693, 0.00347308, 0.00341745, 0.00335972, 0.00329947, 0.00323617, 0.00316909, 0.00309721, 0.00301905, 0.00293221, 0.0028322, 0.00270693, 0.00248542, 0.00175786, -1.14917e-10, -0.00801403, -0.0218439, -0.0966208, -1.64888", \
+					  "1.70273, 0.033496, 0.00164763, 0.0014945, 0.00144937, 0.00142685, 0.00140414, 0.00138119, 0.00135797, 0.00133444, 0.00131056, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115508, 0.00112578, 0.00109477, 0.00106147, 0.00102489, 0.000982948, 0.000927812, 0.000769753, -8.54995e-09, -0.00593919, -0.0180205, -0.0877504, -1.64728", \
+					  "1.70243, 0.0364574, 0.000919792, 0.00036669, 0.000348577, 0.000341213, 0.000333853, 0.000326477, 0.000319078, 0.00031165, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265827, 0.000257807, 0.000249589, 0.000241111, 0.000232284, 0.00022296, 0.000212868, 0.000201262, 0.000181873, -5.14829e-08, -0.00383895, -0.0141643, -0.0789358, -1.64573", \
+					  "1.70272, 0.0405129, 0.00180641, 7.91113e-05, 5.63946e-05, 5.47923e-05, 5.32877e-05, 5.17934e-05, 5.03037e-05, 4.88171e-05, 4.73322e-05, 4.58478e-05, 4.43624e-05, 4.2874e-05, 4.13805e-05, 3.98788e-05, 3.83652e-05, 3.68345e-05, 3.52795e-05, 3.36896e-05, 3.20482e-05, 3.03252e-05, 2.84459e-05, 2.59347e-05, -2.97927e-07, -0.00190515, -0.0103883, -0.0702594, -1.64422", \
+					  "1.70323, 0.0450727, 0.00378492, 0.000127138, 7.16037e-06, 6.29059e-06, 5.97675e-06, 5.70171e-06, 5.43694e-06, 5.17716e-06, 4.92042e-06, 4.66574e-06, 4.41246e-06, 4.16005e-06, 3.90805e-06, 3.656e-06, 3.40335e-06, 3.14944e-06, 2.8934e-06, 2.634e-06, 2.36931e-06, 2.09596e-06, 1.80567e-06, 1.44921e-06, -1.75896e-06, -0.000610722, -0.00688781, -0.0617867, -1.64276", \
+					  "1.70379, 0.0498975, 0.00644802, 0.000602003, 7.73509e-07, -3.23894e-06, -3.92429e-06, -4.37863e-06, -4.77532e-06, -5.14594e-06, -5.50154e-06, -5.84742e-06, -6.18662e-06, -6.52106e-06, -6.85206e-06, -7.18057e-06, -7.5073e-06, -7.83281e-06, -8.15757e-06, -8.48203e-06, -8.8066e-06, -9.13185e-06, -9.45879e-06, -9.79268e-06, -1.04301e-05, -0.000127367, -0.00390126, -0.0535714, -1.64137", \
+					  "1.70437, 0.0549244, 0.0095639, 0.00179965, 7.9723e-08, -2.47782e-05, -2.8928e-05, -3.15158e-05, -3.37174e-05, -3.57445e-05, -3.76702e-05, -3.95298e-05, -4.13431e-05, -4.31229e-05, -4.48777e-05, -4.66135e-05, -4.83349e-05, -5.00453e-05, -5.17472e-05, -5.34427e-05, -5.51334e-05, -5.68208e-05, -5.8506e-05, -6.01903e-05, -6.1904e-05, -8.18974e-05, -0.00174397, -0.0456892, -1.64007", \
+					  "1.70497, 0.0601292, 0.0129673, 0.00355753, 1.0655e-08, -0.000157998, -0.000186955, -0.000202281, -0.000214406, -0.000225118, -0.000235011, -0.000244363, -0.000253327, -0.000262004, -0.000270459, -0.000278738, -0.000286877, -0.000294902, -0.000302832, -0.000310685, -0.000318472, -0.000326205, -0.000333892, -0.000341541, -0.00034916, -0.000366432, -0.000853672, -0.038387, -1.63895", \
+					  "1.70559, 0.0654931, 0.0165234, 0.005559, 3.9929e-09, -0.000685905, -0.00086878, -0.000944572, -0.000996147, -0.00103813, -0.00107485, -0.00110817, -0.00113911, -0.00116827, -0.00119605, -0.00122274, -0.00124854, -0.0012736, -0.00129804, -0.00132195, -0.00134542, -0.00136849, -0.00139122, -0.00141366, -0.00143583, -0.00147974, -0.00161028, -0.0322875, -1.63829", \
+					  "1.70622, 0.0709982, 0.0201276, 0.00761682, 3.35102e-09, -0.00163337, -0.00230506, -0.00257297, -0.00272669, -0.0028397, -0.00293242, -0.00301282, -0.00308488, -0.00315088, -0.00321227, -0.00327003, -0.00332486, -0.00337728, -0.00342769, -0.00347638, -0.0035236, -0.00356954, -0.00361437, -0.00365822, -0.0037012, -0.00378493, -0.00387956, -0.027801, -1.6382", \
+					  "1.70686, 0.0766265, 0.0237085, 0.00965235, 3.28282e-09, -0.00272644, -0.00420939, -0.00489866, -0.00525904, -0.0054982, -0.00568176, -0.00583383, -0.00596557, -0.00608304, -0.00618991, -0.00628861, -0.0063808, -0.00646769, -0.00655019, -0.00662899, -0.00670463, -0.00677753, -0.00684806, -0.0069165, -0.00698309, -0.00711151, -0.00723646, -0.0248657, -1.63862", \
+					  "1.70751, 0.0823593, 0.0272232, 0.0116353, 3.2693e-09, -0.00383068, -0.00627705, -0.00763145, -0.00835307, -0.00879674, -0.00911542, -0.00936744, -0.00957851, -0.00976189, -0.00992532, -0.0100737, -0.0102102, -0.0103373, -0.0104566, -0.0105694, -0.0106767, -0.0107793, -0.0108778, -0.0109727, -0.0110645, -0.01124, -0.0114066, -0.0234809, -1.63942", \
+					  "1.70817, 0.0881779, 0.0306485, 0.0135544, 3.26125e-09, -0.00490811, -0.00835984, -0.0105433, -0.0118064, -0.0125628, -0.0130758, -0.013463, -0.0137763, -0.0140415, -0.0142731, -0.0144798, -0.0146675, -0.0148401, -0.0150005, -0.0151507, -0.0152925, -0.015427, -0.0155554, -0.0156783, -0.0157964, -0.0160205, -0.0162312, -0.0237947, -1.64055", \
+					  "1.70883, 0.0940625, 0.0339732, 0.0154058, 3.25401e-09, -0.00594818, -0.0104009, -0.0134895, -0.0154494, -0.01665, -0.0174353, -0.0180028, -0.0184462, -0.0188117, -0.0191243, -0.0193988, -0.0196446, -0.0198681, -0.0200737, -0.0202647, -0.0204436, -0.0206122, -0.0207719, -0.0209241, -0.0210696, -0.0213438, -0.0215994, -0.0259489, -1.64197", \
+					  "1.70951, 0.0999926, 0.0371928, 0.0171891, 3.24712e-09, -0.00694817, -0.0123795, -0.0163945, -0.0191513, -0.0209284, -0.0220819, -0.022887, -0.023495, -0.0239828, -0.0243912, -0.0247438, -0.0250553, -0.0253353, -0.0255905, -0.0258256, -0.0260441, -0.0262487, -0.0264415, -0.0266242, -0.026798, -0.0271234, -0.0274245, -0.0298691, -1.64365", \
+					  "1.71019, 0.105947, 0.0403065, 0.0189056, 3.24054e-09, -0.00790803, -0.0142882, -0.0192235, -0.0228257, -0.0252869, -0.0269141, -0.028027, -0.0288423, -0.0294789, -0.0300004, -0.030443, -0.0308285, -0.0311712, -0.0314804, -0.031763, -0.0320237, -0.0322664, -0.0324938, -0.0327082, -0.0329113, -0.0332892, -0.0336362, -0.03519, -1.64559", \
+					  "1.71158, 0.117843, 0.0462218, 0.0221462, 3.22822e-09, -0.00971186, -0.0178918, -0.024605, -0.0299204, -0.0339275, -0.0367849, -0.0387606, -0.0401552, -0.041192, -0.0420051, -0.0426708, -0.0432342, -0.0437231, -0.0441558, -0.0445447, -0.0448986, -0.0452239, -0.0455254, -0.045807, -0.0460714, -0.0465578, -0.0469986, -0.0482125, -1.65025", \
+					  "1.71298, 0.129577, 0.0517392, 0.0251464, 3.21693e-09, -0.0113715, -0.0212209, -0.0296043, -0.0365744, -0.0421826, -0.0464955, -0.0496482, -0.0518899, -0.0535093, -0.0547305, -0.0556947, -0.0564862, -0.0571561, -0.057737, -0.0582501, -0.0587103, -0.0591281, -0.0595113, -0.0598656, -0.0601956, -0.0607961, -0.0613336, -0.0627001, -1.65594", \
+					  "1.71722, 0.161618, 0.0661652, 0.0328956, 3.1882e-09, -0.0156116, -0.0297669, -0.0425078, -0.0538718, -0.0638894, -0.0725781, -0.0799365, -0.0859511, -0.0906475, -0.0941744, -0.0967958, -0.098782, -0.100337, -0.101597, -0.102647, -0.103544, -0.104326, -0.105019, -0.10564, -0.106204, -0.107197, -0.108054, -0.110105, -1.676", \
+					  "1.7238, 0.2061, 0.0845438, 0.0425795, 3.15313e-09, -0.0208195, -0.0403278, -0.0585539, -0.0755251, -0.0912657, -0.105795, -0.119124, -0.131251, -0.142151, -0.151766, -0.160013, -0.166819, -0.172218, -0.176392, -0.179612, -0.182138, -0.184167, -0.185838, -0.187247, -0.188457, -0.190454, -0.192058, -0.195552, -1.71252");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2122330, 0.4867790, 0.7831018, 1.1114446, 1.5636206");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2877912, 0.5623319, 0.8586944, 1.1873077, 1.6396450");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2055833, 0.4672801, 0.7370559, 1.0235279, 1.3948394");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2805561, 0.5422927, 0.8121293, 1.0993198, 1.4700826");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("52.2339");
+						index_3("0.001");
+						index_4("26.1541236, 27.6505147, 37.1014323, 42.0474896, 43.5931979");
+						values("0.412108, 0.659373, 0.824217, 0.659373, 0.412108");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("30.1967");
+						index_3("0.001");
+						index_4("15.1396745, 16.1385692, 22.2428547, 25.1236573, 26.1645489");
+						values("0.421628, 0.674606, 0.843257, 0.674606, 0.421628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("20.1553");
+						index_3("0.001");
+						index_4("10.1175095, 10.8671952, 15.0546332, 17.2171260, 17.9911448");
+						values("0.427598, 0.684157, 0.855196, 0.684157, 0.427598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("26.117");
+						index_3("0.001");
+						index_4("13.1823588, 14.0107462, 18.9627243, 21.1484436, 21.9735772");
+						values("0.213742, 0.341987, 0.427483, 0.341987, 0.213742");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("15.0983");
+						index_3("0.001");
+						index_4("7.6489540, 8.1981236, 11.3134178, 12.6510235, 13.2080064");
+						values("0.217478, 0.347965, 0.434956, 0.347965, 0.217478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("10.0776");
+						index_3("0.001");
+						index_4("5.1227389, 5.5300310, 7.7815878, 8.6673996, 9.0976946");
+						values("0.219756, 0.351609, 0.439512, 0.351609, 0.219756");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("45.295");
+						index_3("0.001");
+						index_4("22.5910643, 24.0639499, 32.6848062, 37.5073632, 39.0444014");
+						values("0.603101, 0.964962, 1.2062, 0.964962, 0.603101");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("42.5441");
+						index_3("0.001");
+						index_4("21.3278776, 22.7283334, 30.8832079, 35.4805496, 36.8679901");
+						values("1.2365, 0.988407, 0.823009, 0.988407, 1.2365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("24.7316");
+						index_3("0.001");
+						index_4("12.4194279, 13.3640485, 18.4132848, 21.3209441, 22.2649565");
+						values("1.22642, 0.972279, 0.802848, 0.972279, 1.22642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("16.629");
+						index_3("0.001");
+						index_4("8.3661721, 9.0807386, 13.0418651, 14.7209776, 15.4224954");
+						values("1.22074, 0.963184, 0.79148, 0.963184, 1.22074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("21.272");
+						index_3("0.001");
+						index_4("10.7472139, 11.4980763, 16.2472373, 17.8640017, 18.5909708");
+						values("1.44076, 1.31522, 1.23152, 1.31522, 1.44076");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("12.3658");
+						index_3("0.001");
+						index_4("6.2738625, 6.7825978, 9.3554857, 10.7459615, 11.2525635");
+						values("1.43725, 1.3096, 1.2245, 1.3096, 1.43725");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("8.31451");
+						index_3("0.001");
+						index_4("4.2364397, 4.6267034, 6.6311838, 7.4235934, 7.8120434");
+						values("1.43524, 1.30638, 1.22048, 1.30638, 1.43524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("37.0974");
+						index_3("0.001");
+						index_4("18.5507857, 19.9419269, 27.3350433, 31.7967924, 33.1806411");
+						values("1.02915, 0.656634, 0.408292, 0.656634, 1.02915");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.030962;
+			capacitance : 0.030976;
+			fall_capacitance : 0.030989;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044549, -0.0042680, -0.0040812, -0.0042472, -0.0044066, -0.0045726, -0.0047387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0528958, 0.0764351, 0.0999744, 0.0998857, 0.0998006, 0.0997119, 0.0996232");
+				}
+			}
+			/* Copied over from enable_vswitch_h. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006596;
+			capacitance : 0.006450;
+			fall_capacitance : 0.006304;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0774063, -0.2179084, -0.3410472, -0.4334523, -0.5171666, -0.5998993, -0.6736859", \
+					  "0.3661463, 0.2348286, 0.1089237, 0.0112132, -0.0750987, -0.1649495, -0.2375447", \
+					  "0.8100215, 0.6798310, 0.5683376, 0.4777308, 0.3983915, 0.3179179, 0.2240285", \
+					  "1.2330517, 1.0977495, 0.9919647, 0.9080393, 0.8305834, 0.7447017, 0.6670371", \
+					  "1.6375096, 1.4743166, 1.3807624, 1.3032093, 1.2229366, 1.1410475, 1.0862151", \
+					  "2.0307056, 1.8985833, 1.7442802, 1.6643387, 1.5993514, 1.5238088, 1.4715047", \
+					  "2.3902809, 2.2737785, 2.1104909, 2.0137639, 1.9664968, 1.8813478, 1.8183169");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3337404, -0.5047814, -0.6894201, -0.8709674, -1.0385685, -1.2259194, -1.3586933", \
+					  "0.1026319, -0.0726835, -0.2539427, -0.4496022, -0.6248265, -0.7973195, -0.9794963", \
+					  "0.5418514, 0.3785308, 0.2083960, 0.0168109, -0.1710050, -0.3624440, -0.5466349", \
+					  "0.9643008, 0.8079360, 0.6279105, 0.4525999, 0.2778543, 0.0776511, -0.0907839", \
+					  "1.3470207, 1.1782561, 1.0378817, 0.8449287, 0.6714458, 0.4904320, 0.2908434", \
+					  "1.7627210, 1.5380087, 1.4043649, 1.2118081, 1.0440019, 0.8910825, 0.7013185", \
+					  "2.1337665, 1.9425022, 1.7481360, 1.5851616, 1.4199513, 1.2593131, 1.0493598");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4735606, 0.6247309, 0.7478874, 0.8430556, 0.9353136, 1.0211272, 1.0796092", \
+					  "0.0230268, 0.1697260, 0.2960048, 0.3907732, 0.4523912, 0.5426262, 0.6114209", \
+					  "-0.4160068, -0.2765302, -0.1554966, -0.0633616, 0.0010369, 0.0802300, 0.1594369", \
+					  "-0.8114308, -0.6931115, -0.5784480, -0.4892964, -0.4171149, -0.3164848, -0.2390226", \
+					  "-1.2177282, -1.0810984, -0.9662728, -0.8713668, -0.7943160, -0.7185361, -0.6322401", \
+					  "-1.6079736, -1.4362486, -1.3412595, -1.2506404, -1.1656906, -1.0812457, -1.0642398", \
+					  "-1.9699297, -1.7646354, -1.6849051, -1.5988637, -1.5247306, -1.4352299, -1.3783122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4415065, 0.6369806, 0.7896292, 0.9954749, 1.1430934, 1.3024875, 1.5234444", \
+					  "-0.0102981, 0.1719051, 0.3696177, 0.5565603, 0.7029893, 0.8842736, 1.0736562", \
+					  "-0.4328029, -0.2753332, -0.0788555, 0.0937090, 0.2866518, 0.4754247, 0.6529799", \
+					  "-0.8426939, -0.6690556, -0.5074518, -0.3259332, -0.1542790, 0.0412818, 0.2036161", \
+					  "-1.2315603, -1.0643869, -0.8909955, -0.7141967, -0.5360245, -0.3447124, -0.1857252", \
+					  "-1.5737718, -1.4247721, -1.2835794, -1.0831789, -0.9186146, -0.7835795, -0.6017080", \
+					  "-1.9699411, -1.7329334, -1.6195395, -1.4507585, -1.2828433, -1.0820365, -0.9417408");
+				}
+			}
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263717, 0.0308353, 0.0352989, 0.0351979, 0.0351008, 0.0349998, 0.0348987");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225191, 0.0257149, 0.0289107, 0.0288101, 0.0287134, 0.0286128, 0.0285121");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987884";
+				miller_cap_rise : "0.000932598";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72796e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14966e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50173e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27052e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.943e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20486e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37097e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33874e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57997e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39283e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1034027, 0.2100632, 0.3304757, 0.4688756, 0.6654270");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1740046, 0.2812572, 0.4008897, 0.5422689, 0.7444183");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274444, 0.0488197, 0.0702212, 0.0913668, 0.1202208");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0995425, 0.1211047, 0.1425229, 0.1640048, 0.1928435");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.8784");
+						index_3("0.001");
+						index_4("10.4272256, 11.0221904, 13.3180288, 15.0551278, 15.4926824");
+						values("0.411714, 0.658743, 0.823428, 0.658743, 0.411714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.6869");
+						index_3("0.001");
+						index_4("6.3419616, 6.7482301, 8.5138193, 9.4600746, 9.7473619");
+						values("0.423087, 0.676939, 0.846173, 0.676939, 0.423087");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.61173");
+						index_3("0.001");
+						index_4("4.3100144, 4.6136258, 5.7631878, 6.5887420, 6.7989178");
+						values("0.425964, 0.681543, 0.851928, 0.681543, 0.425964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4392");
+						index_3("0.001");
+						index_4("5.2634097, 5.5889536, 6.7345715, 7.5600376, 7.7828200");
+						values("0.219506, 0.35121, 0.439013, 0.35121, 0.219506");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.34345");
+						index_3("0.001");
+						index_4("3.2090473, 3.4337905, 4.3158534, 4.7483073, 4.8973404");
+						values("0.224875, 0.3598, 0.44975, 0.3598, 0.224875");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.30586");
+						index_3("0.001");
+						index_4("2.1847635, 2.3521617, 2.9146560, 3.3077238, 3.4167086");
+						values("0.225488, 0.360781, 0.450976, 0.360781, 0.225488");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.0303");
+						index_3("0.001");
+						index_4("9.4537725, 10.0611516, 12.5044236, 14.1306665, 14.5735003");
+						values("0.590784, 0.945255, 1.18157, 0.945255, 0.590784");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.83124");
+						index_3("0.001");
+						index_4("1.4289035, 1.5532746, 2.1406801, 2.5900774, 2.7740609");
+						values("1.17023, 0.912372, 0.740465, 0.912372, 1.17023");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.65469");
+						index_3("0.001");
+						index_4("0.8386711, 0.9223326, 1.3322537, 1.5880203, 1.7189978");
+						values("1.16173, 0.898761, 0.723451, 0.898761, 1.16173");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.12879");
+						index_3("0.001");
+						index_4("0.5747143, 0.6381486, 0.9469523, 1.1234158, 1.2420637");
+						values("1.16003, 0.896049, 0.720061, 0.896049, 1.16003");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.41562");
+						index_3("0.001");
+						index_4("0.7245504, 0.7913956, 1.0564779, 1.3147487, 1.4238732");
+						values("1.39051, 1.26481, 1.18101, 1.26481, 1.39051");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.827347");
+						index_3("0.001");
+						index_4("0.4274108, 0.4723740, 0.6656033, 0.8115374, 0.8954472");
+						values("1.38773, 1.26037, 1.17546, 1.26037, 1.38773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.564397");
+						index_3("0.001");
+						index_4("0.2938385, 0.3279803, 0.4794403, 0.5758489, 0.6587186");
+						values("1.38519, 1.2563, 1.17037, 1.2563, 1.38519");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.48204");
+						index_3("0.001");
+						index_4("1.2538393, 1.3774614, 1.9482284, 2.3481997, 2.5351272");
+						values("0.930639, 0.529023, 0.261278, 0.529023, 0.930639");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013755;
+			capacitance : 0.013699;
+			fall_capacitance : 0.013642;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6662346, -3.5235174, -3.3934146, -3.3058473, -3.2231564, -3.1864502, -3.0699307", \
+					  "-4.1059327, -3.9632157, -3.8300729, -3.7394418, -3.6684357, -3.5971705, -3.5253731", \
+					  "-4.5613630, -4.4186456, -4.2904664, -4.2025016, -4.1136096, -4.0806729, -3.9775736", \
+					  "-5.0106516, -4.8694606, -4.7411730, -4.6691115, -4.5554331, -4.5217241, -4.4160344", \
+					  "-5.4169493, -5.2742319, -5.1470985, -5.0580879, -4.9632056, -4.8771167, -4.8343527", \
+					  "-5.8026168, -5.6584188, -5.5404780, -5.4437558, -5.3539934, -5.3003728, -5.2123911", \
+					  "-6.1615212, -6.0170732, -5.8888927, -5.8187590, -5.7334903, -5.6270679, -5.5442017");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5273901, -3.3309342, -3.1347271, -3.0188067, -2.8150812, -2.6167812, -2.4410111", \
+					  "-3.9716658, -3.7651897, -3.5983718, -3.4627929, -3.2277847, -3.0450233, -2.8965661", \
+					  "-4.4091559, -4.2112609, -4.0416650, -3.9030847, -3.6781953, -3.4868825, -3.3212781", \
+					  "-4.8733330, -4.6920150, -4.5148194, -4.3734063, -4.1423724, -3.9512106, -3.7836061", \
+					  "-5.2796302, -5.0762063, -4.9122188, -4.7760224, -4.5328694, -4.3571086, -4.2380887", \
+					  "-5.6652982, -5.4651094, -5.2995010, -5.1628206, -4.9251822, -4.7323311, -4.6179675", \
+					  "-6.0242026, -5.8264257, -5.6691120, -5.5121207, -5.2856126, -5.1096285, -4.9443074");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0806974, 3.9348789, 3.8082438, 3.7097141, 3.6250385, 3.5277477, 3.4686543", \
+					  "4.5221394, 4.3778468, 4.2508435, 4.1511948, 4.0585142, 4.0081394, 3.9381456", \
+					  "4.9807214, 4.8365381, 4.7078997, 4.6082398, 4.5229014, 4.4462348, 4.3721829", \
+					  "5.4135290, 5.2661846, 5.1437590, 5.0425471, 4.9541836, 4.8727216, 4.8019387", \
+					  "5.8019765, 5.6608290, 5.5352574, 5.4328176, 5.3411038, 5.2474849, 5.1919112", \
+					  "6.1683279, 6.0301384, 5.9000829, 5.7944696, 5.7431298, 5.6340708, 5.5860328", \
+					  "6.5855639, 6.4397463, 6.3160853, 6.2060306, 6.1267234, 6.0590922, 5.9887395");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7160133, 3.5771102, 3.3600310, 3.1590442, 2.9905761, 2.8211904, 2.6293406", \
+					  "4.1587603, 4.0351813, 3.8165482, 3.6405810, 3.4535009, 3.2777359, 3.0888705", \
+					  "4.6267699, 4.4878672, 4.2575426, 4.0937656, 3.9202342, 3.7258437, 3.5354344", \
+					  "5.0387439, 4.9311859, 4.6994056, 4.5053244, 4.3151103, 4.1763431, 3.9871558", \
+					  "5.4902454, 5.3280578, 5.1354559, 4.9181608, 4.7608284, 4.5768387, 4.3799722", \
+					  "5.8596832, 5.7207806, 5.5095500, 5.3145206, 5.1326044, 4.9679903, 4.7618472", \
+					  "6.2128514, 6.0765933, 5.8659222, 5.6749146, 5.5193315, 5.3119258, 5.1001126");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224721, 0.0257553, 0.0290384, 0.0289108, 0.0287884, 0.0286608, 0.0285332");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263728, 0.0309450, 0.0355171, 0.0354051, 0.0352975, 0.0351854, 0.0350733");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000984778";
+				miller_cap_rise : "0.000925039";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72806e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50165e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27043e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94291e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37089e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33864e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57986e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39292e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039606, 0.2121597, 0.3321481, 0.4731003, 0.6703353");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744746, 0.2828639, 0.4027054, 0.5434460, 0.7407177");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0275871, 0.0490914, 0.0706230, 0.0919709, 0.1210138");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996582, 0.1213416, 0.1429670, 0.1647125, 0.1938020");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9869");
+						index_3("0.001");
+						index_4("10.4711798, 11.0726242, 13.3119249, 15.1413414, 15.5798103");
+						values("0.414729, 0.663567, 0.829458, 0.663567, 0.414729");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7528");
+						index_3("0.001");
+						index_4("6.3754004, 6.7832083, 8.5244658, 9.5056741, 9.7967010");
+						values("0.422515, 0.676023, 0.845029, 0.676023, 0.422515");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.65649");
+						index_3("0.001");
+						index_4("4.3322928, 4.6376993, 5.7992672, 6.6229889, 6.8343549");
+						values("0.425618, 0.680989, 0.851237, 0.680989, 0.425618");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4934");
+						index_3("0.001");
+						index_4("5.2901455, 5.6180763, 6.7353850, 7.6001417, 7.8239655");
+						values("0.218709, 0.349934, 0.437418, 0.349934, 0.218709");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37642");
+						index_3("0.001");
+						index_4("3.2254724, 3.4506936, 4.3534319, 4.7738382, 4.9229052");
+						values("0.224455, 0.359129, 0.448911, 0.359129, 0.224455");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32824");
+						index_3("0.001");
+						index_4("2.1970765, 2.3672250, 3.0199840, 3.3247972, 3.4345379");
+						values("0.225361, 0.360577, 0.450721, 0.360577, 0.225361");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1293");
+						index_3("0.001");
+						index_4("9.5022611, 10.1160056, 12.4915030, 14.2038938, 14.6492185");
+						values("0.590062, 0.944099, 1.18012, 0.944099, 0.590062");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84955");
+						index_3("0.001");
+						index_4("1.4380760, 1.5631958, 2.1531860, 2.6065543, 2.7916954");
+						values("1.16999, 0.911977, 0.739971, 0.911977, 1.16999");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.6654");
+						index_3("0.001");
+						index_4("0.8440436, 0.9281940, 1.3404670, 1.5982726, 1.7301052");
+						values("1.16142, 0.898275, 0.722844, 0.898275, 1.16142");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.1361");
+						index_3("0.001");
+						index_4("0.5783598, 0.6421138, 0.8835333, 1.1300784, 1.2496487");
+						values("1.15978, 0.895652, 0.719565, 0.895652, 1.15978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42478");
+						index_3("0.001");
+						index_4("0.7293547, 0.7960119, 1.0787765, 1.3217579, 1.4330570");
+						values("1.38986, 1.26377, 1.17971, 1.26377, 1.38986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.832699");
+						index_3("0.001");
+						index_4("0.4301439, 0.4753052, 0.6709363, 0.8160215, 0.9007391");
+						values("1.38755, 1.26007, 1.17509, 1.26007, 1.38755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.568048");
+						index_3("0.001");
+						index_4("0.2957065, 0.3299757, 0.4829697, 0.5795484, 0.6627410");
+						values("1.38499, 1.25599, 1.16998, 1.25599, 1.38499");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.4981");
+						index_3("0.001");
+						index_4("1.2618608, 1.3861710, 1.9601760, 2.3634569, 2.5515635");
+						values("0.930491, 0.528785, 0.260982, 0.528785, 0.930491");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.518265;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.4709746, 0.4784262, 0.4891377, 0.5070763, 0.5436270, 0.6014861, 0.8635238", \
+					  "-0.5070500, -0.5019151, -0.4888559, -0.4718216, -0.4326391, -0.3757808, -0.1111515", \
+					  "-0.3454389, -0.3443206, -0.3461385, -0.3424343, -0.3307182, -0.3032259, -0.0434266", \
+					  "-0.1616749, -0.1594890, -0.1694245, -0.1592875, -0.1578880, -0.1586050, 0.0184719", \
+					  "0.1281601, 0.1376252, 0.1282466, 0.1375793, 0.1398359, 0.1398585, 0.1356698", \
+					  "0.1806815, 0.1887427, 0.1804351, 0.1904643, 0.1916735, 0.1884371, 0.1923620", \
+					  "0.2237826, 0.2350515, 0.2257350, 0.2358357, 0.2373518, 0.2338525, 0.2387410");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5907662, 0.5947313, 0.6031021, 0.6166587, 0.6355970, 0.6637926, 0.7099852", \
+					  "1.6073442, 1.6116546, 1.6196162, 1.6328216, 1.6513697, 1.6786980, 1.7240927", \
+					  "1.5553413, 1.5603922, 1.5717227, 1.5899883, 1.6166741, 1.6617276, 1.7195207", \
+					  "1.6541184, 1.6428316, 1.6436759, 1.6449052, 1.6442837, 1.6476672, 1.6726718", \
+					  "1.7966565, 1.7895452, 1.7877775, 1.7889608, 1.7921617, 1.7916933, 1.8026735", \
+					  "1.8532736, 1.8457260, 1.8446343, 1.8453342, 1.8581365, 1.8480241, 1.8606562", \
+					  "1.8586261, 1.8558636, 1.8463917, 1.8465917, 1.8598445, 1.8493696, 1.8617453");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.6178647, 0.6309351, 0.6617586, 0.7316540, 0.8351122, 0.8446205, 0.8405930", \
+					  "-0.2565612, -0.2363088, -0.2066968, -0.1367518, -0.0335200, -0.0206961, -0.0275122", \
+					  "-0.0538115, -0.0325179, -0.0054390, 0.0658555, 0.1681093, 0.1789904, 0.1766773", \
+					  "0.3938975, 0.4057211, 0.4062303, 0.4064106, 0.4152651, 0.3866411, 0.3558577", \
+					  "0.5849112, 0.5973873, 0.5968771, 0.5986233, 0.6025923, 0.5961155, 0.5630792", \
+					  "0.7409620, 0.7519683, 0.7485825, 0.7482560, 0.7571819, 0.7445995, 0.7502841", \
+					  "0.8869987, 0.9021626, 0.8948058, 0.8962456, 0.9015050, 0.8908563, 0.8950149");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.9054365, 0.9103299, 0.9210225, 0.9407611, 0.9735643, 1.0199269, 1.0568733", \
+					  "1.9070685, 1.9123264, 1.9231444, 1.9421749, 1.9815096, 2.0213460, 2.0580820", \
+					  "1.9058323, 1.9109382, 1.9212774, 1.9409389, 1.9833957, 2.0195193, 2.0580553", \
+					  "1.8968436, 1.9026291, 1.9132716, 1.9283826, 1.9785332, 2.0156108, 2.0517518", \
+					  "1.8523085, 1.8582850, 1.8714101, 1.8950948, 1.9448278, 1.9986246, 2.0409638", \
+					  "1.9225554, 1.9224856, 1.9251426, 1.9355420, 1.9389820, 1.9576474, 1.9989281", \
+					  "1.9915688, 1.9898343, 2.0021797, 2.0040380, 2.0043260, 2.0078891, 1.9982336");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5608986, 0.5737073, 0.6039250, 0.6744709, 0.7753316, 0.7867645, 0.7820514", \
+					  "-0.4948568, -0.4833666, -0.4532519, -0.3841467, -0.2775042, -0.2686824, -0.2740053", \
+					  "-0.4388933, -0.4295184, -0.4163075, -0.3500179, -0.2590556, -0.2524994, -0.2577239", \
+					  "-0.1672225, -0.1653720, -0.1756160, -0.1655129, -0.1626196, -0.1648940, -0.2394040", \
+					  "-0.1533508, -0.1516854, -0.1634999, -0.1514087, -0.1467682, -0.1500592, -0.1548485", \
+					  "-0.1519710, -0.1387075, -0.1487752, -0.1457202, -0.1361274, -0.1371411, -0.1486350", \
+					  "-0.1345895, -0.1257354, -0.1356978, -0.1331073, -0.1205961, -0.1228208, -0.1352894");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.8494760, 0.8551666, 0.8667456, 0.8864118, 0.9213918, 0.9713479, 1.0114220", \
+					  "1.8668400, 1.8726392, 1.8846571, 1.9046327, 1.9379583, 1.9877351, 2.0283690", \
+					  "1.8602977, 1.8660750, 1.8770022, 1.8978319, 1.9313949, 1.9803501, 2.0215218", \
+					  "1.8567758, 1.8624386, 1.8734545, 1.8938163, 1.9270781, 1.9769913, 2.0180936", \
+					  "1.8512585, 1.8584973, 1.8684670, 1.8853046, 1.9233460, 1.9749152, 2.0148074", \
+					  "1.8286466, 1.8364526, 1.8472778, 1.8723814, 1.9089895, 1.9670947, 2.0094646", \
+					  "1.7942172, 1.8129210, 1.8141714, 1.8472960, 1.8767312, 1.9414418, 1.9869588");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.7277388, 0.7312817, 0.7387439, 0.7500709, 0.7656809, 0.7863175, 0.8214479", \
+					  "0.7238581, 0.7274020, 0.7347568, 0.7461155, 0.7619933, 0.7824899, 0.8181965", \
+					  "0.7200900, 0.7238813, 0.7312614, 0.7420972, 0.7577154, 0.7781677, 0.8141652", \
+					  "0.7199398, 0.7234913, 0.7309290, 0.7424840, 0.7581558, 0.7785604, 0.8154305", \
+					  "0.7193072, 0.7230259, 0.7301485, 0.7423652, 0.7582237, 0.7787188, 0.8152205", \
+					  "0.7199528, 0.7235038, 0.7309405, 0.7424246, 0.7574091, 0.7779352, 0.8157831", \
+					  "0.7195848, 0.7231488, 0.7305956, 0.7422809, 0.7580433, 0.7785329, 0.8149253");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("1.5317099, 1.5348844, 1.5328816, 1.5321826, 1.5328207, 1.5387555, 1.5459022", \
+					  "1.5274920, 1.5302229, 1.5283372, 1.5264311, 1.5281858, 1.5324618, 1.5420560", \
+					  "1.5210548, 1.5213597, 1.5223566, 1.5209493, 1.5227135, 1.5286339, 1.5361728", \
+					  "1.5233775, 1.5217771, 1.5228654, 1.5218806, 1.5231030, 1.5267988, 1.5365534", \
+					  "1.5234757, 1.5216895, 1.5228012, 1.5217105, 1.5233906, 1.5286092, 1.5353348", \
+					  "1.5214304, 1.5224651, 1.5230878, 1.5213923, 1.5234987, 1.5289293, 1.5374130", \
+					  "1.5208298, 1.5202800, 1.5224818, 1.5208511, 1.5225327, 1.5284066, 1.5369430");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.2930626, 2.3110573, 2.3434308, 2.4099336, 2.5415505, 2.8045943, 3.3327849", \
+					  "5.0356714, 5.0521063, 5.0854636, 5.1514886, 5.2826601, 5.5464264, 6.0748406", \
+					  "7.4251094, 7.4446360, 7.4707778, 7.5414555, 7.6753537, 7.9364906, 8.4672953", \
+					  "7.9563351, 7.9781568, 8.0021012, 8.0740135, 8.2088958, 8.4690415, 9.0009324", \
+					  "11.1497680, 11.1671460, 11.2006510, 11.2644720, 11.3978210, 11.6585140, 12.1899440", \
+					  "13.8204830, 13.8316400, 13.8703600, 13.9291510, 14.0623390, 14.3027700, 14.8544330", \
+					  "15.8372270, 15.8513060, 15.8864530, 15.9525900, 16.0820460, 16.3220260, 16.8738640", \
+					  "18.6645630, 18.6769980, 18.7220980, 18.8014630, 18.9404420, 19.1999660, 19.7120240", \
+					  "57.5485370, 57.5485402, 57.5817440, 57.5873820, 57.6897920, 58.0805480, 58.5291670", \
+					  "82.1715100, 82.2746170, 82.2801030, 82.2801095, 82.4090950, 82.7555060, 83.1950190", \
+					  "187.5941000, 187.7265400, 187.7265549, 187.7265702, 187.9584200, 187.9584275, 188.4463900", \
+					  "565.5955400, 565.9700300, 565.9700623, 565.9701233, 565.9701844, 566.0920100, 566.6565800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1386815, 0.1589119, 0.2002555, 0.2840771, 0.4548312, 0.8025699, 1.4997412", \
+					  "0.1396229, 0.1601819, 0.2026080, 0.2860384, 0.4576300, 0.8011217, 1.4994250", \
+					  "0.1398499, 0.1600271, 0.2017482, 0.2863201, 0.4578997, 0.8013858, 1.4981991", \
+					  "0.1392020, 0.1600908, 0.2019525, 0.2863172, 0.4564276, 0.8013903, 1.4980832", \
+					  "0.1392087, 0.1605605, 0.2019157, 0.2863564, 0.4571320, 0.8013849, 1.4976781", \
+					  "0.1399797, 0.1605659, 0.2019693, 0.2863545, 0.4571173, 0.8013820, 1.4976332", \
+					  "0.1393548, 0.1605036, 0.2019083, 0.2872687, 0.4571955, 0.8010202, 1.4988192", \
+					  "0.1402773, 0.1600328, 0.2018042, 0.2865808, 0.4579999, 0.8004943, 1.4988676", \
+					  "0.1396036, 0.1599786, 0.2025141, 0.2872529, 0.4579240, 0.8013616, 1.4996482", \
+					  "0.1397625, 0.1600168, 0.2017498, 0.2872375, 0.4576020, 0.8004959, 1.4995925", \
+					  "0.1396003, 0.1599786, 0.2026033, 0.2863488, 0.4573081, 0.8007378, 1.5002515", \
+					  "0.1397832, 0.1599251, 0.2018096, 0.2872875, 0.4579646, 0.8004512, 1.4997524");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.3580646, 2.3708377, 2.3969171, 2.4428497, 2.5258815, 2.6635951, 2.8836804", \
+					  "5.3044822, 5.3181091, 5.3438267, 5.3903433, 5.4729656, 5.6103366, 5.8289258", \
+					  "8.0281177, 8.0281187, 8.0520168, 8.0991622, 8.1794731, 8.3190157, 8.5281480", \
+					  "8.6140934, 8.6280130, 8.6536414, 8.7013303, 8.7799998, 8.9213446, 9.1264960", \
+					  "12.1566750, 12.1566759, 12.1927770, 12.2406950, 12.2966190, 12.4587960, 12.6817510", \
+					  "15.0187450, 15.0230140, 15.0591860, 15.1129260, 15.1980400, 15.3309670, 15.5569800", \
+					  "17.1851210, 17.1994990, 17.2255860, 17.2695830, 17.3398660, 17.4895530, 17.7017530", \
+					  "20.1785420, 20.2112780, 20.2112799, 20.2589070, 20.3188030, 20.4817430, 20.6731400", \
+					  "59.2247820, 59.2247830, 59.2490930, 59.2995060, 59.3954360, 59.5329190, 59.7391930", \
+					  "82.6979940, 82.7111820, 82.7463470, 82.7723450, 82.8609360, 82.9965780, 83.2226310", \
+					  "177.6548700, 177.6548844, 177.7326900, 177.7543200, 177.7959000, 177.9520200, 178.1602900", \
+					  "484.0951500, 484.1300600, 484.1373900, 484.2265800, 484.2266083, 484.4984000, 484.5850900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1207172, 0.1307149, 0.1514868, 0.1921159, 0.2642620, 0.3835136, 0.5884186", \
+					  "0.1225172, 0.1320003, 0.1525892, 0.1932378, 0.2660008, 0.3854695, 0.5908589", \
+					  "0.1214421, 0.1326179, 0.1524224, 0.1932451, 0.2671570, 0.3861113, 0.5913175", \
+					  "0.1220256, 0.1327283, 0.1536009, 0.1929156, 0.2670359, 0.3860580, 0.5912681", \
+					  "0.1207527, 0.1327153, 0.1535951, 0.1928217, 0.2669988, 0.3867603, 0.5912818", \
+					  "0.1212368, 0.1326201, 0.1523653, 0.1927945, 0.2667100, 0.3867553, 0.5922063", \
+					  "0.1218025, 0.1334584, 0.1538809, 0.1928617, 0.2659427, 0.3859928, 0.5909632", \
+					  "0.1210274, 0.1326385, 0.1523383, 0.1927612, 0.2668819, 0.3860145, 0.5911078", \
+					  "0.1210542, 0.1315997, 0.1522236, 0.1938447, 0.2678565, 0.3860707, 0.5922262", \
+					  "0.1225066, 0.1318587, 0.1528801, 0.1936820, 0.2657919, 0.3860602, 0.5918305", \
+					  "0.1216780, 0.1318278, 0.1524516, 0.1935275, 0.2670152, 0.3859639, 0.5913105", \
+					  "0.1215631, 0.1321812, 0.1526301, 0.1945292, 0.2664702, 0.3866517, 0.5919623");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2531689, 4.2719490, 4.3026582, 4.3673554, 4.4995798, 4.7560675, 5.2790088", \
+					  "6.8029786, 6.8165145, 6.8492110, 6.9143478, 7.0438215, 7.3144077, 7.8231785", \
+					  "8.9190841, 8.9384979, 8.9707557, 9.0360848, 9.1660848, 9.4251345, 9.9453459", \
+					  "9.3950803, 9.4153920, 9.4430224, 9.5132435, 9.6428208, 9.9001056, 10.4223110", \
+					  "12.2628820, 12.2795460, 12.3155300, 12.3763220, 12.5090080, 12.7588620, 13.2822460", \
+					  "14.6371240, 14.6530210, 14.6880150, 14.7528030, 14.8827900, 15.1370310, 15.6553240", \
+					  "16.4366350, 16.4528800, 16.4858900, 16.5410120, 16.6703110, 16.9393650, 17.4607390", \
+					  "18.9810920, 19.0004580, 19.0347610, 19.0805580, 19.2125850, 19.4838870, 20.0093450", \
+					  "53.7803150, 54.1346880, 54.2754820, 54.2834330, 54.3275220, 54.5791040, 55.2067580", \
+					  "76.6029230, 76.6089910, 76.7110390, 76.7847940, 76.9161520, 77.1481790, 77.4408450", \
+					  "171.8614400, 171.8614426, 171.8614579, 172.0884200, 172.2177700, 172.3520000, 172.9971800", \
+					  "509.1110300, 509.3563100, 509.3563386, 509.3563691, 509.8671600, 509.8671723, 510.4539600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1376396, 0.1572643, 0.1985125, 0.2814957, 0.4500355, 0.7916477, 1.4801095", \
+					  "0.1382594, 0.1587162, 0.2000052, 0.2834929, 0.4546684, 0.7925402, 1.4832018", \
+					  "0.1384689, 0.1581112, 0.1988658, 0.2832482, 0.4546514, 0.7939327, 1.4818323", \
+					  "0.1383698, 0.1583023, 0.2012446, 0.2834565, 0.4545356, 0.7925295, 1.4807174", \
+					  "0.1382974, 0.1582145, 0.1998807, 0.2834932, 0.4544820, 0.7927151, 1.4822592", \
+					  "0.1383916, 0.1583962, 0.1999190, 0.2838588, 0.4545980, 0.7916290, 1.4845232", \
+					  "0.1385740, 0.1591145, 0.1997858, 0.2837872, 0.4546555, 0.7929237, 1.4823468", \
+					  "0.1382094, 0.1583892, 0.1997405, 0.2835155, 0.4545292, 0.7931170, 1.4819055", \
+					  "0.1383472, 0.1586341, 0.2001315, 0.2838756, 0.4545064, 0.7930043, 1.4822734", \
+					  "0.1385932, 0.1588582, 0.1996984, 0.2835950, 0.4545059, 0.7936514, 1.4820137", \
+					  "0.1384571, 0.1587907, 0.1997147, 0.2834606, 0.4544087, 0.7917039, 1.4819780", \
+					  "0.1386835, 0.1588422, 0.1997599, 0.2837557, 0.4544899, 0.7918107, 1.4819497");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.8705062, 4.8815822, 4.9063917, 4.9512616, 5.0279372, 5.1563691, 5.3677693", \
+					  "7.6084170, 7.6214448, 7.6460758, 7.6892777, 7.7661602, 7.8941689, 8.1034708", \
+					  "10.7899560, 10.8028790, 10.8259410, 10.8690460, 10.9465510, 11.0752150, 11.2903880", \
+					  "11.5134580, 11.5265650, 11.5509260, 11.5962160, 11.6689720, 11.7973980, 12.0127230", \
+					  "15.7160260, 15.7292900, 15.7466130, 15.7944430, 15.8545120, 16.0012660, 16.2104980", \
+					  "19.0792570, 19.0877430, 19.1041800, 19.1582570, 19.2385750, 19.3620230, 19.5613530", \
+					  "21.5786610, 21.6040360, 21.6270770, 21.6767260, 21.7476030, 21.8764240, 22.0868140", \
+					  "25.0534690, 25.0785790, 25.1029220, 25.1573320, 25.2270880, 25.3500390, 25.5692760", \
+					  "69.6638910, 69.6875380, 69.6875420, 69.7367210, 69.7916960, 69.9444770, 70.1565100", \
+					  "96.4651010, 96.4651070, 96.4759790, 96.5304420, 96.5785110, 96.7288540, 96.9601220", \
+					  "204.7047300, 204.7525700, 204.7630800, 204.8029300, 204.8735300, 205.0122100, 205.2022600", \
+					  "561.5658000, 561.5781300, 561.7035900, 561.7220600, 561.7901600, 561.8462600, 562.1180900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1162635, 0.1255679, 0.1444844, 0.1814018, 0.2484505, 0.3624281, 0.5663413", \
+					  "0.1179360, 0.1277874, 0.1466143, 0.1828558, 0.2505059, 0.3659450, 0.5696028", \
+					  "0.1172952, 0.1266687, 0.1475922, 0.1838997, 0.2503299, 0.3657057, 0.5686674", \
+					  "0.1171797, 0.1261893, 0.1469185, 0.1835033, 0.2510064, 0.3667798, 0.5694142", \
+					  "0.1172144, 0.1274245, 0.1466232, 0.1833425, 0.2510182, 0.3667360, 0.5697942", \
+					  "0.1168016, 0.1270220, 0.1459823, 0.1832671, 0.2505376, 0.3657802, 0.5684017", \
+					  "0.1171714, 0.1263574, 0.1470956, 0.1843920, 0.2505373, 0.3657134, 0.5682537", \
+					  "0.1173073, 0.1271209, 0.1467398, 0.1832705, 0.2505532, 0.3664121, 0.5697944", \
+					  "0.1172929, 0.1264910, 0.1466276, 0.1824062, 0.2508920, 0.3665328, 0.5684366", \
+					  "0.1181395, 0.1277495, 0.1467955, 0.1828551, 0.2498669, 0.3663395, 0.5693960", \
+					  "0.1172728, 0.1263953, 0.1468267, 0.1835163, 0.2505540, 0.3665819, 0.5691030", \
+					  "0.1174358, 0.1276869, 0.1459466, 0.1836819, 0.2498721, 0.3666889, 0.5681879");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2356514, 4.2520121, 4.2834425, 4.3488249, 4.4767190, 4.7383168, 5.2588480", \
+					  "6.4933765, 6.5097150, 6.5423782, 6.6075461, 6.7366877, 6.9959532, 7.5164008", \
+					  "8.1678104, 8.1842577, 8.2168725, 8.2820995, 8.4114573, 8.6707646, 9.1910071", \
+					  "8.5313028, 8.5478158, 8.5804599, 8.6455483, 8.7750056, 9.0343102, 9.5544284", \
+					  "10.6385430, 10.6552710, 10.6833340, 10.7531710, 10.8826940, 11.1415020, 11.6613370", \
+					  "12.3089940, 12.3271460, 12.3592530, 12.4243860, 12.5536180, 12.8184210, 13.3392190", \
+					  "13.5594740, 13.5796770, 13.6122450, 13.6750560, 13.8046130, 14.0630850, 14.5830320", \
+					  "15.3000780, 15.3198400, 15.3494140, 15.4120750, 15.5423180, 15.8031450, 16.3231460", \
+					  "37.8865050, 37.9208700, 37.9509370, 38.0289540, 38.1326610, 38.3877710, 38.8898260", \
+					  "51.7686020, 51.7686024, 51.8179240, 51.8495150, 52.0134690, 52.2435390, 52.7919650", \
+					  "109.1092000, 109.1322400, 109.1765600, 109.2331600, 109.4751400, 109.6138700, 110.1309300", \
+					  "307.7236700, 307.7488500, 307.8271200, 307.8533800, 307.8534089, 307.8674200, 308.4075800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1374130, 0.1572340, 0.1982147, 0.2814156, 0.4503929, 0.7917279, 1.4797809", \
+					  "0.1382261, 0.1587792, 0.2009984, 0.2839066, 0.4545441, 0.7929482, 1.4812880", \
+					  "0.1384854, 0.1585405, 0.2000858, 0.2838477, 0.4544226, 0.7914107, 1.4813888", \
+					  "0.1385700, 0.1588180, 0.1996452, 0.2836245, 0.4545162, 0.7923974, 1.4800222", \
+					  "0.1383242, 0.1584574, 0.1999770, 0.2833917, 0.4545002, 0.7928677, 1.4827378", \
+					  "0.1383125, 0.1592555, 0.2010976, 0.2838433, 0.4554416, 0.7925599, 1.4816468", \
+					  "0.1383719, 0.1587234, 0.1999030, 0.2835429, 0.4544733, 0.7925181, 1.4814128", \
+					  "0.1382247, 0.1587806, 0.1999618, 0.2835952, 0.4545134, 0.7926167, 1.4833142", \
+					  "0.1382699, 0.1587091, 0.1998329, 0.2834994, 0.4546674, 0.7934992, 1.4812072", \
+					  "0.1386469, 0.1586740, 0.1999031, 0.2836117, 0.4544953, 0.7934914, 1.4822805", \
+					  "0.1383923, 0.1586938, 0.1996988, 0.2837076, 0.4544670, 0.7924799, 1.4830890", \
+					  "0.1382581, 0.1581824, 0.1999832, 0.2835486, 0.4545797, 0.7926368, 1.4819690");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("7.1102715, 7.1228330, 7.1447004, 7.1911548, 7.2688899, 7.3974196, 7.6067632", \
+					  "9.4443866, 9.4574251, 9.4819788, 9.5252943, 9.6013988, 9.7283426, 9.9386703", \
+					  "12.5835800, 12.6002860, 12.6212760, 12.6645010, 12.7395070, 12.8676540, 13.0781610", \
+					  "13.3351970, 13.3494610, 13.3789880, 13.4184330, 13.4956520, 13.6218900, 13.8338750", \
+					  "17.9649340, 17.9776210, 17.9989210, 18.0370950, 18.1211170, 18.2490880, 18.4412910", \
+					  "21.6744860, 21.6744872, 21.7098820, 21.7218440, 21.8292480, 21.9567420, 22.1670200", \
+					  "24.4123830, 24.4407130, 24.4640250, 24.4856860, 24.5857590, 24.7070420, 24.9198210", \
+					  "28.2363200, 28.2551800, 28.2702540, 28.3152170, 28.3872050, 28.5187520, 28.7277790", \
+					  "76.0655110, 76.0913750, 76.0913811, 76.1406230, 76.2084510, 76.3609760, 76.5314210", \
+					  "104.5619300, 104.5619317, 104.5886700, 104.6373400, 104.7075300, 104.8392000, 105.0395800", \
+					  "219.2238400, 219.2460200, 219.2527300, 219.2833600, 219.4069100, 219.5089100, 219.7190300", \
+					  "596.6686000, 596.7045200, 596.7481800, 596.7481995, 596.8429900, 596.9404200, 597.1718300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1158933, 0.1257108, 0.1443264, 0.1813256, 0.2484507, 0.3624084, 0.5663046", \
+					  "0.1183798, 0.1272546, 0.1462182, 0.1823519, 0.2502411, 0.3667171, 0.5687876", \
+					  "0.1173391, 0.1277712, 0.1455357, 0.1834016, 0.2509281, 0.3666661, 0.5681536", \
+					  "0.1175568, 0.1265284, 0.1458407, 0.1834461, 0.2507020, 0.3658934, 0.5684475", \
+					  "0.1175445, 0.1263464, 0.1458254, 0.1824152, 0.2502076, 0.3662423, 0.5687668", \
+					  "0.1189683, 0.1267444, 0.1468097, 0.1826428, 0.2504751, 0.3664901, 0.5693485", \
+					  "0.1175741, 0.1275268, 0.1458252, 0.1826300, 0.2502364, 0.3657257, 0.5692573", \
+					  "0.1173744, 0.1269971, 0.1466332, 0.1834062, 0.2505153, 0.3657433, 0.5683947", \
+					  "0.1181370, 0.1277867, 0.1474522, 0.1832261, 0.2505556, 0.3662719, 0.5691883", \
+					  "0.1171742, 0.1265690, 0.1471225, 0.1833388, 0.2508187, 0.3657494, 0.5689429", \
+					  "0.1171058, 0.1268908, 0.1466947, 0.1844952, 0.2505772, 0.3659632, 0.5691499", \
+					  "0.1174904, 0.1271821, 0.1468685, 0.1839186, 0.2499116, 0.3667333, 0.5686056");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("21.5350160, 21.5525040, 21.5846930, 21.6493670, 21.7795030, 22.0388670, 22.5613930", \
+					  "21.6311320, 21.6493490, 21.6781060, 21.7454040, 21.8727650, 22.1348880, 22.6548100", \
+					  "21.7278300, 21.7442690, 21.7772450, 21.8420410, 21.9719070, 22.2316480, 22.7539520", \
+					  "21.8337900, 21.8529160, 21.8824190, 21.9506870, 22.0770810, 22.3376110, 22.8591240", \
+					  "21.9318130, 21.9472900, 21.9817550, 22.0450610, 22.1764170, 22.4356370, 22.9584620", \
+					  "22.0389910, 22.0553000, 22.0890670, 22.1530860, 22.2836970, 22.5427530, 23.0657680", \
+					  "22.1391690, 22.1578860, 22.1904680, 22.2556340, 22.3851300, 22.6429840, 23.1671750", \
+					  "22.2436660, 22.2624920, 22.2919670, 22.3602720, 22.4866280, 22.7474890, 23.2686720", \
+					  "22.3432260, 22.3590500, 22.3930240, 22.4568260, 22.5876830, 22.8470420, 23.3697290", \
+					  "22.4461050, 22.4642100, 22.4952960, 22.5620070, 22.6899580, 22.9500880, 23.4720050", \
+					  "22.5407040, 22.5599410, 22.5911610, 22.6579020, 22.7858180, 23.0445210, 23.5678610", \
+					  "22.6372560, 22.6584560, 22.6863200, 22.7515820, 22.8809790, 23.1410680, 23.6630220");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1386605, 0.1587379, 0.2001442, 0.2837853, 0.4546486, 0.7983536, 1.4978744", \
+					  "0.1386606, 0.1587384, 0.2001455, 0.2837865, 0.4546473, 0.7983588, 1.4978694", \
+					  "0.1386604, 0.1587391, 0.2001442, 0.2837874, 0.4546490, 0.7983609, 1.4978780", \
+					  "0.1386583, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7984410, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001442, 0.2838156, 0.4546490, 0.7984408, 1.4978782", \
+					  "0.1386578, 0.1587391, 0.2001455, 0.2837839, 0.4546491, 0.7983875, 1.4978693", \
+					  "0.1386606, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7983582, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001458, 0.2838149, 0.4546484, 0.7984412, 1.4978796", \
+					  "0.1386605, 0.1587393, 0.2001442, 0.2837856, 0.4546490, 0.7983527, 1.4978790", \
+					  "0.1386597, 0.1587375, 0.2001440, 0.2837877, 0.4546494, 0.7983671, 1.4978836", \
+					  "0.1386606, 0.1587488, 0.2001458, 0.2835736, 0.4546481, 0.7983456, 1.4978747", \
+					  "0.1386603, 0.1587372, 0.2001444, 0.2837883, 0.4546492, 0.7983644, 1.4978819");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("14.9028260, 14.9139830, 14.9381950, 14.9810010, 15.0582850, 15.1841790, 15.3914230", \
+					  "14.9904600, 15.0034690, 15.0276700, 15.0633670, 15.1447940, 15.2731160, 15.4745190", \
+					  "15.0557470, 15.0687440, 15.0929690, 15.1369890, 15.2106220, 15.3382040, 15.5467750", \
+					  "15.1214630, 15.1344860, 15.1586710, 15.2027530, 15.2788720, 15.4043760, 15.6138440", \
+					  "15.1843620, 15.1973210, 15.2214760, 15.2593810, 15.3355070, 15.4655060, 15.6705300", \
+					  "15.2388660, 15.2520730, 15.2761380, 15.3198570, 15.3937200, 15.5205640, 15.7299760", \
+					  "15.2781240, 15.2911250, 15.3153720, 15.3580300, 15.4340030, 15.5611120, 15.7689560", \
+					  "15.3325900, 15.3455970, 15.3698560, 15.4057760, 15.4887680, 15.6130470, 15.8165210", \
+					  "15.3652810, 15.3784400, 15.4025200, 15.4533060, 15.5212640, 15.6471140, 15.8631400", \
+					  "15.4154590, 15.4285670, 15.4527470, 15.4875630, 15.5699380, 15.6969640, 15.8985200", \
+					  "15.4585250, 15.4716990, 15.4959030, 15.5417760, 15.6144960, 15.7403650, 15.9515940", \
+					  "15.4938620, 15.5070200, 15.5311000, 15.5767670, 15.6528830, 15.7756940, 15.9878560");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1159085, 0.1255768, 0.1447270, 0.1809250, 0.2480104, 0.3630184, 0.5663965", \
+					  "0.1158124, 0.1256810, 0.1452476, 0.1810095, 0.2489983, 0.3627330, 0.5663726", \
+					  "0.1158854, 0.1257243, 0.1452266, 0.1805826, 0.2474456, 0.3624151, 0.5669380", \
+					  "0.1163781, 0.1255762, 0.1444503, 0.1809337, 0.2477774, 0.3627393, 0.5663887", \
+					  "0.1175072, 0.1260286, 0.1442827, 0.1809976, 0.2477801, 0.3633141, 0.5663658", \
+					  "0.1160718, 0.1253969, 0.1440108, 0.1816857, 0.2486090, 0.3631893, 0.5668572", \
+					  "0.1164697, 0.1255783, 0.1449660, 0.1809734, 0.2478307, 0.3626987, 0.5667155", \
+					  "0.1164801, 0.1263675, 0.1450277, 0.1809824, 0.2477925, 0.3630187, 0.5668076", \
+					  "0.1159052, 0.1254795, 0.1441581, 0.1805014, 0.2474492, 0.3630453, 0.5669510", \
+					  "0.1156340, 0.1251579, 0.1450615, 0.1815192, 0.2485884, 0.3631700, 0.5667557", \
+					  "0.1158939, 0.1255759, 0.1447629, 0.1805275, 0.2476408, 0.3630359, 0.5669473", \
+					  "0.1159033, 0.1254804, 0.1441599, 0.1809335, 0.2477776, 0.3630444, 0.5663892");
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )";
+				miller_cap_fall : "0.00962652";
+				miller_cap_rise : "0.00470468";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("8.6697, 3.61874, 3.39598, 3.28311, 3.13934, 3.05516, 2.96277, 2.86221, 2.75357, 2.63695, 2.51246, 2.3802, 2.24026, 2.09277, 1.93782, 1.77552, 1.60596, 1.42925, 1.24548, 1.05475, 0.857161, 0.6528, 0.441759, 0.22413, -4.20017e-09, -0.451873, -0.899455, -2.26819, -6.08284", \
+					  "7.75019, 2.19254, 2.10616, 2.0706, 2.02955, 2.00623, 1.98044, 1.95143, 1.91804, 1.87844, 1.83032, 1.7718, 1.70191, 1.62039, 1.52727, 1.42269, 1.30684, 1.17995, 1.04222, 0.893862, 0.735097, 0.566128, 0.387158, 0.198384, -4.69425e-09, -0.411349, -0.832312, -2.18203, -6.06173", \
+					  "7.15363, 1.19471, 1.14984, 1.13329, 1.11521, 1.10545, 1.09512, 1.08411, 1.07229, 1.05948, 1.04545, 1.02982, 1.01203, 0.990954, 0.964349, 0.928561, 0.880331, 0.818211, 0.741922, 0.651608, 0.547538, 0.430026, 0.299388, 0.155943, -8.50078e-09, -0.340031, -0.708064, -1.97657, -5.99376", \
+					  "7.00255, 0.901347, 0.844849, 0.832741, 0.819717, 0.812783, 0.805515, 0.797861, 0.789754, 0.78111, 0.771821, 0.761737, 0.750643, 0.738207, 0.723832, 0.706207, 0.682348, 0.647754, 0.599235, 0.535778, 0.457352, 0.364216, 0.256708, 0.135184, -9.04021e-09, -0.304823, -0.646332, -1.87092, -5.95916", \
+					  "6.8837, 0.723965, 0.566218, 0.557839, 0.548959, 0.544291, 0.539445, 0.534397, 0.529115, 0.523562, 0.517689, 0.511434, 0.504714, 0.497415, 0.489362, 0.480269, 0.469538, 0.455566, 0.434337, 0.400582, 0.351556, 0.286733, 0.206293, 0.110586, -5.40921e-09, -0.26298, -0.572829, -1.74357, -5.91831", \
+					  "6.83717, 0.688155, 0.441163, 0.434401, 0.427289, 0.423575, 0.419737, 0.41576, 0.411623, 0.407302, 0.402766, 0.397976, 0.392882, 0.387415, 0.381479, 0.374927, 0.367501, 0.35861, 0.346464, 0.326404, 0.292896, 0.243561, 0.178105, 0.09679, -6.30043e-09, -0.239457, -0.531455, -1.67128, -5.89558", \
+					  "6.80022, 0.682613, 0.328098, 0.32278, 0.317248, 0.314378, 0.311427, 0.308385, 0.305239, 0.301974, 0.298571, 0.295008, 0.291253, 0.287269, 0.283002, 0.278375, 0.273268, 0.267459, 0.260374, 0.25, 0.230961, 0.197597, 0.147969, 0.0819949, -6.262e-09, -0.214174, -0.486941, -1.59306, -5.87135", \
+					  "6.77372, 0.705039, 0.229086, 0.224881, 0.220749, 0.21862, 0.216441, 0.214208, 0.211912, 0.209545, 0.207096, 0.204551, 0.201895, 0.199107, 0.196157, 0.193007, 0.189602, 0.18585, 0.181567, 0.176207, 0.167509, 0.149318, 0.116043, 0.0662405, -3.28102e-09, -0.187143, -0.439288, -1.50882, -5.84572", \
+					  "6.75857, 0.755084, 0.147406, 0.142679, 0.139767, 0.138279, 0.136765, 0.135221, 0.133644, 0.13203, 0.130372, 0.128663, 0.126897, 0.125061, 0.123143, 0.121124, 0.118979, 0.116672, 0.114137, 0.111237, 0.107471, 0.100301, 0.0827819, 0.0496582, -1.67922e-08, -0.158418, -0.388554, -1.41855, -5.81879", \
+					  "6.75576, 0.833384, 0.0924164, 0.078367, 0.0764499, 0.075505, 0.0745489, 0.0735803, 0.0725974, 0.0715983, 0.0705803, 0.0695408, 0.068476, 0.0673816, 0.0662519, 0.0650795, 0.0638547, 0.0625636, 0.061185, 0.0596811, 0.0579576, 0.0555801, 0.0497022, 0.0326781, -1.62579e-07, -0.12813, -0.33488, -1.32239, -5.79079", \
+					  "6.76646, 0.940776, 0.0810841, 0.0346763, 0.0331028, 0.0325931, 0.0320814, 0.0315666, 0.0310478, 0.0305245, 0.0299959, 0.0294609, 0.0289185, 0.0283671, 0.027805, 0.02723, 0.0266388, 0.0260276, 0.0253904, 0.0247177, 0.0239916, 0.0231574, 0.0218476, 0.0167517, -2.1022e-06, -0.0965798, -0.278569, -1.22073, -5.76202", \
+					  "6.79104, 1.07633, 0.118793, 0.0151225, 0.0103144, 0.0100925, 0.00988332, 0.0096745, 0.00946565, 0.00925657, 0.00904704, 0.00883685, 0.00862572, 0.00841335, 0.00819934, 0.00798317, 0.00776423, 0.00754164, 0.00731421, 0.00708014, 0.00683637, 0.0065759, 0.00626863, 0.00550886, -2.63062e-05, -0.0645958, -0.220333, -1.11448, -5.73293", \
+					  "6.8261, 1.23296, 0.193948, 0.0247211, 0.00241881, 0.00219979, 0.0021253, 0.00205601, 0.0019882, 0.00192113, 0.00185454, 0.00178825, 0.00172217, 0.00165619, 0.00159021, 0.00152414, 0.00145786, 0.00139121, 0.001324, 0.00125592, 0.00118652, 0.00111483, 0.00103762, 0.000921659, -0.000285924, -0.0350077, -0.162219, -1.00539, -5.70413", \
+					  "6.86559, 1.39994, 0.290994, 0.0649303, 0.000512561, -0.00093327, -0.00107199, -0.00115739, -0.00123015, -0.00129734, -0.0013615, -0.00142385, -0.00148506, -0.00154557, -0.00160567, -0.00166557, -0.00172542, -0.00178535, -0.00184549, -0.00190594, -0.00196685, -0.0020284, -0.00209117, -0.00215964, -0.00244362, -0.0156494, -0.109934, -0.897251, -5.67743", \
+					  "6.90587, 1.57071, 0.399698, 0.125161, 9.83312e-05, -0.00926724, -0.0104023, -0.0108862, -0.0112457, -0.0115542, -0.0118353, -0.0120995, -0.0123526, -0.0125979, -0.0128378, -0.0130738, -0.0133069, -0.0135379, -0.0137675, -0.0139962, -0.0142243, -0.0144522, -0.0146804, -0.0149098, -0.0151791, -0.0189241, -0.0773033, -0.800947, -5.65988", \
+					  "6.94571, 1.74229, 0.51353, 0.191922, 1.38908e-05, -0.033625, -0.0422873, -0.0448017, -0.0462916, -0.0474353, -0.0484081, -0.0492799, -0.0500853, -0.0508443, -0.0515692, -0.0522682, -0.0529471, -0.05361, -0.0542601, -0.0548997, -0.0555308, -0.0561549, -0.0567734, -0.0573875, -0.0580036, -0.0598676, -0.0878231, -0.736985, -5.66463", \
+					  "6.98464, 1.91288, 0.627395, 0.258426, 1.21508e-06, -0.0694279, -0.101866, -0.112406, -0.117128, -0.120227, -0.122632, -0.12466, -0.126453, -0.128086, -0.129604, -0.131035, -0.132399, -0.133709, -0.134975, -0.136205, -0.137406, -0.13858, -0.139734, -0.140869, -0.14199, -0.144318, -0.154788, -0.716844, -5.69748", \
+					  "7.02238, 2.0811, 0.737583, 0.322324, 7.9733e-08, -0.107377, -0.174614, -0.205865, -0.218651, -0.22571, -0.230643, -0.234534, -0.237816, -0.240703, -0.243314, -0.24572, -0.247971, -0.250099, -0.252128, -0.254076, -0.255956, -0.257778, -0.259551, -0.261283, -0.262979, -0.266308, -0.271296, -0.738148, -5.7549", \
+					  "7.05876, 2.24573, 0.841832, 0.382622, 9.81164e-09, -0.144018, -0.248102, -0.311203, -0.340958, -0.35556, -0.364694, -0.371402, -0.376796, -0.381377, -0.385409, -0.389047, -0.392391, -0.395506, -0.398438, -0.401221, -0.40388, -0.406436, -0.408904, -0.411296, -0.413623, -0.418127, -0.422812, -0.795838, -5.83205", \
+					  "7.09363, 2.40565, 0.938907, 0.438758, 5.99902e-09, -0.17834, -0.318038, -0.416767, -0.47372, -0.5018, -0.517709, -0.52855, -0.536841, -0.543638, -0.549465, -0.554616, -0.559271, -0.563546, -0.567524, -0.57126, -0.574799, -0.578173, -0.581407, -0.584521, -0.587532, -0.593308, -0.598904, -0.885626, -5.92498", \
+					  "7.12687, 2.55978, 1.02815, 0.490381, 5.72108e-09, -0.209973, -0.383019, -0.516705, -0.607065, -0.656608, -0.683179, -0.699988, -0.712184, -0.721824, -0.729872, -0.736843, -0.74304, -0.748658, -0.753825, -0.758634, -0.763149, -0.767423, -0.771491, -0.775386, -0.779132, -0.78626, -0.793041, -1.00381, -6.03022", \
+					  "7.15839, 2.70703, 1.10925, 0.537301, 5.62891e-09, -0.23875, -0.442457, -0.608849, -0.733683, -0.812158, -0.854863, -0.880216, -0.897634, -0.910883, -0.921646, -0.930778, -0.938768, -0.945917, -0.952423, -0.958421, -0.96401, -0.969262, -0.974232, -0.978963, -0.98349, -0.992044, -1.0001, -1.14718, -6.1448", \
+					  "7.18812, 2.84624, 1.1821, 0.579451, 5.55347e-09, -0.264616, -0.496114, -0.692436, -0.849885, -0.961281, -1.02668, -1.06416, -1.08855, -1.10637, -1.12044, -1.13212, -1.14218, -1.15106, -1.15906, -1.16637, -1.17313, -1.17943, -1.18537, -1.19099, -1.19634, -1.20639, -1.21578, -1.31318, -6.26611", \
+					  "7.21603, 2.97613, 1.24678, 0.616872, 5.48689e-09, -0.287594, -0.543968, -0.767272, -0.954435, -1.09874, -1.19272, -1.24706, -1.28081, -1.30444, -1.32253, -1.33722, -1.34965, -1.36049, -1.37014, -1.37889, -1.38692, -1.39436, -1.40133, -1.40789, -1.41412, -1.42573, -1.43648, -1.49983, -6.39206", \
+					  "7.24208, 3.09529, 1.3035, 0.649694, 5.42865e-09, -0.307771, -0.586147, -0.833475, -1.04721, -1.22195, -1.34765, -1.42437, -1.47068, -1.50176, -1.52476, -1.54301, -1.55818, -1.57123, -1.58273, -1.59305, -1.60245, -1.61111, -1.61918, -1.62674, -1.63387, -1.64711, -1.65929, -1.70464, -6.52086", \
+					  "7.28862, 3.29562, 1.39443, 0.702373, 5.33541e-09, -0.340279, -0.654519, -0.941402, -1.19915, -1.42455, -1.61034, -1.7448, -1.82935, -1.88262, -1.91938, -1.94699, -1.96904, -1.98744, -2.00327, -2.01722, -2.02974, -2.04112, -2.0516, -2.06133, -2.07043, -2.08714, -2.10229, -2.14359, -6.78186", \
+					  "7.32783, 3.43844, 1.4583, 0.739564, 5.26957e-09, -0.363502, -0.703881, -1.02009, -1.31083, -1.57413, -1.80608, -1.99785, -2.13735, -2.22758, -2.28649, -2.32812, -2.3598, -2.3853, -2.40664, -2.42505, -2.44129, -2.45585, -2.46909, -2.48126, -2.49256, -2.51306, -2.53141, -2.57922, -7.04143", \
+					  "7.40458, 3.57473, 1.52819, 0.782211, 5.55672e-09, -0.392529, -0.768368, -1.12697, -1.46775, -1.79002, -2.09292, -2.37517, -2.63445, -2.86578, -3.05955, -3.20601, -3.30856, -3.38084, -3.43449, -3.47651, -3.51087, -3.53991, -3.5651, -3.58736, -3.60735, -3.64224, -3.67217, -3.74425, -7.7624", \
+					  "7.41784, 3.3572, 1.4377, 0.7376, 1.73555e-07, -0.373638, -0.736578, -1.08861, -1.42951, -1.75906, -2.07702, -2.38314, -2.67716, -2.95875, -3.22755, -3.48306, -3.72448, -3.95041, -4.15816, -4.34277, -4.49777, -4.62014, -4.71401, -4.78683, -4.84503, -4.93374, -5.00019, -5.13671, -8.64859");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.010293");
+						index_3("0.0545636, 0.0917173, 0.1308509, 0.1732732, 0.2376701");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0308789");
+						index_3("0.0609734, 0.1107882, 0.1623901, 0.2201634, 0.3036868");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.010293");
+						index_3("0.1263100, 0.1633707, 0.2023868, 0.2443721, 0.3095759");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0308789");
+						index_3("0.1332102, 0.1828610, 0.2348382, 0.2911351, 0.3763128");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0306352");
+						index_3("0.0314097, 0.0479892, 0.0651044, 0.0832883, 0.1104319");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0919055");
+						index_3("0.0377169, 0.0665311, 0.0963583, 0.1277727, 0.1753800");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0306352");
+						index_3("0.1002939, 0.1176335, 0.1345917, 0.1530421, 0.1801675");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0919055");
+						index_3("0.1071031, 0.1360642, 0.1658217, 0.1979066, 0.2454586");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("7.62522");
+						index_3("0.010293");
+						index_4("3.8337357, 4.0770029, 4.9179661, 5.4747170, 5.6650709");
+						values("0.469397, 0.751035, 0.938793, 0.751035, 0.469397");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.010293");
+						index_4("2.2588947, 2.4225899, 2.9332853, 3.3488684, 3.4727944");
+						values("0.479995, 0.767992, 0.95999, 0.767992, 0.479995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.010293");
+						index_4("1.5351265, 1.6583389, 2.0454891, 2.3391165, 2.4317905");
+						values("0.491205, 0.785928, 0.98241, 0.785928, 0.491205");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("3.81261");
+						index_3("0.010293");
+						index_4("1.9402442, 2.0742183, 2.5306684, 2.7597908, 2.8570575");
+						values("0.243243, 0.389189, 0.486486, 0.389189, 0.243243");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("1.51689");
+						index_3("0.010293");
+						index_4("0.7839721, 0.8525489, 1.0838052, 1.1784136, 1.2275510");
+						values("0.250169, 0.400271, 0.500339, 0.400271, 0.250169");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.0308789");
+						index_4("2.2597316, 2.4248352, 2.9580699, 3.3543495, 3.4812145");
+						values("0.370225, 0.592361, 0.740451, 0.592361, 0.370225");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.0308789");
+						index_4("1.5374357, 1.6631725, 2.0753561, 2.3385861, 2.4356722");
+						values("0.375269, 0.60043, 0.750538, 0.60043, 0.375269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("2.61018");
+						index_3("0.0306352");
+						index_4("1.3199179, 1.4316841, 1.9454758, 2.2459780, 2.3854088");
+						values("1.09424, 0.790787, 0.588484, 0.790787, 1.09424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0306352");
+						index_4("0.7681387, 0.8440038, 1.1366017, 1.3658155, 1.4589926");
+						values("1.08743, 0.779888, 0.57486, 0.779888, 1.08743");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0306352");
+						index_4("0.5206576, 0.5781154, 0.7883555, 0.9559100, 1.0260409");
+						values("1.08457, 0.77531, 0.569137, 0.77531, 1.08457");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("1.30509");
+						index_3("0.0306352");
+						index_4("0.6728631, 0.7335997, 0.9470179, 1.1409765, 1.2168932");
+						values("1.35187, 1.203, 1.10375, 1.203, 1.35187");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("0.507552");
+						index_3("0.0306352");
+						index_4("0.2697505, 0.3013451, 0.4205573, 0.4901257, 0.5328035");
+						values("1.3507, 1.20112, 1.1014, 1.20112, 1.3507");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0919055");
+						index_4("0.7707941, 0.8484786, 1.1474702, 1.3742190, 1.4802847");
+						values("1.30235, 1.12376, 1.0047, 1.12376, 1.30235");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0919055");
+						index_4("0.5230601, 0.5815993, 0.8218618, 0.9636587, 1.0442316");
+						values("1.30226, 1.12361, 1.00451, 1.12361, 1.30226");
+					}
+				}
+			}
+			/* xipath_xi106_net57#194_2_in_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00962652";
+				miller_cap_rise : "0.00470468";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("8.6697, 3.61874, 3.39598, 3.28311, 3.13934, 3.05516, 2.96277, 2.86221, 2.75357, 2.63695, 2.51246, 2.3802, 2.24026, 2.09277, 1.93782, 1.77552, 1.60596, 1.42925, 1.24548, 1.05475, 0.857161, 0.6528, 0.441759, 0.22413, -4.20017e-09, -0.451873, -0.899455, -2.26819, -6.08284", \
+					  "7.75019, 2.19254, 2.10616, 2.0706, 2.02955, 2.00623, 1.98044, 1.95143, 1.91804, 1.87844, 1.83032, 1.7718, 1.70191, 1.62039, 1.52727, 1.42269, 1.30684, 1.17995, 1.04222, 0.893862, 0.735097, 0.566128, 0.387158, 0.198384, -4.69425e-09, -0.411349, -0.832312, -2.18203, -6.06173", \
+					  "7.15363, 1.19471, 1.14984, 1.13329, 1.11521, 1.10545, 1.09512, 1.08411, 1.07229, 1.05948, 1.04545, 1.02982, 1.01203, 0.990954, 0.964349, 0.928561, 0.880331, 0.818211, 0.741922, 0.651608, 0.547538, 0.430026, 0.299388, 0.155943, -8.50078e-09, -0.340031, -0.708064, -1.97657, -5.99376", \
+					  "7.00255, 0.901347, 0.844849, 0.832741, 0.819717, 0.812783, 0.805515, 0.797861, 0.789754, 0.78111, 0.771821, 0.761737, 0.750643, 0.738207, 0.723832, 0.706207, 0.682348, 0.647754, 0.599235, 0.535778, 0.457352, 0.364216, 0.256708, 0.135184, -9.04021e-09, -0.304823, -0.646332, -1.87092, -5.95916", \
+					  "6.8837, 0.723965, 0.566218, 0.557839, 0.548959, 0.544291, 0.539445, 0.534397, 0.529115, 0.523562, 0.517689, 0.511434, 0.504714, 0.497415, 0.489362, 0.480269, 0.469538, 0.455566, 0.434337, 0.400582, 0.351556, 0.286733, 0.206293, 0.110586, -5.40921e-09, -0.26298, -0.572829, -1.74357, -5.91831", \
+					  "6.83717, 0.688155, 0.441163, 0.434401, 0.427289, 0.423575, 0.419737, 0.41576, 0.411623, 0.407302, 0.402766, 0.397976, 0.392882, 0.387415, 0.381479, 0.374927, 0.367501, 0.35861, 0.346464, 0.326404, 0.292896, 0.243561, 0.178105, 0.09679, -6.30043e-09, -0.239457, -0.531455, -1.67128, -5.89558", \
+					  "6.80022, 0.682613, 0.328098, 0.32278, 0.317248, 0.314378, 0.311427, 0.308385, 0.305239, 0.301974, 0.298571, 0.295008, 0.291253, 0.287269, 0.283002, 0.278375, 0.273268, 0.267459, 0.260374, 0.25, 0.230961, 0.197597, 0.147969, 0.0819949, -6.262e-09, -0.214174, -0.486941, -1.59306, -5.87135", \
+					  "6.77372, 0.705039, 0.229086, 0.224881, 0.220749, 0.21862, 0.216441, 0.214208, 0.211912, 0.209545, 0.207096, 0.204551, 0.201895, 0.199107, 0.196157, 0.193007, 0.189602, 0.18585, 0.181567, 0.176207, 0.167509, 0.149318, 0.116043, 0.0662405, -3.28102e-09, -0.187143, -0.439288, -1.50882, -5.84572", \
+					  "6.75857, 0.755084, 0.147406, 0.142679, 0.139767, 0.138279, 0.136765, 0.135221, 0.133644, 0.13203, 0.130372, 0.128663, 0.126897, 0.125061, 0.123143, 0.121124, 0.118979, 0.116672, 0.114137, 0.111237, 0.107471, 0.100301, 0.0827819, 0.0496582, -1.67922e-08, -0.158418, -0.388554, -1.41855, -5.81879", \
+					  "6.75576, 0.833384, 0.0924164, 0.078367, 0.0764499, 0.075505, 0.0745489, 0.0735803, 0.0725974, 0.0715983, 0.0705803, 0.0695408, 0.068476, 0.0673816, 0.0662519, 0.0650795, 0.0638547, 0.0625636, 0.061185, 0.0596811, 0.0579576, 0.0555801, 0.0497022, 0.0326781, -1.62579e-07, -0.12813, -0.33488, -1.32239, -5.79079", \
+					  "6.76646, 0.940776, 0.0810841, 0.0346763, 0.0331028, 0.0325931, 0.0320814, 0.0315666, 0.0310478, 0.0305245, 0.0299959, 0.0294609, 0.0289185, 0.0283671, 0.027805, 0.02723, 0.0266388, 0.0260276, 0.0253904, 0.0247177, 0.0239916, 0.0231574, 0.0218476, 0.0167517, -2.1022e-06, -0.0965798, -0.278569, -1.22073, -5.76202", \
+					  "6.79104, 1.07633, 0.118793, 0.0151225, 0.0103144, 0.0100925, 0.00988332, 0.0096745, 0.00946565, 0.00925657, 0.00904704, 0.00883685, 0.00862572, 0.00841335, 0.00819934, 0.00798317, 0.00776423, 0.00754164, 0.00731421, 0.00708014, 0.00683637, 0.0065759, 0.00626863, 0.00550886, -2.63062e-05, -0.0645958, -0.220333, -1.11448, -5.73293", \
+					  "6.8261, 1.23296, 0.193948, 0.0247211, 0.00241881, 0.00219979, 0.0021253, 0.00205601, 0.0019882, 0.00192113, 0.00185454, 0.00178825, 0.00172217, 0.00165619, 0.00159021, 0.00152414, 0.00145786, 0.00139121, 0.001324, 0.00125592, 0.00118652, 0.00111483, 0.00103762, 0.000921659, -0.000285924, -0.0350077, -0.162219, -1.00539, -5.70413", \
+					  "6.86559, 1.39994, 0.290994, 0.0649303, 0.000512561, -0.00093327, -0.00107199, -0.00115739, -0.00123015, -0.00129734, -0.0013615, -0.00142385, -0.00148506, -0.00154557, -0.00160567, -0.00166557, -0.00172542, -0.00178535, -0.00184549, -0.00190594, -0.00196685, -0.0020284, -0.00209117, -0.00215964, -0.00244362, -0.0156494, -0.109934, -0.897251, -5.67743", \
+					  "6.90587, 1.57071, 0.399698, 0.125161, 9.83312e-05, -0.00926724, -0.0104023, -0.0108862, -0.0112457, -0.0115542, -0.0118353, -0.0120995, -0.0123526, -0.0125979, -0.0128378, -0.0130738, -0.0133069, -0.0135379, -0.0137675, -0.0139962, -0.0142243, -0.0144522, -0.0146804, -0.0149098, -0.0151791, -0.0189241, -0.0773033, -0.800947, -5.65988", \
+					  "6.94571, 1.74229, 0.51353, 0.191922, 1.38908e-05, -0.033625, -0.0422873, -0.0448017, -0.0462916, -0.0474353, -0.0484081, -0.0492799, -0.0500853, -0.0508443, -0.0515692, -0.0522682, -0.0529471, -0.05361, -0.0542601, -0.0548997, -0.0555308, -0.0561549, -0.0567734, -0.0573875, -0.0580036, -0.0598676, -0.0878231, -0.736985, -5.66463", \
+					  "6.98464, 1.91288, 0.627395, 0.258426, 1.21508e-06, -0.0694279, -0.101866, -0.112406, -0.117128, -0.120227, -0.122632, -0.12466, -0.126453, -0.128086, -0.129604, -0.131035, -0.132399, -0.133709, -0.134975, -0.136205, -0.137406, -0.13858, -0.139734, -0.140869, -0.14199, -0.144318, -0.154788, -0.716844, -5.69748", \
+					  "7.02238, 2.0811, 0.737583, 0.322324, 7.9733e-08, -0.107377, -0.174614, -0.205865, -0.218651, -0.22571, -0.230643, -0.234534, -0.237816, -0.240703, -0.243314, -0.24572, -0.247971, -0.250099, -0.252128, -0.254076, -0.255956, -0.257778, -0.259551, -0.261283, -0.262979, -0.266308, -0.271296, -0.738148, -5.7549", \
+					  "7.05876, 2.24573, 0.841832, 0.382622, 9.81164e-09, -0.144018, -0.248102, -0.311203, -0.340958, -0.35556, -0.364694, -0.371402, -0.376796, -0.381377, -0.385409, -0.389047, -0.392391, -0.395506, -0.398438, -0.401221, -0.40388, -0.406436, -0.408904, -0.411296, -0.413623, -0.418127, -0.422812, -0.795838, -5.83205", \
+					  "7.09363, 2.40565, 0.938907, 0.438758, 5.99902e-09, -0.17834, -0.318038, -0.416767, -0.47372, -0.5018, -0.517709, -0.52855, -0.536841, -0.543638, -0.549465, -0.554616, -0.559271, -0.563546, -0.567524, -0.57126, -0.574799, -0.578173, -0.581407, -0.584521, -0.587532, -0.593308, -0.598904, -0.885626, -5.92498", \
+					  "7.12687, 2.55978, 1.02815, 0.490381, 5.72108e-09, -0.209973, -0.383019, -0.516705, -0.607065, -0.656608, -0.683179, -0.699988, -0.712184, -0.721824, -0.729872, -0.736843, -0.74304, -0.748658, -0.753825, -0.758634, -0.763149, -0.767423, -0.771491, -0.775386, -0.779132, -0.78626, -0.793041, -1.00381, -6.03022", \
+					  "7.15839, 2.70703, 1.10925, 0.537301, 5.62891e-09, -0.23875, -0.442457, -0.608849, -0.733683, -0.812158, -0.854863, -0.880216, -0.897634, -0.910883, -0.921646, -0.930778, -0.938768, -0.945917, -0.952423, -0.958421, -0.96401, -0.969262, -0.974232, -0.978963, -0.98349, -0.992044, -1.0001, -1.14718, -6.1448", \
+					  "7.18812, 2.84624, 1.1821, 0.579451, 5.55347e-09, -0.264616, -0.496114, -0.692436, -0.849885, -0.961281, -1.02668, -1.06416, -1.08855, -1.10637, -1.12044, -1.13212, -1.14218, -1.15106, -1.15906, -1.16637, -1.17313, -1.17943, -1.18537, -1.19099, -1.19634, -1.20639, -1.21578, -1.31318, -6.26611", \
+					  "7.21603, 2.97613, 1.24678, 0.616872, 5.48689e-09, -0.287594, -0.543968, -0.767272, -0.954435, -1.09874, -1.19272, -1.24706, -1.28081, -1.30444, -1.32253, -1.33722, -1.34965, -1.36049, -1.37014, -1.37889, -1.38692, -1.39436, -1.40133, -1.40789, -1.41412, -1.42573, -1.43648, -1.49983, -6.39206", \
+					  "7.24208, 3.09529, 1.3035, 0.649694, 5.42865e-09, -0.307771, -0.586147, -0.833475, -1.04721, -1.22195, -1.34765, -1.42437, -1.47068, -1.50176, -1.52476, -1.54301, -1.55818, -1.57123, -1.58273, -1.59305, -1.60245, -1.61111, -1.61918, -1.62674, -1.63387, -1.64711, -1.65929, -1.70464, -6.52086", \
+					  "7.28862, 3.29562, 1.39443, 0.702373, 5.33541e-09, -0.340279, -0.654519, -0.941402, -1.19915, -1.42455, -1.61034, -1.7448, -1.82935, -1.88262, -1.91938, -1.94699, -1.96904, -1.98744, -2.00327, -2.01722, -2.02974, -2.04112, -2.0516, -2.06133, -2.07043, -2.08714, -2.10229, -2.14359, -6.78186", \
+					  "7.32783, 3.43844, 1.4583, 0.739564, 5.26957e-09, -0.363502, -0.703881, -1.02009, -1.31083, -1.57413, -1.80608, -1.99785, -2.13735, -2.22758, -2.28649, -2.32812, -2.3598, -2.3853, -2.40664, -2.42505, -2.44129, -2.45585, -2.46909, -2.48126, -2.49256, -2.51306, -2.53141, -2.57922, -7.04143", \
+					  "7.40458, 3.57473, 1.52819, 0.782211, 5.55672e-09, -0.392529, -0.768368, -1.12697, -1.46775, -1.79002, -2.09292, -2.37517, -2.63445, -2.86578, -3.05955, -3.20601, -3.30856, -3.38084, -3.43449, -3.47651, -3.51087, -3.53991, -3.5651, -3.58736, -3.60735, -3.64224, -3.67217, -3.74425, -7.7624", \
+					  "7.41784, 3.3572, 1.4377, 0.7376, 1.73555e-07, -0.373638, -0.736578, -1.08861, -1.42951, -1.75906, -2.07702, -2.38314, -2.67716, -2.95875, -3.22755, -3.48306, -3.72448, -3.95041, -4.15816, -4.34277, -4.49777, -4.62014, -4.71401, -4.78683, -4.84503, -4.93374, -5.00019, -5.13671, -8.64859");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.010293");
+						index_3("0.0545636, 0.0917173, 0.1308509, 0.1732732, 0.2376701");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0308789");
+						index_3("0.0609734, 0.1107882, 0.1623901, 0.2201634, 0.3036868");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.010293");
+						index_3("0.1263100, 0.1633707, 0.2023868, 0.2443721, 0.3095759");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0308789");
+						index_3("0.1332102, 0.1828610, 0.2348382, 0.2911351, 0.3763128");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0306352");
+						index_3("0.0314097, 0.0479892, 0.0651044, 0.0832883, 0.1104319");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0919055");
+						index_3("0.0377169, 0.0665311, 0.0963583, 0.1277727, 0.1753800");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0306352");
+						index_3("0.1002939, 0.1176335, 0.1345917, 0.1530421, 0.1801675");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0919055");
+						index_3("0.1071031, 0.1360642, 0.1658217, 0.1979066, 0.2454586");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("7.62522");
+						index_3("0.010293");
+						index_4("3.8337357, 4.0770029, 4.9179661, 5.4747170, 5.6650709");
+						values("0.469397, 0.751035, 0.938793, 0.751035, 0.469397");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.010293");
+						index_4("2.2588947, 2.4225899, 2.9332853, 3.3488684, 3.4727944");
+						values("0.479995, 0.767992, 0.95999, 0.767992, 0.479995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.010293");
+						index_4("1.5351265, 1.6583389, 2.0454891, 2.3391165, 2.4317905");
+						values("0.491205, 0.785928, 0.98241, 0.785928, 0.491205");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24213");
+						index_2("3.81261");
+						index_3("0.010293");
+						index_4("1.9402442, 2.0742183, 2.5306684, 2.7597908, 2.8570575");
+						values("0.243243, 0.389189, 0.486486, 0.389189, 0.243243");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("1.51689");
+						index_3("0.010293");
+						index_4("0.7839721, 0.8525489, 1.0838052, 1.1784136, 1.2275510");
+						values("0.250169, 0.400271, 0.500339, 0.400271, 0.250169");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30462");
+						index_2("4.47708");
+						index_3("0.0308789");
+						index_4("2.2597316, 2.4248352, 2.9580699, 3.3543495, 3.4812145");
+						values("0.370225, 0.592361, 0.740451, 0.592361, 0.370225");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35885");
+						index_2("3.03377");
+						index_3("0.0308789");
+						index_4("1.5374357, 1.6631725, 2.0753561, 2.3385861, 2.4356722");
+						values("0.375269, 0.60043, 0.750538, 0.60043, 0.375269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("2.61018");
+						index_3("0.0306352");
+						index_4("1.3199179, 1.4316841, 1.9454758, 2.2459780, 2.3854088");
+						values("1.09424, 0.790787, 0.588484, 0.790787, 1.09424");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0306352");
+						index_4("0.7681387, 0.8440038, 1.1366017, 1.3658155, 1.4589926");
+						values("1.08743, 0.779888, 0.57486, 0.779888, 1.08743");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0306352");
+						index_4("0.5206576, 0.5781154, 0.7883555, 0.9559100, 1.0260409");
+						values("1.08457, 0.77531, 0.569137, 0.77531, 1.08457");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.07388");
+						index_2("1.30509");
+						index_3("0.0306352");
+						index_4("0.6728631, 0.7335997, 0.9470179, 1.1409765, 1.2168932");
+						values("1.35187, 1.203, 1.10375, 1.203, 1.35187");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("0.507552");
+						index_3("0.0306352");
+						index_4("0.2697505, 0.3013451, 0.4205573, 0.4901257, 0.5328035");
+						values("1.3507, 1.20112, 1.1014, 1.20112, 1.3507");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.15521");
+						index_2("1.50809");
+						index_3("0.0919055");
+						index_4("0.7707941, 0.8484786, 1.1474702, 1.3742190, 1.4802847");
+						values("1.30235, 1.12376, 1.0047, 1.12376, 1.30235");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22756");
+						index_2("1.0151");
+						index_3("0.0919055");
+						index_4("0.5230601, 0.5815993, 0.8218618, 0.9636587, 1.0442316");
+						values("1.30226, 1.12361, 1.00451, 1.12361, 1.30226");
+					}
+				}
+			}
+
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.269730;
+			max_transition : 3.753621;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8621691, 0.8633481, 0.8658625, 0.8686618, 0.8721933, 0.8735460, 0.8764354", \
+					  "-0.1145392, -0.1112146, -0.1098834, -0.1073944, -0.1038753, -0.1036655, -0.1085014", \
+					  "-0.0453887, -0.0433508, -0.0410149, -0.0375365, -0.0319422, -0.0360801, -0.0458073", \
+					  "0.0350019, 0.0331303, 0.0278132, 0.0210977, 0.0207153, 0.0180354, 0.0055296", \
+					  "0.1350445, 0.1376471, 0.1389392, 0.1371992, 0.1141766, 0.0774131, 0.0420544", \
+					  "0.1798185, 0.1894635, 0.1909543, 0.1920059, 0.1955422, 0.1669435, 0.1121089", \
+					  "0.2251633, 0.2351252, 0.2378119, 0.2403611, 0.2413163, 0.2435412, 0.1544157");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8600709, 0.8630368, 0.8699072, 0.8824406, 0.8975953, 0.9081171, 0.9126347", \
+					  "1.8746617, 1.8883647, 1.8851552, 1.8957362, 1.9162456, 1.9213916, 1.9313761", \
+					  "1.8692209, 1.8850978, 1.8803824, 1.8907243, 1.9171292, 1.9165240, 1.9325908", \
+					  "1.8634202, 1.8828600, 1.8755099, 1.8868724, 1.9139835, 1.9140412, 1.9311347", \
+					  "1.8119402, 1.8267410, 1.8283042, 1.8432499, 1.8819018, 1.9037332, 1.9301563", \
+					  "1.8443699, 1.8573691, 1.8559674, 1.8486801, 1.8639923, 1.8569059, 1.9141340", \
+					  "1.8468159, 1.8588985, 1.8573979, 1.8506386, 1.8646189, 1.8542307, 1.8761765");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.9775958, 0.9824053, 0.9892748, 1.0033059, 1.0222016, 1.0367533, 1.0439450", \
+					  "1.9944543, 2.0000093, 2.0059132, 2.0207562, 2.0420625, 2.0541849, 2.0616317", \
+					  "1.9867317, 2.0030635, 2.0032929, 2.0133428, 2.0453669, 2.0472311, 2.0549972", \
+					  "1.9842914, 2.0032602, 2.0085854, 2.0129308, 2.0407907, 2.0442153, 2.0546106", \
+					  "1.9803120, 1.9983826, 2.0033400, 2.0090813, 2.0395862, 2.0432905, 2.0596779", \
+					  "1.9746151, 1.9926758, 1.9986291, 2.0127279, 2.0369715, 2.0394842, 2.0580872", \
+					  "1.9390975, 1.9571196, 1.9685087, 1.9865687, 2.0170246, 2.0435973, 2.0557911");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.7816796, 0.7831884, 0.7846140, 0.7868220, 0.7886683, 0.7898475, 0.7937747", \
+					  "-0.2725442, -0.2711102, -0.2696947, -0.2668318, -0.2645205, -0.2651968, -0.2710517", \
+					  "-0.2557752, -0.2547015, -0.2531830, -0.2508655, -0.2490924, -0.2451033, -0.2513796", \
+					  "-0.1650174, -0.1660685, -0.1639803, -0.1633643, -0.1892793, -0.2304942, -0.2413083", \
+					  "-0.1518482, -0.1524116, -0.1503292, -0.1487443, -0.1450485, -0.1504047, -0.2260569", \
+					  "-0.1394160, -0.1473626, -0.1432080, -0.1417528, -0.1345531, -0.1289820, -0.1918134", \
+					  "-0.1238265, -0.1331743, -0.1326800, -0.1304831, -0.1190560, -0.1121293, -0.1251196");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.8398649, 0.8414281, 0.8428542, 0.8450968, 0.8475089, 0.8479262, 0.8406724", \
+					  "-0.0259023, -0.0246497, -0.0226298, -0.0187588, -0.0176221, -0.0177190, -0.0370652", \
+					  "0.1745119, 0.1755734, 0.1775564, 0.1802013, 0.1788936, 0.1798898, 0.1768402", \
+					  "0.4121564, 0.4102253, 0.4045979, 0.3909827, 0.3626632, 0.3560604, 0.3621693", \
+					  "0.5973888, 0.5979758, 0.5962665, 0.5998600, 0.5892618, 0.5419915, 0.5155428", \
+					  "0.7523925, 0.7535196, 0.7513079, 0.7545370, 0.7504548, 0.7597354, 0.6833437", \
+					  "0.9007112, 0.9006900, 0.8928470, 0.9032447, 0.8972046, 0.9028748, 0.8544818");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0260633, 1.0293521, 1.0362834, 1.0487062, 1.0667343, 1.0792436, 1.0854227", \
+					  "2.0276083, 2.0317977, 2.0384935, 2.0515954, 2.0672498, 2.0807457, 2.0880739", \
+					  "2.0263320, 2.0306461, 2.0381967, 2.0502842, 2.0658000, 2.0800113, 2.0862602", \
+					  "2.0194831, 2.0237143, 2.0301560, 2.0442498, 2.0619309, 2.0744480, 2.0810773", \
+					  "1.9957850, 1.9969712, 2.0107104, 2.0303566, 2.0660576, 2.0698413, 2.0898181", \
+					  "1.9571816, 1.9727724, 1.9675147, 1.9881670, 2.0255076, 2.0501986, 2.0854627", \
+					  "1.9926814, 2.0016308, 1.9937663, 1.9942537, 2.0075306, 2.0147035, 2.0659511");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.1633199, 1.1753314, 1.2042299, 1.2508779, 1.5327675, 1.5342545, 1.5325688", \
+					  "1.1604377, 1.1709821, 1.1996931, 1.2456099, 1.5277282, 1.5305480, 1.5375488", \
+					  "1.1544929, 1.1649846, 1.1936620, 1.2408844, 1.5213471, 1.5253719, 1.5205182", \
+					  "1.1558718, 1.1663882, 1.1940649, 1.2414241, 1.5226887, 1.5243313, 1.5225425", \
+					  "1.1559876, 1.1665263, 1.1942496, 1.2405337, 1.5222754, 1.5250656, 1.5321827", \
+					  "1.1525785, 1.1675075, 1.1953897, 1.2414672, 1.5232929, 1.5267319, 1.5234386", \
+					  "1.1542470, 1.1663812, 1.1943778, 1.2414297, 1.5236935, 1.5240195, 1.5226932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0384333, 1.0398685, 1.0463638, 1.0579181, 1.0752513, 1.0949284, 1.1095916", \
+					  "1.0339646, 1.0370218, 1.0420632, 1.0535591, 1.0711960, 1.0913954, 1.1038314", \
+					  "1.0301979, 1.0323923, 1.0378180, 1.0493748, 1.0672794, 1.0869292, 1.1016310", \
+					  "1.0308302, 1.0320766, 1.0387633, 1.0492418, 1.0667437, 1.0873520, 1.0986396", \
+					  "1.0293494, 1.0325841, 1.0370686, 1.0479616, 1.0675350, 1.0863053, 1.1016267", \
+					  "1.0298705, 1.0320902, 1.0388141, 1.0461664, 1.0661461, 1.0872648, 1.0994856", \
+					  "1.0302960, 1.0319112, 1.0384407, 1.0465599, 1.0670273, 1.0869125, 1.1008002");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.0763576, 5.1130993, 5.1896660, 5.3447771, 5.6550307, 6.3007026, 7.7127114", \
+					  "7.8166025, 7.8531510, 7.9296951, 8.0849185, 8.3950855, 9.0423731, 10.4523150", \
+					  "10.2078320, 10.2443320, 10.3195690, 10.4712720, 10.7888390, 11.4358970, 12.8444180", \
+					  "10.7421670, 10.7787410, 10.8511160, 11.0070500, 11.3241110, 11.9714160, 13.3769920", \
+					  "13.9306250, 13.9534610, 14.0453490, 14.2003250, 14.5116400, 15.1589390, 16.5664390", \
+					  "16.5969500, 16.6212860, 16.7134400, 16.8643500, 17.1752440, 17.8219480, 19.2365500", \
+					  "18.6199750, 18.6574450, 18.7316790, 18.8774470, 19.1952300, 19.8440540, 21.2548910", \
+					  "21.4715610, 21.5082030, 21.5647430, 21.7116550, 22.0366550, 22.6899580, 24.1083540", \
+					  "60.2987950, 60.3164170, 60.3164197, 60.5726740, 60.8711870, 61.5202110, 62.9010870", \
+					  "84.9363740, 84.9721860, 85.0019150, 85.0019188, 85.5115450, 86.1626010, 87.5577730", \
+					  "190.1562600, 190.3607900, 190.4441800, 190.6370000, 190.9918000, 191.4202700, 192.9376500", \
+					  "568.3576800, 568.3576966, 568.4674400, 568.6061400, 569.0966400, 569.7299300, 571.2885200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4212255, 0.4564317, 0.5280314, 0.6827377, 1.0393275, 1.8520833, 3.7561594", \
+					  "0.4232254, 0.4562903, 0.5279098, 0.6825938, 1.0334066, 1.8596173, 3.7131389", \
+					  "0.4229929, 0.4561951, 0.5280896, 0.6831706, 1.0327872, 1.8452493, 3.7748884", \
+					  "0.4249673, 0.4572304, 0.5306064, 0.6839773, 1.0325909, 1.8494084, 3.7119661", \
+					  "0.4232570, 0.4564206, 0.5279984, 0.6825467, 1.0344569, 1.8597093, 3.7151782", \
+					  "0.4231310, 0.4564182, 0.5280348, 0.6827450, 1.0339195, 1.8583275, 3.7287915", \
+					  "0.4231377, 0.4563513, 0.5280341, 0.6843007, 1.0364587, 1.8590649, 3.7182435", \
+					  "0.4218282, 0.4564184, 0.5283467, 0.6854980, 1.0368122, 1.8590358, 3.7286076", \
+					  "0.4232563, 0.4564214, 0.5280474, 0.6828913, 1.0351573, 1.8596846, 3.7125503", \
+					  "0.4230819, 0.4564153, 0.5280257, 0.6828055, 1.0336970, 1.8584754, 3.7291588", \
+					  "0.4231742, 0.4563997, 0.5280889, 0.6830423, 1.0341386, 1.8532551, 3.7282919", \
+					  "0.4230540, 0.4564110, 0.5280378, 0.6829202, 1.0345573, 1.8577214, 3.7282346");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.2367750, 5.2616143, 5.3136433, 5.4179523, 5.6162768, 5.9745768, 6.6194348", \
+					  "8.1817175, 8.2073299, 8.2602369, 8.3632141, 8.5652134, 8.9233190, 9.5685904", \
+					  "10.8913380, 10.9118090, 10.9675380, 11.0706270, 11.2739460, 11.6289800, 12.2762140", \
+					  "11.4917820, 11.4951330, 11.5713300, 11.6748430, 11.8759460, 12.2324980, 12.8783060", \
+					  "15.0311850, 15.0611290, 15.1096950, 15.2144960, 15.4147720, 15.7551200, 16.4198430", \
+					  "17.8982000, 17.9366390, 17.9761910, 18.0880680, 18.2870310, 18.6294460, 19.2713600", \
+					  "20.0373660, 20.0525000, 20.1392380, 20.2209180, 20.4445240, 20.8055530, 21.4441940", \
+					  "23.0742870, 23.0742884, 23.1206790, 23.2570050, 23.4329690, 23.8164600, 24.4414800", \
+					  "62.0915300, 62.1089380, 62.1300130, 62.2370070, 62.4912100, 62.8282710, 63.4394150", \
+					  "85.5748470, 85.5944720, 85.6642330, 85.7387120, 85.9661280, 86.3098460, 86.9561920", \
+					  "180.5238000, 180.5560700, 180.6049400, 180.6897700, 180.9404200, 181.3096900, 181.9155800", \
+					  "486.8611500, 487.0836400, 487.0836640, 487.1653800, 487.3596700, 487.6607700, 488.3019100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3234174, 0.3419862, 0.3834112, 0.4728988, 0.6517988, 0.9742871, 1.6631450", \
+					  "0.3241913, 0.3443534, 0.3860392, 0.4741796, 0.6511540, 0.9771847, 1.6651824", \
+					  "0.3234163, 0.3429322, 0.3865708, 0.4750623, 0.6508727, 0.9735117, 1.6653756", \
+					  "0.3235462, 0.3421738, 0.3838525, 0.4731801, 0.6514313, 0.9775781, 1.6567582", \
+					  "0.3231995, 0.3407662, 0.3843201, 0.4747426, 0.6524494, 0.9744922, 1.6576601", \
+					  "0.3230327, 0.3417478, 0.3857009, 0.4750570, 0.6511837, 0.9739960, 1.6633375", \
+					  "0.3232425, 0.3422611, 0.3830326, 0.4752044, 0.6506353, 0.9744252, 1.6632119", \
+					  "0.3230271, 0.3428512, 0.3833827, 0.4743040, 0.6524367, 0.9742418, 1.6639766", \
+					  "0.3232657, 0.3438666, 0.3852832, 0.4750085, 0.6527191, 0.9769764, 1.6655929", \
+					  "0.3235833, 0.3406809, 0.3863638, 0.4769810, 0.6513056, 0.9753407, 1.6638179", \
+					  "0.3225292, 0.3415117, 0.3855025, 0.4751700, 0.6515005, 0.9734351, 1.6624851", \
+					  "0.3233550, 0.3407443, 0.3837642, 0.4751684, 0.6523417, 0.9778389, 1.6642533");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9188471, 4.9549804, 5.0312767, 5.1854383, 5.4965064, 6.1444734, 7.5617610", \
+					  "7.1788748, 7.2153390, 7.2913235, 7.4456734, 7.7565749, 8.4039158, 9.8190291", \
+					  "8.8537480, 8.8900087, 8.9658121, 9.1196819, 9.4304564, 10.0770690, 11.4966210", \
+					  "9.2173051, 9.2534895, 9.3292307, 9.4838537, 9.7943387, 10.4413330, 11.8553080", \
+					  "11.3250780, 11.3611170, 11.4370330, 11.5915270, 11.9006540, 12.5492950, 13.9713760", \
+					  "12.9974640, 13.0347530, 13.1079050, 13.2683750, 13.5763050, 14.2242080, 15.6442560", \
+					  "14.2513840, 14.2841160, 14.3587600, 14.5182510, 14.8230190, 15.4775070, 16.8876930", \
+					  "15.9860040, 16.0248210, 16.1009460, 16.2534160, 16.5630410, 17.2111550, 18.6268300", \
+					  "38.5769030, 38.6143530, 38.6947470, 38.8488350, 39.1312800, 39.7556050, 41.1786270", \
+					  "52.4547660, 52.4594950, 52.5636070, 52.7195000, 53.0320290, 53.6802890, 55.0697800", \
+					  "109.8232800, 109.8383300, 109.9221300, 110.0791900, 110.3735800, 111.0470700, 112.4556800", \
+					  "308.0465100, 308.4513200, 308.4513398, 308.4513703, 308.6426800, 309.3431600, 310.7063000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4176675, 0.4500745, 0.5213447, 0.6784371, 1.0391777, 1.8624734, 3.7353343", \
+					  "0.4167368, 0.4488195, 0.5214111, 0.6781328, 1.0364011, 1.8654382, 3.7850905", \
+					  "0.4133870, 0.4457932, 0.5202133, 0.6804082, 1.0379603, 1.8531261, 3.7249404", \
+					  "0.4150573, 0.4479499, 0.5224920, 0.6806012, 1.0328302, 1.8564483, 3.7270307", \
+					  "0.4167454, 0.4482493, 0.5216980, 0.6796349, 1.0375995, 1.8635604, 3.7664223", \
+					  "0.4166891, 0.4489861, 0.5214033, 0.6804408, 1.0378653, 1.8498351, 3.7713384", \
+					  "0.4162299, 0.4471662, 0.5202256, 0.6795590, 1.0375955, 1.8625005, 3.7627372", \
+					  "0.4167897, 0.4472880, 0.5223354, 0.6801998, 1.0373636, 1.8450304, 3.7700594", \
+					  "0.4164858, 0.4493818, 0.5210405, 0.6803730, 1.0365884, 1.8607614, 3.7268726", \
+					  "0.4145144, 0.4500650, 0.5215092, 0.6801497, 1.0349263, 1.8598396, 3.7501562", \
+					  "0.4151456, 0.4483284, 0.5207619, 0.6808783, 1.0363581, 1.8511788, 3.7338928", \
+					  "0.4167653, 0.4481938, 0.5217778, 0.6785240, 1.0365443, 1.8594359, 3.7454568");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("7.7040747, 7.7292359, 7.7837301, 7.8916589, 8.1050553, 8.4759302, 9.1405639", \
+					  "10.0395620, 10.0620530, 10.1212220, 10.2280440, 10.4410440, 10.8136100, 11.4747630", \
+					  "13.1789080, 13.2050510, 13.2598070, 13.3673620, 13.5920430, 13.9526040, 14.6053130", \
+					  "13.9314490, 13.9603480, 14.0162420, 14.1260350, 14.3352320, 14.7081720, 15.3673750", \
+					  "18.5590420, 18.5769640, 18.6389450, 18.7460820, 18.9588010, 19.3310360, 19.9932570", \
+					  "22.2662330, 22.2873760, 22.3486830, 22.4547900, 22.6648120, 23.0393840, 23.7020430", \
+					  "25.0253900, 25.0269210, 25.1005300, 25.2093440, 25.4186400, 25.7859010, 26.4555790", \
+					  "28.8336410, 28.8582370, 28.9071720, 29.0153930, 29.2263390, 29.5933680, 30.2594470", \
+					  "76.6634830, 76.6995140, 76.7515760, 76.8453180, 77.0456840, 77.4480640, 78.0796800", \
+					  "105.1464600, 105.1705600, 105.2327000, 105.3388300, 105.5515900, 105.9286300, 106.5888100", \
+					  "219.8125800, 219.8215600, 219.8952000, 220.0133600, 220.2415900, 220.5948800, 221.2662100", \
+					  "597.2787600, 597.3038200, 597.4268300, 597.4268494, 597.7467500, 598.0644500, 598.7299700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3237757, 0.3445484, 0.3890809, 0.4870551, 0.6734222, 1.0063631, 1.6915965", \
+					  "0.3245671, 0.3428980, 0.3897500, 0.4861551, 0.6742714, 1.0068871, 1.6913755", \
+					  "0.3247838, 0.3426799, 0.3899411, 0.4858479, 0.6728305, 1.0064132, 1.6914918", \
+					  "0.3246847, 0.3433630, 0.3900386, 0.4871178, 0.6744959, 1.0070221, 1.6914634", \
+					  "0.3245179, 0.3438653, 0.3898971, 0.4863983, 0.6746235, 1.0064382, 1.6912858", \
+					  "0.3245852, 0.3436288, 0.3872779, 0.4858026, 0.6727694, 1.0064193, 1.6927509", \
+					  "0.3245873, 0.3433801, 0.3897806, 0.4854627, 0.6744260, 1.0051214, 1.6881957", \
+					  "0.3238911, 0.3428703, 0.3896718, 0.4864669, 0.6733699, 1.0060003, 1.6912503", \
+					  "0.3247886, 0.3439568, 0.3899587, 0.4870989, 0.6727933, 1.0063234, 1.6879610", \
+					  "0.3246196, 0.3437770, 0.3897766, 0.4859244, 0.6745408, 1.0065652, 1.6913595", \
+					  "0.3251108, 0.3437435, 0.3903413, 0.4862947, 0.6748602, 1.0069152, 1.6935356", \
+					  "0.3253773, 0.3433078, 0.3905752, 0.4866865, 0.6755877, 1.0079090, 1.6920955");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9399884, 4.9763216, 5.0526006, 5.2048130, 5.5181890, 6.1652066, 7.5823312", \
+					  "7.4858688, 7.5219244, 7.5979578, 7.7524600, 8.0620701, 8.7115053, 10.1257360", \
+					  "9.6081560, 9.6442294, 9.7169757, 9.8746593, 10.1849680, 10.8337730, 12.2398520", \
+					  "10.0848280, 10.1209040, 10.1915930, 10.3518700, 10.6633280, 11.3085350, 12.7234300", \
+					  "12.9492610, 12.9869050, 13.0606560, 13.2197510, 13.5308990, 14.1735610, 15.5859750", \
+					  "15.3215980, 15.3589500, 15.4359090, 15.5917390, 15.9000230, 16.5456360, 17.9693720", \
+					  "17.1216680, 17.1593440, 17.2352140, 17.3940840, 17.7047760, 18.3462350, 19.7650750", \
+					  "19.6699460, 19.7083470, 19.7838440, 19.9419810, 20.2500810, 20.8956550, 22.3141310", \
+					  "54.5022020, 54.8216260, 55.0166810, 55.0560980, 55.4927130, 55.7266300, 57.5381340", \
+					  "77.3369560, 77.3369561, 77.3567520, 77.6505900, 77.9415930, 78.5090890, 79.6493540", \
+					  "172.6628100, 172.6628190, 172.6628342, 172.7176900, 173.0030900, 173.8866000, 175.2690800", \
+					  "509.8124200, 509.8398800, 509.9231000, 510.5415000, 510.5477500, 511.0444500, 512.4030100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4178342, 0.4501365, 0.5211704, 0.6812558, 1.0381715, 1.8632880, 3.7554857", \
+					  "0.4141850, 0.4499696, 0.5211576, 0.6797060, 1.0329311, 1.8616284, 3.7246688", \
+					  "0.4157033, 0.4500337, 0.5208746, 0.6801459, 1.0325580, 1.8456502, 3.7463899", \
+					  "0.4152904, 0.4469074, 0.5222290, 0.6796518, 1.0375337, 1.8574137, 3.7259777", \
+					  "0.4148546, 0.4493207, 0.5220610, 0.6773406, 1.0373533, 1.8514729, 3.7182921", \
+					  "0.4164727, 0.4469331, 0.5220521, 0.6808007, 1.0374851, 1.8609798, 3.7586254", \
+					  "0.4145389, 0.4492618, 0.5219274, 0.6798082, 1.0319896, 1.8633155, 3.7330712", \
+					  "0.4167737, 0.4476843, 0.5223396, 0.6796320, 1.0355371, 1.8596975, 3.7322176", \
+					  "0.4171282, 0.4473725, 0.5224990, 0.6804168, 1.0356999, 1.8595805, 3.7341024", \
+					  "0.4163526, 0.4486855, 0.5220099, 0.6786950, 1.0374478, 1.8533236, 3.7550136", \
+					  "0.4155688, 0.4471999, 0.5224836, 0.6796118, 1.0373368, 1.8548020, 3.7323020", \
+					  "0.4161519, 0.4484460, 0.5224390, 0.6808456, 1.0372750, 1.8526092, 3.7362473");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("5.4644445, 5.4902265, 5.5438778, 5.6539031, 5.8642455, 6.2364198, 6.8983188", \
+					  "8.2033473, 8.2290024, 8.2828464, 8.3914840, 8.6025427, 8.9748768, 9.6369073", \
+					  "11.3839280, 11.4107670, 11.4640160, 11.5832640, 11.7839420, 12.1564230, 12.8182600", \
+					  "12.1073230, 12.1356590, 12.1874870, 12.2997910, 12.5071380, 12.8850730, 13.5405980", \
+					  "16.3113450, 16.3378950, 16.3906580, 16.4985200, 16.7075310, 17.0803530, 17.7437050", \
+					  "19.6554450, 19.7026150, 19.7516690, 19.8472580, 20.0585380, 20.4441420, 21.1072370", \
+					  "22.1809630, 22.2127790, 22.2567230, 22.3765610, 22.5848670, 22.9599200, 23.6055350", \
+					  "25.6707010, 25.6904120, 25.7388240, 25.8741830, 26.0595780, 26.4369180, 27.0825090", \
+					  "70.2649030, 70.2976950, 70.3211280, 70.4485000, 70.6724420, 71.0129560, 71.7014640", \
+					  "97.0437740, 97.0800120, 97.0800133, 97.2176220, 97.4380680, 97.8200280, 98.4965710", \
+					  "205.3192500, 205.3472500, 205.3721300, 205.4906600, 205.7225400, 206.0842900, 206.7427000", \
+					  "562.2481500, 562.3323900, 562.3324280, 562.4281700, 562.6402000, 562.9667000, 563.6364900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3232919, 0.3429214, 0.3877421, 0.4867428, 0.6729060, 1.0060572, 1.6878283", \
+					  "0.3226394, 0.3436849, 0.3894012, 0.4867604, 0.6715449, 1.0042813, 1.6904720", \
+					  "0.3228427, 0.3438175, 0.3897621, 0.4849431, 0.6732195, 1.0059178, 1.6928802", \
+					  "0.3245905, 0.3439901, 0.3897882, 0.4854345, 0.6743621, 1.0063270, 1.6913201", \
+					  "0.3239623, 0.3425449, 0.3876817, 0.4851123, 0.6745773, 1.0064838, 1.6907570", \
+					  "0.3243832, 0.3440225, 0.3897926, 0.4855360, 0.6742106, 1.0049280, 1.6911792", \
+					  "0.3243142, 0.3424449, 0.3895762, 0.4863619, 0.6745630, 1.0066484, 1.6912138", \
+					  "0.3239039, 0.3440947, 0.3898049, 0.4865140, 0.6743930, 1.0066562, 1.6910403", \
+					  "0.3228856, 0.3428709, 0.3898460, 0.4864677, 0.6729202, 1.0055301, 1.6904329", \
+					  "0.3239400, 0.3443651, 0.3899835, 0.4867270, 0.6746098, 1.0068156, 1.6913302", \
+					  "0.3228415, 0.3442987, 0.3894013, 0.4871550, 0.6749701, 1.0068537, 1.6916564", \
+					  "0.3253298, 0.3451120, 0.3904914, 0.4873430, 0.6738542, 1.0078026, 1.6922076");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("19.7117020, 19.7479900, 19.8242740, 19.9792380, 20.2891590, 20.9349960, 22.3499870", \
+					  "19.8100170, 19.8467200, 19.9229770, 20.0747380, 20.3845140, 21.0328790, 22.4491340", \
+					  "19.9050070, 19.9405670, 20.0168130, 20.1717540, 20.4818850, 21.1276120, 22.5426680", \
+					  "20.0111540, 20.0491160, 20.1253130, 20.2802040, 20.5901380, 21.2360260, 22.6505890", \
+					  "20.1083150, 20.1440230, 20.2202570, 20.3751770, 20.6851120, 21.3310450, 22.7461040", \
+					  "20.2157260, 20.2512320, 20.3274360, 20.4824730, 20.7924020, 21.4383110, 22.8529210", \
+					  "20.3186800, 20.3540740, 20.4302780, 20.5851690, 20.8951350, 21.5409880, 22.9555520", \
+					  "20.4217850, 20.4591210, 20.5353490, 20.6902690, 21.0002080, 21.6460700, 23.0611870", \
+					  "20.5221000, 20.5554650, 20.6317420, 20.7867090, 21.0966360, 21.7425530, 23.1576960", \
+					  "20.6256750, 20.6629260, 20.7391860, 20.8914890, 21.2039610, 21.8496010, 23.2626980", \
+					  "20.7205100, 20.7566460, 20.8328470, 20.9872390, 21.2943440, 21.9431070, 23.3582170", \
+					  "20.8174600, 20.8548750, 20.9329600, 21.0813390, 21.3913310, 22.0420220, 23.4571780");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4200498, 0.4529484, 0.5251278, 0.6790626, 1.0291470, 1.8421012, 3.7293959", \
+					  "0.4172782, 0.4529352, 0.5251082, 0.6788649, 1.0291471, 1.8422085, 3.7295620", \
+					  "0.4200567, 0.4530295, 0.5250550, 0.6789032, 1.0291835, 1.8421187, 3.7323957", \
+					  "0.4200578, 0.4532228, 0.5248732, 0.6785916, 1.0296308, 1.8423063, 3.7199408", \
+					  "0.4200643, 0.4531083, 0.5249829, 0.6787820, 1.0291997, 1.8423019, 3.7308836", \
+					  "0.4201170, 0.4529675, 0.5250096, 0.6790792, 1.0290975, 1.8421029, 3.7264343", \
+					  "0.4200601, 0.4532210, 0.5248729, 0.6785919, 1.0296335, 1.8423069, 3.7199068", \
+					  "0.4200642, 0.4531712, 0.5249249, 0.6786732, 1.0293307, 1.8423101, 3.7313450", \
+					  "0.4201116, 0.4529477, 0.5251275, 0.6790622, 1.0291471, 1.8421009, 3.7294825", \
+					  "0.4201669, 0.4531636, 0.5246720, 0.6773505, 1.0298278, 1.8420981, 3.7291099", \
+					  "0.4201238, 0.4492913, 0.5218485, 0.6780007, 1.0290975, 1.8418246, 3.7312722", \
+					  "0.4201419, 0.4531530, 0.5250170, 0.6788443, 1.0291896, 1.8420975, 3.7322434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("18.6871980, 18.7212290, 18.7894940, 18.9244850, 19.1919960, 19.6751010, 20.4743640", \
+					  "18.7751660, 18.8044980, 18.8762430, 19.0071000, 19.2791400, 19.7573390, 20.5617460", \
+					  "18.8424510, 18.8736690, 18.9452100, 19.0779910, 19.3469080, 19.8290100, 20.6307540", \
+					  "18.9062950, 18.9410780, 19.0091040, 19.1461820, 19.4106580, 19.8960630, 20.6947150", \
+					  "18.9680680, 18.9997650, 19.0701960, 19.2030960, 19.4725500, 19.9533170, 20.7544430", \
+					  "19.0262810, 19.0591130, 19.1291750, 19.2628310, 19.5307770, 20.0131360, 20.8147140", \
+					  "19.0634440, 19.0978580, 19.1651530, 19.3016220, 19.5676350, 20.0515070, 20.8500110", \
+					  "19.1166580, 19.1482440, 19.2190990, 19.3492480, 19.6205580, 20.0990890, 20.9044850", \
+					  "19.1502070, 19.1841140, 19.2532030, 19.3953210, 19.6546480, 20.1456150, 20.9386840", \
+					  "19.2004330, 19.2288900, 19.3023800, 19.4339600, 19.7048010, 20.1837320, 20.9868210", \
+					  "19.2434580, 19.2741400, 19.3464590, 19.4837760, 19.7478940, 20.2341130, 21.0319250", \
+					  "19.2787870, 19.3121360, 19.3817850, 19.5201950, 19.7832290, 20.2700720, 21.0672650");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4804170, 0.5019549, 0.5508025, 0.6621381, 0.9006913, 1.3049970, 2.0055955", \
+					  "0.4768005, 0.5021137, 0.5490564, 0.6646866, 0.9023517, 1.3035829, 2.0115358", \
+					  "0.4804821, 0.5025371, 0.5511553, 0.6647458, 0.9022900, 1.3030903, 2.0013031", \
+					  "0.4803619, 0.5017618, 0.5497886, 0.6628396, 0.9010752, 1.3050586, 2.0045097", \
+					  "0.4766956, 0.5024637, 0.5515417, 0.6646403, 0.9036484, 1.3038127, 2.0097393", \
+					  "0.4805230, 0.5012646, 0.5510536, 0.6646296, 0.9016126, 1.3031647, 2.0021187", \
+					  "0.4783475, 0.5020228, 0.5505798, 0.6626954, 0.9030012, 1.3050325, 2.0115445", \
+					  "0.4781941, 0.5025282, 0.5507863, 0.6623032, 0.9007059, 1.3049186, 2.0094526", \
+					  "0.4805151, 0.5024395, 0.5506948, 0.6646216, 0.9008241, 1.3032648, 2.0038264", \
+					  "0.4765897, 0.5025304, 0.5516747, 0.6642417, 0.9034085, 1.3050283, 2.0111992", \
+					  "0.4805217, 0.5021517, 0.5506903, 0.6645453, 0.9008329, 1.3019003, 2.0038242", \
+					  "0.4805165, 0.5025293, 0.5506927, 0.6628431, 0.9008312, 1.3050446, 2.0038287");
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( OE_N & !IB_MODE_SEL & VTRIP_SEL )";
+				miller_cap_fall : "0.0176808";
+				miller_cap_rise : "0.0164122";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("14.2041, 3.81619, 3.61772, 3.53215, 3.43041, 3.37122, 3.30469, 3.22889, 3.14144, 3.03988, 2.92285, 2.79105, 2.64612, 2.48917, 2.32066, 2.14071, 1.94933, 1.74647, 1.53207, 1.30607, 1.0684, 0.819017, 0.557856, 0.284865, -9.51646e-09, -0.585603, -1.18193, -3.1519, -8.28052", \
+					  "13.4265, 2.03171, 1.93605, 1.89988, 1.85933, 1.83697, 1.8129, 1.78679, 1.7582, 1.72654, 1.69102, 1.6505, 1.60334, 1.5472, 1.47926, 1.39733, 1.30081, 1.18979, 1.06425, 0.924085, 0.769182, 0.599423, 0.414703, 0.214925, -9.04191e-09, -0.456407, -0.936709, -2.63358, -8.07348", \
+					  "13.0192, 1.07008, 0.99865, 0.980674, 0.961159, 0.950694, 0.939666, 0.927988, 0.91555, 0.902212, 0.887792, 0.87205, 0.854656, 0.835143, 0.812823, 0.786627, 0.754818, 0.714574, 0.662131, 0.594482, 0.510267, 0.408957, 0.29027, 0.154006, -1.08964e-08, -0.346477, -0.732262, -2.21724, -7.93459", \
+					  "12.9217, 0.806756, 0.719546, 0.706302, 0.692093, 0.684549, 0.676659, 0.668372, 0.659626, 0.650343, 0.640423, 0.629737, 0.618114, 0.605316, 0.591008, 0.574688, 0.555562, 0.532265, 0.502267, 0.461258, 0.404909, 0.331157, 0.239294, 0.128984, -1.00277e-08, -0.302047, -0.65076, -2.05434, -7.86508", \
+					  "12.8503, 0.634565, 0.468325, 0.459204, 0.449547, 0.444478, 0.439219, 0.433746, 0.428026, 0.42202, 0.41568, 0.408943, 0.401729, 0.39393, 0.385398, 0.375922, 0.365186, 0.352679, 0.337486, 0.317651, 0.288698, 0.244641, 0.182373, 0.100956, -1.16912e-08, -0.252769, -0.561137, -1.87653, -7.78459", \
+					  "12.824, 0.591889, 0.356323, 0.349038, 0.341383, 0.337389, 0.333266, 0.328996, 0.324557, 0.319925, 0.315066, 0.309941, 0.304497, 0.298667, 0.292359, 0.285443, 0.277733, 0.268935, 0.258552, 0.245584, 0.227436, 0.198032, 0.151479, 0.0856773, -1.30337e-08, -0.226102, -0.512976, -1.78136, -7.74269", \
+					  "12.8045, 0.575305, 0.255566, 0.249962, 0.244125, 0.241102, 0.237996, 0.234797, 0.231491, 0.228064, 0.224494, 0.220758, 0.216825, 0.212655, 0.208192, 0.203365, 0.198068, 0.192145, 0.185338, 0.177179, 0.166535, 0.149683, 0.118967, 0.0695021, -1.14952e-08, -0.19797, -0.462426, -1.6817, -7.69969", \
+					  "12.7921, 0.583106, 0.168169, 0.16408, 0.159875, 0.157714, 0.155507, 0.153248, 0.150929, 0.148542, 0.146076, 0.143518, 0.14085, 0.138051, 0.135093, 0.131937, 0.128531, 0.124798, 0.120617, 0.115783, 0.109869, 0.1015, 0.0851886, 0.052477, -1.22903e-08, -0.168304, -0.409397, -1.57756, -7.65605", \
+					  "12.7878, 0.615347, 0.0969482, 0.0941525, 0.0913824, 0.0899718, 0.0885408, 0.0870865, 0.0856055, 0.0840934, 0.0825452, 0.0809548, 0.0793142, 0.0776137, 0.0758406, 0.0739779, 0.0720027, 0.0698822, 0.0675679, 0.064981, 0.0619762, 0.0581824, 0.0517575, 0.0349592, -1.67772e-08, -0.137081, -0.353883, -1.46922, -7.61243", \
+					  "12.793, 0.673754, 0.0457186, 0.0436808, 0.0421127, 0.0413236, 0.0405293, 0.0397285, 0.0389201, 0.0381025, 0.0372739, 0.036432, 0.0355741, 0.0346967, 0.0337954, 0.0328644, 0.0318957, 0.0308787, 0.0297977, 0.0286284, 0.0273299, 0.0258158, 0.0237659, 0.0183731, -5.35294e-08, -0.104441, -0.296097, -1.35732, -7.5694", \
+					  "12.8082, 0.759768, 0.0184874, 0.0149334, 0.0142338, 0.0138925, 0.0135516, 0.013211, 0.0128702, 0.0125289, 0.0121866, 0.0118428, 0.0114968, 0.0111477, 0.0107944, 0.0104356, 0.0100693, 0.00969311, 0.00930332, 0.00889454, 0.00845803, 0.0079774, 0.00740807, 0.0063715, -3.07657e-07, -0.0711018, -0.236847, -1.24269, -7.52743", \
+					  "12.8326, 0.873068, 0.0192153, 0.00374671, 0.00344417, 0.00333939, 0.00323583, 0.00313312, 0.00303118, 0.00292993, 0.0028293, 0.00272917, 0.00262943, 0.00252992, 0.00243046, 0.0023308, 0.00223062, 0.00212948, 0.00202679, 0.00192163, 0.00181259, 0.00169715, 0.0015693, 0.00139312, -1.94958e-06, -0.0397696, -0.17807, -1.12632, -7.48684", \
+					  "12.8619, 0.992922, 0.0536139, 0.00123311, 0.000620511, 0.000595759, 0.000573503, 0.000551692, 0.000530196, 0.000508979, 0.00048802, 0.000467299, 0.000446794, 0.000426479, 0.00040633, 0.0003863, 0.000366352, 0.000346423, 0.000326415, 0.00030621, 0.000285604, 0.00026425, 0.000241341, 0.000212768, -1.2751e-05, -0.0163417, -0.122822, -1.00922, -7.44784", \
+					  "12.8932, 1.12404, 0.116884, 0.00374101, 8.05871e-05, 5.84786e-05, 5.08502e-05, 4.43007e-05, 3.80415e-05, 3.19231e-05, 2.58901e-05, 1.99159e-05, 1.39843e-05, 8.07874e-06, 2.19714e-06, -3.66906e-06, -9.53383e-06, -1.53992e-05, -2.12823e-05, -2.72005e-05, -3.31783e-05, -3.92437e-05, -4.55092e-05, -5.24291e-05, -8.34111e-05, -0.00461713, -0.074692, -0.892518, -7.4106", \
+					  "12.9252, 1.25972, 0.198117, 0.0193601, 7.89078e-06, -0.000118091, -0.000148793, -0.000172599, -0.000194762, -0.000216284, -0.000237519, -0.000258636, -0.000279732, -0.000300856, -0.000322053, -0.000343345, -0.000364746, -0.000386273, -0.00040794, -0.000429741, -0.000451694, -0.000473801, -0.000496065, -0.000518547, -0.000543475, -0.00147423, -0.0378248, -0.777694, -7.37547", \
+					  "12.9575, 1.39871, 0.289593, 0.0586583, 6.76331e-07, -0.000851226, -0.0010539, -0.00120512, -0.00134373, -0.00147714, -0.00160797, -0.00173751, -0.00186646, -0.00199525, -0.00212419, -0.00225345, -0.00238317, -0.00251346, -0.00264438, -0.00277599, -0.00290833, -0.00304142, -0.00317529, -0.00330996, -0.00344563, -0.00385376, -0.0177351, -0.668467, -7.34418", \
+					  "12.9904, 1.5402, 0.386199, 0.11423, 6.18637e-08, -0.00574202, -0.00712983, -0.00801937, -0.00878346, -0.00949151, -0.010168, -0.0108248, -0.0114685, -0.0121034, -0.0127322, -0.013357, -0.0139792, -0.0145997, -0.0152195, -0.0158391, -0.016459, -0.0170796, -0.0177012, -0.0183241, -0.0189485, -0.020218, -0.0249399, -0.578102, -7.32406", \
+					  "13.0236, 1.68345, 0.484179, 0.174824, 1.22901e-08, -0.024908, -0.0328342, -0.0367782, -0.0397104, -0.0422128, -0.0444736, -0.0465789, -0.048576, -0.0504938, -0.0523516, -0.0541628, -0.0559371, -0.0576817, -0.0594022, -0.0611029, -0.0627872, -0.064458, -0.0661174, -0.0677674, -0.0694095, -0.0726765, -0.0765464, -0.53029, -7.32682", \
+					  "13.0572, 1.8278, 0.580781, 0.234597, 8.29527e-09, -0.057066, -0.0832706, -0.0954657, -0.103181, -0.10913, -0.114154, -0.118608, -0.122674, -0.126461, -0.130036, -0.133446, -0.136725, -0.139896, -0.142979, -0.145987, -0.148932, -0.151824, -0.154668, -0.157472, -0.16024, -0.165687, -0.171119, -0.528034, -7.35117", \
+					  "13.0911, 1.97259, 0.674189, 0.291401, 7.87821e-09, -0.0917009, -0.145381, -0.173386, -0.189606, -0.200959, -0.209918, -0.217482, -0.224136, -0.23015, -0.235693, -0.240873, -0.245766, -0.250428, -0.254898, -0.259209, -0.263384, -0.267443, -0.271402, -0.275272, -0.279066, -0.286455, -0.293636, -0.560149, -7.38952", \
+					  "13.1252, 2.11719, 0.763383, 0.344631, 7.74191e-09, -0.124713, -0.208824, -0.259443, -0.289043, -0.308371, -0.322674, -0.334184, -0.343948, -0.352528, -0.360255, -0.36734, -0.373925, -0.380111, -0.385971, -0.391561, -0.396922, -0.402088, -0.407087, -0.411939, -0.416663, -0.425783, -0.434541, -0.621125, -7.43761", \
+					  "13.1595, 2.26101, 0.847924, 0.39425, 7.63514e-09, -0.155292, -0.269474, -0.346382, -0.394419, -0.425025, -0.446494, -0.462984, -0.47648, -0.488013, -0.49817, -0.507315, -0.515686, -0.523446, -0.530714, -0.537577, -0.544101, -0.550338, -0.556328, -0.562104, -0.567693, -0.578396, -0.588575, -0.710336, -7.49317", \
+					  "13.194, 2.40345, 0.927729, 0.440424, 7.53876e-09, -0.183427, -0.326149, -0.43026, -0.500542, -0.546154, -0.5771, -0.599886, -0.617885, -0.632842, -0.645726, -0.657119, -0.667393, -0.676799, -0.685513, -0.693663, -0.701346, -0.708636, -0.71559, -0.722255, -0.728668, -0.740856, -0.752345, -0.828786, -7.55478", \
+					  "13.2287, 2.54394, 1.00291, 0.483385, 7.45066e-09, -0.209291, -0.3787, -0.509409, -0.60387, -0.667948, -0.711105, -0.741841, -0.765308, -0.78427, -0.800243, -0.814115, -0.826441, -0.837587, -0.847803, -0.857273, -0.866127, -0.874469, -0.882375, -0.88991, -0.897121, -0.910731, -0.923455, -0.975089, -7.6215", \
+					  "13.2635, 2.6819, 1.07368, 0.523385, 7.36988e-09, -0.233093, -0.427322, -0.58337, -0.702385, -0.787436, -0.845685, -0.886372, -0.916512, -0.9402, -0.959707, -0.976341, -0.990903, -1.00391, -1.01571, -1.02654, -1.0366, -1.046, -1.05486, -1.06326, -1.07126, -1.08625, -1.10016, -1.14261, -7.69282", \
+					  "13.3334, 2.94801, 1.20298, 0.59545, 7.22714e-09, -0.275306, -0.513982, -0.716241, -0.882186, -1.01199, -1.10754, -1.17501, -1.22317, -1.25918, -1.28753, -1.3108, -1.33056, -1.34777, -1.36305, -1.37683, -1.38943, -1.40105, -1.41188, -1.42203, -1.4316, -1.44932, -1.46553, -1.5082, -7.84905", \
+					  "13.4036, 3.19783, 1.31769, 0.658357, 7.02405e-09, -0.311477, -0.588549, -0.831236, -1.03936, -1.21235, -1.34947, -1.45196, -1.52572, -1.57929, -1.61978, -1.65178, -1.67808, -1.70038, -1.71975, -1.73691, -1.75233, -1.76638, -1.77931, -1.7913, -1.80251, -1.82301, -1.8415, -1.88881, -8.02414", \
+					  "13.613, 3.83027, 1.59222, 0.805343, 6.82905e-09, -0.393735, -0.758838, -1.09518, -1.40252, -1.6804, -1.92781, -2.14295, -2.32312, -2.46646, -2.57519, -2.65615, -2.71732, -2.76501, -2.80347, -2.83545, -2.86271, -2.88643, -2.90743, -2.92628, -2.94339, -2.97356, -2.99968, -3.06264, -8.60508", \
+					  "13.9402, 4.54992, 1.89277, 0.960999, 6.54807e-09, -0.477788, -0.933637, -1.36742, -1.779, -2.16815, -2.53459, -2.87781, -3.19698, -3.49069, -3.75663, -3.99141, -4.1912, -4.35396, -4.48181, -4.58071, -4.65775, -4.719, -4.7689, -4.81054, -4.84603, -4.90399, -4.95013, -5.04985, -9.61024");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00817359");
+						index_3("0.1093526, 0.1675665, 0.2296124, 0.2992661, 0.3949278");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0245208");
+						index_3("0.1159337, 0.1868097, 0.2623773, 0.3460312, 0.4614985");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00817359");
+						index_3("0.1784190, 0.2371510, 0.2989598, 0.3672692, 0.4630493");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0245208");
+						index_3("0.1852996, 0.2567027, 0.3319986, 0.4158026, 0.5328134");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0194774");
+						index_3("0.0549766, 0.0832653, 0.1123346, 0.1451530, 0.1907767");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0584323");
+						index_3("0.0614357, 0.1018761, 0.1450581, 0.1912596, 0.2574815");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0194774");
+						index_3("0.1267705, 0.1548198, 0.1843544, 0.2172432, 0.2636080");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0584323");
+						index_3("0.1335456, 0.1740838, 0.2169563, 0.2629471, 0.3292027");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("14.8812");
+						index_3("0.00817359");
+						index_4("7.5332729, 8.0251091, 10.7510455, 11.6326490, 11.9676034");
+						values("0.530787, 0.849259, 1.06157, 0.849259, 0.530787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.00817359");
+						index_4("4.6334516, 4.9740902, 6.7551156, 7.3247391, 7.5541380");
+						values("0.550007, 0.880011, 1.10001, 0.880011, 0.550007");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.00817359");
+						index_4("3.1408873, 3.4002420, 4.6400835, 5.0503047, 5.2215341");
+						values("0.556074, 0.889719, 1.11215, 0.889719, 0.556074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("7.4406");
+						index_3("0.00817359");
+						index_4("3.8568136, 4.2054578, 5.4630500, 5.8867743, 6.0579768");
+						values("0.278159, 0.445054, 0.556318, 0.445054, 0.278159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("3.07407");
+						index_3("0.00817359");
+						index_4("1.6267323, 1.8149215, 2.3681753, 2.5594059, 2.6496368");
+						values("0.287639, 0.460222, 0.575278, 0.460222, 0.287639");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.0245208");
+						index_4("4.6402033, 4.9848935, 6.7335063, 7.3451161, 7.5759814");
+						values("0.460344, 0.736551, 0.920688, 0.736551, 0.460344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.0245208");
+						index_4("3.1450572, 3.4030731, 4.6837178, 5.0634690, 5.2375071");
+						values("0.463486, 0.741578, 0.926972, 0.741578, 0.463486");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("6.1407");
+						index_3("0.0194774");
+						index_4("3.1106163, 3.3402418, 4.7717281, 5.3459597, 5.5922417");
+						values("1.12287, 0.806588, 0.595735, 0.806588, 1.12287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0194774");
+						index_4("1.7751317, 1.9311172, 2.7798749, 3.1496923, 3.3129867");
+						values("1.10811, 0.782976, 0.566221, 0.782976, 1.10811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0194774");
+						index_4("1.1813254, 1.2985186, 1.9100426, 2.1471153, 2.2685568");
+						values("1.10024, 0.770383, 0.550478, 0.770383, 1.10024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("3.07035");
+						index_3("0.0194774");
+						index_4("1.6008516, 1.7634520, 2.4779834, 2.7202032, 2.8493023");
+						values("1.37548, 1.21077, 1.10096, 1.21077, 1.37548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("1.14757");
+						index_3("0.0194774");
+						index_4("0.6193162, 0.7060366, 0.9866846, 1.1008706, 1.1669501");
+						values("1.36868, 1.19989, 1.08736, 1.19989, 1.36868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0584323");
+						index_4("1.7795489, 1.9351220, 2.8569465, 3.1687095, 3.3384275");
+						values("1.26657, 1.03651, 0.883138, 1.03651, 1.26657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0584323");
+						index_4("1.1846673, 1.3024127, 1.9167492, 2.1611948, 2.2884593");
+						values("1.26064, 1.02702, 0.871276, 1.02702, 1.26064");
+					}
+				}
+			}
+			/* xipath_xi106_net68#114_2_in_h_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0176808";
+				miller_cap_rise : "0.0164122";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("14.2041, 3.81619, 3.61772, 3.53215, 3.43041, 3.37122, 3.30469, 3.22889, 3.14144, 3.03988, 2.92285, 2.79105, 2.64612, 2.48917, 2.32066, 2.14071, 1.94933, 1.74647, 1.53207, 1.30607, 1.0684, 0.819017, 0.557856, 0.284865, -9.51646e-09, -0.585603, -1.18193, -3.1519, -8.28052", \
+					  "13.4265, 2.03171, 1.93605, 1.89988, 1.85933, 1.83697, 1.8129, 1.78679, 1.7582, 1.72654, 1.69102, 1.6505, 1.60334, 1.5472, 1.47926, 1.39733, 1.30081, 1.18979, 1.06425, 0.924085, 0.769182, 0.599423, 0.414703, 0.214925, -9.04191e-09, -0.456407, -0.936709, -2.63358, -8.07348", \
+					  "13.0192, 1.07008, 0.99865, 0.980674, 0.961159, 0.950694, 0.939666, 0.927988, 0.91555, 0.902212, 0.887792, 0.87205, 0.854656, 0.835143, 0.812823, 0.786627, 0.754818, 0.714574, 0.662131, 0.594482, 0.510267, 0.408957, 0.29027, 0.154006, -1.08964e-08, -0.346477, -0.732262, -2.21724, -7.93459", \
+					  "12.9217, 0.806756, 0.719546, 0.706302, 0.692093, 0.684549, 0.676659, 0.668372, 0.659626, 0.650343, 0.640423, 0.629737, 0.618114, 0.605316, 0.591008, 0.574688, 0.555562, 0.532265, 0.502267, 0.461258, 0.404909, 0.331157, 0.239294, 0.128984, -1.00277e-08, -0.302047, -0.65076, -2.05434, -7.86508", \
+					  "12.8503, 0.634565, 0.468325, 0.459204, 0.449547, 0.444478, 0.439219, 0.433746, 0.428026, 0.42202, 0.41568, 0.408943, 0.401729, 0.39393, 0.385398, 0.375922, 0.365186, 0.352679, 0.337486, 0.317651, 0.288698, 0.244641, 0.182373, 0.100956, -1.16912e-08, -0.252769, -0.561137, -1.87653, -7.78459", \
+					  "12.824, 0.591889, 0.356323, 0.349038, 0.341383, 0.337389, 0.333266, 0.328996, 0.324557, 0.319925, 0.315066, 0.309941, 0.304497, 0.298667, 0.292359, 0.285443, 0.277733, 0.268935, 0.258552, 0.245584, 0.227436, 0.198032, 0.151479, 0.0856773, -1.30337e-08, -0.226102, -0.512976, -1.78136, -7.74269", \
+					  "12.8045, 0.575305, 0.255566, 0.249962, 0.244125, 0.241102, 0.237996, 0.234797, 0.231491, 0.228064, 0.224494, 0.220758, 0.216825, 0.212655, 0.208192, 0.203365, 0.198068, 0.192145, 0.185338, 0.177179, 0.166535, 0.149683, 0.118967, 0.0695021, -1.14952e-08, -0.19797, -0.462426, -1.6817, -7.69969", \
+					  "12.7921, 0.583106, 0.168169, 0.16408, 0.159875, 0.157714, 0.155507, 0.153248, 0.150929, 0.148542, 0.146076, 0.143518, 0.14085, 0.138051, 0.135093, 0.131937, 0.128531, 0.124798, 0.120617, 0.115783, 0.109869, 0.1015, 0.0851886, 0.052477, -1.22903e-08, -0.168304, -0.409397, -1.57756, -7.65605", \
+					  "12.7878, 0.615347, 0.0969482, 0.0941525, 0.0913824, 0.0899718, 0.0885408, 0.0870865, 0.0856055, 0.0840934, 0.0825452, 0.0809548, 0.0793142, 0.0776137, 0.0758406, 0.0739779, 0.0720027, 0.0698822, 0.0675679, 0.064981, 0.0619762, 0.0581824, 0.0517575, 0.0349592, -1.67772e-08, -0.137081, -0.353883, -1.46922, -7.61243", \
+					  "12.793, 0.673754, 0.0457186, 0.0436808, 0.0421127, 0.0413236, 0.0405293, 0.0397285, 0.0389201, 0.0381025, 0.0372739, 0.036432, 0.0355741, 0.0346967, 0.0337954, 0.0328644, 0.0318957, 0.0308787, 0.0297977, 0.0286284, 0.0273299, 0.0258158, 0.0237659, 0.0183731, -5.35294e-08, -0.104441, -0.296097, -1.35732, -7.5694", \
+					  "12.8082, 0.759768, 0.0184874, 0.0149334, 0.0142338, 0.0138925, 0.0135516, 0.013211, 0.0128702, 0.0125289, 0.0121866, 0.0118428, 0.0114968, 0.0111477, 0.0107944, 0.0104356, 0.0100693, 0.00969311, 0.00930332, 0.00889454, 0.00845803, 0.0079774, 0.00740807, 0.0063715, -3.07657e-07, -0.0711018, -0.236847, -1.24269, -7.52743", \
+					  "12.8326, 0.873068, 0.0192153, 0.00374671, 0.00344417, 0.00333939, 0.00323583, 0.00313312, 0.00303118, 0.00292993, 0.0028293, 0.00272917, 0.00262943, 0.00252992, 0.00243046, 0.0023308, 0.00223062, 0.00212948, 0.00202679, 0.00192163, 0.00181259, 0.00169715, 0.0015693, 0.00139312, -1.94958e-06, -0.0397696, -0.17807, -1.12632, -7.48684", \
+					  "12.8619, 0.992922, 0.0536139, 0.00123311, 0.000620511, 0.000595759, 0.000573503, 0.000551692, 0.000530196, 0.000508979, 0.00048802, 0.000467299, 0.000446794, 0.000426479, 0.00040633, 0.0003863, 0.000366352, 0.000346423, 0.000326415, 0.00030621, 0.000285604, 0.00026425, 0.000241341, 0.000212768, -1.2751e-05, -0.0163417, -0.122822, -1.00922, -7.44784", \
+					  "12.8932, 1.12404, 0.116884, 0.00374101, 8.05871e-05, 5.84786e-05, 5.08502e-05, 4.43007e-05, 3.80415e-05, 3.19231e-05, 2.58901e-05, 1.99159e-05, 1.39843e-05, 8.07874e-06, 2.19714e-06, -3.66906e-06, -9.53383e-06, -1.53992e-05, -2.12823e-05, -2.72005e-05, -3.31783e-05, -3.92437e-05, -4.55092e-05, -5.24291e-05, -8.34111e-05, -0.00461713, -0.074692, -0.892518, -7.4106", \
+					  "12.9252, 1.25972, 0.198117, 0.0193601, 7.89078e-06, -0.000118091, -0.000148793, -0.000172599, -0.000194762, -0.000216284, -0.000237519, -0.000258636, -0.000279732, -0.000300856, -0.000322053, -0.000343345, -0.000364746, -0.000386273, -0.00040794, -0.000429741, -0.000451694, -0.000473801, -0.000496065, -0.000518547, -0.000543475, -0.00147423, -0.0378248, -0.777694, -7.37547", \
+					  "12.9575, 1.39871, 0.289593, 0.0586583, 6.76331e-07, -0.000851226, -0.0010539, -0.00120512, -0.00134373, -0.00147714, -0.00160797, -0.00173751, -0.00186646, -0.00199525, -0.00212419, -0.00225345, -0.00238317, -0.00251346, -0.00264438, -0.00277599, -0.00290833, -0.00304142, -0.00317529, -0.00330996, -0.00344563, -0.00385376, -0.0177351, -0.668467, -7.34418", \
+					  "12.9904, 1.5402, 0.386199, 0.11423, 6.18637e-08, -0.00574202, -0.00712983, -0.00801937, -0.00878346, -0.00949151, -0.010168, -0.0108248, -0.0114685, -0.0121034, -0.0127322, -0.013357, -0.0139792, -0.0145997, -0.0152195, -0.0158391, -0.016459, -0.0170796, -0.0177012, -0.0183241, -0.0189485, -0.020218, -0.0249399, -0.578102, -7.32406", \
+					  "13.0236, 1.68345, 0.484179, 0.174824, 1.22901e-08, -0.024908, -0.0328342, -0.0367782, -0.0397104, -0.0422128, -0.0444736, -0.0465789, -0.048576, -0.0504938, -0.0523516, -0.0541628, -0.0559371, -0.0576817, -0.0594022, -0.0611029, -0.0627872, -0.064458, -0.0661174, -0.0677674, -0.0694095, -0.0726765, -0.0765464, -0.53029, -7.32682", \
+					  "13.0572, 1.8278, 0.580781, 0.234597, 8.29527e-09, -0.057066, -0.0832706, -0.0954657, -0.103181, -0.10913, -0.114154, -0.118608, -0.122674, -0.126461, -0.130036, -0.133446, -0.136725, -0.139896, -0.142979, -0.145987, -0.148932, -0.151824, -0.154668, -0.157472, -0.16024, -0.165687, -0.171119, -0.528034, -7.35117", \
+					  "13.0911, 1.97259, 0.674189, 0.291401, 7.87821e-09, -0.0917009, -0.145381, -0.173386, -0.189606, -0.200959, -0.209918, -0.217482, -0.224136, -0.23015, -0.235693, -0.240873, -0.245766, -0.250428, -0.254898, -0.259209, -0.263384, -0.267443, -0.271402, -0.275272, -0.279066, -0.286455, -0.293636, -0.560149, -7.38952", \
+					  "13.1252, 2.11719, 0.763383, 0.344631, 7.74191e-09, -0.124713, -0.208824, -0.259443, -0.289043, -0.308371, -0.322674, -0.334184, -0.343948, -0.352528, -0.360255, -0.36734, -0.373925, -0.380111, -0.385971, -0.391561, -0.396922, -0.402088, -0.407087, -0.411939, -0.416663, -0.425783, -0.434541, -0.621125, -7.43761", \
+					  "13.1595, 2.26101, 0.847924, 0.39425, 7.63514e-09, -0.155292, -0.269474, -0.346382, -0.394419, -0.425025, -0.446494, -0.462984, -0.47648, -0.488013, -0.49817, -0.507315, -0.515686, -0.523446, -0.530714, -0.537577, -0.544101, -0.550338, -0.556328, -0.562104, -0.567693, -0.578396, -0.588575, -0.710336, -7.49317", \
+					  "13.194, 2.40345, 0.927729, 0.440424, 7.53876e-09, -0.183427, -0.326149, -0.43026, -0.500542, -0.546154, -0.5771, -0.599886, -0.617885, -0.632842, -0.645726, -0.657119, -0.667393, -0.676799, -0.685513, -0.693663, -0.701346, -0.708636, -0.71559, -0.722255, -0.728668, -0.740856, -0.752345, -0.828786, -7.55478", \
+					  "13.2287, 2.54394, 1.00291, 0.483385, 7.45066e-09, -0.209291, -0.3787, -0.509409, -0.60387, -0.667948, -0.711105, -0.741841, -0.765308, -0.78427, -0.800243, -0.814115, -0.826441, -0.837587, -0.847803, -0.857273, -0.866127, -0.874469, -0.882375, -0.88991, -0.897121, -0.910731, -0.923455, -0.975089, -7.6215", \
+					  "13.2635, 2.6819, 1.07368, 0.523385, 7.36988e-09, -0.233093, -0.427322, -0.58337, -0.702385, -0.787436, -0.845685, -0.886372, -0.916512, -0.9402, -0.959707, -0.976341, -0.990903, -1.00391, -1.01571, -1.02654, -1.0366, -1.046, -1.05486, -1.06326, -1.07126, -1.08625, -1.10016, -1.14261, -7.69282", \
+					  "13.3334, 2.94801, 1.20298, 0.59545, 7.22714e-09, -0.275306, -0.513982, -0.716241, -0.882186, -1.01199, -1.10754, -1.17501, -1.22317, -1.25918, -1.28753, -1.3108, -1.33056, -1.34777, -1.36305, -1.37683, -1.38943, -1.40105, -1.41188, -1.42203, -1.4316, -1.44932, -1.46553, -1.5082, -7.84905", \
+					  "13.4036, 3.19783, 1.31769, 0.658357, 7.02405e-09, -0.311477, -0.588549, -0.831236, -1.03936, -1.21235, -1.34947, -1.45196, -1.52572, -1.57929, -1.61978, -1.65178, -1.67808, -1.70038, -1.71975, -1.73691, -1.75233, -1.76638, -1.77931, -1.7913, -1.80251, -1.82301, -1.8415, -1.88881, -8.02414", \
+					  "13.613, 3.83027, 1.59222, 0.805343, 6.82905e-09, -0.393735, -0.758838, -1.09518, -1.40252, -1.6804, -1.92781, -2.14295, -2.32312, -2.46646, -2.57519, -2.65615, -2.71732, -2.76501, -2.80347, -2.83545, -2.86271, -2.88643, -2.90743, -2.92628, -2.94339, -2.97356, -2.99968, -3.06264, -8.60508", \
+					  "13.9402, 4.54992, 1.89277, 0.960999, 6.54807e-09, -0.477788, -0.933637, -1.36742, -1.779, -2.16815, -2.53459, -2.87781, -3.19698, -3.49069, -3.75663, -3.99141, -4.1912, -4.35396, -4.48181, -4.58071, -4.65775, -4.719, -4.7689, -4.81054, -4.84603, -4.90399, -4.95013, -5.04985, -9.61024");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00817359");
+						index_3("0.1093526, 0.1675665, 0.2296124, 0.2992661, 0.3949278");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0245208");
+						index_3("0.1159337, 0.1868097, 0.2623773, 0.3460312, 0.4614985");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00817359");
+						index_3("0.1784190, 0.2371510, 0.2989598, 0.3672692, 0.4630493");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0245208");
+						index_3("0.1852996, 0.2567027, 0.3319986, 0.4158026, 0.5328134");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0194774");
+						index_3("0.0549766, 0.0832653, 0.1123346, 0.1451530, 0.1907767");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0584323");
+						index_3("0.0614357, 0.1018761, 0.1450581, 0.1912596, 0.2574815");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0194774");
+						index_3("0.1267705, 0.1548198, 0.1843544, 0.2172432, 0.2636080");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0584323");
+						index_3("0.1335456, 0.1740838, 0.2169563, 0.2629471, 0.3292027");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("14.8812");
+						index_3("0.00817359");
+						index_4("7.5332729, 8.0251091, 10.7510455, 11.6326490, 11.9676034");
+						values("0.530787, 0.849259, 1.06157, 0.849259, 0.530787");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.00817359");
+						index_4("4.6334516, 4.9740902, 6.7551156, 7.3247391, 7.5541380");
+						values("0.550007, 0.880011, 1.10001, 0.880011, 0.550007");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.00817359");
+						index_4("3.1408873, 3.4002420, 4.6400835, 5.0503047, 5.2215341");
+						values("0.556074, 0.889719, 1.11215, 0.889719, 0.556074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31753");
+						index_2("7.4406");
+						index_3("0.00817359");
+						index_4("3.8568136, 4.2054578, 5.4630500, 5.8867743, 6.0579768");
+						values("0.278159, 0.445054, 0.556318, 0.445054, 0.278159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("3.07407");
+						index_3("0.00817359");
+						index_4("1.6267323, 1.8149215, 2.3681753, 2.5594059, 2.6496368");
+						values("0.287639, 0.460222, 0.575278, 0.460222, 0.287639");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37237");
+						index_2("9.11773");
+						index_3("0.0245208");
+						index_4("4.6402033, 4.9848935, 6.7335063, 7.3451161, 7.5759814");
+						values("0.460344, 0.736551, 0.920688, 0.736551, 0.460344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.42203");
+						index_2("6.14814");
+						index_3("0.0245208");
+						index_4("3.1450572, 3.4030731, 4.6837178, 5.0634690, 5.2375071");
+						values("0.463486, 0.741578, 0.926972, 0.741578, 0.463486");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("6.1407");
+						index_3("0.0194774");
+						index_4("3.1106163, 3.3402418, 4.7717281, 5.3459597, 5.5922417");
+						values("1.12287, 0.806588, 0.595735, 0.806588, 1.12287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0194774");
+						index_4("1.7751317, 1.9311172, 2.7798749, 3.1496923, 3.3129867");
+						values("1.10811, 0.782976, 0.566221, 0.782976, 1.10811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0194774");
+						index_4("1.1813254, 1.2985186, 1.9100426, 2.1471153, 2.2685568");
+						values("1.10024, 0.770383, 0.550478, 0.770383, 1.10024");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1925");
+						index_2("3.07035");
+						index_3("0.0194774");
+						index_4("1.6008516, 1.7634520, 2.4779834, 2.7202032, 2.8493023");
+						values("1.37548, 1.21077, 1.10096, 1.21077, 1.37548");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("1.14757");
+						index_3("0.0194774");
+						index_4("0.6193162, 0.7060366, 0.9866846, 1.1008706, 1.1669501");
+						values("1.36868, 1.19989, 1.08736, 1.19989, 1.36868");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26287");
+						index_2("3.47584");
+						index_3("0.0584323");
+						index_4("1.7795489, 1.9351220, 2.8569465, 3.1687095, 3.3384275");
+						values("1.26657, 1.03651, 0.883138, 1.03651, 1.26657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32647");
+						index_2("2.29513");
+						index_3("0.0584323");
+						index_4("1.1846673, 1.3024127, 1.9167492, 2.1611948, 2.2884593");
+						values("1.26064, 1.02702, 0.871276, 1.02702, 1.26064");
+					}
+				}
+			}
+
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195242;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7908557, 3.8055553, 3.8265571, 3.9238135, 4.2527895, 5.1919857, 5.6055924", \
+					  "3.7458461, 3.7477877, 3.7680883, 3.8671523, 4.1975330, 5.1341258, 5.5446139", \
+					  "3.6813604, 3.6851005, 3.7064302, 3.8133967, 4.1438837, 5.0941019, 5.4768894", \
+					  "3.6774125, 3.6813746, 3.6994291, 3.8107750, 4.1367993, 5.0962506, 5.4944403", \
+					  "3.6823236, 3.6824441, 3.7048446, 3.8011075, 4.1349173, 5.0681321, 5.4893486", \
+					  "3.6671658, 3.6699665, 3.6909614, 3.8042406, 4.1288616, 5.0678930, 5.4842505", \
+					  "3.6617251, 3.6650263, 3.6886133, 3.7935172, 4.1264831, 5.0736374, 5.4762181");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0440090, 8.0598479, 8.0371551, 8.0764050, 7.9649507, 7.0091159, -9.3686023", \
+					  "8.0269995, 8.0265103, 8.0067813, 8.0418201, 7.9433173, 6.9497102, -9.6718594", \
+					  "7.9975608, 7.9999010, 8.0012428, 8.0139999, 7.9102461, 6.8649655, -9.7428785", \
+					  "7.9453395, 7.9656999, 7.9475816, 7.9844905, 7.8725323, 6.9031848, -9.5309500", \
+					  "7.9158617, 7.9343616, 7.9166493, 7.9506811, 7.8402876, 6.8494985, -9.6773621", \
+					  "7.9012570, 7.9009179, 7.9079782, 7.9239724, 7.8177960, 6.8422782, -9.5703001", \
+					  "7.8567578, 7.8726496, 7.8559694, 7.8897063, 7.7787698, 6.8342797, -9.5074495");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7486342, 3.7496642, 3.7592729, 3.7961626, 3.8334943, 3.8394349, 3.8467662", \
+					  "3.6934922, 3.6940044, 3.7032144, 3.7387542, 3.7790093, 3.8551819, 3.7791437", \
+					  "3.6384681, 3.6473911, 3.6497577, 3.6978486, 3.7323015, 3.7076159, 3.7309740", \
+					  "3.6361065, 3.6437107, 3.6451770, 3.6752224, 3.7185306, 3.7219450, 3.7283205", \
+					  "3.6295615, 3.6336576, 3.6397045, 3.6821727, 3.7111777, 3.7142746, 3.7265590", \
+					  "3.6248910, 3.6265282, 3.6341766, 3.6677240, 3.7059421, 3.3366737, 3.7151886", \
+					  "3.6181571, 3.6223313, 3.6322639, 3.6649209, 3.6986383, 3.7758773, 3.7290922");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7747092, 6.7804390, 6.8424250, 7.1812052, 7.9359982, 7.6229552, 1.7193375", \
+					  "6.7398807, 6.7470251, 6.8080987, 7.1487264, 7.9044725, 7.6111768, 1.6798341", \
+					  "6.7071378, 6.7179893, 6.7762414, 7.1207214, 7.8701471, 7.5787006, 1.6457121", \
+					  "6.6765783, 6.6849015, 6.7459599, 7.0932199, 7.8220050, 7.5300137, 1.3322755", \
+					  "6.6464591, 6.6557087, 6.7166309, 7.0637629, 7.7926902, 7.5005333, 1.6853718", \
+					  "6.6176189, 6.6250539, 6.6813227, 7.0333090, 7.7789729, 7.4572953, 1.4968293", \
+					  "6.5865109, 6.5946563, 6.6559271, 7.0052298, 7.7287612, 7.4421415, 1.2443958");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090391, 0.1090384, 0.1090148, 0.1090645, 0.1090420, 0.1090469, 0.1090112", \
+					  "0.1556652, 0.1556249, 0.1556246, 0.1556673, 0.1556635, 0.1557068, 0.1556630", \
+					  "0.2086185, 0.2086213, 0.2086190, 0.2086103, 0.2085931, 0.2085914, 0.2085856", \
+					  "0.2740147, 0.2740200, 0.2740307, 0.2740695, 0.2741065, 0.2741208, 0.2741176", \
+					  "0.3371048, 0.3371108, 0.3371266, 0.3372027, 0.3373484, 0.3374200, 0.3374318", \
+					  "0.4045220, 0.4045324, 0.4045676, 0.4047355, 0.4050917, 0.4052977, 0.4053360", \
+					  "0.4670853, 0.4670876, 0.4670850, 0.4671757, 0.4676691, 0.4680556, 0.4681451");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5502460, 5.5510539, 5.5543941, 5.5581238, 5.5412076, 5.3106577, -0.9040364", \
+					  "5.5492186, 5.5487819, 5.5526863, 5.5556211, 5.5560187, 5.3085414, -0.9014348", \
+					  "5.5471967, 5.5491769, 5.5503266, 5.5535068, 5.5554805, 5.2938157, -0.7820001", \
+					  "5.5472260, 5.5485942, 5.5498879, 5.5580649, 5.5639101, 5.3393285, -0.9045418", \
+					  "5.5470600, 5.5468991, 5.5494990, 5.5557249, 5.5731871, 5.3582002, -0.9057112", \
+					  "5.5467006, 5.5470302, 5.5475576, 5.5551964, 5.5734075, 5.3094863, -0.9051064", \
+					  "5.5460497, 5.5466022, 5.5492650, 5.5557785, 5.5540923, 5.3182627, -0.9037996");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090802, 0.1090672, 0.1090829, 0.1089932, 0.1090660, 0.1090650, 0.1090702", \
+					  "0.1553375, 0.1553354, 0.1553762, 0.1553790, 0.1553765, 0.1553391, 0.1553336", \
+					  "0.2046526, 0.2045443, 0.2046496, 0.2046528, 0.2046503, 0.2045474, 0.2045433", \
+					  "0.2517335, 0.2516268, 0.2517306, 0.2517347, 0.2517324, 0.2517359, 0.2516279", \
+					  "0.2935009, 0.2934986, 0.2934980, 0.2935018, 0.2934998, 0.2935012, 0.2933955", \
+					  "0.3569398, 0.3569355, 0.3569341, 0.3569353, 0.3569287, 0.3569321, 0.3568051", \
+					  "0.4490565, 0.4490536, 0.4490501, 0.4490437, 0.4490338, 0.4490346, 0.4489665");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4201051, 2.4214842, 2.4221905, 2.4193841, 2.3745862, 2.4373159, 2.4217605", \
+					  "2.4188544, 2.4189912, 2.4217130, 2.4200377, 2.4292424, 2.4345354, 2.4259670", \
+					  "2.4177413, 2.4164506, 2.4194363, 2.4187238, 2.4350987, 2.4235700, 2.4290246", \
+					  "2.4174556, 2.4167958, 2.4187964, 2.4175617, 2.3754979, 2.4271543, 2.4205369", \
+					  "2.4173042, 2.4178368, 2.4187579, 2.4170613, 2.4226127, 2.4262031, 2.4273790", \
+					  "2.4171777, 2.4160621, 2.4183218, 2.4051613, 2.3548315, 2.4250395, 2.4325402", \
+					  "2.4165910, 2.4170750, 2.4179887, 2.4184831, 2.4243571, 2.4337814, 2.4283296");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1443784, 3.1439851, 3.1521201, 3.1897816, 3.4044087, 4.7753455, 5.0553598", \
+					  "3.0878872, 3.0896408, 3.0970246, 3.1410122, 3.3516111, 4.7206731, 5.0037822", \
+					  "3.0335306, 3.0362235, 3.0471670, 3.0840132, 3.2948855, 4.6640570, 4.9456885", \
+					  "3.0289750, 3.0313856, 3.0367721, 3.0774293, 3.2885759, 4.6618581, 4.9375325", \
+					  "3.0242580, 3.0260363, 3.0334722, 3.0697914, 3.2936965, 4.6565035, 4.9360039", \
+					  "3.0200983, 3.0210158, 3.0286301, 3.0636510, 3.2815561, 4.6507809, 4.9337238", \
+					  "3.0144902, 3.0174319, 3.0232269, 3.0672818, 3.2750954, 4.6453575, 4.9278512");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429609, 0.1429645, 0.1429488, 0.1429644, 0.1429652, 0.1429606, 0.1429691", \
+					  "0.1621682, 0.1621688, 0.1621688, 0.1621743, 0.1621513, 0.1621707, 0.1621524", \
+					  "0.1870055, 0.1870042, 0.1869906, 0.1869965, 0.1869502, 0.1869903, 0.1869518", \
+					  "0.2366253, 0.2366256, 0.2366232, 0.2366304, 0.2366325, 0.2366268, 0.2366316", \
+					  "0.3234518, 0.3234520, 0.3234527, 0.3234617, 0.3232096, 0.3234797, 0.3232151", \
+					  "0.5343773, 0.5343775, 0.5343765, 0.5343781, 0.5350147, 0.5343995, 0.5350381", \
+					  "0.6921904, 0.6921875, 0.6921691, 0.6921407, 0.6922556, 0.6918403, 0.6920585");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4567640, 3.4614195, 3.4755838, 3.5371823, 3.6110824, 3.8777141, 5.4765385", \
+					  "3.4014422, 3.4084968, 3.4199599, 3.4852660, 3.5558610, 3.8188229, 5.4214624", \
+					  "3.3516916, 3.3505784, 3.3663212, 3.4267629, 3.5014384, 3.7658063, 5.3672575", \
+					  "3.3485863, 3.3503518, 3.3610741, 3.4166189, 3.4969752, 3.7617326, 5.3610050", \
+					  "3.3378320, 3.3448867, 3.3570512, 3.4145625, 3.4917620, 3.7567315, 5.3562289", \
+					  "3.3379565, 3.3360672, 3.3524675, 3.4085034, 3.4870304, 3.7526968, 5.3529506", \
+					  "3.3332975, 3.3339044, 3.3467284, 3.4029816, 3.4820229, 3.7458375, 5.3480168");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429576, 0.1429585, 0.1429610, 0.1429646, 0.1429631, 0.1429584, 0.1429656", \
+					  "0.1621426, 0.1621661, 0.1621640, 0.1621521, 0.1621771, 0.1621663, 0.1621696", \
+					  "0.1869791, 0.1869808, 0.1869783, 0.1869846, 0.1869854, 0.1869764, 0.1869791", \
+					  "0.2364494, 0.2364504, 0.2364510, 0.2364553, 0.2364530, 0.2364418, 0.2364431", \
+					  "0.3233119, 0.3233127, 0.3233187, 0.3233269, 0.3233725, 0.3233838, 0.3233841", \
+					  "0.5399994, 0.5400901, 0.5399959, 0.5400801, 0.5400904, 0.5401441, 0.5401635", \
+					  "0.7076633, 0.7076610, 0.7076309, 0.7075149, 0.7069778, 0.7065232, 0.7064253");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090789, 0.1090657, 0.1090740, 0.1090657, 0.1090493, 0.1090403, 0.1090661", \
+					  "0.1553736, 0.1553742, 0.1553736, 0.1553741, 0.1553772, 0.1553309, 0.1553336", \
+					  "0.2046481, 0.2046496, 0.2046483, 0.2046498, 0.2046531, 0.2045390, 0.2045445", \
+					  "0.2517301, 0.2517317, 0.2517304, 0.2517329, 0.2517371, 0.2516246, 0.2516301", \
+					  "0.2934989, 0.2935020, 0.2935002, 0.2935011, 0.2935059, 0.2933930, 0.2933986", \
+					  "0.3569306, 0.3569325, 0.3569298, 0.3569287, 0.3569301, 0.3567980, 0.3568047", \
+					  "0.4490862, 0.4490870, 0.4490825, 0.4490746, 0.4490693, 0.4489962, 0.4489998");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4218834, 2.4250243, 2.4233432, 2.4256304, 2.4065998, 2.4208200, 2.4371091", \
+					  "2.4204529, 2.4182210, 2.4198870, 2.4228263, 2.3874854, 2.4381463, 2.4476742", \
+					  "2.4199433, 2.4107167, 2.4169292, 2.4165458, 2.3668868, 2.4246372, 2.4340819", \
+					  "2.4183802, 2.4205443, 2.4186780, 2.4178048, 2.4277664, 2.4273340, 2.4253343", \
+					  "2.4192549, 2.4216378, 2.4173888, 2.4179495, 2.4243517, 2.4353839, 2.4303552", \
+					  "2.4187192, 2.4117554, 2.4170316, 2.4183922, 2.3828861, 2.4190699, 2.4237807", \
+					  "2.4175997, 2.4212021, 2.4174857, 2.4203443, 2.4269445, 2.4313359, 2.4295004");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3969988, 5.3981363, 5.3954280, 5.3653123, 5.2080913, 4.1184460, -12.3346124", \
+					  "5.3965226, 5.3977799, 5.3925688, 5.3622965, 5.2152276, 4.1088070, -12.1238287", \
+					  "5.3950138, 5.3924416, 5.3883134, 5.3625519, 5.2017556, 4.1032845, -12.3418151", \
+					  "5.3933013, 5.3943361, 5.3909681, 5.3625269, 5.1988245, 4.1407408, -12.4674050", \
+					  "5.3947365, 5.3927355, 5.3908841, 5.3600646, 5.2149545, 4.0346834, -12.3997035", \
+					  "5.3941859, 5.3944396, 5.3857833, 5.3591813, 5.1987025, 4.1075558, -12.6231334", \
+					  "5.3949995, 5.3949210, 5.3897962, 5.3588774, 5.2038282, 4.0816599, -12.2373214");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090476, 0.1092098, 0.1090385, 0.1090300, 0.1090377, 0.1090393, 0.1090407", \
+					  "0.1556646, 0.1556631, 0.1556234, 0.1556657, 0.1556278, 0.1556666, 0.1556272", \
+					  "0.2086308, 0.2086299, 0.2086339, 0.2086317, 0.2085182, 0.2086311, 0.2085169", \
+					  "0.2739956, 0.2739953, 0.2740010, 0.2740045, 0.2740128, 0.2740106, 0.2739617", \
+					  "0.3370549, 0.3370563, 0.3370626, 0.3370684, 0.3370782, 0.3370782, 0.3369785", \
+					  "0.4046090, 0.4046099, 0.4046202, 0.4046342, 0.4046541, 0.4046540, 0.4046143", \
+					  "0.4673188, 0.4673181, 0.4673247, 0.4673316, 0.4673427, 0.4673410, 0.4672751");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7351892, 7.7251642, 7.7297959, 7.7140646, 7.5479067, 6.4254738, -10.1363559", \
+					  "7.7024111, 7.7012210, 7.6951166, 7.6765949, 7.5077109, 6.4107303, -10.4081199", \
+					  "7.6579306, 7.6642702, 7.6667534, 7.6503880, 7.4696932, 6.3721540, -9.9584049", \
+					  "7.6419433, 7.6432218, 7.6330684, 7.6178046, 7.4559263, 6.2916540, -9.9708785", \
+					  "7.6105660, 7.6143164, 7.6049836, 7.5849849, 7.4216563, 6.2613080, -10.0142965", \
+					  "7.5835667, 7.5758246, 7.5765090, 7.5610942, 7.3757078, 6.2536761, -10.1509988", \
+					  "7.5497629, 7.5503050, 7.5439507, 7.5326395, 7.3634996, 6.2551609, -10.2832968");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0003955, 3.0006379, 2.9988705, 3.0013351, 3.0118917, 3.0152768, 2.9985006", \
+					  "2.9434687, 2.9461987, 2.9442792, 2.9530704, 3.0038557, 2.9656395, 2.9119286", \
+					  "2.8956046, 2.8914415, 2.8884847, 2.8949277, 2.8968981, 2.8949389, 2.9031006", \
+					  "2.8847262, 2.8836435, 2.8889195, 2.8880474, 2.8922380, 2.8890498, 2.9118883", \
+					  "2.8824625, 2.8839134, 2.8828494, 2.8912669, 2.8826683, 2.8926933, 2.9125001", \
+					  "2.8748623, 2.8749679, 2.8783094, 2.8769253, 2.8822574, 2.8948520, 2.8953017", \
+					  "2.8711309, 2.8701690, 2.8740711, 2.8705268, 2.8778114, 2.8884491, 2.8751846");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090325, 0.1090328, 0.1090274, 0.1090338, 0.1090374, 0.1090060, 0.1090854", \
+					  "0.1556638, 0.1556641, 0.1556215, 0.1556615, 0.1556651, 0.1556611, 0.1554304", \
+					  "0.2086191, 0.2086189, 0.2086171, 0.2086053, 0.2085962, 0.2085861, 0.2084120", \
+					  "0.2740162, 0.2740182, 0.2740297, 0.2740652, 0.2741105, 0.2741159, 0.2740789", \
+					  "0.3371110, 0.3371149, 0.3371296, 0.3372027, 0.3373547, 0.3374196, 0.3372680", \
+					  "0.4045367, 0.4045432, 0.4045795, 0.4047429, 0.4051103, 0.4053048, 0.4053399", \
+					  "0.4671173, 0.4671130, 0.4671116, 0.4671995, 0.4677015, 0.4680805, 0.4678995");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7160201, 6.7217039, 6.7474233, 6.8652692, 6.7728383, 5.5612372, -0.1968575", \
+					  "6.7135858, 6.7195342, 6.7441820, 6.8626554, 6.7606519, 5.5438306, -0.1102560", \
+					  "6.7122080, 6.7182986, 6.7427500, 6.8526534, 6.7691754, 5.5469322, -0.1680864", \
+					  "6.7121496, 6.7181704, 6.7427132, 6.8610652, 6.7688915, 5.5525919, -0.1047361", \
+					  "6.7123800, 6.7179814, 6.7430000, 6.8521566, 6.7536998, 5.5456622, -0.2675021", \
+					  "6.7115149, 6.7176741, 6.7424004, 6.8629151, 6.7689716, 5.5404773, -0.2059874", \
+					  "6.7110666, 6.7170735, 6.7426555, 6.8601218, 6.7591666, 5.5608907, -0.1111942");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7455550, 7.7205672, 7.7172461, 7.7073425, 7.5536460, 6.4349389, -9.9459373", \
+					  "7.6897849, 7.7009522, 7.6779289, 7.6828512, 7.5151685, 6.3519948, -10.3245269", \
+					  "7.6686018, 7.6645477, 7.6653665, 7.6499769, 7.4819924, 6.3659060, -10.2782818", \
+					  "7.6432660, 7.6430986, 7.6347789, 7.6204639, 7.4559948, 6.3285390, -10.1243755", \
+					  "7.6128298, 7.6070453, 7.6051866, 7.5850491, 7.4218133, 6.3079135, -10.0649392", \
+					  "7.5834488, 7.5820610, 7.5787286, 7.5590768, 7.3736331, 6.2129734, -10.3541270", \
+					  "7.5497100, 7.5504941, 7.5438943, 7.5322223, 7.3636410, 6.2899113, -10.1115704");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0004296, 3.0013793, 3.0012820, 3.0057343, 3.0416689, 3.0132453, 3.0255366", \
+					  "2.9453478, 2.9461269, 2.9447068, 2.9527683, 2.9947918, 2.9737788, 2.9291516", \
+					  "2.8932591, 2.8914378, 2.8885831, 2.8900646, 2.8969462, 2.9098129, 2.9048787", \
+					  "2.8836711, 2.8838485, 2.8886569, 2.8878609, 2.8922521, 2.9089044, 2.9030130", \
+					  "2.8816999, 2.8812504, 2.8831529, 2.8852071, 2.8876127, 2.8840001, 2.8941446", \
+					  "2.8763958, 2.8742209, 2.8784135, 2.8809380, 2.8855872, 2.8825872, 2.8930075", \
+					  "2.8710015, 2.8701500, 2.8629496, 2.8710403, 2.8780022, 2.8626534, 2.8838246");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7056356, 6.7183962, 6.7745722, 7.1168938, 7.8675278, 7.5663043, 1.7381046", \
+					  "6.6728405, 6.6847694, 6.7415529, 7.0817652, 7.8352198, 7.5333076, 1.6898053", \
+					  "6.6389892, 6.6479369, 6.7100174, 7.0482664, 7.8116896, 7.4940461, 1.5799486", \
+					  "6.6127006, 6.6170218, 6.6794428, 7.0226591, 7.7753227, 7.4932055, 1.5527721", \
+					  "6.5792633, 6.5887193, 6.6464388, 6.9939242, 7.7462795, 7.5081835, 1.5917002", \
+					  "6.5496603, 6.5602820, 6.6201121, 6.9592905, 7.7155028, 7.4916073, 1.4188481", \
+					  "6.5208368, 6.5267757, 6.5895440, 6.9328892, 7.6823626, 7.4220647, 1.3754577");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1759969, 0.1759917, 0.1759537, 0.1756265, 0.1752103, 0.1750264, 0.1749879", \
+					  "0.1916089, 0.1915863, 0.1915179, 0.1912994, 0.1906115, 0.1902455, 0.1901613", \
+					  "0.2015665, 0.2015632, 0.2015503, 0.2014927, 0.2008110, 0.2002938, 0.2001617", \
+					  "0.2415160, 0.2415386, 0.2416536, 0.2417386, 0.2414206, 0.2408805, 0.2407246", \
+					  "0.2736501, 0.2735871, 0.2736385, 0.2739279, 0.2740944, 0.2736845, 0.2734462", \
+					  "0.3101463, 0.3101673, 0.3102072, 0.3103941, 0.3110463, 0.3108479, 0.3107212", \
+					  "0.4072829, 0.4072198, 0.4068596, 0.4056876, 0.4046439, 0.4040420, 0.4038179");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.1970446, 8.2031083, 8.2520570, 8.4629291, 8.8072006, 8.4598777, 2.8446383", \
+					  "8.1635179, 8.1717787, 8.2216312, 8.4412168, 8.7850988, 8.4369858, 2.8077572", \
+					  "8.1316359, 8.1397639, 8.1898029, 8.4095820, 8.7365929, 8.4096600, 2.6364008", \
+					  "8.1005036, 8.1077955, 8.1578674, 8.3667472, 8.7355095, 8.3744632, 2.7547842", \
+					  "8.0780427, 8.0785371, 8.1288814, 8.3367247, 8.6934445, 8.3255817, 2.7254626", \
+					  "8.0412015, 8.0496210, 8.0993442, 8.3132088, 8.6466842, 8.2999494, 2.6175463", \
+					  "8.0145777, 8.0197117, 8.0693404, 8.2813479, 8.6151763, 8.2956741, 2.6672729");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5443809, 4.5487642, 4.5854718, 4.7175328, 5.0820585, 6.0390005, 6.4522767", \
+					  "4.4863376, 4.4977933, 4.5308866, 4.6563643, 5.0255005, 5.9838832, 6.3872324", \
+					  "4.4339507, 4.4383448, 4.4760832, 4.6112919, 4.9733101, 5.9210151, 6.3339105", \
+					  "4.4315527, 4.4378302, 4.4701659, 4.6053551, 4.9695009, 5.9249124, 6.3327385", \
+					  "4.4246295, 4.4313741, 4.4649185, 4.5983339, 4.9621050, 5.9163013, 6.3237263", \
+					  "4.4191441, 4.4239224, 4.4664071, 4.5956887, 4.9572389, 5.9019485, 6.3133069", \
+					  "4.4140127, 4.4184700, 4.4527976, 4.5897371, 4.9516455, 5.9028478, 6.3205141");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090519, 0.1090103, 0.1090614, 0.1090730, 0.1090578, 0.1090748, 0.1090497", \
+					  "0.1553346, 0.1553738, 0.1553740, 0.1553334, 0.1553755, 0.1553744, 0.1553740", \
+					  "0.2045390, 0.2046431, 0.2046422, 0.2045398, 0.2046449, 0.2046432, 0.2046427", \
+					  "0.2517338, 0.2517315, 0.2517307, 0.2517345, 0.2517338, 0.2517325, 0.2517318", \
+					  "0.2935092, 0.2935083, 0.2935063, 0.2935101, 0.2935099, 0.2935066, 0.2935063", \
+					  "0.3568994, 0.3568965, 0.3568954, 0.3569005, 0.3568978, 0.3568946, 0.3568937", \
+					  "0.4488763, 0.4488741, 0.4488727, 0.4488722, 0.4488605, 0.4488516, 0.4488489");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0267468, 3.0377003, 3.0449093, 3.1042527, 3.1826262, 3.4491647, 5.0494946", \
+					  "3.0252031, 3.0359697, 3.0430042, 3.1060393, 3.1802020, 3.4479424, 5.0489257", \
+					  "3.0308687, 3.0283947, 3.0406811, 3.1047833, 3.1790242, 3.4463850, 5.0457866", \
+					  "3.0240310, 3.0291115, 3.0407732, 3.1025320, 3.1799835, 3.4462306, 5.0472049", \
+					  "3.0224427, 3.0338429, 3.0402135, 3.1042618, 3.1799600, 3.4453501, 5.0457813", \
+					  "3.0219971, 3.0287031, 3.0404096, 3.1044895, 3.1785958, 3.4432482, 5.0413115", \
+					  "3.0238422, 3.0283180, 3.0407692, 3.1019579, 3.1787010, 3.4448245, 5.0452190");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7167892, 2.7179673, 2.7250057, 2.7685397, 2.9776793, 4.3478992, 4.6302115", \
+					  "2.7141891, 2.7148203, 2.7227016, 2.7624205, 2.9817487, 4.3451171, 4.6326467", \
+					  "2.7140147, 2.7150410, 2.7222271, 2.7615062, 2.9697886, 4.3439735, 4.6246526", \
+					  "2.7118887, 2.7137748, 2.7214445, 2.7617033, 2.9778459, 4.3449954, 4.6272756", \
+					  "2.7129906, 2.7150261, 2.7217731, 2.7709638, 2.9761918, 4.3441403, 4.6259448", \
+					  "2.7117398, 2.7135773, 2.7212433, 2.7603776, 2.9765285, 4.3435203, 4.6275318", \
+					  "2.7117351, 2.7142194, 2.7206154, 2.7710707, 2.9768375, 4.3441791, 4.6263561");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090739, 0.1090733, 0.1090460, 0.1090676, 0.1090685, 0.1090720, 0.1090727", \
+					  "0.1553792, 0.1553775, 0.1553788, 0.1553758, 0.1553341, 0.1553763, 0.1553395", \
+					  "0.2046506, 0.2046490, 0.2046505, 0.2046466, 0.2045399, 0.2046476, 0.2045446", \
+					  "0.2517333, 0.2517313, 0.2517328, 0.2517288, 0.2516239, 0.2517300, 0.2516257", \
+					  "0.2935072, 0.2935059, 0.2935083, 0.2935030, 0.2933993, 0.2935062, 0.2934040", \
+					  "0.3569260, 0.3569235, 0.3569255, 0.3569202, 0.3567970, 0.3569206, 0.3568040", \
+					  "0.4492822, 0.4492805, 0.4492819, 0.4492770, 0.4492085, 0.4492733, 0.4492108");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090486, 0.1090948, 0.1090370, 0.1090655, 0.1089987, 0.1090186, 0.1090824", \
+					  "0.1553804, 0.1553463, 0.1553347, 0.1553321, 0.1553391, 0.1553749, 0.1553753", \
+					  "0.2046506, 0.2046581, 0.2045374, 0.2046447, 0.2045437, 0.2046441, 0.2047203", \
+					  "0.2517409, 0.2517491, 0.2516303, 0.2517342, 0.2516374, 0.2517346, 0.2517345", \
+					  "0.2935093, 0.2935145, 0.2933977, 0.2935033, 0.2934039, 0.2935036, 0.2935011", \
+					  "0.3571117, 0.3569203, 0.3567808, 0.3569021, 0.3567866, 0.3568983, 0.3568985", \
+					  "0.4486488, 0.4486551, 0.4485791, 0.4486378, 0.4485665, 0.4486139, 0.4486112");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4331717, 2.4312977, 2.4361780, 2.4565809, 2.5903279, 3.4544622, 4.2249164", \
+					  "2.4304584, 2.4301891, 2.4339708, 2.4551283, 2.5852458, 3.4308242, 4.2291383", \
+					  "2.4285608, 2.4291514, 2.4323761, 2.4539330, 2.5870488, 3.4603218, 4.2198310", \
+					  "2.4282489, 2.4285783, 2.4308408, 2.4542151, 2.5845097, 3.4465812, 4.2177972", \
+					  "2.4286831, 2.4286632, 2.4308702, 2.4535549, 2.5934753, 3.4465093, 4.2161411", \
+					  "2.4280447, 2.4285174, 2.4316306, 2.4537413, 2.5870030, 3.4415432, 4.2176097", \
+					  "2.4256662, 2.4276735, 2.4307006, 2.4520505, 2.5858209, 3.4462086, 4.2183821");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1089647, 0.1085551, 0.1090392, 0.1090381, 0.1090545, 0.1093760, 0.1089721", \
+					  "0.1556657, 0.1556594, 0.1556638, 0.1556635, 0.1556241, 0.1555483, 0.1555883", \
+					  "0.2086205, 0.2086191, 0.2086158, 0.2086069, 0.2084816, 0.2083966, 0.2083680", \
+					  "0.2740128, 0.2740893, 0.2740232, 0.2740620, 0.2740550, 0.2740256, 0.2739766", \
+					  "0.3371024, 0.3369995, 0.3371183, 0.3371961, 0.3372423, 0.3372523, 0.3372451", \
+					  "0.4045220, 0.4044741, 0.4045607, 0.4047284, 0.4050505, 0.4052251, 0.4052720", \
+					  "0.4670869, 0.4676916, 0.4670816, 0.4671710, 0.4676014, 0.4683085, 0.4680687");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522396, 5.5513454, 5.5540498, 5.5590987, 5.5687620, 5.2963692, -0.5292242", \
+					  "5.5486189, 5.5488131, 5.5513302, 5.5640102, 5.5635583, 5.2939224, -0.6871544", \
+					  "5.5461073, 5.5493951, 5.5505435, 5.5529794, 5.5427194, 5.2940078, -0.8553861", \
+					  "5.5475941, 5.5462653, 5.5486762, 5.5526366, 5.5442860, 5.3159652, -0.5024012", \
+					  "5.5470617, 5.5483896, 5.5505481, 5.5568321, 5.5462282, 5.3140580, -0.4959330", \
+					  "5.5472150, 5.5468242, 5.5497346, 5.5548967, 5.5478919, 5.2920935, -0.6288515", \
+					  "5.5472618, 5.5465354, 5.5493032, 5.5558075, 5.5623427, 5.2958202, -0.7191433");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8012743, 7.8094015, 7.8474180, 8.0380945, 8.3145018, 8.1401977, 2.1112345", \
+					  "7.7682568, 7.7768836, 7.8149504, 8.0107590, 8.2820481, 7.8171431, 2.1381428", \
+					  "7.7370782, 7.7454743, 7.7826954, 7.9785448, 8.2513691, 7.7734589, 2.0995943", \
+					  "7.7071212, 7.7142856, 7.7523489, 7.9484394, 8.2190979, 7.7723797, 2.0663946", \
+					  "7.6769703, 7.6825076, 7.7220653, 7.9071421, 8.1910400, 7.7149304, 1.9607436", \
+					  "7.6471114, 7.6549185, 7.6926132, 7.8875935, 8.1339234, 7.6956880, 1.9936790", \
+					  "7.6159678, 7.6242025, 7.6619163, 7.8578732, 8.1306611, 7.6679930, 1.9752243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1760153, 0.1759922, 0.1759557, 0.1756347, 0.1752159, 0.1750212, 0.1748452", \
+					  "0.1916122, 0.1915880, 0.1914378, 0.1913082, 0.1906130, 0.1902216, 0.1902005", \
+					  "0.2015687, 0.2015617, 0.2016115, 0.2014940, 0.2008138, 0.2002905, 0.1999988", \
+					  "0.2415244, 0.2415445, 0.2416543, 0.2417444, 0.2414246, 0.2408800, 0.2406732", \
+					  "0.2735923, 0.2736012, 0.2736478, 0.2739419, 0.2741057, 0.2736928, 0.2732950", \
+					  "0.3101751, 0.3101958, 0.3102316, 0.3105035, 0.3110719, 0.3108716, 0.3106384", \
+					  "0.4072852, 0.4072212, 0.4070006, 0.4056915, 0.4046496, 0.4040168, 0.4039253");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090133, 0.1090171, 0.1090377, 0.1089893, 0.1090347, 0.1090386, 0.1090354", \
+					  "0.1557298, 0.1556695, 0.1556669, 0.1556636, 0.1556621, 0.1556648, 0.1556198", \
+					  "0.2086231, 0.2086247, 0.2086200, 0.2086079, 0.2085930, 0.2085903, 0.2085863", \
+					  "0.2740130, 0.2740171, 0.2740248, 0.2740607, 0.2741003, 0.2741140, 0.2741127", \
+					  "0.3371055, 0.3371071, 0.3371218, 0.3370258, 0.3373416, 0.3374164, 0.3374250", \
+					  "0.4045250, 0.4045340, 0.4045658, 0.4047281, 0.4050880, 0.4052755, 0.4053541", \
+					  "0.4670916, 0.4670892, 0.4670841, 0.4671708, 0.4676667, 0.4680523, 0.4681448");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522873, 5.5506428, 5.5535636, 5.5601270, 5.5426123, 5.3263253, -0.8296479", \
+					  "5.5493613, 5.5482567, 5.5513719, 5.5583251, 5.5450145, 5.2834443, -0.6087420", \
+					  "5.5470736, 5.5480589, 5.5491539, 5.5563335, 5.5667150, 5.3214481, -0.9072857", \
+					  "5.5482191, 5.5469532, 5.5483370, 5.5555578, 5.5643429, 5.2921344, -0.9114474", \
+					  "5.5485826, 5.5468869, 5.5503906, 5.5536307, 5.5428546, 5.3214397, -0.5376775", \
+					  "5.5477883, 5.5464309, 5.5492949, 5.5545953, 5.5454598, 5.3131570, -0.6927734", \
+					  "5.5454573, 5.5461516, 5.5480299, 5.5541027, 5.5567863, 5.3181209, -0.9191256");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090472, 0.1090711, 0.1090414, 0.1090677, 0.1090711, 0.1090683, 0.1090595", \
+					  "0.1553411, 0.1553777, 0.1553723, 0.1553373, 0.1553763, 0.1553737, 0.1553746", \
+					  "0.2045508, 0.2046530, 0.2046461, 0.2045478, 0.2046515, 0.2045666, 0.2046494", \
+					  "0.2516317, 0.2517347, 0.2517276, 0.2516323, 0.2517344, 0.2517312, 0.2517321", \
+					  "0.2934032, 0.2928083, 0.2934953, 0.2934003, 0.2935013, 0.2935015, 0.2935006", \
+					  "0.3568254, 0.3569410, 0.3569303, 0.3568159, 0.3569318, 0.3569270, 0.3569277", \
+					  "0.4489993, 0.4490600, 0.4490506, 0.4489833, 0.4490384, 0.4490334, 0.4490339");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4199110, 2.4201688, 2.4229010, 2.4216195, 2.4292326, 2.4205310, 2.4366505", \
+					  "2.4187945, 2.4190274, 2.4219749, 2.4201483, 2.4249188, 2.4111563, 2.4562804", \
+					  "2.4176619, 2.4158962, 2.4174394, 2.4143664, 2.4237831, 2.4503554, 2.3941360", \
+					  "2.4173340, 2.4166759, 2.4183161, 2.4166364, 2.4238882, 2.4213568, 2.4345320", \
+					  "2.4180410, 2.4164700, 2.4185645, 2.4210696, 2.4244445, 2.4304877, 2.4359624", \
+					  "2.4172230, 2.4161410, 2.4181949, 2.4163333, 2.4233518, 2.4239963, 2.4267647", \
+					  "2.4190948, 2.4159155, 2.4178413, 2.4163594, 2.4279931, 2.4209490, 2.4437715");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7161892, 6.7205746, 6.7460395, 6.8645883, 6.7730443, 5.5729023, -0.1109154", \
+					  "6.7138278, 6.7198413, 6.7440281, 6.8511767, 6.7531401, 5.5462865, -0.0990544", \
+					  "6.7123537, 6.7126000, 6.7430943, 6.8613296, 6.7710086, 5.5530896, -0.2514641", \
+					  "6.7121023, 6.7179861, 6.7411659, 6.8614711, 6.7703895, 5.4974923, -0.1694732", \
+					  "6.7128037, 6.7182833, 6.7420598, 6.8600392, 6.7683391, 5.5707268, -0.3098450", \
+					  "6.7117097, 6.7176550, 6.7424485, 6.8604618, 6.7546220, 5.5567464, -0.2623019", \
+					  "6.7113485, 6.7169273, 6.7417009, 6.8606735, 6.7678454, 5.5420113, -0.1108244");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090093, 0.1090539, 0.1090361, 0.1090114, 0.1090143, 0.1090137, 0.1090371", \
+					  "0.1556662, 0.1556252, 0.1556638, 0.1556665, 0.1556189, 0.1556603, 0.1556222", \
+					  "0.2086239, 0.2086460, 0.2086193, 0.2086135, 0.2085953, 0.2085877, 0.2084744", \
+					  "0.2740112, 0.2740146, 0.2741425, 0.2740644, 0.2741005, 0.2741089, 0.2740629", \
+					  "0.3371031, 0.3371084, 0.3371201, 0.3372014, 0.3373448, 0.3374126, 0.3373267", \
+					  "0.4045374, 0.4045448, 0.4045754, 0.4047469, 0.4051024, 0.4053011, 0.4053063", \
+					  "0.4671195, 0.4671163, 0.4671103, 0.4672028, 0.4676969, 0.4680785, 0.4681054");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7517060, 3.7561850, 3.7573738, 3.7965616, 3.8314560, 3.8116988, 3.8491658", \
+					  "3.6957057, 3.6975744, 3.7051951, 3.7436838, 3.7752037, 3.8117197, 3.7974273", \
+					  "3.6422637, 3.6442508, 3.6513558, 3.6840133, 3.7340119, 3.9124338, 3.7348229", \
+					  "3.6362492, 3.6352149, 3.6460712, 3.6856940, 3.7210355, 3.7491168, 3.7386131", \
+					  "3.6326181, 3.6313192, 3.6432125, 3.6842149, 3.7152161, 3.7050107, 3.7326319", \
+					  "3.6276206, 3.6296121, 3.6380428, 3.6698963, 3.7102169, 3.7983118, 3.7239051", \
+					  "3.6240577, 3.6267652, 3.6311966, 3.6658407, 3.7031928, 3.7636436, 3.7184896");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8694438, 7.8772032, 7.9141606, 8.1035369, 8.3803901, 7.9167089, 2.2269035", \
+					  "7.8363725, 7.8439090, 7.8814831, 8.0668418, 8.3491368, 7.9090704, 2.1888727", \
+					  "7.8043387, 7.8124043, 7.8496054, 8.0345521, 8.3169373, 7.8598828, 2.1706453", \
+					  "7.7742142, 7.7820361, 7.8170888, 7.9998523, 8.2864347, 7.8212443, 2.1105769", \
+					  "7.7450417, 7.7451788, 7.7877255, 7.9704005, 8.2572240, 7.8017446, 1.9853491", \
+					  "7.7075205, 7.7222952, 7.7591129, 7.9468256, 8.2249460, 8.0473737, 2.0709904", \
+					  "7.6839707, 7.6920163, 7.7286580, 7.9096313, 8.1962397, 8.0159039, 2.0186765");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1009938, 7.1156934, 7.1779388, 7.5549297, 8.3639319, 8.2533259, 2.0451986", \
+					  "7.0722667, 7.0818840, 7.1439247, 7.5171816, 8.3374066, 8.1671990, 2.2167507", \
+					  "7.0351029, 7.0435371, 7.1107893, 7.4883536, 8.2926867, 8.1223152, 2.1836635", \
+					  "7.0045170, 7.0141859, 7.0831880, 7.4473342, 8.2771661, 8.0881480, 2.2181426", \
+					  "6.9791466, 6.9847875, 7.0534829, 7.4227943, 8.2476492, 8.0977596, 1.9100870", \
+					  "6.9449422, 6.9570570, 7.0227497, 7.3868890, 8.2099372, 8.0291461, 2.0918086", \
+					  "6.9128310, 6.9255543, 6.9913745, 7.3617423, 8.1843005, 8.0690940, 1.8696468");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7618433, 3.7631062, 3.7744955, 3.8239737, 3.9923585, 4.8665070, 5.6360125", \
+					  "3.7047401, 3.7068513, 3.7187168, 3.7691500, 3.9369411, 4.8084714, 5.5830324", \
+					  "3.6512998, 3.6546531, 3.6645709, 3.7130113, 3.8818108, 4.7586940, 5.5132878", \
+					  "3.6505498, 3.6513565, 3.6603848, 3.7108484, 3.8767604, 4.7505796, 5.5407713", \
+					  "3.6433010, 3.6426032, 3.6549089, 3.7053833, 3.8713664, 4.7446877, 5.5120084", \
+					  "3.6367088, 3.6387320, 3.6495380, 3.6999386, 3.8670341, 4.7401643, 5.5100215", \
+					  "3.6328407, 3.6348655, 3.6448458, 3.6952191, 3.8620531, 4.7366786, 5.5109347");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0116743, 3.0124664, 3.0153371, 3.0353240, 3.1692227, 4.0304253, 4.7826331", \
+					  "2.9567794, 2.9562323, 2.9596870, 2.9824717, 3.1173643, 3.9572933, 4.6981994", \
+					  "2.9020168, 2.9028831, 2.9058157, 2.9275231, 3.0602772, 3.9273282, 4.6890791", \
+					  "2.8972702, 2.8975753, 2.9018005, 2.9238640, 3.0542727, 3.9191180, 4.6791485", \
+					  "2.8922707, 2.8926755, 2.8959847, 2.9185808, 3.0451581, 3.8931371, 4.6370998", \
+					  "2.8874898, 2.8880202, 2.8908554, 2.9110462, 3.0462643, 3.8998694, 4.6806927", \
+					  "2.8816154, 2.8826181, 2.8857009, 2.9058209, 3.0456268, 3.9003047, 4.6673096");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0392749, 8.0550141, 8.0621811, 8.0807999, 7.9612714, 7.0032478, -9.1875275", \
+					  "8.0031792, 8.0206227, 8.0031618, 8.0412017, 7.9313885, 7.0047304, -9.5802738", \
+					  "7.9919256, 7.9978202, 7.9709025, 8.0118567, 7.8942797, 6.9006002, -9.4788007", \
+					  "7.9423584, 7.9585060, 7.9664710, 7.9809959, 7.8653375, 6.8664226, -9.6456673", \
+					  "7.9134764, 7.9303423, 7.9367799, 7.9509048, 7.8425930, 6.8582814, -9.4207574", \
+					  "7.9032737, 7.9067743, 7.9047404, 7.9217892, 7.8154914, 6.8372635, -9.6464415", \
+					  "7.8708151, 7.8782831, 7.8421089, 7.8941602, 7.7723756, 6.7673181, -9.7943025");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7807288, 2.7829407, 2.7897910, 2.8239007, 2.9415865, 3.4736542, 3.8978301", \
+					  "2.8253941, 2.8280379, 2.8348792, 2.8696082, 2.9873459, 3.5182498, 3.9487403", \
+					  "2.8781481, 2.8777360, 2.8857455, 2.9199476, 3.0318775, 3.5737418, 3.9908405", \
+					  "2.9423644, 2.9438657, 2.9499710, 2.9847871, 3.1034609, 3.6380450, 4.0590252", \
+					  "3.0059836, 3.0078295, 3.0142878, 3.0512835, 3.1759186, 3.7014160, 4.1245358", \
+					  "3.0728864, 3.0735321, 3.0816295, 3.1135274, 3.2303470, 3.7650116, 4.1912316", \
+					  "3.1346197, 3.1363226, 3.1430137, 3.1808888, 3.3014640, 3.8308664, 4.2544363");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9490117, 5.9496325, 5.9598815, 5.9823177, 5.8894777, 5.0082048, -5.0782523", \
+					  "5.9928990, 5.9943678, 6.0006722, 6.0248723, 5.9349432, 5.0459392, -4.9828927", \
+					  "6.0401896, 6.0413403, 6.0482382, 6.0713220, 5.9839402, 5.0980336, -5.0088822", \
+					  "6.0870493, 6.0888325, 6.0957005, 6.1203075, 6.0306691, 5.1417887, -4.9654083", \
+					  "6.1291199, 6.1303762, 6.1371990, 6.1616358, 6.0698884, 5.2002129, -4.8563066", \
+					  "6.1922250, 6.1932242, 6.1997402, 6.2258378, 6.1332503, 5.2477477, -4.9085676", \
+					  "6.2835583, 6.2844475, 6.2912519, 6.3161402, 6.2255157, 5.3417697, -4.7521956");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9271790, 5.9325245, 5.9497123, 6.0297771, 6.2634762, 7.1351136, 7.8463692", \
+					  "5.8407114, 5.8456468, 5.8624524, 5.9453835, 6.1864827, 7.0683428, 7.7393893", \
+					  "5.7544301, 5.7575716, 5.7746219, 5.8576400, 6.0862314, 6.9927314, 7.6666749", \
+					  "5.7596900, 5.7632291, 5.7802932, 5.8608664, 6.0882976, 6.9775380, 7.6848115", \
+					  "5.7630332, 5.7662248, 5.7845643, 5.8664151, 6.0906467, 6.9631415, 7.6778321", \
+					  "5.7654424, 5.7672361, 5.7880401, 5.8665750, 6.0956845, 6.9245829, 7.6872848", \
+					  "5.7894828, 5.7926955, 5.8093757, 5.8897109, 6.1183108, 7.0172243, 7.7108631");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.7165080, 12.7251263, 12.7734766, 13.0536884, 13.4972089, 12.5196775, -4.2778452", \
+					  "12.6666368, 12.6799818, 12.7198271, 13.0055475, 13.4525494, 12.4193976, -4.4898825", \
+					  "12.6240477, 12.6346050, 12.6828976, 12.9633705, 13.4015949, 12.3526093, -4.4614117", \
+					  "12.5869361, 12.6006381, 12.6484783, 12.9258488, 13.3730687, 12.3237206, -4.4606418", \
+					  "12.5674035, 12.5780449, 12.6275993, 12.9021929, 13.3502099, 12.3120997, -4.4453403", \
+					  "12.5923296, 12.6015455, 12.6529306, 12.9279179, 13.3612833, 12.3736324, -4.4928273", \
+					  "12.5935991, 12.6057495, 12.6470806, 12.9328496, 13.3691701, 12.4096508, -4.5245769");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0925577, 8.0962197, 8.0962205, 8.1152685, 8.1152692, 8.1152702, 8.1152711", \
+					  "8.2217415, 8.2370253, 8.2591196, 8.2591205, 8.2591215, 8.2591224, 8.2615177", \
+					  "8.3688612, 8.3761993, 8.3762002, 8.3791125, 8.3791128, 8.3791137, 8.4079792", \
+					  "8.4639034, 8.4715275, 8.4715276, 8.4715286, 8.4715295, 8.4715305, 8.5601544", \
+					  "8.5244736, 8.5244737, 8.5244747, 8.5244756, 8.5244766, 8.5244775, 8.6124397", \
+					  "8.6152907, 8.6239558, 8.6239563, 8.6239572, 8.6239582, 8.6239591, 8.6562029", \
+					  "8.6549656, 8.6618916, 8.6618925, 8.6618934, 8.6618944, 8.6618953, 8.7217442");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9079419, 7.9137905, 7.9502049, 8.1784348, 9.8011208, 20.5281270, 89.3495620", \
+					  "8.0554994, 8.0613392, 8.0971683, 8.3252782, 9.9480264, 20.7085070, 89.4432330", \
+					  "8.1690219, 8.1749221, 8.2109679, 8.4394944, 10.0609120, 20.7887540, 89.6103030", \
+					  "8.2661895, 8.2720435, 8.3080050, 8.5364533, 10.1593810, 20.8844710, 89.7060200", \
+					  "8.3435632, 8.3494056, 8.3853173, 8.6131209, 10.2360230, 20.9637820, 89.7853450", \
+					  "8.4209022, 8.4274365, 8.4625986, 8.6908125, 10.3125850, 21.0391300, 89.8608970", \
+					  "8.4906659, 8.4965777, 8.5324841, 8.7612300, 10.3833160, 21.1107860, 89.9306230");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0181908, 1.0332472, 1.1285961, 1.7191936, 5.7588627, 31.6356330, 191.7248500", \
+					  "1.0206945, 1.0356206, 1.1290250, 1.7198915, 5.7583787, 31.6323470, 191.4653000", \
+					  "1.0201110, 1.0346286, 1.1279758, 1.7193602, 5.7587228, 31.6433170, 191.7255200", \
+					  "1.0203463, 1.0348470, 1.1281910, 1.7193178, 5.7592593, 31.6144620, 191.4317400", \
+					  "1.0209459, 1.0357609, 1.1289090, 1.7200286, 5.7587761, 31.6434130, 191.7266400", \
+					  "1.0198550, 1.0355095, 1.1285566, 1.7193924, 5.7585106, 31.6394550, 191.7301900", \
+					  "1.0206074, 1.0355599, 1.1280243, 1.7199202, 5.7585541, 31.6433950, 191.7216000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.5694500, 101.5785100, 101.6482000, 102.0501900, 104.7021900, 122.5145100, 158.9042900", \
+					  "101.7508400, 101.7650000, 101.8318400, 102.2228800, 104.8880000, 122.7266500, 159.0878900", \
+					  "101.9379100, 101.9512200, 102.0178600, 102.4250100, 105.0686900, 122.8896900, 159.2727700", \
+					  "102.1320900, 102.1462900, 102.2136300, 102.6223000, 105.2574500, 123.0791800, 159.4609100", \
+					  "102.2990800, 102.3178600, 102.3845100, 102.7873500, 105.4408200, 123.1127300, 159.6230800", \
+					  "102.4832600, 102.4921700, 102.5587600, 102.9659300, 105.6148500, 123.3107100, 159.8186700", \
+					  "102.6338300, 102.6487400, 102.7144200, 103.1189700, 105.7708100, 123.5989200, 159.9243500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4078300, 124.4022900, 124.3790300, 124.2830300, 123.8837200, 122.0896000, 109.8355200", \
+					  "124.4053600, 124.4025300, 124.3790100, 124.2539000, 123.8865500, 122.1344400, 109.8301100", \
+					  "124.4079500, 124.4090100, 124.3800500, 124.2976900, 123.8735600, 122.0826400, 109.8866300", \
+					  "124.4064000, 124.4007100, 124.3786600, 124.2812300, 123.8639700, 122.0857500, 109.8354000", \
+					  "124.3987200, 124.4039400, 124.3797100, 124.2613200, 123.8874500, 122.1511100, 109.8274300", \
+					  "124.3834300, 124.4088600, 124.3848800, 124.2794200, 123.8951100, 122.1378900, 109.8513300", \
+					  "124.4082000, 124.4049900, 124.3792600, 124.2893400, 123.8861500, 122.1461500, 109.8742200");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9379072, 7.9438101, 7.9803275, 8.2118570, 9.8565796, 20.5414920, 87.0414420", \
+					  "8.0839611, 8.0905176, 8.1260296, 8.3583540, 10.0029780, 20.6437660, 87.2063740", \
+					  "8.1986649, 8.2061762, 8.2406828, 8.4729441, 10.1172000, 20.7818600, 87.2903170", \
+					  "8.2959515, 8.3019831, 8.3379481, 8.5702556, 10.2145260, 20.8848010, 87.3878820", \
+					  "8.3756815, 8.3820701, 8.4176222, 8.6500522, 10.2941680, 20.9515180, 87.4595760", \
+					  "8.4503204, 8.4550207, 8.4923508, 8.7245811, 10.3692170, 21.0079260, 87.5594850", \
+					  "8.5204297, 8.5251441, 8.5622140, 8.7949896, 10.4390820, 21.0779730, 87.6271360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9954327, 1.0096064, 1.1022014, 1.6988921, 5.7546106, 31.6319660, 191.6341700", \
+					  "0.9979745, 1.0096396, 1.1042934, 1.7015437, 5.7548655, 31.6340480, 191.6163200", \
+					  "0.9950911, 1.0094163, 1.1028294, 1.6987881, 5.7546186, 31.6344250, 191.3898200", \
+					  "0.9950433, 1.0084350, 1.1028172, 1.6988817, 5.7546199, 31.6321400, 191.3922000", \
+					  "0.9939440, 1.0106732, 1.1035132, 1.6985962, 5.7546217, 31.6347370, 191.3688800", \
+					  "0.9954326, 1.0109933, 1.1027443, 1.7000500, 5.7544893, 31.6380560, 191.4026200", \
+					  "0.9959619, 1.0098938, 1.1052451, 1.7005950, 5.7543250, 31.6368160, 191.4000800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("150.7920300, 150.7920304, 150.8362900, 151.1471500, 152.9510900, 161.5741200, 198.1854600", \
+					  "150.9450000, 150.9638000, 150.9920200, 151.3208200, 153.1320900, 161.7590000, 198.4129500", \
+					  "151.1545500, 151.1545640, 151.2059700, 151.5106800, 153.3206100, 161.9237900, 198.6011900", \
+					  "151.3476200, 151.3476334, 151.3990900, 151.7040000, 153.5161700, 162.1381900, 198.7943800", \
+					  "151.4938900, 151.5104500, 151.5701600, 151.8750700, 153.6873100, 162.3106300, 198.9190700", \
+					  "151.6849200, 151.6849289, 151.7358200, 151.9914300, 153.8595300, 162.4421500, 199.1050600", \
+					  "151.8490500, 151.8494600, 151.8749700, 152.2049900, 154.0183000, 162.6425600, 199.2955800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3431930, 59.2763530, 59.2765160, 59.0028290, 57.0317770, 46.9042230, 92.3394910", \
+					  "59.3060820, 59.2646680, 59.2474550, 59.0051420, 57.0359230, 46.9049550, 92.2188480", \
+					  "59.4159890, 59.3147720, 59.2791200, 59.0065600, 57.0591720, 47.1100950, 92.2172990", \
+					  "59.4157900, 59.3184590, 59.2748430, 59.0037140, 57.0312220, 46.9072460, 92.2184850", \
+					  "59.3070060, 59.3532440, 59.2538750, 59.0044170, 57.0359830, 46.9062940, 92.3186580", \
+					  "59.3032250, 59.4000760, 59.2595020, 58.9590420, 57.0411700, 47.0466760, 92.3466250", \
+					  "59.4155200, 59.3238490, 59.2471640, 59.0027760, 57.0313650, 46.9030970, 92.2174910");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9476080, 17.1382800, 18.3359160, 25.7328790, 71.1964030, 350.6396200, 2072.1095000", \
+					  "17.0729390, 17.2808150, 18.4741950, 25.8711290, 71.3424630, 350.5399800, 2071.7835000", \
+					  "17.1849040, 17.3797300, 18.5909300, 25.9823270, 71.4710420, 350.7236700, 2072.0686000", \
+					  "17.2882810, 17.4751930, 18.6840130, 26.0778710, 71.5243970, 350.8154400, 2072.4196000", \
+					  "17.3652490, 17.5588890, 18.7613040, 26.1520320, 71.6181460, 350.8924900, 2072.4010000", \
+					  "17.4297710, 17.6272240, 18.8347190, 26.2275450, 71.7141260, 350.9668700, 2072.0479000", \
+					  "17.5073180, 17.6964060, 18.9030800, 26.2947570, 71.7600700, 351.0343400, 2072.6661000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5857150, 14.9682760, 17.3156810, 31.8598590, 122.1668400, 678.4834500, 4111.2144000", \
+					  "14.5832320, 14.9674740, 17.3155940, 31.8861130, 122.2615500, 678.8201300, 4108.6464000", \
+					  "14.5766470, 14.9583950, 17.3271760, 31.8601000, 122.2154400, 678.3771500, 4109.7383000", \
+					  "14.5859450, 14.9638240, 17.3145660, 31.8548740, 121.9243300, 679.1260600, 4110.0120000", \
+					  "14.5859500, 14.9682790, 17.3146970, 31.8908700, 122.1975100, 678.4747500, 4108.5032000", \
+					  "14.5787190, 14.9697660, 17.3230030, 31.8728790, 122.2174300, 679.1669900, 4110.5909000", \
+					  "14.5858450, 14.9683110, 17.3146790, 31.8925930, 122.1659900, 678.8238800, 4110.7769000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1636090, 13.2157530, 13.5250900, 15.3525140, 20.4470630, 39.6982000, 82.7076580", \
+					  "13.3470330, 13.3984260, 13.7064080, 15.5382050, 20.6327290, 39.8730790, 82.8694060", \
+					  "13.5388890, 13.5891370, 13.8989660, 15.7243230, 20.8235280, 40.0716470, 83.0821070", \
+					  "13.7272030, 13.7777160, 14.0863380, 15.9137720, 21.0145630, 40.2606910, 83.2657110", \
+					  "13.8990800, 13.9501250, 14.2594680, 16.0899650, 21.1857620, 40.4248120, 83.4249650", \
+					  "14.0660920, 14.1163460, 14.4262370, 16.2513450, 21.3510540, 40.6019570, 83.6116880", \
+					  "14.2283930, 14.2786160, 14.5884470, 16.4136700, 21.5107220, 40.7596410, 83.7714540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0221783, 3.0915381, 3.5303624, 5.0937330, 6.9754611, 29.0312840, 48.3881980", \
+					  "3.0213958, 3.0915859, 3.5304102, 5.0864624, 6.9651427, 29.0355310, 48.2961720", \
+					  "3.0220688, 3.0904879, 3.5291692, 5.0940674, 6.9763173, 29.0321460, 48.3940180", \
+					  "3.0210521, 3.0924125, 3.5312577, 5.0922031, 6.9806210, 29.0329980, 48.3968490", \
+					  "3.0213871, 3.0915921, 3.5303989, 5.0863669, 6.9628920, 29.0355190, 48.2945590", \
+					  "3.0221998, 3.0904757, 3.5291834, 5.0931468, 6.9762636, 29.0311900, 48.3948210", \
+					  "3.0221967, 3.0906546, 3.5293704, 5.0931384, 6.9757591, 29.0331760, 48.3873000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7634184, 7.7978886, 7.7978890, 7.7978895, 7.7978900, 7.7978905, 7.7978910", \
+					  "7.9469045, 7.9469049, 7.9469054, 7.9469059, 7.9469064, 7.9469069, 7.9469073", \
+					  "8.0634086, 8.0634094, 8.0634103, 8.0634113, 8.0634122, 8.0634132, 8.0634141", \
+					  "8.1563698, 8.1574116, 8.1574121, 8.1574131, 8.1574140, 8.1574150, 8.1574159", \
+					  "8.2365377, 8.2373548, 8.2373558, 8.2373567, 8.2373577, 8.2373586, 8.2373596", \
+					  "8.3136760, 8.3136764, 8.3136774, 8.3136783, 8.3136793, 8.3136802, 8.3136812", \
+					  "8.3797627, 8.3797632, 8.3797641, 8.3797651, 8.3797660, 8.3797670, 8.3797679");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6127318, 7.6167703, 7.6167706, 7.6167710, 7.6167715, 7.6167720, 7.6167725", \
+					  "7.7485334, 7.7590645, 7.7590650, 7.7590654, 7.7590659, 7.7590664, 7.7590669", \
+					  "7.8615452, 7.8629609, 7.8629611, 7.8629616, 7.8629621, 7.8629625, 7.8629630", \
+					  "7.9559443, 7.9561575, 7.9561580, 7.9561584, 7.9561589, 7.9561594, 7.9561599", \
+					  "8.0337550, 8.0388274, 8.0388275, 8.0388284, 8.0388294, 8.0388303, 8.0388313", \
+					  "8.1067615, 8.1102201, 8.1102205, 8.1102214, 8.1102224, 8.1102233, 8.1102243", \
+					  "8.1676186, 8.1734299, 8.1734300, 8.1734310, 8.1734319, 8.1734329, 8.1734338");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4250290, 10.4754000, 10.7897060, 12.6864870, 24.3079490, 95.8222660, 416.3952400", \
+					  "10.5636570, 10.6200730, 10.9275950, 12.8255390, 24.4468750, 95.9755940, 416.5389100", \
+					  "10.6729690, 10.7276820, 11.0367480, 12.9343960, 24.5558060, 96.0849820, 416.6526800", \
+					  "10.7725260, 10.8215050, 11.1295310, 13.0273920, 24.6428350, 96.1739130, 416.7118000", \
+					  "10.8432760, 10.9010260, 11.2065600, 13.1044280, 24.7198480, 96.2509080, 416.8056500", \
+					  "10.9160250, 10.9708860, 11.2801800, 13.1778970, 24.7988390, 96.3025220, 416.9079900", \
+					  "10.9910050, 11.0389700, 11.3475510, 13.2457550, 24.8604960, 96.3919580, 416.9948500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0337293, 3.1106566, 3.5944427, 6.6031354, 25.0129070, 138.8857800, 350.6489200", \
+					  "3.0335967, 3.1109850, 3.5947927, 6.6031367, 25.0123600, 138.8357700, 350.6509400", \
+					  "3.0334570, 3.1103953, 3.5942433, 6.6030408, 25.0188670, 138.8360400, 350.6521900", \
+					  "3.0336464, 3.1109793, 3.5947902, 6.6019301, 25.0195460, 138.8696100, 350.5007600", \
+					  "3.0327389, 3.1109797, 3.5947909, 6.6019412, 25.0194590, 138.8691700, 350.5844600", \
+					  "3.0336726, 3.1109781, 3.5947496, 6.6018822, 25.0184000, 138.8486500, 350.5360000", \
+					  "3.0337057, 3.1110010, 3.5943020, 6.6019785, 25.0180460, 138.8655700, 350.5272200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7697730, 20.9653180, 22.1437090, 29.3389030, 73.4514170, 345.0460600, 2022.4725000", \
+					  "20.9587100, 21.1532750, 22.3299120, 29.5300830, 73.6487070, 345.0664400, 2021.6862000", \
+					  "21.1423430, 21.3221670, 22.5117830, 29.7539860, 73.8376760, 345.1174800, 2018.8595000", \
+					  "21.3336190, 21.5160440, 22.6956180, 29.9164820, 73.9661840, 345.4546800, 2019.1701000", \
+					  "21.5050560, 21.6995080, 22.8757140, 30.0797430, 74.1497670, 345.4990700, 2022.9861000", \
+					  "21.6812810, 21.8555980, 23.0524350, 30.2507640, 74.3053620, 345.7957400, 2020.2936000", \
+					  "21.8343410, 22.0340690, 23.2040860, 30.4426100, 74.5326720, 345.8743800, 2021.6050000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5272170, 13.8966780, 16.1986070, 30.4016080, 118.4781300, 660.6617800, 4003.4952000", \
+					  "13.5284130, 13.8852380, 16.2015720, 30.4177310, 118.4869200, 660.5012600, 4005.9986000", \
+					  "13.5221860, 13.8829920, 16.2029680, 30.4222530, 118.4870900, 660.7393200, 4003.8012000", \
+					  "13.5299440, 13.8791040, 16.1898030, 30.4184590, 118.3982100, 660.3481800, 4004.5984000", \
+					  "13.5312280, 13.8987040, 16.2050980, 30.3822250, 118.3203600, 660.7566000, 4004.4442000", \
+					  "13.5211220, 13.8835600, 16.1944400, 30.4283890, 118.4098800, 660.4356600, 4005.7171000", \
+					  "13.5162130, 13.8953240, 16.1999780, 30.4230740, 118.4895400, 660.4988100, 4002.7321000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7490308, 7.7540410, 7.7540415, 7.7540420, 7.7540424, 7.7540429, 7.7540434", \
+					  "7.9323108, 7.9323109, 7.9323113, 7.9323118, 7.9323123, 7.9323128, 7.9323133", \
+					  "8.0488967, 8.0488973, 8.0488983, 8.0488992, 8.0489002, 8.0489011, 8.0489021", \
+					  "8.1419032, 8.1425053, 8.1425062, 8.1425071, 8.1425081, 8.1425090, 8.1425100", \
+					  "8.2218792, 8.2245499, 8.2245508, 8.2245517, 8.2245527, 8.2245536, 8.2245546", \
+					  "8.2989420, 8.2989421, 8.2989431, 8.2989440, 8.2989450, 8.2989460, 8.2989469", \
+					  "8.3649923, 8.3649927, 8.3649936, 8.3649946, 8.3649955, 8.3649965, 8.3649974");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943723, 8.0970413, 8.1126927, 8.1126934, 8.1126943, 8.1126953, 8.1332443", \
+					  "8.2403524, 8.2426626, 8.2426630, 8.2426639, 8.2426649, 8.2426658, 8.2426668", \
+					  "8.3469214, 8.3469215, 8.3469224, 8.3469234, 8.3469244, 8.3469253, 8.4030640", \
+					  "8.4399172, 8.4399181, 8.4399190, 8.4399200, 8.4399210, 8.4399219, 8.5127994", \
+					  "8.5234815, 8.5234819, 8.5234828, 8.5234838, 8.5234848, 8.5234857, 8.5566372", \
+					  "8.5935783, 8.5935789, 8.5935798, 8.5968068, 8.5968071, 8.5968080, 8.6464595", \
+					  "8.6676248, 8.6676250, 8.6676260, 8.6676269, 8.6676279, 8.6676288, 8.6858858");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0769850, 13.1280060, 13.4478430, 15.3365360, 26.7042100, 93.3974180, 184.9966100", \
+					  "13.2599500, 13.3107250, 13.6304580, 15.5168540, 26.8847750, 93.5786420, 185.1935400", \
+					  "13.4495180, 13.5032920, 13.8198710, 15.7077600, 27.0734030, 93.7682290, 185.3721900", \
+					  "13.6408670, 13.6930700, 14.0126010, 15.8993090, 27.2675200, 93.9606080, 185.5751200", \
+					  "13.8142960, 13.8658180, 14.1821950, 16.0723540, 27.4418270, 94.1311670, 185.7344800", \
+					  "13.9822630, 14.0332710, 14.3517830, 16.2404420, 27.6079200, 94.3036300, 185.9189400", \
+					  "14.1424770, 14.1928580, 14.5106480, 16.3998880, 27.7656030, 94.4621380, 186.0809000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0545064, 3.1235985, 3.5680094, 6.3949313, 24.2851530, 97.2303980, 63.6671660", \
+					  "3.0566758, 3.1255631, 3.5681273, 6.3949304, 24.2851800, 97.2313070, 63.7305670", \
+					  "3.0555296, 3.1255849, 3.5687675, 6.3952315, 24.2850970, 97.2288630, 63.6659650", \
+					  "3.0566492, 3.1259355, 3.5683279, 6.3950987, 24.2850200, 97.2313290, 63.7313280", \
+					  "3.0567646, 3.1250247, 3.5750456, 6.3949712, 24.2851250, 97.1715930, 63.6754720", \
+					  "3.0554457, 3.1293104, 3.5680696, 6.3947039, 24.2853700, 97.1849370, 63.6712440", \
+					  "3.0567562, 3.1252263, 3.5683090, 6.3949290, 24.2858410, 97.2305470, 63.6708750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0979206, 8.1002742, 8.1002746, 8.1143039, 8.1143041, 8.1143051, 8.1143060", \
+					  "8.2422797, 8.2443892, 8.2443901, 8.2443910, 8.2443920, 8.2443929, 8.2443939", \
+					  "8.3483620, 8.3483625, 8.3483634, 8.3483644, 8.3483654, 8.3483663, 8.4208569", \
+					  "8.4413356, 8.4413362, 8.4413372, 8.4413381, 8.4413391, 8.4413400, 8.5138083", \
+					  "8.5249043, 8.5249048, 8.5249057, 8.5249067, 8.5249076, 8.5249086, 8.5548324", \
+					  "8.5948146, 8.5948148, 8.5948158, 8.5975236, 8.5975242, 8.5975252, 8.6359000", \
+					  "8.6424574, 8.6623713, 8.6623722, 8.6638821, 8.6638828, 8.6638837, 8.7101188");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0420640, 13.0926750, 13.4023820, 15.2214700, 20.4060730, 39.7562840, 141.6925500", \
+					  "13.2237820, 13.2741380, 13.5847190, 15.4044070, 20.5904360, 39.9384530, 141.8722500", \
+					  "13.4129860, 13.4653310, 13.7736140, 15.5977380, 20.7733580, 40.1140560, 142.0577600", \
+					  "13.6048910, 13.6561380, 13.9648470, 15.7867390, 20.9683990, 40.3210760, 142.2748900", \
+					  "13.7780190, 13.8282350, 14.1390730, 15.9593740, 21.1418350, 40.4910630, 142.4533200", \
+					  "13.9452220, 13.9973580, 14.3044940, 16.1300480, 21.3067870, 40.6610820, 142.6101100", \
+					  "14.1056340, 14.1567660, 14.4688870, 16.2896240, 21.4697580, 40.8063910, 142.7567000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0302394, 3.1004490, 3.5363605, 5.1177027, 7.0435080, 32.0683430, 98.0612430", \
+					  "3.0320935, 3.0985938, 3.5363594, 5.1161635, 7.0437061, 32.0683680, 98.0571500", \
+					  "3.0244562, 3.1004694, 3.5363226, 5.1147264, 7.0437514, 32.0554610, 98.0563540", \
+					  "3.0307714, 3.0988716, 3.5363252, 5.1162097, 7.0416522, 32.0683850, 98.1106960", \
+					  "3.0320209, 3.1006169, 3.5363139, 5.1160649, 7.0431763, 32.0682610, 98.1215540", \
+					  "3.0256930, 3.1023502, 3.5353356, 5.1137161, 7.0348842, 32.0684420, 98.0354860", \
+					  "3.0307414, 3.0993514, 3.5363235, 5.1120499, 7.0470225, 32.0553890, 98.0603120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9790950, 17.1706700, 18.3875460, 25.7255730, 70.9524670, 349.9936800, 2071.7289000", \
+					  "17.1605180, 17.3590600, 18.5528620, 25.9070050, 71.1353110, 350.1439200, 2071.6363000", \
+					  "17.3519920, 17.5513840, 18.7335210, 26.0709390, 71.3271500, 350.3335200, 2071.9074000", \
+					  "17.5400580, 17.7324410, 18.9305300, 26.2596040, 71.5189970, 350.5088600, 2071.8721000", \
+					  "17.7180690, 17.9163720, 19.1005680, 26.4616470, 71.6961280, 350.6965000, 2072.4128000", \
+					  "17.8828470, 18.0723050, 19.2611630, 26.6234610, 71.8602560, 350.8338300, 2071.8862000", \
+					  "18.0351130, 18.2420890, 19.4282240, 26.7805760, 72.0094700, 351.0358200, 2072.6006000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5924380, 14.9667710, 17.3274340, 31.9044810, 122.1126100, 678.4184700, 4109.5559000", \
+					  "14.5796180, 14.9645810, 17.3311340, 31.9044240, 121.9133200, 678.6081000, 4110.8474000", \
+					  "14.5797150, 14.9735320, 17.3244530, 31.8788370, 122.0839600, 678.9918500, 4109.9024000", \
+					  "14.5923630, 14.9611030, 17.3313380, 31.8786810, 122.1238200, 678.9230200, 4110.5513000", \
+					  "14.5923620, 14.9701960, 17.3325250, 31.9055820, 122.0866900, 678.7289000, 4108.5659000", \
+					  "14.5796100, 14.9647780, 17.3226540, 31.9043350, 122.1236800, 678.4036400, 4108.2658000", \
+					  "14.5802670, 14.9629640, 17.3311160, 31.9055720, 122.1134800, 679.0702400, 4111.5841000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5561960, 14.6064430, 14.9167650, 16.7486610, 21.8594940, 41.1116230, 84.1244940", \
+					  "14.7430320, 14.7937840, 15.1062330, 16.9289260, 22.0461640, 41.2930380, 84.3088090", \
+					  "14.9343400, 14.9839890, 15.2930400, 17.1213570, 22.2366960, 41.4844980, 84.4997810", \
+					  "15.1217070, 15.1674550, 15.4767360, 17.3050270, 22.4264360, 41.6728800, 84.6856380", \
+					  "15.2953950, 15.3391420, 15.6546350, 17.4759600, 22.5964100, 41.8414460, 84.8642970", \
+					  "15.4625490, 15.5130630, 15.8209410, 17.6522960, 22.7595740, 42.0119330, 85.0311110", \
+					  "15.6123680, 15.6711960, 15.9726740, 17.8027050, 22.9141200, 42.1717880, 85.1808650");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0281384, 3.0978566, 3.5359904, 5.1077627, 6.9853474, 29.0350570, 48.3885860", \
+					  "3.0278835, 3.0980869, 3.5363674, 5.1071911, 6.9859398, 29.0350590, 48.3989280", \
+					  "3.0278766, 3.0981231, 3.5362913, 5.1077613, 6.9859327, 29.0350360, 48.3990910", \
+					  "3.0278837, 3.0978566, 3.5360845, 5.1074180, 6.9859203, 29.0350340, 48.3885610", \
+					  "3.0277796, 3.0978138, 3.5360792, 5.1074043, 6.9851054, 29.0367000, 48.3883760", \
+					  "3.0277885, 3.0981884, 3.5360297, 5.1077569, 6.9851844, 29.0350390, 48.4017480", \
+					  "3.0280405, 3.0981044, 3.5360783, 5.1075029, 6.9853416, 29.0350370, 48.3885890");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5174420, 16.7121710, 17.9063310, 25.2307560, 70.5534970, 349.5014800, 2070.7348000", \
+					  "16.6508260, 16.8466700, 18.0393410, 25.4031160, 70.6987820, 349.6944000, 2070.3671000", \
+					  "16.7723200, 16.9610580, 18.1476560, 25.4801250, 70.7843450, 349.8202000, 2071.1293000", \
+					  "16.8576420, 17.0504530, 18.2478510, 25.6005580, 70.8937760, 349.8420500, 2071.1655000", \
+					  "16.9354520, 17.1231470, 18.3252860, 25.6782930, 70.9849320, 349.9687300, 2071.5838000", \
+					  "17.0107250, 17.2000850, 18.3929740, 25.7253130, 71.0254750, 349.9877700, 2071.3444000", \
+					  "17.0726650, 17.2692810, 18.4973350, 25.8228280, 71.1373080, 350.0503900, 2071.5245000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5806020, 14.9705310, 17.3205400, 31.8512260, 122.0305800, 678.8785100, 4109.3567000", \
+					  "14.5828710, 14.9696680, 17.3244030, 31.9200520, 122.2118300, 678.6522100, 4108.3058000", \
+					  "14.5896830, 14.9705550, 17.3217560, 31.8921530, 122.1895600, 679.1251500, 4110.4413000", \
+					  "14.5816190, 14.9644920, 17.3207010, 31.9129650, 122.0404200, 678.8847600, 4110.3467000", \
+					  "14.5778170, 14.9570380, 17.3206530, 31.9162550, 122.0413700, 678.9333600, 4111.1648000", \
+					  "14.5841770, 14.9589050, 17.3280550, 31.8860140, 122.1843300, 678.9105100, 4109.0902000", \
+					  "14.5791370, 14.9648510, 17.3247780, 31.8624590, 122.2093900, 677.9888500, 4110.2548000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2816360, 20.4768150, 21.6329070, 28.8116480, 72.8245480, 344.0856600, 2019.8195000", \
+					  "20.4670930, 20.6553550, 21.8167970, 29.0026420, 73.0207500, 344.2729100, 2018.9607000", \
+					  "20.6587970, 20.8477840, 22.0121380, 29.1851020, 73.1322980, 344.5879000, 2020.1778000", \
+					  "20.8482010, 21.0358630, 22.2001160, 29.3746700, 73.3208050, 344.7542300, 2019.2208000", \
+					  "21.0062300, 21.2060560, 22.3676030, 29.5423170, 73.5638040, 345.2816800, 2019.4000000", \
+					  "21.1869170, 21.3754170, 22.5387230, 29.7161870, 73.6649990, 345.1809800, 2020.2237000", \
+					  "21.3457160, 21.5384050, 22.7006570, 29.8860600, 73.8388050, 345.1651800, 2020.3286000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5276430, 13.9057240, 16.2101160, 30.3804380, 118.5091300, 660.8522100, 4008.1040000", \
+					  "13.5228960, 13.8934010, 16.2067530, 30.4048060, 118.1378700, 660.3827400, 4009.3146000", \
+					  "13.5322700, 13.9094980, 16.2058080, 30.3828780, 118.4259400, 660.4607300, 4009.8612000", \
+					  "13.5316090, 13.9095140, 16.2038860, 30.3809100, 118.4246200, 660.5747000, 4008.4537000", \
+					  "13.5144860, 13.9093430, 16.2097410, 30.4191980, 118.5139300, 660.8272000, 4008.0581000", \
+					  "13.5355340, 13.9104040, 16.1987950, 30.3833440, 118.4100600, 660.3396500, 4008.3555000", \
+					  "13.5256090, 13.9045480, 16.1945320, 30.3937920, 118.2475500, 660.5925500, 4006.7457000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1409484, 8.1484277, 8.1484285, 8.1484294, 8.1484304, 8.1484314, 8.1568388", \
+					  "8.2736911, 8.2896898, 8.2896905, 8.2896915, 8.2896925, 8.2896934, 8.3078540", \
+					  "8.4020106, 8.4041574, 8.4043350, 8.4043355, 8.4043365, 8.4043375, 8.4185618", \
+					  "8.4942355, 8.4960883, 8.4960886, 8.4994021, 8.4994026, 8.4994035, 8.5068317", \
+					  "8.5773557, 8.5773559, 8.5773569, 8.5773578, 8.5773588, 8.5773597, 8.5773607", \
+					  "8.6740810, 8.6740814, 8.6740823, 8.6740833, 8.6740842, 8.6740852, 8.6740861", \
+					  "8.7218170, 8.7219183, 8.7219186, 8.7219196, 8.7219205, 8.7219215, 8.7346477");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6158289, 7.6158293, 7.6158298, 7.6158302, 7.6158307, 7.6158312, 7.6158317", \
+					  "7.7478095, 7.7581328, 7.7581332, 7.7581337, 7.7581342, 7.7581347, 7.7581351", \
+					  "7.8612441, 7.8622699, 7.8622702, 7.8622706, 7.8622711, 7.8622716, 7.8622721", \
+					  "7.9527744, 7.9552978, 7.9552982, 7.9552987, 7.9552992, 7.9552997, 7.9553001", \
+					  "8.0325711, 8.0376195, 8.0376201, 8.0376211, 8.0376220, 8.0376230, 8.0376239", \
+					  "8.1034344, 8.1066878, 8.1066881, 8.1066890, 8.1066900, 8.1066909, 8.1066919", \
+					  "8.1735569, 8.1735578, 8.1735588, 8.1735597, 8.1735607, 8.1735616, 8.1735626");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9425470, 10.9953360, 11.3013650, 13.2003780, 24.8129410, 96.3393060, 416.9920100", \
+					  "11.1276860, 11.1772020, 11.4892910, 13.3816020, 25.0002010, 96.5196630, 417.1744200", \
+					  "11.3195380, 11.3697220, 11.6739140, 13.5739410, 25.1929890, 96.7126250, 417.4168600", \
+					  "11.5090310, 11.5603440, 11.8677170, 13.7610630, 25.3771710, 96.9035200, 417.5548400", \
+					  "11.6834760, 11.7277330, 12.0351660, 13.9352760, 25.5644520, 97.0832940, 417.7286700", \
+					  "11.8440070, 11.9004660, 12.2056300, 14.0963770, 25.7201030, 97.2413400, 417.8930100", \
+					  "12.0034660, 12.0508180, 12.3592150, 14.2564970, 25.8692730, 97.3970630, 418.0478200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0348708, 3.1122305, 3.5949930, 6.6021011, 25.0090900, 138.9383200, 350.6021900", \
+					  "3.0351404, 3.1121903, 3.5950032, 6.6021038, 25.0205440, 138.8597000, 350.6027900", \
+					  "3.0352656, 3.1116854, 3.5949927, 6.6021033, 25.0262550, 138.9375800, 350.5408300", \
+					  "3.0353771, 3.1117457, 3.5955490, 6.6022379, 25.0115450, 138.9184100, 350.6022500", \
+					  "3.0353173, 3.1122096, 3.5957963, 6.6015637, 25.0190020, 138.9185100, 350.6029900", \
+					  "3.0348764, 3.1121987, 3.5955503, 6.6015633, 25.0174160, 138.9373800, 350.6022500", \
+					  "3.0340017, 3.1123155, 3.5956880, 6.6022081, 25.0186450, 138.9363600, 350.5973900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3817710, 10.3817716, 10.3838350, 10.3838354, 10.3845660, 10.3845668, 10.3882570", \
+					  "10.4620840, 10.4620843, 10.5799300, 10.5799308, 10.5799318, 10.5799327, 10.5799337", \
+					  "10.6399820, 10.6783420, 10.6783424, 10.6783433, 10.6783443, 10.6783453, 10.6905100", \
+					  "10.6731800, 10.8556530, 10.8556533, 10.8556543, 10.8556552, 10.8556562, 10.8556572", \
+					  "10.9051970, 10.9053440, 10.9053445, 10.9053455, 10.9053464, 10.9053474, 10.9727630", \
+					  "10.9260440, 10.9260450, 10.9260459, 10.9260469, 10.9268250, 10.9488830, 11.0189650", \
+					  "11.0490200, 11.0491860, 11.0493250, 11.0502800, 11.0502801, 11.0502811, 11.0502821");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5168310, 16.7119400, 17.9090290, 25.2597910, 70.5531780, 349.5354100, 2071.1432000", \
+					  "16.6593580, 16.8444850, 18.0393960, 25.3670400, 70.7015890, 349.6768400, 2071.2016000", \
+					  "16.7692940, 16.9610760, 18.1546300, 25.4745950, 70.8131720, 349.8253700, 2071.2453000", \
+					  "16.8579570, 17.0505010, 18.2478990, 25.6005920, 70.8938900, 349.8400600, 2071.3621000", \
+					  "16.9298970, 17.1231540, 18.3253320, 25.6783220, 70.9853580, 349.9692100, 2071.4676000", \
+					  "17.0126280, 17.2016410, 18.4320520, 25.7319590, 71.0237980, 349.9897900, 2070.8464000", \
+					  "17.0744010, 17.2693310, 18.4974080, 25.8228800, 71.1373360, 350.3223200, 2071.7168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5816680, 14.9679340, 17.3107020, 31.9154520, 122.0358900, 679.1671000, 4109.9229000", \
+					  "14.5905150, 14.9693750, 17.3224400, 31.8585550, 122.2176800, 679.1116800, 4107.8843000", \
+					  "14.5881760, 14.9707680, 17.3258620, 31.8875200, 122.2268900, 679.0036800, 4107.5855000", \
+					  "14.5816630, 14.9645290, 17.3209360, 31.9137530, 122.0412900, 678.8776000, 4109.3344000", \
+					  "14.5837200, 14.9693880, 17.3209020, 31.9169370, 122.0420100, 678.9381400, 4109.0325000", \
+					  "14.5854490, 14.9635650, 17.3238240, 31.8967960, 122.1771800, 678.9252300, 4109.8078000", \
+					  "14.5790160, 14.9648100, 17.3250570, 31.9031410, 122.2094100, 679.3019900, 4111.2635000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2817280, 20.4727280, 21.6335310, 28.8208050, 72.8394980, 344.0877700, 2020.2824000", \
+					  "20.4634020, 20.6552940, 21.8152310, 29.0025320, 73.0203880, 344.4533500, 2019.6183000", \
+					  "20.6603960, 20.8476220, 22.0106050, 29.1829210, 73.1308210, 344.5765100, 2021.3818000", \
+					  "20.8480810, 21.0356550, 22.2000520, 29.3744660, 73.3209400, 344.7515000, 2019.4464000", \
+					  "21.0137610, 21.2066900, 22.3533350, 29.5099270, 73.6344180, 344.9906700, 2019.9343000", \
+					  "21.1870470, 21.3749050, 22.5376930, 29.7063690, 73.7289620, 345.0834600, 2020.1333000", \
+					  "21.3483130, 21.5383780, 22.6979840, 29.8813210, 73.8371280, 345.1634400, 2019.8336000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5270560, 13.9096080, 16.2089680, 30.4057470, 118.1391800, 660.6910000, 4008.9967000", \
+					  "13.5387030, 13.8938960, 16.2067800, 30.4025850, 118.1396600, 660.3016800, 4008.8312000", \
+					  "13.5323950, 13.9093600, 16.2058030, 30.4191870, 118.4270100, 660.4360600, 4007.3628000", \
+					  "13.5311920, 13.9095040, 16.2037810, 30.3811800, 118.4247600, 660.4246900, 4006.7416000", \
+					  "13.5409280, 13.8937300, 16.1895140, 30.4002480, 118.5716700, 660.3829100, 4006.6252000", \
+					  "13.5299590, 13.9103510, 16.2085720, 30.4173040, 118.5091000, 660.6073800, 4008.4433000", \
+					  "13.5254580, 13.9056420, 16.1958290, 30.3839550, 118.2321200, 660.4045100, 4007.8121000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3994000, 10.4524560, 10.7634680, 12.6622750, 24.2764900, 95.8089000, 416.4217800", \
+					  "10.5466120, 10.5893940, 10.9051890, 12.8056040, 24.4222490, 95.9436760, 416.5311200", \
+					  "10.6508970, 10.7083670, 11.0187670, 12.9158510, 24.5469320, 96.0484600, 416.6703000", \
+					  "10.7487980, 10.7976130, 11.1098050, 13.0022540, 24.6238830, 96.1531580, 416.7405300", \
+					  "10.8183630, 10.8723890, 11.1803620, 13.0786310, 24.7010000, 96.2256480, 416.8943800", \
+					  "10.8971510, 10.9420390, 11.2613200, 13.1587980, 24.7753800, 96.3046180, 416.9795000", \
+					  "10.9665440, 11.0165130, 11.3224380, 13.2243500, 24.8384020, 96.3872040, 416.9726500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0350817, 3.1143912, 3.5950607, 6.6020451, 25.0178690, 138.9207700, 350.4676400", \
+					  "3.0339702, 3.1129728, 3.5954271, 6.6035875, 25.0200480, 138.8930300, 350.6753500", \
+					  "3.0348331, 3.1123009, 3.5961203, 6.6035510, 25.0181870, 138.8881400, 350.6931700", \
+					  "3.0352463, 3.1123155, 3.5954462, 6.6033219, 25.0140120, 138.8432800, 350.7078900", \
+					  "3.0341694, 3.1121936, 3.5956638, 6.6020172, 25.0131470, 138.8802100, 350.4750100", \
+					  "3.0349279, 3.1117142, 3.5966332, 6.6035928, 25.0138030, 138.8769800, 350.7317100", \
+					  "3.0339666, 3.1123497, 3.5951044, 6.6020336, 25.0176240, 138.9065600, 350.5977900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.1706776, 9.1710799, 9.1737240, 9.1737247, 9.1737257, 9.1737266, 9.1737276", \
+					  "9.3265324, 9.3323118, 9.3323122, 9.3323131, 9.3323141, 9.3323150, 9.3363983", \
+					  "9.4286525, 9.4495558, 9.4673860, 9.4673868, 9.4673877, 9.4673887, 9.4673896", \
+					  "9.5015844, 9.5015880, 9.5015884, 9.5015893, 9.5076995, 9.5077005, 9.5560786", \
+					  "9.5959889, 9.6397059, 9.6397062, 9.6397071, 9.6397081, 9.6397090, 9.6538306", \
+					  "9.6994732, 9.6994739, 9.6994749, 9.6994758, 9.6994768, 9.6994777, 9.6994787", \
+					  "9.7420658, 9.7424246, 9.7424691, 9.7424694, 9.7424703, 9.7424713, 9.7659294");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5717070, 10.6227590, 10.9366870, 12.9019660, 19.2503060, 39.3467130, 160.9738400", \
+					  "10.7116350, 10.7628340, 11.0775380, 13.0404500, 19.3940210, 39.4874000, 161.1135600", \
+					  "10.8206170, 10.8718460, 11.1866600, 13.1501940, 19.4979130, 39.5996980, 161.2217500", \
+					  "10.9126060, 10.9636500, 11.2778060, 13.2425510, 19.5914320, 39.6859220, 161.3041100", \
+					  "10.9895420, 11.0405620, 11.3547830, 13.3198390, 19.6730170, 39.7669420, 161.3810800", \
+					  "11.0644850, 11.1156920, 11.4303150, 13.3946360, 19.7412810, 39.8368700, 161.4650100", \
+					  "11.1338650, 11.1849520, 11.4985350, 13.4630400, 19.8092390, 39.9034900, 161.5249200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0905518, 3.1744141, 3.7005818, 5.6414984, 8.4199332, 33.4703320, 200.3601200", \
+					  "3.0900811, 3.1742495, 3.7003522, 5.6412546, 8.4192937, 33.4692340, 200.3598600", \
+					  "3.0899703, 3.1742138, 3.7002408, 5.6411129, 8.4183682, 33.4703750, 200.2559000", \
+					  "3.0904983, 3.1742686, 3.7002206, 5.6418958, 8.4138838, 33.4662360, 200.3647900", \
+					  "3.0894891, 3.1743623, 3.7004073, 5.6416161, 8.4115923, 33.4711810, 200.3648700", \
+					  "3.0899783, 3.1742036, 3.7002521, 5.6418030, 8.4193328, 33.4716790, 200.2809100", \
+					  "3.0906684, 3.1743774, 3.7002392, 5.6405538, 8.4181124, 33.4646300, 200.3641100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3430100, 13.3943620, 13.7098280, 15.5415790, 20.5202730, 39.7652860, 82.7232400", \
+					  "13.5260150, 13.5770950, 13.8887010, 15.7224120, 20.6999430, 39.9437210, 82.8675300", \
+					  "13.7154030, 13.7665030, 14.0822790, 15.9132120, 20.8887200, 40.1266220, 83.0950100", \
+					  "13.9062820, 13.9573900, 14.2688490, 16.1048900, 21.0857130, 40.3261210, 83.2894290", \
+					  "14.0775200, 14.1286640, 14.4402930, 16.2807810, 21.2522600, 40.4987870, 83.4658640", \
+					  "14.2471330, 14.2982190, 14.6097100, 16.4511760, 21.4242170, 40.6542920, 83.6230920", \
+					  "14.4107140, 14.4616200, 14.7733940, 16.6106060, 21.5838030, 40.8286520, 83.7672470");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9764897, 3.0537348, 3.4969467, 4.9724012, 6.9018347, 29.0070510, 48.4102710", \
+					  "2.9787872, 3.0534055, 3.4958889, 4.9708722, 6.9017183, 29.0084880, 48.3743920", \
+					  "2.9790075, 3.0541882, 3.4968184, 4.9705213, 6.8866517, 29.0101880, 48.4177280", \
+					  "2.9791600, 3.0542364, 3.4965739, 4.9711071, 6.9043966, 29.0090560, 48.3971510", \
+					  "2.9785174, 3.0540837, 3.4952947, 4.9730380, 6.8920101, 29.0081520, 48.4698820", \
+					  "2.9790412, 3.0542064, 3.4964853, 4.9726632, 6.8878458, 29.0020520, 48.3808620", \
+					  "2.9797254, 3.0543783, 3.4955856, 4.9672186, 6.8941719, 29.0079760, 48.2852120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.2285743, 9.2285753, 9.2366568, 9.2366577, 9.2366586, 9.2366596, 9.2930090", \
+					  "9.3539230, 9.3706021, 9.3727159, 9.3727165, 9.3993160, 9.3993164, 9.4387991", \
+					  "9.4948964, 9.4948965, 9.4948974, 9.5125925, 9.5125928, 9.5125938, 9.5125947", \
+					  "9.6028501, 9.6028505, 9.6028514, 9.6028524, 9.6028533, 9.6028543, 9.6596073", \
+					  "9.6737249, 9.6737257, 9.6737266, 9.6737276, 9.6737285, 9.6737295, 9.7344888", \
+					  "9.7434718, 9.7434726, 9.7434736, 9.7434745, 9.7493009, 9.7493015, 9.7657935", \
+					  "9.8425632, 9.8426023, 9.8426033, 9.8426042, 9.8426052, 9.8426061, 9.8788489");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0610567, 8.0726590, 8.1508889, 8.1508890, 8.1508899, 8.1508909, 8.1508918", \
+					  "8.2570839, 8.2985077, 8.2989180, 8.2989183, 8.2989193, 8.2989202, 8.3184437", \
+					  "8.4075680, 8.4143075, 8.4143081, 8.4143091, 8.4143100, 8.4143110, 8.4409750", \
+					  "8.5057632, 8.5072589, 8.5072599, 8.5072608, 8.5072618, 8.5072628, 8.5127398", \
+					  "8.5758742, 8.5758911, 8.5908960, 8.5908962, 8.5908971, 8.5908981, 8.5908990", \
+					  "8.5971674, 8.6608853, 8.6608854, 8.6608863, 8.6608873, 8.6608882, 8.6976524", \
+					  "8.7297876, 8.7299883, 8.7299886, 8.7299896, 8.7299905, 8.7299915, 8.7651237");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6162818, 7.6162823, 7.6162828, 7.6162832, 7.6162837, 7.6162842, 7.6162847", \
+					  "7.7477909, 7.7546245, 7.7546247, 7.7546251, 7.7546256, 7.7546261, 7.7546266", \
+					  "7.8611908, 7.8611911, 7.8611916, 7.8611920, 7.8611925, 7.8611930, 7.8611935", \
+					  "7.9527842, 7.9534299, 7.9534300, 7.9534305, 7.9534309, 7.9534314, 7.9534319", \
+					  "8.0325497, 8.0325504, 8.0325513, 8.0325523, 8.0325532, 8.0325542, 8.0325552", \
+					  "8.1067455, 8.1067462, 8.1067472, 8.1067482, 8.1067491, 8.1067501, 8.1067510", \
+					  "8.1732496, 8.1732498, 8.1732507, 8.1732517, 8.1732526, 8.1732536, 8.1732545");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9400520, 10.9906100, 11.3017310, 13.2403060, 19.7013690, 39.7910010, 161.4111200", \
+					  "11.1218960, 11.1729950, 11.4854270, 13.4247260, 19.8840110, 39.9736140, 161.5852300", \
+					  "11.3109370, 11.3637590, 11.6757220, 13.6151290, 20.0718060, 40.1632190, 161.7856200", \
+					  "11.5017880, 11.5524040, 11.8625540, 13.8064060, 20.2660270, 40.3515550, 161.9721100", \
+					  "11.6748700, 11.7250720, 12.0360320, 13.9722900, 20.4379320, 40.5291910, 162.1403100", \
+					  "11.8366120, 11.8940050, 12.2013890, 14.1411200, 20.6026060, 40.6981610, 162.3070000", \
+					  "11.9965830, 12.0456210, 12.3595170, 14.3049650, 20.7570600, 40.8496740, 162.4621700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866294, 3.1721887, 3.6959569, 5.7388174, 8.5390344, 33.4677640, 200.1974600", \
+					  "3.0869909, 3.1720815, 3.6959618, 5.7385581, 8.5397325, 33.4724780, 200.3644500", \
+					  "3.0871463, 3.1721850, 3.6959631, 5.7395098, 8.5345029, 33.4612480, 200.3558600", \
+					  "3.0866081, 3.1721936, 3.6959635, 5.7397260, 8.5381959, 33.4677670, 200.3415900", \
+					  "3.0869902, 3.1709624, 3.6959669, 5.7385567, 8.5395806, 33.4677420, 200.3646000", \
+					  "3.0867109, 3.1721834, 3.6958783, 5.7385585, 8.5373433, 33.4774660, 200.3608700", \
+					  "3.0870964, 3.1720286, 3.6959638, 5.7389991, 8.5395753, 33.4672380, 200.3645100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6276970, 21.8125070, 22.9758010, 30.1222800, 74.1162940, 345.7091100, 2021.4877000", \
+					  "21.8106870, 21.9991830, 23.1586980, 30.3026300, 74.3629020, 345.9092600, 2021.0598000", \
+					  "22.0003700, 22.1868090, 23.3486990, 30.4888170, 74.4877230, 346.0391700, 2020.3343000", \
+					  "22.1854040, 22.3762000, 23.5425300, 30.6791850, 74.7960480, 346.3116200, 2022.2035000", \
+					  "22.3641890, 22.5463750, 23.6981690, 30.8559900, 74.9741820, 346.4256200, 2022.2376000", \
+					  "22.5310650, 22.7184000, 23.8791530, 31.0234720, 75.0748800, 346.5998500, 2020.8133000", \
+					  "22.6816150, 22.8746040, 24.0295820, 31.1780080, 75.2960570, 346.7671700, 2020.9643000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5221110, 13.8822370, 16.1851400, 30.4195990, 118.1519300, 660.7911000, 4003.4311000", \
+					  "13.5191510, 13.8976570, 16.1808060, 30.3600420, 118.1450400, 660.7300500, 4006.8312000", \
+					  "13.5219020, 13.9000720, 16.1857510, 30.3798620, 118.1537600, 661.1900300, 4007.0167000", \
+					  "13.5333180, 13.8994930, 16.2118750, 30.3792010, 118.5083200, 660.7287400, 4006.1855000", \
+					  "13.5188700, 13.8829750, 16.1963990, 30.4120360, 118.4643700, 661.0084100, 4006.3937000", \
+					  "13.5213800, 13.9004190, 16.1866730, 30.4187820, 118.1466000, 660.6483700, 4006.4247000", \
+					  "13.5332450, 13.8831300, 16.1872120, 30.4172580, 118.4660100, 660.7762700, 4004.0582000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7497093, 7.7666984, 7.7893256, 7.7893260, 7.7893265, 7.7893270, 7.7893274", \
+					  "7.9324352, 7.9324353, 7.9324358, 7.9324363, 7.9324368, 7.9324372, 7.9324377", \
+					  "8.0478157, 8.0478158, 8.0478168, 8.0478178, 8.0478187, 8.0478197, 8.0478206", \
+					  "8.1420009, 8.1427212, 8.1427217, 8.1427227, 8.1427236, 8.1427246, 8.1427255", \
+					  "8.2220284, 8.2246565, 8.2246566, 8.2246576, 8.2246585, 8.2246595, 8.2246604", \
+					  "8.2992857, 8.2992864, 8.2992874, 8.2992883, 8.2992893, 8.2992902, 8.2992912", \
+					  "8.3650971, 8.3650976, 8.3650985, 8.3650995, 8.3651004, 8.3651014, 8.3651023");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943670, 8.0943676, 8.0943685, 8.0943695, 8.0943704, 8.0943714, 8.0943723", \
+					  "8.2202165, 8.2202173, 8.2566913, 8.2566915, 8.2566925, 8.2566934, 8.2566944", \
+					  "8.3672296, 8.3672300, 8.3672309, 8.3811269, 8.3811279, 8.3811288, 8.4046068", \
+					  "8.4614573, 8.4614578, 8.4614587, 8.4614597, 8.4614606, 8.4614616, 8.4835898", \
+					  "8.5226839, 8.5226846, 8.5409209, 8.5607255, 8.5607257, 8.5607267, 8.6138936", \
+					  "8.6143643, 8.6143652, 8.6143661, 8.6143671, 8.6143680, 8.6143690, 8.6366692", \
+					  "8.6600682, 8.6600690, 8.6600700, 8.6600709, 8.6600719, 8.6600729, 8.7563095");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4934190, 14.5468340, 14.8652760, 16.7552820, 28.1429530, 94.8143040, 186.4180600", \
+					  "14.6756100, 14.7288840, 15.0522150, 16.9371680, 28.3272230, 95.0006150, 186.6052100", \
+					  "14.8683970, 14.9203160, 15.2377200, 17.1251830, 28.4917030, 95.1891010, 186.7849700", \
+					  "15.0571340, 15.1091180, 15.4261650, 17.3161200, 28.7048640, 95.3792070, 186.9675000", \
+					  "15.2325100, 15.2829890, 15.6027420, 17.4922650, 28.8744810, 95.5532540, 187.1656800", \
+					  "15.3982110, 15.4486610, 15.7701000, 17.6524270, 29.0225530, 95.7182210, 187.3302200", \
+					  "15.5520560, 15.6042060, 15.9217420, 17.8163670, 29.2100300, 95.8700000, 187.4989100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0563714, 3.1230392, 3.5683581, 6.3923418, 24.3046220, 97.2252890, 63.6743560", \
+					  "3.0561818, 3.1230871, 3.5683345, 6.3924515, 24.3041570, 97.3004360, 63.6266860", \
+					  "3.0582601, 3.1257226, 3.5681924, 6.3936274, 24.2865620, 97.2390670, 63.6678550", \
+					  "3.0564105, 3.1234002, 3.5679866, 6.3937815, 24.3047960, 97.1964340, 63.7853650", \
+					  "3.0563878, 3.1261287, 3.5679624, 6.3923311, 24.3055740, 97.2217080, 63.6733830", \
+					  "3.0528022, 3.1294234, 3.5683425, 6.3954451, 24.2827340, 97.2218640, 63.7259770", \
+					  "3.0561011, 3.1261440, 3.5683418, 6.3930017, 24.3057020, 97.1826850, 63.6976130");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1196569, 8.1212752, 8.1427745, 8.1427751, 8.1427761, 8.1427770, 8.1427780", \
+					  "8.2680858, 8.2681249, 8.2681251, 8.2681261, 8.2681270, 8.2681280, 8.2861904", \
+					  "8.3832212, 8.3848057, 8.3848062, 8.3848072, 8.3848081, 8.3848091, 8.3992715", \
+					  "8.4781204, 8.4781213, 8.4808933, 8.4811074, 8.4811082, 8.4811092, 8.4875245", \
+					  "8.5965288, 8.5965295, 8.5965305, 8.5965314, 8.5965324, 8.5965333, 8.6163148", \
+					  "8.6321608, 8.6321617, 8.6321626, 8.6321636, 8.6321645, 8.6321655, 8.6441707", \
+					  "8.6989234, 8.6989989, 8.6989990, 8.6989999, 8.6990009, 8.6990018, 8.7128331");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0931297, 8.1239859, 8.1239868, 8.1239877, 8.1239887, 8.1239896, 8.1962668", \
+					  "8.2221847, 8.2255855, 8.2609510, 8.2609516, 8.2609525, 8.2609535, 8.2609544", \
+					  "8.3724361, 8.3779419, 8.3779426, 8.3848803, 8.3848806, 8.3848815, 8.4092733", \
+					  "8.4656042, 8.4744016, 8.4744020, 8.4744030, 8.4744039, 8.4744049, 8.4850180", \
+					  "8.5253955, 8.5253959, 8.5450766, 8.5665457, 8.5665460, 8.5665470, 8.6289518", \
+					  "8.6177874, 8.6217780, 8.6217781, 8.6217790, 8.6217800, 8.6217809, 8.6398586", \
+					  "8.6549541, 8.6629157, 8.6629158, 8.6629167, 8.6629177, 8.6629186, 8.7421546");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7637345, 7.7980244, 7.7980245, 7.7980249, 7.7980254, 7.7980259, 7.7980264", \
+					  "7.9470892, 7.9470895, 7.9470900, 7.9470904, 7.9470909, 7.9470914, 7.9470919", \
+					  "8.0635836, 8.0635839, 8.0635849, 8.0635858, 8.0635868, 8.0635877, 8.0635887", \
+					  "8.1565315, 8.1575582, 8.1575590, 8.1575599, 8.1575609, 8.1575618, 8.1575628", \
+					  "8.2367011, 8.2374934, 8.2374940, 8.2374950, 8.2374959, 8.2374969, 8.2374979", \
+					  "8.3136378, 8.3136383, 8.3136392, 8.3136402, 8.3136411, 8.3136421, 8.3136430", \
+					  "8.3798106, 8.3798109, 8.3798118, 8.3798128, 8.3798137, 8.3798147, 8.3798156");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6115549, 7.6167508, 7.6213693, 7.6213697, 7.6213701, 7.6213706, 7.6213711", \
+					  "7.7485911, 7.7593132, 7.7593134, 7.7593139, 7.7593143, 7.7593148, 7.7593153", \
+					  "7.8617894, 7.8629396, 7.8664044, 7.8664048, 7.8664053, 7.8664058, 7.8664063", \
+					  "7.9535374, 7.9561477, 7.9589215, 7.9589217, 7.9589222, 7.9589227, 7.9589232", \
+					  "8.0332221, 8.0389926, 8.0389934, 8.0389944, 8.0389953, 8.0389963, 8.0389972", \
+					  "8.1049878, 8.1102112, 8.1102119, 8.1102129, 8.1102138, 8.1102148, 8.1102157", \
+					  "8.1741929, 8.1775484, 8.1775489, 8.1775499, 8.1775508, 8.1775518, 8.1775528");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4026580, 10.4511630, 10.7644420, 12.7047740, 19.1656860, 39.2502420, 160.8687200", \
+					  "10.5405090, 10.5903710, 10.9029990, 12.8418420, 19.3047620, 39.3925250, 160.9979000", \
+					  "10.6516750, 10.7041250, 11.0125330, 12.9520310, 19.4153830, 39.5044600, 161.1211400", \
+					  "10.7454260, 10.7920970, 11.1049050, 13.0469440, 19.5040040, 39.5938250, 161.2160800", \
+					  "10.8198150, 10.8715210, 11.1854850, 13.1220890, 19.5834920, 39.6696680, 161.2870600", \
+					  "10.8933570, 10.9441090, 11.2543260, 13.1956130, 19.6522730, 39.7459170, 161.3594800", \
+					  "10.9615210, 11.0114530, 11.3214040, 13.2632650, 19.7235740, 39.8129960, 161.4335500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0868088, 3.1718670, 3.6958787, 5.7392211, 8.5382110, 33.4764110, 200.2080100", \
+					  "3.0869824, 3.1709835, 3.6958736, 5.7389018, 8.5403142, 33.4686630, 200.3670800", \
+					  "3.0867762, 3.1719636, 3.6958805, 5.7390133, 8.5403213, 33.4719990, 200.3610400", \
+					  "3.0869007, 3.1710901, 3.6958798, 5.7388121, 8.5416749, 33.4598200, 200.3663900", \
+					  "3.0868073, 3.1718372, 3.6960732, 5.7395551, 8.5403498, 33.4705030, 200.2438000", \
+					  "3.0866809, 3.1720764, 3.6959056, 5.7398157, 8.5378140, 33.4693130, 200.3613000", \
+					  "3.0867024, 3.1721055, 3.6959451, 5.7387943, 8.5402982, 33.4604630, 200.3665400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5121930, 10.5476120, 10.5476127, 10.5476137, 10.5476146, 10.5476156, 10.5539540", \
+					  "10.6677630, 10.6677633, 10.6677642, 10.6677652, 10.6677661, 10.6677671, 10.7004390", \
+					  "10.7852370, 10.7852378, 10.7852388, 10.7852397, 10.7852407, 10.7852417, 10.8160990", \
+					  "10.8758530, 10.8758630, 10.8758636, 10.8758646, 10.8758655, 10.8758665, 10.9118800", \
+					  "10.9565140, 10.9565149, 10.9565158, 10.9565168, 10.9565177, 10.9565187, 10.9809010", \
+					  "11.0280140, 11.0291630, 11.0291639, 11.0299460, 11.0299469, 11.0299478, 11.0580710", \
+					  "11.0983640, 11.0995860, 11.0995861, 11.0995870, 11.0995880, 11.0995889, 11.1217060");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9391210, 10.9923010, 11.3016980, 13.2412820, 19.7003050, 39.7950790, 161.4114500", \
+					  "11.1212460, 11.1723420, 11.4842260, 13.4253960, 19.8804420, 39.9796890, 161.5924400", \
+					  "11.3129840, 11.3641570, 11.6748820, 13.6154260, 20.0751630, 40.1620000, 161.7750600", \
+					  "11.5042700, 11.5528950, 11.8624350, 13.8050730, 20.2624190, 40.3553740, 161.9692800", \
+					  "11.6791230, 11.7296440, 12.0381780, 13.9746560, 20.4356410, 40.5290170, 162.1348400", \
+					  "11.8405310, 11.8892640, 12.2028530, 14.1424040, 20.5979570, 40.7043430, 162.3107800", \
+					  "11.9961430, 12.0459030, 12.3585660, 14.2975400, 20.7579110, 40.8491520, 162.4671900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0871059, 3.1712413, 3.6961838, 5.7389333, 8.5405878, 33.4750290, 200.3624600", \
+					  "3.0871388, 3.1712282, 3.6962238, 5.7398672, 8.5377332, 33.4605090, 200.3618400", \
+					  "3.0871423, 3.1724576, 3.6960468, 5.7390057, 8.5405887, 33.4603070, 200.2490600", \
+					  "3.0871439, 3.1712442, 3.6962147, 5.7389324, 8.5405745, 33.4807520, 200.3614400", \
+					  "3.0871123, 3.1710672, 3.6961669, 5.7398521, 8.5405727, 33.4676070, 200.2552700", \
+					  "3.0871423, 3.1711638, 3.6960595, 5.7389329, 8.5405203, 33.4721480, 200.2507200", \
+					  "3.0871405, 3.1712299, 3.6962231, 5.7389311, 8.5405780, 33.4606870, 200.3617000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5555540, 14.6056850, 14.9158390, 16.7392930, 21.8591760, 41.0925730, 84.1162380", \
+					  "14.7425010, 14.7874460, 15.1017210, 16.9339900, 22.0461690, 41.2995540, 84.2430620", \
+					  "14.9322780, 14.9833110, 15.2927680, 17.1151950, 22.2319920, 41.4804580, 84.4337930", \
+					  "15.1252040, 15.1718460, 15.4755800, 17.3052270, 22.4180800, 41.6706350, 84.6793570", \
+					  "15.2914340, 15.3428910, 15.6504040, 17.4799460, 22.5979610, 41.8242760, 84.8412540", \
+					  "15.4624940, 15.5113660, 15.8239750, 17.6449240, 22.7590660, 41.9975450, 84.9822190", \
+					  "15.6132960, 15.6633750, 15.9706080, 17.8007560, 22.9232770, 42.1667180, 85.1671730");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0245531, 3.0991796, 3.5347092, 5.1070357, 6.9833139, 29.0487860, 48.3949630", \
+					  "3.0257670, 3.0984515, 3.5328078, 5.1051821, 6.9836257, 29.0287830, 48.3475800", \
+					  "3.0270038, 3.0964800, 3.5327361, 5.1070397, 6.9830093, 29.0347440, 48.3298340", \
+					  "3.0270035, 3.0965071, 3.5362526, 5.1067559, 6.9846511, 29.0347120, 48.3973890", \
+					  "3.0268701, 3.0986069, 3.5298271, 5.1080082, 6.9958288, 29.0396830, 48.2975620", \
+					  "3.0269416, 3.0963136, 3.5326777, 5.1078439, 6.9827220, 29.0287120, 48.4312000", \
+					  "3.0270497, 3.0991778, 3.5363883, 5.1077857, 6.9956418, 29.0342540, 48.3809470");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9447920, 10.9931510, 11.3007590, 13.1983030, 24.8146500, 96.3420700, 416.9865200", \
+					  "11.1269260, 11.1767450, 11.4825390, 13.3819200, 24.9966070, 96.5228820, 417.1386100", \
+					  "11.3189840, 11.3691860, 11.6740610, 13.5732810, 25.1925720, 96.7171370, 417.4043800", \
+					  "11.5059550, 11.5580640, 11.8619890, 13.7626950, 25.3749160, 96.9019070, 417.5709000", \
+					  "11.6801450, 11.7230000, 12.0446590, 13.9357320, 25.5483440, 97.0808780, 417.7050500", \
+					  "11.8495360, 11.8942110, 12.2014350, 14.0990450, 25.7187320, 97.2510820, 417.8938900", \
+					  "11.9952900, 12.0533140, 12.3571130, 14.2590330, 25.8758690, 97.3992510, 418.0496400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0354939, 3.1125207, 3.5952930, 6.6021451, 25.0096920, 138.9284000, 350.5505200", \
+					  "3.0354519, 3.1125058, 3.5952956, 6.6023391, 25.0156070, 138.6897600, 350.7287500", \
+					  "3.0356249, 3.1119938, 3.5958962, 6.6023329, 25.0176380, 138.9275300, 350.6285700", \
+					  "3.0342238, 3.1125222, 3.5958736, 6.6021415, 25.0136130, 138.9048900, 350.6136700", \
+					  "3.0354936, 3.1125176, 3.5963310, 6.6022192, 25.0147830, 138.9284800, 350.4924300", \
+					  "3.0354614, 3.1125684, 3.5960561, 6.6021473, 25.0170850, 138.8260800, 350.6442000", \
+					  "3.0353358, 3.1125746, 3.5958909, 6.6021459, 25.0210800, 138.9293900, 350.6186500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5693570, 14.6271550, 14.9364360, 16.8188450, 28.2054400, 98.0702240, 525.1722500", \
+					  "14.7546680, 14.8088390, 15.1252630, 17.0100840, 28.3797950, 98.2470000, 525.3704700", \
+					  "14.9462910, 14.9993550, 15.3167910, 17.2008420, 28.5706610, 98.4429530, 525.5610600", \
+					  "15.1295850, 15.1825720, 15.4957290, 17.3842590, 28.7725740, 98.6373930, 525.7180100", \
+					  "15.3039020, 15.3529860, 15.6788360, 17.5612590, 28.9300650, 98.8083850, 525.9211700", \
+					  "15.4766480, 15.5305100, 15.8445080, 17.7343530, 29.1002480, 99.0373440, 526.1009600", \
+					  "15.6240760, 15.6788110, 15.9988540, 17.8815970, 29.2540020, 99.1343680, 526.2301700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0565137, 3.1299818, 3.5780330, 6.3920740, 24.3069260, 135.3929100, 504.1801500", \
+					  "3.0530989, 3.1299803, 3.5777652, 6.3795964, 24.3035260, 135.3893300, 504.2467100", \
+					  "3.0569052, 3.1299809, 3.5777692, 6.3795764, 24.3035250, 135.3930200, 504.2476800", \
+					  "3.0565797, 3.1298988, 3.5657852, 6.3826895, 24.2997710, 135.4024700, 504.1836200", \
+					  "3.0567098, 3.1222678, 3.5771006, 6.3884560, 24.2947170, 135.3984700, 504.2468800", \
+					  "3.0569836, 3.1299794, 3.5777650, 6.3780714, 24.3032630, 135.4421600, 504.1916300", \
+					  "3.0502258, 3.1298997, 3.5777015, 6.3814771, 24.3035970, 135.4024900, 504.1931700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1402565, 8.1448963, 8.1448970, 8.1448980, 8.1448989, 8.1448999, 8.1591551", \
+					  "8.2921652, 8.2921653, 8.2921663, 8.2921672, 8.2921682, 8.2921691, 8.3095024", \
+					  "8.3935321, 8.3935323, 8.3935333, 8.3935342, 8.3935352, 8.3935361, 8.3935371", \
+					  "8.4859852, 8.4862677, 8.4862686, 8.4862695, 8.4862705, 8.4862714, 8.4862724", \
+					  "8.5658103, 8.5658107, 8.5658117, 8.5658126, 8.5658136, 8.5658145, 8.5658155", \
+					  "8.6337142, 8.6337152, 8.6337162, 8.6337171, 8.6337181, 8.6337190, 8.6672847", \
+					  "8.7022398, 8.7023109, 8.7023111, 8.7023120, 8.7023130, 8.7023139, 8.7373939");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1039655, 8.1039663, 8.1039672, 8.1118827, 8.1118837, 8.1118846, 8.1670049", \
+					  "8.2428535, 8.2428537, 8.2428546, 8.2428556, 8.2428566, 8.2428575, 8.3639744", \
+					  "8.3486746, 8.3497618, 8.3497625, 8.3497634, 8.3497644, 8.3497654, 8.4155403", \
+					  "8.4416332, 8.4416338, 8.4416347, 8.4416357, 8.4416366, 8.4416376, 8.4959035", \
+					  "8.5256993, 8.5257001, 8.5257011, 8.5257020, 8.5257030, 8.5257040, 8.5606233", \
+					  "8.5960945, 8.6001650, 8.6001659, 8.6001668, 8.6001678, 8.6001688, 8.6421315", \
+					  "8.6436369, 8.6655216, 8.6655221, 8.6658716, 8.6658721, 8.6658731, 8.7181258");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1636221, 7.1642319, 7.1642321, 7.1739117, 7.1739119, 7.1739123, 7.1739128", \
+					  "7.3434552, 7.3449340, 7.3449343, 7.3449348, 7.3449352, 7.3449357, 7.3449362", \
+					  "7.5462010, 7.5462015, 7.5462020, 7.5462025, 7.5462029, 7.5462034, 7.6321918", \
+					  "7.7119671, 7.7469426, 7.7476603, 7.7676088, 7.7676089, 7.7676094, 7.7676099", \
+					  "7.8849043, 7.9044788, 7.9044793, 7.9063840, 7.9063843, 7.9063848, 7.9382838", \
+					  "8.0905087, 8.0905090, 8.0905099, 8.0905109, 8.0905118, 8.0905128, 8.1493940", \
+					  "8.2508373, 8.2548182, 8.2552933, 8.2552934, 8.2552944, 8.2552953, 8.2921430");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4587000, 14.5099630, 14.8172200, 16.6435590, 21.8172130, 41.1738380, 143.1023300", \
+					  "14.6468720, 14.6938950, 14.9978680, 16.8238900, 21.9983460, 41.3474410, 143.2775000", \
+					  "14.8314510, 14.8856260, 15.1893250, 17.0140220, 22.1900150, 41.5334180, 143.4799700", \
+					  "15.0221760, 15.0712460, 15.3787630, 17.2061800, 22.3828940, 41.7366480, 143.6685900", \
+					  "15.1948550, 15.2462260, 15.5495970, 17.3846360, 22.5578950, 41.9118070, 143.8435500", \
+					  "15.3562780, 15.4124910, 15.7145640, 17.5474870, 22.7202860, 42.0673060, 144.0294100", \
+					  "15.5246290, 15.5662010, 15.8833200, 17.7119780, 22.8816650, 42.2339280, 144.1674200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0314196, 3.0991110, 3.5360792, 5.1164166, 7.0394566, 32.0686590, 98.0524250", \
+					  "3.0314129, 3.1005847, 3.5360770, 5.1161368, 7.0399819, 32.0563630, 98.0647530", \
+					  "3.0286631, 3.1011700, 3.5360779, 5.1166751, 7.0400015, 32.0597520, 98.0670550", \
+					  "3.0314729, 3.1025031, 3.5360788, 5.1164086, 7.0466672, 32.0680830, 98.0768530", \
+					  "3.0313889, 3.1008252, 3.5360648, 5.1160804, 7.0462916, 32.0694690, 98.0592600", \
+					  "3.0314062, 3.1024892, 3.5360810, 5.1127915, 7.0409314, 32.0625550, 98.1085720", \
+					  "3.0308551, 3.1021030, 3.5360668, 5.1099192, 7.0394965, 32.0684420, 98.0587770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1606121, 7.1606124, 7.1834978, 7.1834982, 7.1834987, 7.1834991, 7.1834996", \
+					  "7.3452195, 7.3452795, 7.3452800, 7.3452805, 7.3452809, 7.3452814, 7.3452819", \
+					  "7.5241608, 7.5372695, 7.5372699, 7.5372704, 7.5372708, 7.5372713, 7.6027202", \
+					  "7.7168218, 7.7168220, 7.7168224, 7.7399793, 7.7399796, 7.7399800, 7.7399805", \
+					  "7.8954114, 7.8954118, 7.8954123, 7.8954127, 7.8954132, 7.8954137, 7.9109688", \
+					  "8.0606570, 8.0606571, 8.0606580, 8.0606590, 8.0606599, 8.0606609, 8.1228801", \
+					  "8.2197573, 8.2197576, 8.2197586, 8.2197595, 8.2197605, 8.2197614, 8.2958396");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9765620, 17.1696990, 18.3973230, 25.6931450, 70.9294670, 349.9585300, 2071.8737000", \
+					  "17.1622220, 17.3578480, 18.5506220, 25.8785030, 71.1353390, 350.1410800, 2072.2771000", \
+					  "17.3540670, 17.5454210, 18.7413160, 26.0678550, 71.3521620, 350.3563200, 2072.4372000", \
+					  "17.5446700, 17.7305650, 18.9245950, 26.2576340, 71.5166020, 350.5227300, 2071.7907000", \
+					  "17.7191830, 17.9046640, 19.0982800, 26.4645110, 71.6630240, 350.7195700, 2072.3655000", \
+					  "17.8770860, 18.0777380, 19.2718610, 26.6278820, 71.8312450, 350.8609700, 2072.5381000", \
+					  "18.0354650, 18.2254990, 19.4454600, 26.7948380, 72.0129520, 351.0190800, 2072.3298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5824030, 14.9651540, 17.3119300, 31.8935880, 122.1295900, 678.8287100, 4111.4836000", \
+					  "14.5790210, 14.9649500, 17.3212820, 31.9009600, 122.0200800, 678.1102700, 4111.5773000", \
+					  "14.5873890, 14.9672700, 17.3216890, 31.8889630, 121.9722200, 679.1221400, 4111.4213000", \
+					  "14.5867990, 14.9559990, 17.3273320, 31.8955950, 122.0444800, 678.6596000, 4110.2330000", \
+					  "14.5790600, 14.9558450, 17.3273000, 31.8966120, 122.1559000, 679.0693900, 4110.7201000", \
+					  "14.5823720, 14.9644810, 17.3215450, 31.8741580, 121.9583800, 678.7818200, 4109.4963000", \
+					  "14.5819370, 14.9630350, 17.3213090, 31.9080620, 122.0464400, 678.2261200, 4110.7983000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6286470, 21.8226680, 22.9832860, 30.1682480, 74.1019970, 345.4591400, 2020.8936000", \
+					  "21.8112320, 22.0040770, 23.1677450, 30.3547870, 74.2824540, 345.6426600, 2020.6994000", \
+					  "22.0024350, 22.1952550, 23.3559380, 30.5464490, 74.4810510, 345.8319200, 2022.3667000", \
+					  "22.1843610, 22.3773460, 23.5395100, 30.7339220, 74.6658200, 346.0247600, 2020.8697000", \
+					  "22.3638190, 22.5409970, 23.7187030, 30.9077990, 74.8555420, 346.1933600, 2022.1437000", \
+					  "22.5279420, 22.7172630, 23.8854620, 31.0735970, 75.0021200, 346.3569600, 2020.8936000", \
+					  "22.6867380, 22.8596950, 24.0416660, 31.2259660, 75.1776530, 346.5339400, 2021.8697000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5301570, 13.9029910, 16.2085170, 30.3983970, 118.4831400, 660.4608400, 4008.2327000", \
+					  "13.5424930, 13.9029660, 16.2058810, 30.4009050, 118.4822400, 660.3754600, 4009.0526000", \
+					  "13.5423430, 13.9003360, 16.2086240, 30.4007340, 118.4486600, 660.4419700, 4008.3783000", \
+					  "13.5444820, 13.9031680, 16.2058530, 30.4005670, 118.4862500, 660.3880800, 4008.3205000", \
+					  "13.5423170, 13.8753100, 16.2078510, 30.4035020, 118.1456500, 660.3989400, 4008.9976000", \
+					  "13.5426110, 13.9126550, 16.2085350, 30.4007950, 118.4773200, 660.3887600, 4008.9553000", \
+					  "13.5412920, 13.8779230, 16.2085760, 30.3945100, 118.1451200, 660.5272300, 4008.8175000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4283780, 10.4758920, 10.7875750, 12.7288530, 19.1779890, 39.2608750, 160.8766700", \
+					  "10.5653130, 10.6152520, 10.9282170, 12.8679080, 19.3146920, 39.4003760, 161.0194300", \
+					  "10.6746630, 10.7245630, 11.0358230, 12.9765270, 19.4226750, 39.5148730, 161.1173800", \
+					  "10.7691940, 10.8197860, 11.1301520, 13.0717720, 19.5185810, 39.6032080, 161.2168600", \
+					  "10.8444660, 10.8944880, 11.2071820, 13.1487870, 19.5955730, 39.6858330, 161.2933600", \
+					  "10.9178840, 10.9675680, 11.2788420, 13.2223240, 19.6637820, 39.7507410, 161.3704200", \
+					  "10.9864540, 11.0378330, 11.3483080, 13.2898340, 19.7364860, 39.8182430, 161.4349700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866760, 3.1713148, 3.6961805, 5.7264860, 8.5275467, 33.4708150, 200.3597900", \
+					  "3.0868272, 3.1715275, 3.6966947, 5.7263776, 8.5278149, 33.4832780, 200.3653900", \
+					  "3.0882490, 3.1712917, 3.6961889, 5.7261542, 8.5278975, 33.4619730, 200.3652100", \
+					  "3.0867062, 3.1717844, 3.6964207, 5.7268932, 8.5228375, 33.4649710, 200.3555600", \
+					  "3.0873615, 3.1714160, 3.6964232, 5.7268927, 8.5227834, 33.4597270, 200.2722100", \
+					  "3.0877227, 3.1713061, 3.6961953, 5.7266001, 8.5206802, 33.4754700, 200.3596900", \
+					  "3.0868865, 3.1719463, 3.6965850, 5.7267231, 8.5225338, 33.4752630, 200.3553400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7723780, 20.9630480, 22.1461620, 29.3856810, 73.4337180, 344.9765100, 2018.2595000", \
+					  "20.9565750, 21.1471730, 22.3263200, 29.5287580, 73.7149790, 345.0805400, 2020.9109000", \
+					  "21.1435420, 21.3364900, 22.5199720, 29.7567200, 73.8379950, 345.4310600, 2020.8849000", \
+					  "21.3358100, 21.5272650, 22.7070580, 29.9515540, 74.1047400, 345.3418500, 2019.2806000", \
+					  "21.5082690, 21.7031480, 22.8802950, 30.1109000, 74.2046780, 345.5038800, 2019.3113000", \
+					  "21.6758980, 21.8678910, 23.0474630, 30.2496020, 74.3769700, 345.9287000, 2020.0675000", \
+					  "21.8390390, 22.0323240, 23.2126960, 30.4103090, 74.5186310, 345.8320100, 2022.1523000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5206610, 13.9043380, 16.1878070, 30.4247510, 118.4373800, 660.7176600, 4006.0113000", \
+					  "13.5200010, 13.9003550, 16.1963230, 30.4086040, 118.4421300, 660.4419100, 4002.3592000", \
+					  "13.5197380, 13.9023730, 16.2004530, 30.4285170, 118.4867500, 660.5880600, 4005.9035000", \
+					  "13.5192740, 13.9022130, 16.1939320, 30.4240610, 118.5180200, 660.6696300, 4004.6239000", \
+					  "13.5144280, 13.8916690, 16.2037990, 30.4237740, 118.5000600, 660.3701800, 4006.7221000", \
+					  "13.5222800, 13.9023390, 16.1940490, 30.4234360, 118.5219200, 660.7999100, 4006.8267000", \
+					  "13.5134520, 13.9045820, 16.1871000, 30.4285910, 118.4789200, 660.3743300, 4002.3606000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9360500, 10.9945460, 11.3004020, 13.1978710, 24.8115450, 96.3377000, 416.9481800", \
+					  "11.1244760, 11.1766780, 11.4838740, 13.3902260, 24.9917330, 96.5186260, 417.1805600", \
+					  "11.3115060, 11.3689690, 11.6782000, 13.5713050, 25.1880920, 96.7116950, 417.3455200", \
+					  "11.5065300, 11.5568790, 11.8617940, 13.7624990, 25.3753270, 96.9053320, 417.5367100", \
+					  "11.6788930, 11.7275080, 12.0430020, 13.9335090, 25.5463740, 97.0747540, 417.7188700", \
+					  "11.8479830, 11.8971150, 12.2103970, 14.1047230, 25.7129140, 97.2447150, 417.8428700", \
+					  "12.0117840, 12.0504750, 12.3586100, 14.2557600, 25.8676080, 97.3931260, 418.0203600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0346747, 3.1121958, 3.5950591, 6.6017014, 25.0102340, 138.9088000, 350.4544800", \
+					  "3.0344778, 3.1121872, 3.5949013, 6.6040156, 25.0111360, 138.9361700, 350.5910200", \
+					  "3.0342429, 3.1127310, 3.5955212, 6.6021135, 24.9976800, 138.9368300, 350.5694200", \
+					  "3.0348257, 3.1122056, 3.5957652, 6.6034023, 25.0182260, 138.9254600, 350.6227400", \
+					  "3.0348426, 3.1117096, 3.5955057, 6.6021477, 25.0163180, 138.9369400, 350.4819700", \
+					  "3.0348191, 3.1123368, 3.5961107, 6.6032846, 25.0200910, 138.9370800, 350.6883100", \
+					  "3.0348466, 3.1122651, 3.5950602, 6.6022050, 25.0100440, 138.9102900, 350.6065100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6249120, 21.8092140, 22.9755240, 30.1613630, 74.2314940, 345.6964900, 2021.3854000", \
+					  "21.8014280, 21.9912550, 23.1571780, 30.3415750, 74.3650260, 345.9250000, 2020.9382000", \
+					  "21.9944680, 22.1821190, 23.3484250, 30.5329560, 74.4903300, 346.1187800, 2020.9263000", \
+					  "22.1828400, 22.3728130, 23.5370160, 30.7225000, 74.6737710, 346.2557700, 2021.4834000", \
+					  "22.3654520, 22.5565860, 23.7183360, 30.8547920, 74.9707280, 346.4981500, 2020.6185000", \
+					  "22.5319830, 22.7094130, 23.8770910, 31.0610560, 75.0110090, 346.6326500, 2021.4729000", \
+					  "22.6858990, 22.8651300, 24.0332980, 31.1829960, 75.1676690, 346.8206300, 2021.3074000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5449120, 13.8858190, 16.1844760, 30.3978820, 118.5018500, 660.8110500, 4007.7994000", \
+					  "13.5447100, 13.8846660, 16.1847660, 30.4031500, 118.1517500, 660.7179400, 4008.8385000", \
+					  "13.5426210, 13.8848230, 16.1852770, 30.4021410, 118.1481000, 660.7240800, 4007.4979000", \
+					  "13.5445110, 13.8850910, 16.1852420, 30.3962620, 118.4088300, 660.8107100, 4009.1695000", \
+					  "13.5365360, 13.9119860, 16.2119940, 30.4200260, 118.4696900, 660.6841200, 4008.8953000", \
+					  "13.5276560, 13.8837360, 16.2099740, 30.3997500, 118.3718500, 660.9744800, 4007.7725000", \
+					  "13.5300490, 13.8811310, 16.2016710, 30.4201500, 118.3994800, 660.7391500, 4007.2505000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9785960, 17.1713240, 18.3712460, 25.7259970, 70.9919950, 349.9366400, 2071.4322000", \
+					  "17.1625270, 17.3528250, 18.5589680, 25.9076480, 71.1346360, 350.1523600, 2071.4429000", \
+					  "17.3511750, 17.5437070, 18.7423450, 26.0979490, 71.3209470, 350.3382400, 2071.8635000", \
+					  "17.5377420, 17.7331910, 18.9290700, 26.2858610, 71.5147830, 350.5207100, 2072.4383000", \
+					  "17.7144380, 17.9064530, 19.0984540, 26.4584390, 71.6914810, 350.6952200, 2072.6661000", \
+					  "17.8835770, 18.0718850, 19.2718750, 26.5963710, 71.8601500, 350.8956200, 2072.1893000", \
+					  "18.0362160, 18.2251970, 19.4244270, 26.7840220, 72.0100890, 351.0170600, 2072.0831000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5795340, 14.9674640, 17.3311750, 31.9034580, 122.1113200, 678.8894800, 4109.8256000", \
+					  "14.5923970, 14.9662020, 17.3312670, 31.9031810, 122.1688100, 678.7361700, 4109.7337000", \
+					  "14.5803030, 14.9661030, 17.3317730, 31.9044280, 122.1550000, 678.6274300, 4110.6173000", \
+					  "14.5824790, 14.9620620, 17.3321390, 31.9027260, 122.0929800, 678.9793500, 4113.4963000", \
+					  "14.5796090, 14.9660140, 17.3276720, 31.9027970, 122.1075600, 678.5776900, 4111.4599000", \
+					  "14.5795240, 14.9613350, 17.3312670, 31.8639480, 122.1037700, 679.1638600, 4110.9956000", \
+					  "14.5794880, 14.9718740, 17.3319170, 31.9035320, 122.0936200, 678.6299900, 4109.3313000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5750980, 14.6235120, 14.9435400, 16.8291230, 28.2036690, 98.1769690, 525.1977800", \
+					  "14.7572070, 14.8085740, 15.1263340, 17.0163470, 28.3897350, 98.3661150, 525.3787700", \
+					  "14.9487690, 14.9996670, 15.3147240, 17.2070910, 28.5768170, 98.5567330, 525.5703900", \
+					  "15.1361660, 15.1832160, 15.4965480, 17.3973280, 28.7651310, 98.6904280, 525.7588200", \
+					  "15.3115900, 15.3610440, 15.6676540, 17.5700330, 28.9403930, 98.8607520, 525.9299200", \
+					  "15.4795470, 15.5289720, 15.8454390, 17.7350900, 29.1075200, 99.0908260, 526.1005600", \
+					  "15.6309920, 15.6788640, 15.9935580, 17.8850410, 29.2597200, 99.2409210, 526.2539800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0542375, 3.1257619, 3.5719359, 6.3933392, 24.2921330, 135.4170800, 504.3348800", \
+					  "3.0541962, 3.1266865, 3.5714753, 6.3944392, 24.3041430, 135.4225100, 504.3207800", \
+					  "3.0541047, 3.1266927, 3.5718795, 6.3944485, 24.2909660, 135.4206800, 504.3219700", \
+					  "3.0541651, 3.1264533, 3.5694803, 6.3944832, 24.3037790, 135.4223800, 504.3354500", \
+					  "3.0542544, 3.1297476, 3.5693037, 6.3921837, 24.2649720, 135.2395600, 504.2950300", \
+					  "3.0542551, 3.1266774, 3.5717254, 6.3944157, 24.2908860, 135.4219800, 504.3358400", \
+					  "3.0533718, 3.1264531, 3.5695054, 6.3933379, 24.2958150, 135.4200700, 504.2555800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9407150, 10.9891010, 11.3016330, 13.2456270, 19.7026680, 39.7902620, 161.4097200", \
+					  "11.1239470, 11.1735330, 11.4840160, 13.4250580, 19.8835790, 39.9731060, 161.5922700", \
+					  "11.3132940, 11.3640920, 11.6770610, 13.6158080, 20.0758720, 40.1646540, 161.7882600", \
+					  "11.5027150, 11.5559460, 11.8629240, 13.8054520, 20.2634640, 40.3539140, 161.9713900", \
+					  "11.6791110, 11.7294680, 12.0402000, 13.9789470, 20.4339960, 40.5278020, 162.1528600", \
+					  "11.8404490, 11.8900920, 12.2040580, 14.1440420, 20.6075570, 40.6993910, 162.3065100", \
+					  "12.0009050, 12.0484590, 12.3674980, 14.3017040, 20.7605040, 40.8480680, 162.4656200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0867393, 3.1708869, 3.6958618, 5.7388840, 8.5405585, 33.4652950, 200.3491800", \
+					  "3.0867393, 3.1719876, 3.6958623, 5.7389555, 8.5404048, 33.4603100, 200.3630500", \
+					  "3.0867393, 3.1721101, 3.6959371, 5.7392411, 8.5408196, 33.4603880, 200.1981600", \
+					  "3.0868170, 3.1720300, 3.6958623, 5.7388845, 8.5405487, 33.4639550, 200.3630000", \
+					  "3.0867982, 3.1721172, 3.6958785, 5.7392349, 8.5376115, 33.4605450, 200.2651800", \
+					  "3.0867382, 3.1720031, 3.6958054, 5.7390914, 8.5355456, 33.4702510, 200.2029500", \
+					  "3.0867324, 3.1721179, 3.6958101, 5.7388840, 8.5406198, 33.4675400, 200.3631000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9788810, 17.1762370, 18.3706310, 25.7250380, 70.9496750, 349.9598100, 2071.6602000", \
+					  "17.1610530, 17.3543810, 18.5462560, 25.8765060, 71.1376840, 350.1473900, 2071.9401000", \
+					  "17.3569390, 17.5435330, 18.7373690, 26.0989770, 71.3262270, 350.3159300, 2071.8414000", \
+					  "17.5443460, 17.7326940, 18.9647000, 26.2867540, 71.5177680, 350.5213000, 2072.3051000", \
+					  "17.7126220, 17.9094370, 19.0973280, 26.4286690, 71.6862660, 350.7051900, 2072.6873000", \
+					  "17.8790080, 18.0720400, 19.2982410, 26.5874430, 71.8609030, 350.8999400, 2072.6902000", \
+					  "18.0365390, 18.2421100, 19.4263180, 26.7831870, 72.0132580, 350.9993000, 2072.4128000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5796750, 14.9701090, 17.3307130, 31.9033550, 121.9342500, 679.0000400, 4111.1198000", \
+					  "14.5797090, 14.9659720, 17.3245080, 31.8692560, 121.9304700, 678.9227900, 4111.4440000", \
+					  "14.5885800, 14.9629780, 17.3242520, 31.9033580, 121.9307100, 679.0347100, 4110.9688000", \
+					  "14.5884400, 14.9649870, 17.3265900, 31.9032940, 122.0725100, 678.9609900, 4109.1389000", \
+					  "14.5814440, 14.9673570, 17.3243940, 31.8771140, 121.9344500, 678.3275200, 4113.1366000", \
+					  "14.5920210, 14.9629980, 17.3278320, 31.8892430, 122.0780200, 679.0783700, 4111.3972000", \
+					  "14.5884730, 14.9659400, 17.3325690, 31.9034080, 121.9304100, 678.0131800, 4111.0211000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6287660, 21.8183520, 22.9780340, 30.1653140, 74.1192280, 345.6519300, 2020.3022000", \
+					  "21.8110790, 22.0000730, 23.1684790, 30.3543220, 74.3095680, 345.6283400, 2021.1062000", \
+					  "22.0016400, 22.1909830, 23.3532480, 30.5257860, 74.5001570, 346.0188500, 2020.8033000", \
+					  "22.1865990, 22.3772630, 23.5435690, 30.7167940, 74.6801730, 346.0339700, 2020.8965000", \
+					  "22.3500120, 22.5574970, 23.7190910, 30.9026690, 74.8571910, 346.2851100, 2022.0655000", \
+					  "22.5358100, 22.7217520, 23.8811620, 31.0715120, 75.0283750, 346.3540000, 2021.6453000", \
+					  "22.6891840, 22.8745890, 24.0428870, 31.2286050, 75.2325560, 346.5076200, 2021.9434000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5307590, 13.9030960, 16.2081530, 30.3978890, 118.3613700, 660.4642500, 4007.1368000", \
+					  "13.5406270, 13.9127690, 16.2044010, 30.3990890, 118.2449300, 660.3828500, 4008.3446000", \
+					  "13.5308880, 13.9129080, 16.2034470, 30.4212120, 118.2452400, 660.4136000, 4007.7002000", \
+					  "13.5385050, 13.9019910, 16.2030740, 30.4212410, 118.3634000, 660.5229100, 4006.8044000", \
+					  "13.5275450, 13.8976130, 16.2030390, 30.4031000, 118.3374400, 660.4797700, 4008.3319000", \
+					  "13.5405250, 13.8983500, 16.2111230, 30.4023470, 118.2468200, 660.3454500, 4008.1036000", \
+					  "13.5301270, 13.9020240, 16.2098580, 30.3990330, 118.5145800, 660.3180500, 4008.4724000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5713330, 10.6288200, 10.9372850, 12.8542580, 24.5170120, 96.1269320, 416.5605700", \
+					  "10.7124200, 10.7639240, 11.0771450, 12.9941810, 24.6585540, 96.2680390, 416.7018200", \
+					  "10.8242270, 10.8739200, 11.1845430, 13.1089730, 24.7652350, 96.3503270, 416.8136900", \
+					  "10.9126170, 10.9641420, 11.2804250, 13.1951530, 24.8628730, 96.4564040, 416.9162700", \
+					  "10.9895700, 11.0411200, 11.3576050, 13.2746250, 24.9485340, 96.5380170, 417.0373100", \
+					  "11.0645260, 11.1156000, 11.4354460, 13.3450760, 25.0141760, 96.6074440, 417.0531400", \
+					  "11.1376930, 11.1879290, 11.4966220, 13.4105140, 25.0793040, 96.6864700, 417.1508600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0274592, 3.1053149, 3.5896397, 6.6025110, 25.0147870, 138.8336800, 350.3350300", \
+					  "3.0291252, 3.1073026, 3.5900258, 6.6028805, 25.0130730, 138.8391400, 350.3712700", \
+					  "3.0283840, 3.1056460, 3.5895611, 6.6033206, 25.0219100, 138.9011700, 350.3721200", \
+					  "3.0264171, 3.1051481, 3.5901988, 6.6030896, 25.0165470, 138.8955300, 350.4800900", \
+					  "3.0274137, 3.1051959, 3.5902097, 6.6023675, 25.0177980, 138.9096400, 350.3512000", \
+					  "3.0263592, 3.1044234, 3.5911036, 6.6030106, 25.0142500, 138.8965700, 350.3726000", \
+					  "3.0284861, 3.1064984, 3.5896419, 6.6020136, 25.0127510, 138.8324800, 350.3186000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3681590, 13.4144290, 13.7299100, 15.6254880, 27.0226790, 96.9178160, 523.9725700", \
+					  "13.5510790, 13.6035000, 13.9178780, 15.8137380, 27.2078380, 97.0956010, 524.1827800", \
+					  "13.7367660, 13.7894250, 14.1068320, 16.0029110, 27.3838370, 97.3245090, 524.3692300", \
+					  "13.9320260, 13.9844330, 14.2996650, 16.1942090, 27.5915820, 97.4623970, 524.5636900", \
+					  "14.0986750, 14.1494590, 14.4668160, 16.3621810, 27.7507100, 97.6657550, 524.7151200", \
+					  "14.2723150, 14.3247190, 14.6391690, 16.5345920, 27.9308720, 97.8038130, 524.9019100", \
+					  "14.4351540, 14.4875520, 14.8028060, 16.6972750, 28.0944280, 97.9644740, 525.0575400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0086824, 3.0837704, 3.5332786, 6.3783410, 24.3045900, 135.4116300, 503.9870500", \
+					  "3.0090983, 3.0839125, 3.5330678, 6.3787646, 24.3047700, 135.4007000, 504.0282600", \
+					  "3.0132634, 3.0846183, 3.5378167, 6.3759322, 24.3083780, 135.4074600, 504.0350900", \
+					  "3.0095042, 3.0841845, 3.5369159, 6.3791776, 24.3044710, 135.3895600, 504.0868100", \
+					  "3.0086658, 3.0848382, 3.5377814, 6.3773027, 24.3063930, 135.4181100, 503.9842100", \
+					  "3.0093916, 3.0840870, 3.5330718, 6.3790830, 24.3044610, 135.3899700, 504.0490700", \
+					  "3.0094782, 3.0841656, 3.5369703, 6.3792478, 24.3044540, 135.3886300, 504.0579400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9467090, 17.1352690, 18.3436180, 25.7355100, 71.2002400, 350.4758000, 2072.1729000", \
+					  "17.0775750, 17.2719400, 18.4828400, 25.8714330, 71.3304690, 350.6209500, 2071.7478000", \
+					  "17.1825580, 17.3772680, 18.5925300, 25.9798530, 71.4482410, 350.7185800, 2072.2434000", \
+					  "17.2862400, 17.4757290, 18.6833290, 26.0768560, 71.4967140, 350.8157200, 2071.7694000", \
+					  "17.3621560, 17.5507410, 18.7601770, 26.1538440, 71.6420700, 350.8935700, 2072.8457000", \
+					  "17.4410550, 17.6261990, 18.8338460, 26.2273740, 71.7151280, 350.9671600, 2072.0863000", \
+					  "17.4945520, 17.6890000, 18.8960440, 26.2922820, 71.7517140, 351.0368700, 2072.7473000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5860110, 14.9619570, 17.3277040, 31.8599550, 122.1671800, 678.6043500, 4111.7428000", \
+					  "14.5868600, 14.9627120, 17.3152730, 31.8613190, 121.9582100, 678.3050700, 4109.0793000", \
+					  "14.5830410, 14.9612430, 17.3152510, 31.8596630, 122.1654500, 678.3676600, 4109.4845000", \
+					  "14.5862660, 14.9619680, 17.3173510, 31.8600400, 122.1874900, 678.7864800, 4109.7287000", \
+					  "14.5864130, 14.9611200, 17.3176800, 31.8602250, 122.2023900, 678.9274500, 4113.7287000", \
+					  "14.5811840, 14.9671620, 17.3197470, 31.8607040, 122.2035600, 678.7889800, 4110.0520000", \
+					  "14.5843220, 14.9588340, 17.3169370, 31.8597630, 122.1625200, 678.9543900, 4108.1448000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1765820, 13.2331340, 13.5456800, 15.4349010, 26.8011570, 96.7341900, 523.7591300", \
+					  "13.3587330, 13.4121030, 13.7298940, 15.6154270, 26.9720830, 96.8977500, 523.9784900", \
+					  "13.5541920, 13.6058460, 13.9191170, 15.8113290, 27.1831570, 97.1573750, 524.1844300", \
+					  "13.7386090, 13.7920070, 14.1116730, 15.9953370, 27.3679210, 97.2701240, 524.3463800", \
+					  "13.9104470, 13.9638140, 14.2835100, 16.1671580, 27.5432760, 97.4505100, 524.5353200", \
+					  "14.0803800, 14.1317290, 14.4499520, 16.3388640, 27.7045690, 97.6379010, 524.6557700", \
+					  "14.2427870, 14.2941330, 14.6120040, 16.5011310, 27.8646260, 97.8025980, 524.8233500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0485356, 3.1208940, 3.5705832, 6.3929457, 24.2992080, 135.4199100, 504.2174400", \
+					  "3.0471377, 3.1228649, 3.5727366, 6.3857963, 24.3086690, 135.4153900, 504.2254500", \
+					  "3.0473926, 3.1208489, 3.5657468, 6.3910175, 24.2718910, 135.4149800, 504.2646200", \
+					  "3.0472715, 3.1229341, 3.5727459, 6.3857710, 24.3161690, 135.4171400, 504.2343200", \
+					  "3.0471419, 3.1228535, 3.5727317, 6.3857426, 24.2796360, 135.4157200, 504.2268800", \
+					  "3.0485123, 3.1195928, 3.5707077, 6.3929235, 24.3005970, 135.4200700, 504.2575100", \
+					  "3.0486031, 3.1198575, 3.5705963, 6.3929866, 24.2997710, 135.4192000, 504.2210200");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0805558, -1.1118021, -1.1430484, -1.1457451, -1.1483338, -1.1510304, -1.1537271");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0892479, 1.1354345, 1.1816210, 1.1824795, 1.1833036, 1.1841621, 1.1850206");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.00156143";
+				miller_cap_rise : "0.00267412";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("18.6606, 0.376294, 0.263585, 0.262017, 0.260169, 0.259098, 0.257896, 0.256521, 0.254913, 0.252975, 0.250548, 0.247359, 0.24299, 0.236982, 0.228988, 0.218764, 0.206105, 0.190833, 0.172786, 0.15183, 0.127849, 0.100747, 0.0704471, 0.0368823, -8.13666e-07, -0.0825278, -0.175796, -0.536955, -5.00705", \
+					  "18.6505, 0.353491, 0.237934, 0.236313, 0.234452, 0.233402, 0.232246, 0.230959, 0.229501, 0.227813, 0.225805, 0.223324, 0.220101, 0.215694, 0.209552, 0.20125, 0.190528, 0.177203, 0.161116, 0.14213, 0.120123, 0.0949888, 0.0666386, 0.0349968, -7.08615e-08, -0.0789552, -0.16897, -0.52209, -5.00106", \
+					  "18.6287, 0.275968, 0.141657, 0.140405, 0.139044, 0.138313, 0.137541, 0.13672, 0.135842, 0.134893, 0.133857, 0.132709, 0.131416, 0.129921, 0.128134, 0.125886, 0.122826, 0.118243, 0.111227, 0.10121, 0.0879446, 0.0712905, 0.0511389, 0.0274007, -6.70305e-08, -0.0650417, -0.142871, -0.466799, -4.97942", \
+					  "18.6351, 0.272439, 0.104329, 0.103304, 0.102209, 0.101628, 0.101021, 0.100384, 0.0997118, 0.0989971, 0.0982313, 0.0974026, 0.0964944, 0.0954827, 0.0943312, 0.0929788, 0.0913154, 0.0891003, 0.0857422, 0.0801511, 0.0714241, 0.0591876, 0.0432744, 0.0235738, -7.52901e-08, -0.0582751, -0.130438, -0.441239, -4.96975", \
+					  "18.6487, 0.298786, 0.0691397, 0.0683588, 0.067536, 0.0671056, 0.0666601, 0.0661976, 0.0657153, 0.0652098, 0.0646771, 0.0641115, 0.0635057, 0.0628496, 0.062129, 0.0613215, 0.0603925, 0.0592783, 0.0578415, 0.0556927, 0.0517561, 0.0446792, 0.0338298, 0.0189785, -7.37197e-08, -0.0503288, -0.116043, -0.412193, -4.95899", \
+					  "18.6576, 0.325641, 0.0529106, 0.0522541, 0.0515688, 0.051212, 0.0508449, 0.0504658, 0.0500728, 0.0496638, 0.0492359, 0.0487857, 0.0483084, 0.0477979, 0.0472452, 0.0466383, 0.0459569, 0.0451686, 0.04421, 0.0429263, 0.0407848, 0.0363737, 0.0283779, 0.016317, -8.17893e-07, -0.0458127, -0.107956, -0.396108, -4.95313", \
+					  "18.6678, 0.362221, 0.0379347, 0.0374011, 0.0368527, 0.0365694, 0.0362792, 0.035981, 0.0356737, 0.0353561, 0.0350263, 0.0346824, 0.0343214, 0.0339397, 0.0335324, 0.0330927, 0.0326099, 0.032068, 0.0314381, 0.0306602, 0.0295549, 0.027367, 0.0223532, 0.0133532, -8.16093e-07, -0.0408613, -0.0991735, -0.378807, -4.94692", \
+					  "18.6794, 0.408655, 0.0245799, 0.0241515, 0.0237395, 0.0235285, 0.0233133, 0.0230937, 0.0228684, 0.0226373, 0.0223993, 0.0221532, 0.0218974, 0.0216305, 0.0213494, 0.0210505, 0.0207294, 0.0203783, 0.0199855, 0.0195269, 0.0189509, 0.018045, 0.0157367, 0.0100353, -1.22353e-08, -0.0354075, -0.0896014, -0.360124, -4.94029", \
+					  "18.6931, 0.465225, 0.0135274, 0.0130977, 0.0128206, 0.0126799, 0.0125372, 0.0123922, 0.0122448, 0.0120945, 0.011941, 0.0117837, 0.0116221, 0.0114552, 0.0112821, 0.0111012, 0.0109106, 0.0107074, 0.0104871, 0.0102422, 0.00995758, 0.00959111, 0.00889346, 0.00638079, -1.02698e-08, -0.0293561, -0.0791285, -0.339862, -4.93321", \
+					  "18.7093, 0.532591, 0.00627935, 0.00524889, 0.00509418, 0.00501808, 0.00494136, 0.00486395, 0.00478575, 0.00470666, 0.00462655, 0.00454526, 0.00446261, 0.00437833, 0.00429213, 0.00420358, 0.00411211, 0.00401692, 0.00391681, 0.00380991, 0.00369286, 0.00355826, 0.0033777, 0.00283189, -1.17129e-08, -0.0225977, -0.0676336, -0.317778, -4.9256", \
+					  "18.729, 0.611227, 0.00606297, 0.00137131, 0.00129172, 0.0012639, 0.00123607, 0.00120816, 0.00118013, 0.00115198, 0.00112371, 0.00109527, 0.00106659, 0.00103764, 0.00100838, 0.000978743, 0.000948637, 0.000917928, 0.000886422, 0.000853829, 0.000819648, 0.0007829, 0.000740789, 0.00067044, -4.36551e-08, -0.0150981, -0.0550182, -0.29361, -4.91739", \
+					  "18.7515, 0.699813, 0.0176415, 0.00037187, 0.000217265, 0.00021071, 0.000204749, 0.000198821, 0.000192896, 0.000186975, 0.000181091, 0.000175365, 0.000169736, 0.000163897, 0.000157924, 0.000151895, 0.000145819, 0.000139685, 0.000133469, 0.00012714, 0.000120639, 0.000113863, 0.000106529, 9.68722e-05, -1.1567e-08, -0.00757203, -0.0414321, -0.267194, -4.90848", \
+					  "18.7759, 0.796148, 0.0433759, 0.000891637, 2.80206e-05, 2.31358e-05, 2.19673e-05, 2.10187e-05, 2.01148e-05, 1.92377e-05, 1.84201e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.73816e-05, 1.65415e-05, 1.56878e-05, 1.48161e-05, 1.39136e-05, 1.29537e-05, 1.17441e-05, -1.02081e-08, -0.00237736, -0.027799, -0.238766, -4.89894", \
+					  "18.8015, 0.898868, 0.08234, 0.00484491, 3.06935e-06, -2.23993e-05, -2.47105e-05, -2.56479e-05, -2.63069e-05, -2.68439e-05, -2.72649e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -0.000448247, -0.0156838, -0.20908, -4.88898", \
+					  "18.8281, 1.00706, 0.132368, 0.018162, 3.1441e-07, -0.000159613, -0.000173819, -0.000179169, -0.000182754, -0.000185602, -0.000187992, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00667122, -0.178991, -4.87888", \
+					  "18.8555, 1.11977, 0.190616, 0.0433502, 3.4002e-08, -0.00103108, -0.00112943, -0.0011638, -0.00118605, -0.00120349, -0.00121823, -0.00123097, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00186527, -0.149149, -4.86886", \
+					  "18.8836, 1.23585, 0.253561, 0.0763004, 6.28787e-09, -0.00568845, -0.00644807, -0.00665907, -0.00677979, -0.00686869, -0.00694129, -0.00700362, -0.00705749, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.120057, -4.85906", \
+					  "18.9121, 1.35393, 0.317834, 0.111716, 3.56603e-09, -0.0182666, -0.0228864, -0.0239843, -0.0244812, -0.0248063, -0.0250546, -0.0252589, -0.0254338, -0.0255819, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0922394, -4.84955", \
+					  "18.941, 1.47251, 0.381256, 0.146892, 3.28489e-09, -0.0359937, -0.0513353, -0.05591, -0.0575739, -0.0584972, -0.0591406, -0.0596416, -0.0600559, -0.0604085, -0.0606943, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.0665097, -4.84039", \
+					  "18.9699, 1.59019, 0.442802, 0.180929, 3.24131e-09, -0.0547606, -0.0861108, -0.0995073, -0.104405, -0.106701, -0.108126, -0.109162, -0.109982, -0.110664, -0.111237, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -4.83159", \
+					  "18.9988, 1.70581, 0.502081, 0.213572, 3.22152e-09, -0.0731217, -0.12223, -0.149619, -0.161788, -0.167116, -0.170039, -0.171992, -0.173456, -0.174632, -0.175611, -0.176406, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -4.84068", \
+					  "19.0273, 1.81861, 0.558978, 0.244773, 3.23586e-09, -0.0907304, -0.157644, -0.201559, -0.225698, -0.237129, -0.242904, -0.246419, -0.248891, -0.250792, -0.252336, -0.253612, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -4.89423", \
+					  "19.0554, 1.92813, 0.613504, 0.274557, 3.20306e-09, -0.10752, -0.191722, -0.252773, -0.292006, -0.313573, -0.324578, -0.330779, -0.334836, -0.337802, -0.34013, -0.342032, -0.343543, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -4.95985", \
+					  "19.0829, 2.03416, 0.665722, 0.302978, 3.18004e-09, -0.123499, -0.224299, -0.302262, -0.357819, -0.392913, -0.412493, -0.423259, -0.429847, -0.434394, -0.437819, -0.440548, -0.44276, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -5.03441", \
+					  "19.1097, 2.13663, 0.715716, 0.330097, 3.16213e-09, -0.1387, -0.255363, -0.349699, -0.421715, -0.472158, -0.503664, -0.521724, -0.532354, -0.539284, -0.544267, -0.548109, -0.551193, -0.553553, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -5.11721", \
+					  "19.1614, 2.33099, 0.809423, 0.380698, 3.13353e-09, -0.166926, -0.313145, -0.438258, -0.541952, -0.624103, -0.685061, -0.726452, -0.752324, -0.76829, -0.778778, -0.786251, -0.79194, -0.796424, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -5.30615", \
+					  "19.2103, 2.51171, 0.895399, 0.426869, 3.10951e-09, -0.192522, -0.365597, -0.518814, -0.651781, -0.764156, -0.855708, -0.926493, -0.97743, -1.0114, -1.03332, -1.04793, -1.05831, -1.06613, -1.07217, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -5.52292", \
+					  "19.3413, 2.98054, 1.11396, 0.543209, 3.05073e-09, -0.256345, -0.496446, -0.719966, -0.926551, -1.11583, -1.28742, -1.44092, -1.5759, -1.69186, -1.78829, -1.8649, -1.92236, -1.96339, -1.99227, -2.01303, -2.02824, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -6.28748", \
+					  "19.5136, 3.56627, 1.37919, 0.682546, 2.9811e-09, -0.331603, -0.650707, -0.957101, -1.25056, -1.53085, -1.7977, -2.05086, -2.29003, -2.51489, -2.7251, -2.92027, -3.0999, -3.26341, -3.40998, -3.53856, -3.64793, -3.73723, -3.80684, -3.85844, -3.89243, -3.91046, -3.91623, -3.94163, -7.78207");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("1.2969402, 1.8007240, 2.2448743, 2.6823170, 3.2330454");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("1.3128940, 1.8171784, 2.2611158, 2.6995782, 3.2469292");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3498552, 0.4307575, 0.4998847, 0.5675126, 0.6797961");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4010063, 0.4819254, 0.5511181, 0.6187031, 0.7308792");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("167.437");
+						index_3("0.001");
+						index_4("84.9891916, 90.0856939, 117.1829710, 133.4508480, 134.3635660");
+						values("0.658628, 1.05381, 1.31726, 1.05381, 0.658628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("101.773");
+						index_3("0.001");
+						index_4("51.9287824, 55.3809852, 73.9131895, 83.6135479, 84.2607697");
+						values("0.694152, 1.11064, 1.3883, 1.11064, 0.694152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("67.3446");
+						index_3("0.001");
+						index_4("34.5618827, 37.1265826, 49.8425710, 56.2755748, 56.8152975");
+						values("0.700814, 1.1213, 1.40163, 1.1213, 0.700814");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("83.7184");
+						index_3("0.001");
+						index_4("43.3282041, 47.3404862, 61.5202579, 64.1058897, 65.1987969");
+						values("0.329732, 0.527571, 0.659464, 0.527571, 0.329732");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("50.8867");
+						index_3("0.001");
+						index_4("26.5873120, 29.4920502, 38.4303879, 40.0384743, 40.7620981");
+						values("0.344651, 0.551441, 0.689302, 0.551441, 0.344651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("33.6723");
+						index_3("0.001");
+						index_4("17.8013105, 20.0419899, 26.0733221, 27.0959395, 27.6158247");
+						values("0.344445, 0.551112, 0.688889, 0.551112, 0.344445");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("152.66");
+						index_3("0.001");
+						index_4("76.3846300, 79.3311250, 108.5192620, 129.6101020, 130.4118930");
+						values("0.869565, 1.3913, 1.65, 1.3913, 0.869565");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("26.9413");
+						index_3("0.001");
+						index_4("13.0052023, 13.6620678, 14.1807576, 22.8134401, 23.7309617");
+						values("1.54969, 1.4895, 1.44937, 1.4895, 1.54969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("15.9372");
+						index_3("0.001");
+						index_4("8.7551514, 9.4844043, 13.2813828, 15.2521911, 16.0935257");
+						values("0.879448, 0.417116, 0.108895, 0.417116, 0.879448");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("10.8892");
+						index_3("0.001");
+						index_4("5.9388946, 6.4623427, 9.2872431, 10.8313268, 11.6362179");
+						values("0.758647, 0.223836, 0, 0.223836, 0.758647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("13.4706");
+						index_3("0.001");
+						index_4("6.6116503, 6.9189190, 7.2186060, 7.6797579, 12.2560230");
+						values("1.58278, 1.54245, 1.51557, 1.54245, 1.58278");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("7.96858");
+						index_3("0.001");
+						index_4("4.1071573, 4.2679030, 4.5530906, 6.9278077, 7.6346701");
+						values("1.5567, 1.50072, 1.46341, 1.50072, 1.5567");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("5.44458");
+						index_3("0.001");
+						index_4("2.8996829, 3.0311361, 3.3210057, 5.1768619, 5.5238425");
+						values("1.52532, 1.45051, 1.40063, 1.45051, 1.52532");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("23.9057");
+						index_3("0.001");
+						index_4("12.4512854, 12.9200046, 19.7535468, 22.4157468, 23.6352621");
+						values("0.741386, 0.196217, 0, 0.196217, 0.741386");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157976;
+			capacitance : 0.157476;
+			fall_capacitance : 0.156975;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0487250, -0.0473064, -0.0458878, -0.0462409, -0.0465798, -0.0469328, -0.0472858");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439035, 0.0449932, 0.0460829, 0.0463960, 0.0466966, 0.0470098, 0.0473229");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150650;
+			capacitance : 0.150117;
+			fall_capacitance : 0.149584;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0490589, -0.0476052, -0.0461516, -0.0464106, -0.0466593, -0.0469183, -0.0471773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0441369, 0.0448927, 0.0456485, 0.0460515, 0.0464383, 0.0468412, 0.0472441");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr.lib
new file mode 100644
index 0000000..8a7f8c4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr.lib
@@ -0,0 +1,8251 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_ss_ss_n40C_1v60_1v65_nointpwr") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.387400e+00;
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "1.9702500";
+		}
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3715000";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3076300";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3669900";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3836500";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909800";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2954800";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2909700";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3670000";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2205800";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2907400";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2751900";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2939100";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3113800";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.2175000";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3512100";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3667600";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "2.3874000";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006153;
+			capacitance : 0.006009;
+			fall_capacitance : 0.005865;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0257693, 3.8799966, 3.7606392, 3.6521431, 3.5726136, 3.4788548, 3.4066572", \
+					  "4.4686977, 4.3244508, 4.1974267, 4.0976367, 4.0022277, 3.9108515, 3.8496076", \
+					  "4.9257356, 4.7810683, 4.6407445, 4.5683682, 4.4500415, 4.3665891, 4.3335427", \
+					  "5.3584988, 5.2304599, 5.0988474, 4.9896636, 4.9037270, 4.8291716, 4.7570381", \
+					  "5.7792631, 5.6365417, 5.4771701, 5.3773633, 5.3229654, 5.1969240, 5.1362326", \
+					  "6.1299577, 5.9811332, 5.8434735, 5.7451508, 5.7100887, 5.5586289, 5.5013579", \
+					  "6.5308082, 6.3850332, 6.2464045, 6.1452366, 6.0745478, 6.0012766, 5.9417509");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7511197, 3.6012156, 3.3985766, 3.2101986, 3.0379713, 2.8191907, 2.6398095", \
+					  "4.1940680, 4.0379431, 3.8421806, 3.6337369, 3.4839791, 3.2652239, 3.0858063", \
+					  "4.6526412, 4.4965159, 4.3006623, 4.0938557, 3.9057364, 3.7120414, 3.5413239", \
+					  "5.1059923, 4.9498670, 4.7569449, 4.5595703, 4.3943598, 4.1723783, 3.9959558", \
+					  "5.5061096, 5.3499847, 5.1551091, 4.9497094, 4.7600566, 4.5713764, 4.3786892", \
+					  "5.8932253, 5.7371004, 5.5510785, 5.3448679, 5.1828504, 4.9527096, 4.7811349", \
+					  "6.2444239, 6.0882986, 5.8967021, 5.6968966, 5.5288443, 5.3038239, 5.1283234");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4770297, -3.3463378, -3.1691105, -3.1143516, -2.9921556, -2.9316733, -2.8809665", \
+					  "-3.9197798, -3.7847252, -3.6637897, -3.5596652, -3.4333711, -3.4117584, -3.3117866", \
+					  "-4.3736843, -4.2429226, -4.1176917, -4.0204921, -3.9115686, -3.8376908, -3.7575140", \
+					  "-4.8229729, -4.6780888, -4.5563746, -4.4640449, -4.3453145, -4.3466263, -4.2210779", \
+					  "-5.2292701, -5.0730582, -4.9581496, -4.8739246, -4.7527153, -4.6824582, -4.6115744", \
+					  "-5.6149380, -5.4716122, -5.3329587, -5.2583037, -5.1846555, -5.0842266, -4.9858288", \
+					  "-5.9829978, -5.8260172, -5.7208546, -5.6151494, -5.5253994, -5.4879640, -5.3561464");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5685912, -3.3401952, -3.1663085, -3.0389970, -2.8544322, -2.6835553, -2.4804216", \
+					  "-4.0098153, -3.7774337, -3.6122898, -3.4782905, -3.3107840, -3.1185096, -2.9160645", \
+					  "-4.4652452, -4.2328636, -4.0413989, -3.9352834, -3.7328267, -3.5767300, -3.3647198", \
+					  "-4.9008546, -4.6633219, -4.4804886, -4.3680350, -4.1402950, -4.0201364, -3.7955760", \
+					  "-5.2996747, -5.0657667, -4.8971060, -4.7659642, -4.5043073, -4.3498500, -4.1893952", \
+					  "-5.6564852, -5.4241950, -5.2523614, -5.1274990, -4.9297994, -4.7567188, -4.5215078", \
+					  "-6.0698737, -5.8461073, -5.7385432, -5.5305473, -5.3251778, -5.1426083, -4.9689334");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224908, 0.0257746, 0.0290584, 0.0289290, 0.0288046, 0.0286751, 0.0285457");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263634, 0.0309371, 0.0355109, 0.0353925, 0.0352788, 0.0351604, 0.0350420");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988038";
+				miller_cap_rise : "0.000928911";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72795e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14697e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49628e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.26404e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.9355e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.1969e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36268e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.3303e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57192e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39921e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1041102, 0.2118606, 0.3327214, 0.4728181, 0.6703711");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1746016, 0.2829072, 0.4025857, 0.5436957, 0.7396858");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277507, 0.0492649, 0.0709742, 0.0928093, 0.1219215");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997314, 0.1214195, 0.1431007, 0.1650098, 0.1940989");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.0241");
+						index_3("0.001");
+						index_4("10.4998003, 11.0987684, 13.4154425, 15.1599797, 15.6007103");
+						values("0.411744, 0.658791, 0.823489, 0.658791, 0.411744");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7755");
+						index_3("0.001");
+						index_4("6.3866243, 6.7941362, 8.5622821, 9.5233473, 9.8141053");
+						values("0.423059, 0.676894, 0.846117, 0.676894, 0.423059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.67184");
+						index_3("0.001");
+						index_4("4.3398996, 4.6454471, 5.7998463, 6.6348767, 6.8465570");
+						values("0.426131, 0.681809, 0.852262, 0.681809, 0.426131");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.5121");
+						index_3("0.001");
+						index_4("5.2995300, 5.6354907, 6.9146065, 7.6112088, 7.8371145");
+						values("0.220317, 0.352508, 0.440635, 0.352508, 0.220317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38773");
+						index_3("0.001");
+						index_4("3.2311960, 3.4563161, 4.3675931, 4.7822289, 4.9316532");
+						values("0.22477, 0.359632, 0.44954, 0.359632, 0.22477");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33592");
+						index_3("0.001");
+						index_4("2.2005820, 2.3688657, 2.9466072, 3.3292422, 3.4401073");
+						values("0.225228, 0.360365, 0.450457, 0.360365, 0.225228");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1632");
+						index_3("0.001");
+						index_4("9.5189303, 10.1338952, 12.4984936, 14.2289650, 14.6750941");
+						values("0.590793, 0.945269, 1.18159, 0.945269, 0.590793");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86556");
+						index_3("0.001");
+						index_4("1.4461659, 1.5720343, 2.2076909, 2.6201543, 2.8063497");
+						values("1.16755, 0.908072, 0.735091, 0.908072, 1.16755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67475");
+						index_3("0.001");
+						index_4("0.8485610, 0.9331678, 1.2830803, 1.6061686, 1.7387658");
+						values("1.15876, 0.894015, 0.717519, 0.894015, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14248");
+						index_3("0.001");
+						index_4("0.5816960, 0.6458944, 0.9562865, 1.1367866, 1.2568899");
+						values("1.1571, 0.891357, 0.714197, 0.891357, 1.1571");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43278");
+						index_3("0.001");
+						index_4("0.7327888, 0.7996550, 1.0846288, 1.3295507, 1.4413723");
+						values("1.38706, 1.2593, 1.17413, 1.2593, 1.38706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.837377");
+						index_3("0.001");
+						index_4("0.4326273, 0.4778790, 0.6768407, 0.8203802, 0.9054703");
+						values("1.38644, 1.2583, 1.17287, 1.2583, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.571239");
+						index_3("0.001");
+						index_4("0.2974548, 0.3319364, 0.4844723, 0.5826845, 0.6657838");
+						values("1.38405, 1.25448, 1.1681, 1.25448, 1.38405");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51213");
+						index_3("0.001");
+						index_4("1.2689805, 1.3937592, 1.9761109, 2.3765516, 2.5654611");
+						values("0.926793, 0.522869, 0.253586, 0.522869, 0.926793");
+					}
+				}
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004154;
+			capacitance : 0.004247;
+			rise_capacitance : 0.004341;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5167255, -3.3625486, -3.2542020, -3.1387739, -3.0693274, -2.9882865, -2.8915696", \
+					  "-3.9610015, -3.8100933, -3.6881544, -3.5920799, -3.5075022, -3.4390359, -3.3557714", \
+					  "-4.4133796, -4.2575283, -4.1481624, -4.0457821, -3.9735110, -3.8845262, -3.7827272", \
+					  "-4.8459367, -4.6850055, -4.5792096, -4.4798238, -4.4000498, -4.3054087, -4.2196985", \
+					  "-5.2447559, -5.0999513, -4.9810642, -4.8785591, -4.7664967, -4.7119661, -4.6077992", \
+					  "-5.6046183, -5.4582882, -5.3378752, -5.2384245, -5.1600543, -5.0842701, -4.9920518", \
+					  "-6.0135383, -5.8662534, -5.7486120, -5.6484224, -5.5317939, -5.4391360, -5.4053963");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4343279, -3.2784663, -3.1144944, -2.9105122, -2.7140318, -2.5530184, -2.3830524", \
+					  "-3.8755521, -3.7264584, -3.5273397, -3.3965628, -3.1587070, -2.9907347, -2.8240474", \
+					  "-4.3294561, -4.1735945, -4.0080829, -3.8071610, -3.6106862, -3.4569405, -3.2720424", \
+					  "-4.7802710, -4.6244121, -4.4670656, -4.2609438, -4.0767902, -3.9053211, -3.7220204", \
+					  "-5.1850424, -5.0308004, -4.8651422, -4.6718151, -4.4677805, -4.3044490, -4.1154268", \
+					  "-5.5707103, -5.4143398, -5.2492350, -5.0760584, -4.8612032, -4.6893535, -4.5043833", \
+					  "-5.9296148, -5.7804794, -5.5773699, -5.3743691, -5.2279667, -5.0712218, -4.8601271");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9815398, 3.8335928, 3.7056543, 3.5907031, 3.5038592, 3.4300268, 3.3373775", \
+					  "4.4244686, 4.2741835, 4.1490378, 4.0355379, 3.9452617, 3.8752351, 3.7675927", \
+					  "4.8830320, 4.7344042, 4.6072819, 4.4921012, 4.4231510, 4.3269413, 4.2270852", \
+					  "5.3142697, 5.1661273, 5.0388012, 4.9258107, 4.8365892, 4.7673343, 4.6881368", \
+					  "5.7026617, 5.5562411, 5.4282896, 5.3139589, 5.2310847, 5.1557268, 5.0791249", \
+					  "6.0704715, 5.9209991, 5.7992067, 5.6802485, 5.5900136, 5.5185614, 5.4169729", \
+					  "6.4865769, 6.3386299, 6.1989896, 6.0861874, 6.0063623, 5.9279739, 5.8261341");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.5969665, 3.5316703, 3.3235759, 3.1127112, 2.9361689, 2.7846268, 2.5965923", \
+					  "4.0409461, 3.9741240, 3.8052457, 3.5629735, 3.3926207, 3.2243197, 3.0526730", \
+					  "4.4962339, 4.4294122, 4.2375799, 4.0304918, 3.8445485, 3.6777395, 3.5006973", \
+					  "4.9096485, 4.8413303, 4.6605487, 4.4561939, 4.2654181, 4.1125164, 3.9303998", \
+					  "5.3598315, 5.2713703, 5.1154945, 4.8748195, 4.7008498, 4.5443887, 4.3527384", \
+					  "5.7229806, 5.6604142, 5.4756575, 5.2649692, 5.0869147, 4.8970315, 4.7196441", \
+					  "6.0794932, 6.0113974, 5.8304804, 5.6196441, 5.4323864, 5.2274294, 5.0884353");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0268996, 0.0342354, 0.0415713, 0.0414648, 0.0413626, 0.0412561, 0.0411497");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221975, 0.0285463, 0.0348950, 0.0348019, 0.0347125, 0.0346194, 0.0345262");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000986283";
+				miller_cap_rise : "0.000933794";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.16383, 0.384026, 0.368488, 0.360757, 0.350315, 0.343632, 0.335813, 0.326847, 0.316756, 0.305551, 0.293235, 0.279803, 0.265247, 0.249559, 0.232731, 0.214755, 0.195622, 0.175325, 0.153855, 0.131205, 0.107367, 0.0823347, 0.0561005, 0.0286578, -1.77223e-09, -0.0584479, -0.116762, -0.297163, -1.47532", \
+					  "2.07619, 0.187988, 0.181932, 0.179549, 0.176816, 0.17527, 0.173565, 0.171658, 0.169485, 0.16695, 0.163909, 0.160161, 0.155475, 0.149672, 0.142654, 0.134374, 0.124804, 0.113926, 0.101725, 0.0881851, 0.0732954, 0.0570434, 0.0394175, 0.0204066, 2.86278e-09, -0.0432929, -0.0885778, -0.240972, -1.46517", \
+					  "2.03668, 0.091587, 0.0869857, 0.0858945, 0.0847116, 0.084077, 0.083407, 0.0826953, 0.0819333, 0.0811098, 0.0802093, 0.0792094, 0.0780768, 0.0767573, 0.0751559, 0.0730909, 0.0702543, 0.0663179, 0.0610914, 0.0544952, 0.0464922, 0.0370588, 0.0261765, 0.0138287, 7.26403e-09, -0.0312024, -0.0661468, -0.196171, -1.45678", \
+					  "2.02929, 0.0738073, 0.0610035, 0.0602097, 0.0593626, 0.0589145, 0.0584466, 0.0579557, 0.0574378, 0.0568876, 0.056298, 0.0556597, 0.0549592, 0.0541766, 0.0532801, 0.0522128, 0.050857, 0.0489526, 0.0460983, 0.0419908, 0.0365033, 0.0295851, 0.0212086, 0.011353, 8.8665e-09, -0.0266461, -0.0576978, -0.179183, -1.45367", \
+					  "2.02539, 0.0716535, 0.0387916, 0.0382463, 0.0376732, 0.037374, 0.0370648, 0.036744, 0.03641, 0.0360604, 0.0356923, 0.0353019, 0.0348841, 0.0344317, 0.0339343, 0.0333758, 0.0327276, 0.0319297, 0.0308213, 0.0290166, 0.0260647, 0.0217444, 0.0159811, 0.00874075, 1.03871e-08, -0.021832, -0.0487695, -0.161116, -1.45042", \
+					  "2.02488, 0.0763439, 0.029292, 0.0288545, 0.0283983, 0.0281617, 0.0279183, 0.0276673, 0.0274075, 0.0271374, 0.0268554, 0.026559, 0.0262452, 0.0259099, 0.0255474, 0.025149, 0.0247007, 0.0241767, 0.0235148, 0.0225203, 0.020732, 0.0177096, 0.01328, 0.00738663, 1.10435e-08, -0.0193319, -0.044131, -0.151669, -1.44873", \
+					  "2.02538, 0.0848482, 0.0209735, 0.0206313, 0.02028, 0.0200988, 0.0199135, 0.0197234, 0.0195278, 0.0193258, 0.0191165, 0.0188985, 0.0186701, 0.018429, 0.0181721, 0.017895, 0.017591, 0.0172488, 0.0168455, 0.0163166, 0.0154231, 0.0136298, 0.0105328, 0.0060042, 1.154e-08, -0.0167732, -0.0393816, -0.141942, -1.44701", \
+					  "2.02695, 0.0971579, 0.0139482, 0.0136652, 0.0134072, 0.013275, 0.0131405, 0.0130033, 0.0128629, 0.0127189, 0.0125709, 0.0124179, 0.0122593, 0.0120938, 0.0119198, 0.0117353, 0.0115371, 0.0113204, 0.0110768, 0.0107873, 0.0103851, 0.00957577, 0.00776209, 0.00460029, 1.16856e-08, -0.0141605, -0.0345273, -0.131935, -1.44525", \
+					  "2.02962, 0.113238, 0.00847237, 0.00806037, 0.00788426, 0.00779486, 0.00770427, 0.00761234, 0.00751889, 0.00742371, 0.00732653, 0.00722702, 0.00712478, 0.00701927, 0.00690982, 0.00679552, 0.00667508, 0.00654662, 0.00640712, 0.00625096, 0.00606355, 0.0057773, 0.00503147, 0.00319392, 1.07128e-08, -0.0115015, -0.0295783, -0.121651, -1.44347", \
+					  "2.03347, 0.133027, 0.00537421, 0.00395608, 0.0038451, 0.00379177, 0.00373801, 0.00368375, 0.00362892, 0.00357345, 0.00351722, 0.00346013, 0.00340202, 0.0033427, 0.00328193, 0.00321938, 0.00315463, 0.00308706, 0.00301574, 0.00293914, 0.00285414, 0.00275088, 0.00256117, 0.0018467, 1.4992e-09, -0.00881148, -0.0245519, -0.111103, -1.44165", \
+					  "2.03855, 0.156401, 0.00649723, 0.00151415, 0.00140754, 0.00138188, 0.00135617, 0.00133035, 0.00130441, 0.00127832, 0.00125205, 0.00122559, 0.00119888, 0.00117188, 0.00114453, 0.00111675, 0.00108843, 0.00105942, 0.00102952, 0.000998399, 0.000965461, 0.000929333, 0.000883801, 0.000747555, -1.32237e-07, -0.00612923, -0.0194853, -0.100328, -1.4398", \
+					  "2.04481, 0.182913, 0.0129065, 0.000772231, 0.000375754, 0.000365759, 0.000356625, 0.00034751, 0.000338391, 0.000329263, 0.000320119, 0.000310955, 0.000301763, 0.000292535, 0.000283261, 0.000273928, 0.000264517, 0.000255004, 0.000245353, 0.000235512, 0.00022539, 0.000214798, 0.00020311, 0.000183698, -2.4171e-06, -0.00357835, -0.0144784, -0.0894179, -1.43795", \
+					  "2.05193, 0.21164, 0.0236863, 0.00203524, 8.36653e-05, 6.8028e-05, 6.42099e-05, 6.07389e-05, 5.73468e-05, 5.39884e-05, 5.06477e-05, 4.73167e-05, 4.39909e-05, 4.06666e-05, 3.7341e-05, 3.40111e-05, 3.06735e-05, 2.73238e-05, 2.39563e-05, 2.05625e-05, 1.71279e-05, 1.36239e-05, 9.96297e-06, 5.3948e-06, -3.23947e-05, -0.00156204, -0.00980972, -0.0785676, -1.43613", \
+					  "2.05967, 0.241777, 0.037571, 0.00655293, 1.57553e-05, -9.80294e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136622, -0.000144697, -0.000152677, -0.000160596, -0.000168475, -0.000176328, -0.000184165, -0.000191992, -0.000199814, -0.000207637, -0.000215463, -0.000223297, -0.000231147, -0.000239029, -0.000247059, -0.000261006, -0.000711109, -0.00605706, -0.0681982, -1.43455", \
+					  "2.06792, 0.272878, 0.0536073, 0.0143885, 2.02928e-06, -0.00069896, -0.000776024, -0.000821314, -0.00086057, -0.000897369, -0.000932854, -0.000967535, -0.00100168, -0.00103546, -0.00106897, -0.00110228, -0.00113544, -0.00116849, -0.00120145, -0.00123435, -0.0012672, -0.00130003, -0.00133284, -0.00136568, -0.00139927, -0.00155748, -0.00430577, -0.0593296, -1.4338", \
+					  "2.07666, 0.304659, 0.0709378, 0.0239119, 1.65509e-07, -0.00300714, -0.00354245, -0.00373181, -0.0038701, -0.00399047, -0.00410182, -0.00420777, -0.00431015, -0.00441002, -0.00450804, -0.00460465, -0.00470014, -0.00479476, -0.00488866, -0.00498197, -0.0050748, -0.00516724, -0.00525937, -0.00535128, -0.0054431, -0.00564417, -0.00678563, -0.0542062, -1.43529", \
+					  "2.08585, 0.336896, 0.0887657, 0.0338126, 1.17894e-08, -0.00742754, -0.0100947, -0.010845, -0.0112434, -0.011545, -0.0118041, -0.0120396, -0.0122602, -0.0124705, -0.0126734, -0.0128708, -0.0130637, -0.0132532, -0.0134398, -0.0136241, -0.0138064, -0.0139871, -0.0141664, -0.0143446, -0.014522, -0.0148777, -0.0154661, -0.0549686, -1.44023", \
+					  "2.09552, 0.369396, 0.106504, 0.0436128, 2.13004e-09, -0.0126689, -0.0195325, -0.0221179, -0.0231701, -0.0238251, -0.0243326, -0.0247662, -0.0251562, -0.0255176, -0.0258587, -0.026185, -0.0264998, -0.0268056, -0.0271041, -0.0273966, -0.0276841, -0.0279674, -0.0282472, -0.028524, -0.0287984, -0.0293423, -0.0299297, -0.0617486, -1.44856", \
+					  "2.10565, 0.401984, 0.123837, 0.0531608, 1.55781e-09, -0.0180018, -0.0298941, -0.0361165, -0.0387214, -0.0400601, -0.0409741, -0.0416989, -0.04232, -0.0428766, -0.0433894, -0.0438707, -0.0443283, -0.0447674, -0.0451919, -0.0456044, -0.0460069, -0.0464011, -0.0467883, -0.0471696, -0.047546, -0.0482876, -0.0490281, -0.0738755, -1.45976", \
+					  "2.11624, 0.434496, 0.140625, 0.0623977, 1.50858e-09, -0.0232154, -0.0402563, -0.0511873, -0.0567544, -0.0593994, -0.0609817, -0.0621333, -0.0630677, -0.0638744, -0.0645979, -0.0652632, -0.0658858, -0.0664758, -0.06704, -0.0675835, -0.06811, -0.0686223, -0.0691226, -0.0696129, -0.0700946, -0.0710383, -0.0719655, -0.0907681, -1.47338", \
+					  "2.12729, 0.466769, 0.156812, 0.0712958, 1.48934e-09, -0.0282488, -0.0503505, -0.0662741, -0.0760422, -0.0809918, -0.0836722, -0.0854522, -0.0868116, -0.0879383, -0.0889199, -0.0898034, -0.0906163, -0.0913763, -0.0920954, -0.0927818, -0.0934415, -0.0940792, -0.0946985, -0.0953022, -0.0958927, -0.0970424, -0.0981633, -0.111974, -1.4891", \
+					  "2.1388, 0.498642, 0.172372, 0.0798406, 1.47252e-09, -0.0330812, -0.0600882, -0.0809675, -0.0955224, -0.103939, -0.10838, -0.111086, -0.113024, -0.11456, -0.115858, -0.116999, -0.118032, -0.118984, -0.119874, -0.120716, -0.121519, -0.12229, -0.123035, -0.123757, -0.12446, -0.12582, -0.127137, -0.137123, -1.50662", \
+					  "2.15077, 0.529947, 0.187296, 0.0880253, 1.45668e-09, -0.0377045, -0.0694342, -0.0951304, -0.114571, -0.127344, -0.134431, -0.138494, -0.141218, -0.14328, -0.144964, -0.146412, -0.147697, -0.148865, -0.149945, -0.150957, -0.151914, -0.152827, -0.153704, -0.154549, -0.155369, -0.156945, -0.158459, -0.1659, -1.52568", \
+					  "2.16319, 0.560511, 0.20158, 0.0958473, 1.44187e-09, -0.0421164, -0.0783732, -0.108712, -0.132933, -0.150467, -0.161138, -0.167151, -0.170946, -0.173681, -0.17584, -0.177648, -0.179224, -0.180636, -0.181927, -0.183124, -0.184248, -0.185312, -0.186327, -0.187302, -0.188242, -0.19004, -0.191755, -0.197938, -1.54606", \
+					  "2.17602, 0.590159, 0.215224, 0.103307, 1.42827e-09, -0.0463171, -0.0869, -0.121691, -0.15052, -0.172851, -0.18784, -0.19654, -0.201786, -0.205387, -0.208128, -0.210364, -0.212275, -0.213962, -0.215485, -0.216884, -0.218187, -0.219413, -0.220575, -0.221685, -0.222751, -0.224776, -0.226696, -0.232636, -1.56756", \
+					  "2.20276, 0.646044, 0.240616, 0.117155, 1.40572e-09, -0.0540947, -0.102722, -0.145828, -0.183285, -0.214726, -0.239156, -0.255469, -0.265213, -0.271363, -0.275704, -0.279051, -0.281795, -0.28414, -0.286207, -0.288069, -0.289773, -0.291355, -0.292838, -0.294239, -0.295572, -0.298077, -0.300417, -0.307083, -1.61331", \
+					  "2.22148, 0.696577, 0.263539, 0.129613, 1.39197e-09, -0.0610674, -0.116943, -0.167574, -0.212861, -0.25256, -0.285979, -0.311569, -0.328386, -0.338709, -0.345505, -0.350438, -0.354301, -0.357492, -0.360231, -0.362647, -0.364822, -0.366811, -0.368654, -0.370377, -0.372003, -0.375022, -0.377805, -0.385476, -1.66173", \
+					  "2.26203, 0.815292, 0.318576, 0.159318, 2.47964e-10, -0.0775997, -0.1508, -0.219557, -0.283814, -0.343474, -0.398337, -0.447927, -0.491061, -0.525445, -0.549481, -0.565156, -0.575777, -0.58353, -0.589571, -0.594517, -0.598716, -0.602379, -0.605641, -0.608595, -0.611303, -0.616159, -0.620463, -0.631477, -1.81406", \
+					  "2.3038, 0.929962, 0.372732, 0.188193, 2.40268e-09, -0.0935733, -0.183821, -0.270716, -0.35423, -0.434329, -0.510965, -0.584065, -0.653495, -0.71899, -0.779977, -0.835203, -0.882328, -0.918828, -0.944806, -0.963056, -0.976404, -0.986685, -0.994973, -1.0019, -1.00784, -1.01773, -1.02583, -1.04433, -2.0707");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1209427, 0.2626228, 0.4168454, 0.5946643, 0.8420087");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1933133, 0.3350121, 0.4893853, 0.6670136, 0.9142022");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0293949, 0.0563669, 0.0834657, 0.1105976, 0.1476548");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1018034, 0.1287339, 0.1559109, 0.1830648, 0.2201620");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("26.4862");
+						index_3("0.001");
+						index_4("13.2249881, 13.9761024, 16.8624195, 19.0797678, 19.6486846");
+						values("0.401293, 0.642068, 0.802586, 0.642068, 0.401293");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("16.093");
+						index_3("0.001");
+						index_4("8.0416215, 8.5557147, 10.5826070, 11.9887464, 12.3576693");
+						values("0.412481, 0.65997, 0.824962, 0.65997, 0.412481");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("10.9234");
+						index_3("0.001");
+						index_4("5.4637568, 5.8487340, 7.2684861, 8.3509214, 8.6201356");
+						values("0.415546, 0.664873, 0.831091, 0.664873, 0.415546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27308");
+						index_2("13.2431");
+						index_3("0.001");
+						index_4("6.6641944, 7.0738016, 8.7502407, 9.5865790, 9.8718803");
+						values("0.211996, 0.339193, 0.423992, 0.339193, 0.211996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("8.0465");
+						index_3("0.001");
+						index_4("4.0587782, 4.3372681, 5.5247337, 6.0217502, 6.2103697");
+						values("0.217214, 0.347543, 0.434428, 0.347543, 0.217214");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37935");
+						index_2("5.46169");
+						index_3("0.001");
+						index_4("2.7628634, 2.9719288, 3.8106356, 4.1896395, 4.3305311");
+						values("0.2186, 0.349761, 0.437201, 0.349761, 0.2186");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32951");
+						index_2("24.1395");
+						index_3("0.001");
+						index_4("11.9975515, 12.7616129, 15.6998933, 17.9134673, 18.4774468");
+						values("0.580269, 0.92843, 1.16054, 0.92843, 0.580269");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("3.43516");
+						index_3("0.001");
+						index_4("1.7288094, 1.8776652, 2.6228945, 3.1437856, 3.3669320");
+						values("1.18675, 0.938802, 0.773503, 0.938802, 1.18675");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("2.0076");
+						index_3("0.001");
+						index_4("1.0142769, 1.1147908, 1.6101532, 1.9287777, 2.0877870");
+						values("1.1806, 0.928957, 0.761196, 0.928957, 1.1806");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("1.3695");
+						index_3("0.001");
+						index_4("0.6936763, 0.7694975, 1.0646516, 1.3627068, 1.5098323");
+						values("1.1751, 0.920162, 0.750202, 0.920162, 1.1751");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10722");
+						index_2("1.71758");
+						index_3("0.001");
+						index_4("0.8746419, 0.9546045, 1.2787284, 1.5953644, 1.7298204");
+						values("1.39658, 1.27452, 1.19316, 1.27452, 1.39658");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("1.0038");
+						index_3("0.001");
+						index_4("0.5137859, 0.5673010, 0.8375683, 0.9843585, 1.0899306");
+						values("1.39107, 1.26571, 1.18213, 1.26571, 1.39107");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25894");
+						index_2("0.684751");
+						index_3("0.001");
+						index_4("0.3532492, 0.3934422, 0.5428919, 0.6983915, 0.8011422");
+						values("1.39264, 1.26822, 1.18527, 1.26822, 1.39264");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1884");
+						index_2("3.0114");
+						index_3("0.001");
+						index_4("1.5155838, 1.6640941, 2.3616786, 2.8550396, 3.0844370");
+						values("0.964854, 0.583766, 0.329708, 0.583766, 0.964854");
+					}
+				}
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.005757;
+			capacitance : 0.005906;
+			rise_capacitance : 0.006054;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0486577, 3.9028881, 3.7804100, 3.6750361, 3.5923600, 3.4941135, 3.4416415", \
+					  "4.4900442, 4.3473268, 4.2233530, 4.1235586, 4.0261175, 3.9334867, 3.8860802", \
+					  "4.9486102, 4.8045068, 4.6819093, 4.5794216, 4.4938291, 4.3849016, 4.3502395", \
+					  "5.3989917, 5.2355762, 5.1276923, 5.0058294, 4.9289162, 4.8283278, 4.7798697", \
+					  "5.7697165, 5.6225298, 5.5000100, 5.3971164, 5.3225738, 5.2151717, 5.1697564", \
+					  "6.1374966, 5.9932542, 5.8662002, 5.7740619, 5.6798542, 5.5805443, 5.5057206", \
+					  "6.5537645, 6.4079935, 6.2810009, 6.1814423, 6.0887951, 6.0031125, 5.9463988");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6473220, 3.5281851, 3.3399228, 3.1717198, 3.0332897, 2.8318561, 2.5968041", \
+					  "4.1048225, 3.9841599, 3.7960024, 3.6114192, 3.4466923, 3.2832533, 3.0524583", \
+					  "4.5523183, 4.4331816, 4.2460353, 4.0639179, 3.9364967, 3.7276971, 3.4957961", \
+					  "4.9640428, 4.8449056, 4.6586702, 4.4884499, 4.3501787, 4.1401529, 3.9135480", \
+					  "5.3998724, 5.2807357, 5.0924112, 4.9228073, 4.7468494, 4.5814999, 4.3555047", \
+					  "5.8025294, 5.6818664, 5.4923273, 5.3130969, 5.1609186, 4.9748559, 4.7489828", \
+					  "6.1843986, 6.0652614, 5.8811927, 5.7054928, 5.5379810, 5.3597773, 5.1273619");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5472203, -3.3948328, -3.2744229, -3.1672682, -3.0867590, -3.0460851, -2.9502038", \
+					  "-3.9930221, -3.8396568, -3.7278764, -3.6086371, -3.6030454, -3.4286331, -3.4180505", \
+					  "-4.4423484, -4.2935426, -4.1832575, -4.0653580, -4.0614931, -3.9246612, -3.8313123", \
+					  "-4.8749055, -4.7276576, -4.6160166, -4.5026744, -4.4929084, -4.3569838, -4.2649250", \
+					  "-5.2752511, -5.1276349, -5.0264279, -4.8958777, -4.8586488, -4.7422614, -4.6941763", \
+					  "-5.6335880, -5.4863262, -5.3805993, -5.2557622, -5.1894988, -5.1385542, -5.0613755", \
+					  "-6.0425071, -5.8967712, -5.7920617, -5.6764772, -5.6472846, -5.4999265, -5.4711530");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5182372, -3.3240026, -3.1647196, -2.9692761, -2.7875768, -2.6045283, -2.4046218", \
+					  "-3.9625127, -3.7637006, -3.5815344, -3.4106726, -3.2298814, -3.0416305, -2.8592157", \
+					  "-4.4148913, -4.2191310, -4.0393504, -3.8671157, -3.6717213, -3.4975233, -3.2997502", \
+					  "-4.8641803, -4.6920896, -4.4805659, -4.3189396, -4.1454242, -3.9446386, -3.7608967", \
+					  "-5.2704776, -5.0747173, -4.9138406, -4.7252071, -4.5347159, -4.3525030, -4.1639010", \
+					  "-5.6561455, -5.4765381, -5.2837403, -5.1136577, -4.9343020, -4.7329475, -4.5425301", \
+					  "-6.0150500, -5.8210061, -5.6562010, -5.4580475, -5.2978197, -5.1022059, -4.8999087");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0257856, 0.0290601, 0.0289351, 0.0288150, 0.0286899, 0.0285648");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263507, 0.0308971, 0.0354436, 0.0353219, 0.0352050, 0.0350833, 0.0349616");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000988165";
+				miller_cap_rise : "0.000929189";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72807e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14685e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49617e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.2639e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93537e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19678e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36256e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33018e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57178e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39933e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34266e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039403, 0.2113628, 0.3318726, 0.4716165, 0.6687019");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744172, 0.2823863, 0.4017333, 0.5424573, 0.7379632");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277201, 0.0491792, 0.0708337, 0.0926163, 0.1216460");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996952, 0.1213340, 0.1429640, 0.1648389, 0.1938405");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.969");
+						index_3("0.001");
+						index_4("10.4723192, 11.0697236, 13.3812671, 15.1203598, 15.5598865");
+						values("0.411862, 0.658978, 0.823723, 0.658978, 0.411862");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.742");
+						index_3("0.001");
+						index_4("6.3699204, 6.7766566, 8.5344872, 9.4981688, 9.7883607");
+						values("0.423185, 0.677097, 0.846371, 0.677097, 0.423185");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64912");
+						index_3("0.001");
+						index_4("4.3285713, 4.6333259, 5.7853328, 6.6175542, 6.8286526");
+						values("0.426242, 0.681988, 0.852485, 0.681988, 0.426242");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4845");
+						index_3("0.001");
+						index_4("5.2857632, 5.6208968, 6.8970474, 7.5911936, 7.8165506");
+						values("0.220399, 0.352638, 0.440797, 0.352638, 0.220399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37099");
+						index_3("0.001");
+						index_4("3.2228523, 3.4477145, 4.3527394, 4.7698526, 4.9187873");
+						values("0.224876, 0.359802, 0.449753, 0.359802, 0.224876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32456");
+						index_3("0.001");
+						index_4("2.1949235, 2.3628112, 2.9395651, 3.3204682, 3.4310739");
+						values("0.225318, 0.360508, 0.450635, 0.360508, 0.225318");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.113");
+						index_3("0.001");
+						index_4("9.4939725, 10.1073382, 12.4668156, 14.1917888, 14.6367219");
+						values("0.590902, 0.945443, 1.1818, 0.945443, 0.590902");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.85916");
+						index_3("0.001");
+						index_4("1.4429753, 1.5685793, 2.2040594, 2.6142897, 2.7999999");
+						values("1.16741, 0.907856, 0.734821, 0.907856, 1.16741");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67101");
+						index_3("0.001");
+						index_4("0.8467010, 0.9311354, 1.2802781, 1.6025809, 1.7348656");
+						values("1.15863, 0.893813, 0.717267, 0.893813, 1.15863");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13993");
+						index_3("0.001");
+						index_4("0.5804266, 0.6444995, 0.9539650, 1.1342387, 1.2540604");
+						values("1.15694, 0.891102, 0.713877, 0.891102, 1.15694");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42958");
+						index_3("0.001");
+						index_4("0.7311921, 0.7979169, 1.0826477, 1.3265766, 1.4381035");
+						values("1.38701, 1.25922, 1.17402, 1.25922, 1.38701");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.835506");
+						index_3("0.001");
+						index_4("0.4316941, 0.4768612, 0.6753953, 0.8185657, 0.9034792");
+						values("1.3864, 1.25824, 1.1728, 1.25824, 1.3864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.569963");
+						index_3("0.001");
+						index_4("0.2968176, 0.3312545, 0.4832034, 0.5813943, 0.6642873");
+						values("1.38401, 1.25442, 1.16803, 1.25442, 1.38401");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.50652");
+						index_3("0.001");
+						index_4("1.2662001, 1.3907187, 1.9727520, 2.3712059, 2.5596749");
+						values("0.926468, 0.522348, 0.252935, 0.522348, 0.926468");
+					}
+				}
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.026190;
+			capacitance : 0.026280;
+			fall_capacitance : 0.026371;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0031046, -0.0033688, -0.0036331, -0.0037343, -0.0038314, -0.0039325, -0.0040337");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0251305, 0.0258799, 0.0266294, 0.0264934, 0.0263630, 0.0262271, 0.0260911");
+				}
+			}*/
+			/* Copied over from enable_vswitch_h of gpio_ovtv2. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.031693;
+			capacitance : 0.032127;
+			rise_capacitance : 0.032560;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0502289, 0.0651197, 0.0800105, 0.0800087, 0.0800069, 0.0800051, 0.0800033");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0633641, 0.0800514, 0.0967387, 0.0967645, 0.0967892, 0.0968150, 0.0968408");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!OUT"; */
+				miller_cap_fall : "0.000835074";
+				miller_cap_rise : "0.000743838";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.22561, 0.38204, 0.365315, 0.356656, 0.344803, 0.337321, 0.328756, 0.319135, 0.308478, 0.296799, 0.2841, 0.270385, 0.255654, 0.239907, 0.223146, 0.205371, 0.186582, 0.166782, 0.145972, 0.124153, 0.101327, 0.077496, 0.052663, 0.0268301, -3.63798e-09, -0.0545844, -0.109054, -0.275399, -1.04022", \
+					  "1.15059, 0.187443, 0.181433, 0.17899, 0.176172, 0.17457, 0.172794, 0.170795, 0.168499, 0.165798, 0.162529, 0.158483, 0.153453, 0.147308, 0.139986, 0.131464, 0.12173, 0.110779, 0.0986088, 0.0852189, 0.0706094, 0.0547811, 0.0377354, 0.0194743, 3.63798e-09, -0.0410873, -0.0838841, -0.224876, -1.03133", \
+					  "1.11558, 0.0908212, 0.0868744, 0.0857762, 0.0845841, 0.0839436, 0.0832669, 0.0825471, 0.0817753, 0.0809397, 0.0800238, 0.079004, 0.0778441, 0.0764855, 0.0748229, 0.0726574, 0.0696736, 0.0655759, 0.0602147, 0.0535362, 0.0455214, 0.0361627, 0.0254568, 0.0134026, 1.27329e-08, -0.0299989, -0.0633389, -0.184111, -1.02425", \
+					  "1.10815, 0.0718441, 0.0609464, 0.0601499, 0.0592993, 0.058849, 0.0583786, 0.0578847, 0.0573633, 0.0568089, 0.0562141, 0.0555693, 0.0548604, 0.0540664, 0.0531537, 0.0520611, 0.0506599, 0.0486729, 0.0457082, 0.0415021, 0.0359574, 0.0290432, 0.0207482, 0.0110679, 1.63709e-08, -0.0257446, -0.0554805, -0.168556, -1.02167", \
+					  "1.10335, 0.0682504, 0.0387665, 0.0382204, 0.0376463, 0.0373464, 0.0370364, 0.0367149, 0.0363798, 0.036029, 0.0356595, 0.0352673, 0.0348473, 0.0343921, 0.033891, 0.0333272, 0.032671, 0.0318584, 0.0307172, 0.0288513, 0.0258353, 0.0214801, 0.0157321, 0.00857435, 2.00089e-08, -0.0212036, -0.0471041, -0.151959, -1.01898", \
+					  "1.10204, 0.0719107, 0.0292767, 0.0288389, 0.0283822, 0.0281453, 0.0279016, 0.0276502, 0.0273899, 0.0271193, 0.0268365, 0.0265393, 0.0262245, 0.025888, 0.0255239, 0.0251233, 0.0246719, 0.0241427, 0.0234701, 0.0224485, 0.0206126, 0.0175503, 0.0131153, 0.00726942, 2.18279e-08, -0.0188265, -0.0427229, -0.143258, -1.0176", \
+					  "1.10152, 0.0790289, 0.0209644, 0.0206227, 0.0202711, 0.0200899, 0.0199044, 0.0197142, 0.0195184, 0.0193162, 0.0191066, 0.0188883, 0.0186595, 0.0184179, 0.0181604, 0.0178825, 0.0175773, 0.0172334, 0.0168271, 0.0162909, 0.015376, 0.0135499, 0.0104361, 0.00592841, 2.18279e-08, -0.0163803, -0.0382158, -0.134285, -1.01619", \
+					  "1.10182, 0.0894923, 0.01394, 0.013661, 0.0134029, 0.0132708, 0.0131362, 0.0129989, 0.0128584, 0.0127144, 0.0125663, 0.0124133, 0.0122545, 0.0120888, 0.0119146, 0.0117298, 0.0115313, 0.0113142, 0.0110698, 0.0107787, 0.0103719, 0.00954731, 0.00771578, 0.0045574, 2.36469e-08, -0.0138684, -0.0335871, -0.125036, -1.01477", \
+					  "1.10301, 0.103168, 0.00845267, 0.00805863, 0.00788256, 0.00779316, 0.00770256, 0.00761063, 0.00751718, 0.00742198, 0.00732479, 0.00722526, 0.00712298, 0.00701744, 0.00690795, 0.00679359, 0.00667307, 0.0065445, 0.00640484, 0.00624841, 0.00606038, 0.00577152, 0.00501666, 0.00317465, 2.18279e-08, -0.0112971, -0.0288449, -0.115511, -1.01332", \
+					  "1.10514, 0.119911, 0.00530792, 0.00395523, 0.0038446, 0.00379128, 0.00373752, 0.00368326, 0.00362844, 0.00357296, 0.00351674, 0.00345965, 0.00340154, 0.00334222, 0.00328144, 0.00321889, 0.00315413, 0.00308654, 0.0030152, 0.00293857, 0.0028535, 0.00275006, 0.00255915, 0.00184122, 1.09139e-08, -0.00868076, -0.0240044, -0.105721, -1.01184", \
+					  "1.10829, 0.13955, 0.00627283, 0.001512, 0.00140745, 0.00138179, 0.00135608, 0.00133027, 0.00130433, 0.00127824, 0.00125198, 0.00122551, 0.0011988, 0.00117181, 0.00114446, 0.00111667, 0.00108835, 0.00105935, 0.00102945, 0.000998327, 0.000965385, 0.000929249, 0.00088368, 0.00074699, -1.23691e-07, -0.00605682, -0.0191006, -0.095697, -1.01036", \
+					  "1.11241, 0.161657, 0.0122474, 0.000761427, 0.000375743, 0.000365785, 0.000356654, 0.000347542, 0.000338427, 0.000329301, 0.00032016, 0.000310999, 0.000301809, 0.000292584, 0.000283312, 0.000273982, 0.000264574, 0.000255064, 0.000245416, 0.000235577, 0.000225456, 0.000214868, 0.000203181, 0.000183762, -2.33194e-06, -0.00354741, -0.0142303, -0.0855183, -1.00887", \
+					  "1.11729, 0.185409, 0.0222042, 0.00197295, 8.36558e-05, 6.84264e-05, 6.46521e-05, 6.12145e-05, 5.7853e-05, 5.45242e-05, 5.12127e-05, 4.79113e-05, 4.46134e-05, 4.13174e-05, 3.80196e-05, 3.47191e-05, 3.14103e-05, 2.80888e-05, 2.4751e-05, 2.13859e-05, 1.79771e-05, 1.45028e-05, 1.08703e-05, 6.33008e-06, -3.1423e-05, -0.00155301, -0.00966767, -0.0753594, -1.00742", \
+					  "1.12271, 0.210125, 0.0348653, 0.00627893, 1.57418e-05, -9.51688e-05, -0.00010743, -0.000116479, -0.000124829, -0.000132884, -0.000140782, -0.000148587, -0.000156335, -0.000164043, -0.000171727, -0.000179394, -0.000187052, -0.000194705, -0.000202357, -0.000210015, -0.000217682, -0.000225364, -0.000233076, -0.000240938, -0.000254704, -0.000703087, -0.0059821, -0.0656066, -1.00613", \
+					  "1.12863, 0.235459, 0.0492931, 0.0136303, 2.02096e-06, -0.000683028, -0.000758385, -0.000802775, -0.000841285, -0.000877402, -0.000912238, -0.000946291, -0.000979826, -0.001013, -0.00104591, -0.00107863, -0.00111121, -0.00114367, -0.00117605, -0.00120838, -0.00124065, -0.00127291, -0.00130514, -0.00133741, -0.00137042, -0.00152678, -0.00424218, -0.0572228, -1.00543", \
+					  "1.13504, 0.261203, 0.0646345, 0.0223897, 1.63456e-07, -0.00294625, -0.00347676, -0.00366352, -0.00379983, -0.00391851, -0.00402832, -0.00413284, -0.00423385, -0.0043324, -0.00442913, -0.00452447, -0.00461873, -0.00471212, -0.00480481, -0.00489693, -0.00498857, -0.00507984, -0.0051708, -0.00526155, -0.0053522, -0.00555043, -0.00666497, -0.0523687, -1.00628", \
+					  "1.142, 0.287188, 0.0800925, 0.0312739, 1.14646e-08, -0.00723418, -0.00992853, -0.0106878, -0.0110855, -0.0113853, -0.0116423, -0.0118758, -0.0120944, -0.0123028, -0.0125039, -0.0126994, -0.0128906, -0.0130783, -0.0132632, -0.0134457, -0.0136263, -0.0138054, -0.013983, -0.0141596, -0.0143353, -0.0146875, -0.0152557, -0.0531678, -1.00956", \
+					  "1.14954, 0.313253, 0.0951216, 0.0398408, 2.03019e-09, -0.0121771, -0.0190832, -0.0217962, -0.0228828, -0.0235462, -0.0240555, -0.024489, -0.024878, -0.0252379, -0.0255774, -0.0259019, -0.0262148, -0.0265187, -0.0268153, -0.0271059, -0.0273915, -0.0276729, -0.0279507, -0.0282256, -0.028498, -0.0290379, -0.0296145, -0.0598211, -1.01523", \
+					  "1.15774, 0.339232, 0.109479, 0.0479734, 1.47465e-09, -0.0170398, -0.0288163, -0.0353639, -0.0381894, -0.0395981, -0.0405373, -0.0412731, -0.0418994, -0.0424583, -0.042972, -0.0434532, -0.0439101, -0.0443483, -0.0447715, -0.0451825, -0.0455834, -0.045976, -0.0463614, -0.0467408, -0.0471153, -0.0478527, -0.0485866, -0.0717346, -1.02295", \
+					  "1.16669, 0.364946, 0.123092, 0.055645, 1.4182e-09, -0.0216361, -0.0382136, -0.0494918, -0.0556607, -0.0586039, -0.0602971, -0.0614974, -0.0624569, -0.0632779, -0.06401, -0.0646806, -0.0653065, -0.0658983, -0.0664635, -0.0670073, -0.0675336, -0.0680453, -0.0685448, -0.0690339, -0.0695143, -0.0704545, -0.0713768, -0.0883964, -1.0324", \
+					  "1.17651, 0.390198, 0.135956, 0.0628575, 1.38834e-09, -0.0259319, -0.0470622, -0.0631131, -0.0737686, -0.0795276, -0.0825663, -0.084499, -0.0859347, -0.0871047, -0.0881132, -0.0890143, -0.0898393, -0.0906078, -0.0913328, -0.0920234, -0.0926861, -0.0933259, -0.0939464, -0.0945506, -0.0951412, -0.0962896, -0.0974071, -0.109426, -1.04335", \
+					  "1.18736, 0.414773, 0.148085, 0.0696239, 1.36069e-09, -0.029931, -0.0553269, -0.0759227, -0.0913323, -0.101171, -0.106522, -0.109631, -0.111761, -0.113403, -0.114765, -0.115949, -0.11701, -0.117983, -0.118889, -0.119742, -0.120554, -0.121332, -0.122082, -0.122808, -0.123513, -0.124877, -0.126193, -0.134535, -1.05559", \
+					  "1.19945, 0.438456, 0.159503, 0.075962, 1.33467e-09, -0.0336475, -0.0630199, -0.0878743, -0.107861, -0.12238, -0.131233, -0.136224, -0.139383, -0.141673, -0.143492, -0.145026, -0.14637, -0.147581, -0.148692, -0.149728, -0.150704, -0.151632, -0.15252, -0.153375, -0.154201, -0.155787, -0.157306, -0.163475, -1.06894", \
+					  "1.21305, 0.461049, 0.170239, 0.0818916, 1.31056e-09, -0.0370979, -0.0701682, -0.0989902, -0.123261, -0.142436, -0.155702, -0.163548, -0.168247, -0.171441, -0.173861, -0.175832, -0.177517, -0.179006, -0.180353, -0.181593, -0.182751, -0.183842, -0.184879, -0.185871, -0.186825, -0.188643, -0.19037, -0.195804, -1.08325", \
+					  "1.22849, 0.482412, 0.18032, 0.0874333, 1.28854e-09, -0.0402995, -0.0768034, -0.109312, -0.137563, -0.161111, -0.179086, -0.190807, -0.197778, -0.202248, -0.205465, -0.207989, -0.210089, -0.211906, -0.213525, -0.214996, -0.216355, -0.217625, -0.218823, -0.219962, -0.221052, -0.223114, -0.225056, -0.230648, -1.09839", \
+					  "1.26626, 0.521242, 0.198636, 0.0974348, 1.25144e-09, -0.0460216, -0.0886646, -0.127765, -0.16312, -0.194433, -0.221138, -0.242092, -0.256301, -0.265103, -0.270857, -0.275007, -0.278248, -0.280925, -0.283225, -0.285258, -0.287094, -0.288778, -0.290343, -0.291811, -0.2932, -0.295788, -0.298186, -0.304777, -1.13072", \
+					  "1.31298, 0.555118, 0.214683, 0.106126, 1.22526e-09, -0.0509367, -0.0988522, -0.14361, -0.185051, -0.222962, -0.256995, -0.286436, -0.309885, -0.326173, -0.336588, -0.343535, -0.348581, -0.352522, -0.355769, -0.358547, -0.360991, -0.363188, -0.365194, -0.367049, -0.368783, -0.371966, -0.374865, -0.382594, -1.16506", \
+					  "1.41186, 0.632714, 0.251379, 0.125741, 1.24996e-09, -0.061845, -0.12146, -0.178764, -0.233669, -0.286073, -0.335848, -0.382817, -0.42668, -0.466852, -0.502128, -0.530576, -0.551105, -0.565142, -0.575027, -0.582418, -0.588262, -0.593088, -0.597208, -0.600817, -0.604039, -0.609646, -0.614465, -0.626243, -1.2738", \
+					  "1.49594, 0.705692, 0.285048, 0.143407, 2.02172e-09, -0.0714808, -0.141477, -0.209952, -0.276863, -0.34217, -0.405824, -0.467775, -0.527961, -0.586307, -0.642709, -0.697008, -0.748933, -0.797984, -0.843203, -0.882961, -0.915394, -0.939961, -0.958032, -0.971566, -0.982094, -0.997718, -1.00917, -1.0324, -1.46082");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1189972, 0.2574779, 0.4130698, 0.5922232, 0.8424859");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1910833, 0.3289591, 0.4850985, 0.6637704, 0.9136702");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0286899, 0.0559697, 0.0837750, 0.1117561, 0.1501011");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1016355, 0.1287563, 0.1565778, 0.1853735, 0.2236401");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("26.2461");
+						index_3("0.001");
+						index_4("13.0953835, 13.8383088, 16.8761153, 18.9283161, 19.4861626");
+						values("0.400775, 0.64124, 0.80155, 0.64124, 0.400775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("15.9446");
+						index_3("0.001");
+						index_4("7.9613579, 8.4656068, 10.6244630, 11.8918340, 12.2542449");
+						values("0.411309, 0.658094, 0.822618, 0.658094, 0.411309");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("10.822");
+						index_3("0.001");
+						index_4("5.4084265, 5.7859002, 7.4459567, 8.2810855, 8.5460507");
+						values("0.414641, 0.663426, 0.829282, 0.663426, 0.414641");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.273");
+						index_2("13.1231");
+						index_3("0.001");
+						index_4("6.6054211, 7.0092687, 8.6369292, 9.5008618, 9.7835943");
+						values("0.213784, 0.342055, 0.427569, 0.342055, 0.213784");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("7.97228");
+						index_3("0.001");
+						index_4("4.0237697, 4.2994896, 5.4180011, 5.9650548, 6.1532621");
+						values("0.21864, 0.349825, 0.437281, 0.349825, 0.21864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37928");
+						index_2("5.41101");
+						index_3("0.001");
+						index_4("2.7366513, 2.9440249, 3.7434494, 4.1537936, 4.2926943");
+						values("0.221495, 0.354391, 0.442989, 0.354391, 0.221495");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32944");
+						index_2("23.9169");
+						index_3("0.001");
+						index_4("11.8726166, 12.6359265, 15.5726371, 17.7621405, 18.3251376");
+						values("0.576246, 0.921993, 1.15249, 0.921993, 0.576246");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("3.45431");
+						index_3("0.001");
+						index_4("1.7375928, 1.8866642, 2.6282233, 3.1592069, 3.3855415");
+						values("1.19296, 0.948736, 0.78592, 0.948736, 1.19296");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("2.01883");
+						index_3("0.001");
+						index_4("1.0187831, 1.1187040, 1.6088235, 1.9377474, 2.0975840");
+						values("1.18631, 0.9381, 0.772624, 0.9381, 1.18631");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("1.37702");
+						index_3("0.001");
+						index_4("0.6969738, 0.7727375, 1.1389132, 1.3699782, 1.5170153");
+						values("1.18279, 0.932462, 0.765578, 0.932462, 1.18279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10752");
+						index_2("1.72716");
+						index_3("0.001");
+						index_4("0.8795537, 0.9592592, 1.3528277, 1.6005593, 1.7376588");
+						values("1.39872, 1.27796, 1.19745, 1.27796, 1.39872");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("1.00942");
+						index_3("0.001");
+						index_4("0.5171869, 0.5707879, 0.8351597, 0.9877772, 1.0936520");
+						values("1.39687, 1.27499, 1.19374, 1.27499, 1.39687");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25902");
+						index_2("0.688508");
+						index_3("0.001");
+						index_4("0.3545197, 0.3949625, 0.5735328, 0.7001388, 0.8030241");
+						values("1.39411, 1.27058, 1.18822, 1.27058, 1.39411");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18858");
+						index_2("3.02825");
+						index_3("0.001");
+						index_4("1.5236606, 1.6749978, 2.3960311, 2.8656646, 3.0979251");
+						values("0.971095, 0.593752, 0.34219, 0.593752, 0.971095");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.050800;
+			capacitance : 0.051200;
+			rise_capacitance : 0.051599;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0119384, -0.0121619, -0.0123855, -0.0123867, -0.0123878, -0.0123889, -0.0123901");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0121638, 0.0122941, 0.0124244, 0.0124067, 0.0123897, 0.0123720, 0.0123543");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00125409";
+				miller_cap_rise : "0.000530199";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.21863, 0.228223, 0.215816, 0.211412, 0.206115, 0.202999, 0.199461, 0.195386, 0.190638, 0.185092, 0.178696, 0.171464, 0.163418, 0.15456, 0.144885, 0.13438, 0.123031, 0.110824, 0.0977423, 0.0837713, 0.0688945, 0.0530957, 0.0363583, 0.0186654, -6.87701e-09, -0.0391835, -0.0803074, -0.23011, -1.60147", \
+					  "2.13457, 0.114693, 0.106717, 0.105035, 0.103139, 0.10209, 0.100956, 0.099722, 0.0983642, 0.096853, 0.0951458, 0.0931814, 0.0908689, 0.0880779, 0.0846468, 0.0804346, 0.0753581, 0.0693738, 0.062453, 0.0545721, 0.045709, 0.035842, 0.0249495, 0.0130095, -6.20516e-09, -0.028492, -0.0598217, -0.18215, -1.59219", \
+					  "2.09295, 0.058434, 0.0515776, 0.0508141, 0.0499817, 0.049534, 0.0490611, 0.0485593, 0.0480236, 0.0474478, 0.0468238, 0.0461408, 0.045384, 0.0445319, 0.0435524, 0.0423927, 0.0409578, 0.0390692, 0.036474, 0.032981, 0.0285021, 0.0229941, 0.016426, 0.00877018, -7.30729e-09, -0.0205291, -0.0446785, -0.146585, -1.58539", \
+					  "2.08148, 0.0433784, 0.0362623, 0.0357206, 0.0351368, 0.0348258, 0.0344999, 0.0341567, 0.0337938, 0.0334077, 0.0329942, 0.0325479, 0.0320615, 0.0315247, 0.0309232, 0.0302348, 0.0294226, 0.0284148, 0.0270509, 0.0250428, 0.0221381, 0.0182242, 0.0132515, 0.00718661, -7.64926e-09, -0.0175656, -0.0390678, -0.133355, -1.58295", \
+					  "2.07162, 0.0316956, 0.0230201, 0.0226627, 0.0222823, 0.0220818, 0.0218732, 0.0216556, 0.0214276, 0.0211876, 0.0209337, 0.0206632, 0.0203729, 0.0200585, 0.0197139, 0.0193306, 0.0188955, 0.0183864, 0.0177581, 0.0168895, 0.0154827, 0.0132029, 0.00989737, 0.00550838, -5.3655e-09, -0.0144327, -0.033151, -0.119367, -1.58041", \
+					  "2.06737, 0.0273623, 0.0172967, 0.017018, 0.0167234, 0.016569, 0.0164092, 0.0162431, 0.0160701, 0.0158889, 0.0156983, 0.0154968, 0.0152822, 0.0150518, 0.0148021, 0.0145278, 0.0142216, 0.0138715, 0.0134556, 0.012921, 0.0120961, 0.0106047, 0.00815112, 0.0046312, -6.58765e-09, -0.0128001, -0.0300742, -0.11208, -1.57911", \
+					  "2.06364, 0.0240809, 0.0122404, 0.0120316, 0.0118128, 0.0116989, 0.0115814, 0.0114601, 0.0113343, 0.0112034, 0.0110666, 0.010923, 0.0107714, 0.0106101, 0.0104371, 0.0102495, 0.0100434, 0.00981251, 0.00954657, 0.00922428, 0.00878008, 0.00796753, 0.00635872, 0.00372626, -4.89438e-09, -0.0111219, -0.0269175, -0.104605, -1.57779", \
+					  "2.06045, 0.0218743, 0.00791213, 0.00776466, 0.00761195, 0.00753302, 0.0074521, 0.00736895, 0.00728327, 0.00719472, 0.00710288, 0.00700722, 0.00690709, 0.00680166, 0.00668985, 0.00657023, 0.0064408, 0.00629863, 0.00613919, 0.0059542, 0.00572346, 0.00536188, 0.00452789, 0.002792, -3.71235e-09, -0.00939712, -0.0236814, -0.0969602, -1.57647", \
+					  "2.05788, 0.020792, 0.00440982, 0.00431356, 0.00421733, 0.00416802, 0.00411778, 0.0040665, 0.00401404, 0.00396025, 0.00390492, 0.00384782, 0.00378866, 0.00372706, 0.00366257, 0.00359455, 0.00352217, 0.00344425, 0.00335903, 0.00326363, 0.00315231, 0.00300698, 0.002715, 0.0018371, -6.001e-09, -0.00762399, -0.0203687, -0.08919, -1.57517", \
+					  "2.05606, 0.0209592, 0.00191495, 0.00185178, 0.00180115, 0.00177551, 0.00174957, 0.0017233, 0.00169666, 0.00166958, 0.001642, 0.00161384, 0.001585, 0.00155537, 0.00152479, 0.00149306, 0.00145991, 0.001425, 0.00138779, 0.0013475, 0.00130273, 0.0012503, 0.00117542, 0.000926097, -7.6374e-09, -0.00580234, -0.0169883, -0.0813603, -1.57388", \
+					  "2.05512, 0.022493, 0.00062161, 0.000533617, 0.000513822, 0.00050411, 0.000494361, 0.000484567, 0.000474718, 0.000464802, 0.000454805, 0.000444712, 0.0004345, 0.000424148, 0.000413622, 0.000402881, 0.000391876, 0.000380534, 0.000368753, 0.00035639, 0.000343205, 0.000328732, 0.000311538, 0.000277025, -1.23424e-08, -0.00394766, -0.0135664, -0.0735495, -1.57263", \
+					  "2.05491, 0.0251099, 0.000440484, 0.000103927, 9.67325e-05, 9.43385e-05, 9.19608e-05, 8.95875e-05, 8.72147e-05, 8.48424e-05, 8.24697e-05, 8.00919e-05, 7.77076e-05, 7.53126e-05, 7.29067e-05, 7.04781e-05, 6.80278e-05, 6.55401e-05, 6.30005e-05, 6.03982e-05, 5.76966e-05, 5.484e-05, 5.16888e-05, 4.71954e-05, -3.79956e-08, -0.00216687, -0.0101778, -0.0658339, -1.57142", \
+					  "2.05503, 0.02822, 0.00113274, 2.7793e-05, 1.40129e-05, 1.35397e-05, 1.31393e-05, 1.2745e-05, 1.23546e-05, 1.19646e-05, 1.15769e-05, 1.11882e-05, 1.08028e-05, 1.04161e-05, 1.00342e-05, 9.65336e-06, 9.2684e-06, 8.8821e-06, 8.48886e-06, 8.09132e-06, 7.6788e-06, 7.25456e-06, 6.78973e-06, 6.18972e-06, -1.61352e-07, -0.000815794, -0.00697672, -0.0582771, -1.57025", \
+					  "2.05528, 0.0314959, 0.00247582, 7.48047e-05, 1.70078e-06, 1.16881e-06, 1.03817e-06, 9.37075e-07, 8.4362e-07, 7.52443e-07, 6.64932e-07, 5.78208e-07, 5.00496e-07, 4.43133e-07, 3.93656e-07, 3.46064e-07, 2.96672e-07, 2.45217e-07, 1.96024e-07, 1.46733e-07, 9.57953e-08, 4.16548e-08, -1.22575e-08, -8.72298e-08, -8.39532e-07, -0.00018826, -0.00416811, -0.0509281, -1.56913", \
+					  "2.05559, 0.0348345, 0.00422999, 0.000366521, 1.90967e-07, -2.54937e-06, -3.01782e-06, -3.32032e-06, -3.58226e-06, -3.82546e-06, -4.05553e-06, -4.25325e-06, -4.34579e-06, -4.36989e-06, -4.38445e-06, -4.39683e-06, -4.40813e-06, -4.4186e-06, -4.43236e-06, -4.44143e-06, -4.45388e-06, -4.46457e-06, -4.47408e-06, -4.48674e-06, -4.57031e-06, -3.46027e-05, -0.0019877, -0.0438237, -1.56805", \
+					  "2.05598, 0.0381944, 0.00621603, 0.00114912, 2.56522e-08, -1.58625e-05, -1.85622e-05, -2.02452e-05, -2.16746e-05, -2.2982e-05, -2.41528e-05, -2.48589e-05, -2.50219e-05, -2.50779e-05, -2.51172e-05, -2.51503e-05, -2.518e-05, -2.52072e-05, -2.52326e-05, -2.52565e-05, -2.52837e-05, -2.53054e-05, -2.53254e-05, -2.53479e-05, -2.53746e-05, -2.96253e-05, -0.0006818, -0.0370023, -1.56704", \
+					  "2.05645, 0.0415444, 0.00829705, 0.00231848, 8.37602e-09, -9.63801e-05, -0.00011388, -0.000123467, -0.000131113, -0.000137682, -0.000142502, -0.000144163, -0.000144547, -0.000144752, -0.00014491, -0.000145046, -0.000145169, -0.000145283, -0.00014539, -0.000145491, -0.000145588, -0.000145681, -0.00014577, -0.000145856, -0.000145942, -0.000146636, -0.000287904, -0.0305688, -1.56613", \
+					  "2.05696, 0.0448531, 0.0103558, 0.00359159, 6.59591e-09, -0.000458626, -0.000578938, -0.00062936, -0.00066305, -0.000687463, -0.00070087, -0.000704915, -0.000706312, -0.000707161, -0.000707816, -0.000708371, -0.000708862, -0.000709309, -0.000709723, -0.000710111, -0.000710479, -0.00071083, -0.000711167, -0.000711492, -0.000711806, -0.000712466, -0.000736857, -0.0249035, -1.56556", \
+					  "2.05747, 0.0480875, 0.0123007, 0.00478557, 6.2526e-09, -0.00115613, -0.00168797, -0.00190513, -0.00201769, -0.00208347, -0.00211517, -0.0021268, -0.00213204, -0.0021354, -0.00213795, -0.00214006, -0.00214188, -0.00214352, -0.002145, -0.00214637, -0.00214765, -0.00214886, -0.00215001, -0.0021511, -0.00215216, -0.00215415, -0.00215968, -0.0205125, -1.56558", \
+					  "2.05797, 0.0512127, 0.01408, 0.00583911, 6.38271e-09, -0.00185241, -0.00303496, -0.00368429, -0.00401349, -0.00417992, -0.00425657, -0.00428936, -0.00430643, -0.00431771, -0.00432626, -0.00433323, -0.00433917, -0.0043444, -0.0043491, -0.00435339, -0.00435735, -0.00436105, -0.00436453, -0.00436782, -0.00437095, -0.00437682, -0.00438277, -0.0174537, -1.56616", \
+					  "2.05844, 0.0541578, 0.0156781, 0.00674955, 6.37806e-09, -0.00241702, -0.00417899, -0.00535883, -0.00607196, -0.00645752, -0.0066432, -0.00673165, -0.00678171, -0.00681564, -0.00684138, -0.00686225, -0.00687991, -0.00689531, -0.00690903, -0.00692144, -0.00693283, -0.00694337, -0.00695322, -0.00696248, -0.00697123, -0.0069875, -0.0070025, -0.0155774, -1.56704", \
+					  "2.05888, 0.056963, 0.0171008, 0.00753248, 6.37271e-09, -0.00286398, -0.0050714, -0.00667386, -0.00774486, -0.00838441, -0.00872398, -0.0089035, -0.0090126, -0.00908909, -0.009148, -0.00919612, -0.009237, -0.00927271, -0.00930453, -0.00933334, -0.00935975, -0.00938419, -0.009407, -0.00942843, -0.00944869, -0.00948627, -0.00952069, -0.0146551, -1.56794", \
+					  "2.05929, 0.0595745, 0.0183631, 0.0082068, 6.36687e-09, -0.00322281, -0.00577276, -0.00768731, -0.00901981, -0.00985316, -0.010322, -0.0105866, -0.0107553, -0.0108768, -0.0109717, -0.0110501, -0.0111171, -0.0111759, -0.0112285, -0.0112763, -0.0113202, -0.011361, -0.0113991, -0.011435, -0.011469, -0.0115322, -0.0115902, -0.01446, -1.56862", \
+					  "2.05967, 0.0619838, 0.019483, 0.00879005, 6.365e-09, -0.00351638, -0.0063363, -0.00848686, -0.0100101, -0.0109832, -0.0115478, -0.0118789, -0.012096, -0.0122548, -0.0123802, -0.0124843, -0.0125737, -0.0126525, -0.0127231, -0.0127874, -0.0128466, -0.0129017, -0.0129532, -0.0130018, -0.0130478, -0.0131336, -0.0132126, -0.0148493, -1.56907", \
+					  "2.06001, 0.064193, 0.0204779, 0.00929725, 6.36773e-09, -0.00376076, -0.00679881, -0.00913393, -0.010802, -0.0118795, -0.0125167, -0.0128995, -0.013155, -0.013344, -0.0134941, -0.0136193, -0.013727, -0.0138222, -0.0139076, -0.0139855, -0.0140573, -0.0141241, -0.0141867, -0.0142457, -0.0143017, -0.0144061, -0.0145022, -0.0155979, -1.56939", \
+					  "2.06061, 0.0680533, 0.0221549, 0.0101312, 6.37811e-09, -0.00414407, -0.00751332, -0.0101194, -0.0119938, -0.013218, -0.0139583, -0.0144162, -0.0147286, -0.0149628, -0.0151502, -0.0153072, -0.0154429, -0.0155629, -0.0156709, -0.0157695, -0.0158604, -0.0159451, -0.0160245, -0.0160995, -0.0161706, -0.0163032, -0.0164255, -0.0172816, -1.56989", \
+					  "2.06109, 0.0712643, 0.0235007, 0.0107836, 6.3849e-09, -0.00443082, -0.0080401, -0.0108365, -0.0128521, -0.0141755, -0.0149862, -0.0154964, -0.0158492, -0.0161157, -0.01633, -0.01651, -0.0166659, -0.0168039, -0.0169283, -0.0170419, -0.0171467, -0.0172444, -0.017336, -0.0174225, -0.0175046, -0.0176577, -0.0177988, -0.0186651, -1.57048", \
+					  "2.06206, 0.0780594, 0.0262486, 0.0120797, 6.3798e-09, -0.0049755, -0.00902558, -0.0121608, -0.0144217, -0.015916, -0.0168495, -0.0174526, -0.017878, -0.0182033, -0.0184668, -0.0186891, -0.0188822, -0.0190535, -0.0192081, -0.0193494, -0.01948, -0.0196017, -0.0197158, -0.0198236, -0.0199259, -0.0201168, -0.0202927, -0.0212433, -1.57206", \
+					  "2.06283, 0.0840227, 0.0286419, 0.0131837, 6.36305e-09, -0.0054227, -0.00982284, -0.0132194, -0.0156655, -0.0172882, -0.0183151, -0.01899, -0.0194724, -0.0198442, -0.0201469, -0.0204031, -0.020626, -0.0208242, -0.0210031, -0.0211668, -0.0213181, -0.0214591, -0.0215915, -0.0217164, -0.021835, -0.0220563, -0.0222602, -0.0232833, -1.5734");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3333445, 0.8068742, 1.2145999, 1.5640818, 2.0252790");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4073131, 0.8806020, 1.2887534, 1.6376458, 2.0989806");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.4202169, 0.8850260, 1.3609316, 1.9823262, 2.9695873");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4950134, 0.9596912, 1.4359485, 2.0575429, 3.0436005");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("85.7623");
+						index_3("0.001");
+						index_4("43.1397546, 45.5912424, 64.7550852, 69.4971884, 71.8869145");
+						values("0.375075, 0.60012, 0.75015, 0.60012, 0.375075");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("51.9262");
+						index_3("0.001");
+						index_4("26.1843178, 27.8833451, 40.3305421, 43.2447995, 44.9263383");
+						values("0.395634, 0.633014, 0.791267, 0.633014, 0.395634");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("34.9206");
+						index_3("0.001");
+						index_4("17.6458184, 18.9148938, 27.6117080, 29.7502118, 31.0300307");
+						values("0.40005, 0.64008, 0.8001, 0.64008, 0.40005");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28564");
+						index_2("42.8811");
+						index_3("0.001");
+						index_4("21.6081693, 22.8531814, 31.8343387, 35.0143962, 36.2920722");
+						values("0.179824, 0.287719, 0.359648, 0.287719, 0.179824");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("25.9631");
+						index_3("0.001");
+						index_4("13.1090231, 13.9713166, 19.4608931, 21.8285825, 22.7589223");
+						values("0.187399, 0.299839, 0.374799, 0.299839, 0.187399");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38478");
+						index_2("17.4603");
+						index_3("0.001");
+						index_4("8.8404938, 9.4868618, 13.3989730, 15.0274606, 15.7739816");
+						values("0.187897, 0.300636, 0.375794, 0.300636, 0.187897");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33815");
+						index_2("77.8894");
+						index_3("0.001");
+						index_4("39.4179193, 41.6380455, 59.8315581, 64.6009424, 66.8595760");
+						values("0.647868, 1.03659, 1.29574, 1.03659, 0.647868");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("96.5048");
+						index_3("0.001");
+						index_4("48.7054384, 51.3820253, 71.3682122, 79.6113570, 82.0792349");
+						values("1.19057, 0.944911, 0.781139, 0.944911, 1.19057");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("55.8588");
+						index_3("0.001");
+						index_4("28.2469086, 29.9951723, 42.5358683, 47.4429995, 49.0357534");
+						values("1.17669, 0.922711, 0.753389, 0.922711, 1.17669");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("37.6851");
+						index_3("0.001");
+						index_4("19.0955256, 20.4066170, 29.5593760, 32.7200469, 33.8886532");
+						values("1.1697, 0.911523, 0.739404, 0.911523, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.14553");
+						index_2("48.2524");
+						index_3("0.001");
+						index_4("24.6023383, 26.1489138, 36.7422013, 39.9570163, 41.2163889");
+						values("1.40586, 1.28937, 1.21171, 1.28937, 1.40586");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("27.9294");
+						index_3("0.001");
+						index_4("14.3076010, 15.3136033, 21.9241552, 23.8137521, 24.6426119");
+						values("1.39914, 1.27862, 1.19827, 1.27862, 1.39914");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24153");
+						index_2("18.8425");
+						index_3("0.001");
+						index_4("9.7042858, 10.4687826, 14.7701691, 16.4045902, 17.0420683");
+						values("1.39504, 1.27206, 1.19008, 1.27206, 1.39504");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1971");
+						index_2("83.7883");
+						index_3("0.001");
+						index_4("41.9025013, 44.1832468, 62.1012954, 71.4251090, 73.5904663");
+						values("1.01287, 0.660589, 0.425736, 0.660589, 1.01287");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016687;
+			capacitance : 0.016507;
+			fall_capacitance : 0.016327;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0177023, 0.0173015, 0.0169008, 0.0168346, 0.0167711, 0.0167049, 0.0166387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0206866, 0.0203479, 0.0200092, 0.0199618, 0.0199163, 0.0198689, 0.0198215");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000757039";
+				miller_cap_rise : "0.00050014";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.96739, 0.445156, 0.416165, 0.403119, 0.386983, 0.377496, 0.367, 0.355475, 0.342913, 0.329311, 0.314665, 0.298973, 0.282237, 0.264454, 0.245625, 0.225751, 0.204833, 0.18287, 0.159864, 0.135816, 0.110728, 0.0846, 0.057435, 0.0292343, -3.92092e-09, -0.0583582, -0.115006, -0.295747, -1.5178", \
+					  "1.88543, 0.287376, 0.272477, 0.266818, 0.260573, 0.257153, 0.253472, 0.24946, 0.245018, 0.240002, 0.234207, 0.227371, 0.21924, 0.20965, 0.198524, 0.185831, 0.171556, 0.155693, 0.138237, 0.119186, 0.0985392, 0.0762966, 0.0524584, 0.0270256, -2.23071e-09, -0.055612, -0.111351, -0.295026, -1.52158", \
+					  "1.8268, 0.167797, 0.1583, 0.155017, 0.151554, 0.149736, 0.147847, 0.145874, 0.143799, 0.141598, 0.13924, 0.136678, 0.133843, 0.130624, 0.126834, 0.122149, 0.116122, 0.108393, 0.0987923, 0.0872569, 0.0737619, 0.058295, 0.0408486, 0.021418, -1.51785e-09, -0.0465535, -0.0961564, -0.271274, -1.5174", \
+					  "1.8109, 0.131302, 0.120217, 0.117586, 0.114846, 0.113423, 0.111959, 0.110446, 0.108873, 0.10723, 0.1055, 0.103661, 0.101682, 0.0995157, 0.0970883, 0.0942671, 0.0907923, 0.0861956, 0.0799593, 0.0717952, 0.0616005, 0.0493379, 0.0349899, 0.0185464, -1.52817e-09, -0.0417394, -0.0878874, -0.257346, -1.51475", \
+					  "1.79792, 0.11085, 0.0845072, 0.0824722, 0.0803785, 0.0793042, 0.0782077, 0.0770852, 0.0759323, 0.074743, 0.0735099, 0.0722231, 0.0708692, 0.0694294, 0.0678759, 0.0661641, 0.0642147, 0.061858, 0.0586965, 0.0540853, 0.04755, 0.0389158, 0.0281269, 0.0151596, -1.54038e-09, -0.0359616, -0.0778542, -0.239887, -1.51142", \
+					  "1.7926, 0.108444, 0.0681001, 0.0663431, 0.064547, 0.0636306, 0.0626992, 0.0617504, 0.0607811, 0.0597874, 0.0587645, 0.057706, 0.0566034, 0.0554452, 0.0542147, 0.0528869, 0.0514196, 0.049731, 0.047621, 0.0445857, 0.0399179, 0.0332148, 0.0243514, 0.0132865, -1.54708e-09, -0.0327224, -0.0721813, -0.22977, -1.50949", \
+					  "1.78817, 0.110432, 0.0529724, 0.0514801, 0.0499653, 0.0491967, 0.0484191, 0.0476307, 0.0468297, 0.0460135, 0.0451792, 0.0443227, 0.0434391, 0.0425214, 0.0415602, 0.0405413, 0.0394431, 0.038227, 0.0368083, 0.0349425, 0.0319969, 0.0272468, 0.020379, 0.0113072, -1.5549e-09, -0.0292648, -0.0660889, -0.21871, -1.50738", \
+					  "1.78472, 0.116298, 0.0393612, 0.0381177, 0.0368682, 0.0362381, 0.0356034, 0.0349632, 0.0343163, 0.0336612, 0.0329962, 0.032319, 0.0316267, 0.0309155, 0.0301801, 0.0294132, 0.0286039, 0.0277343, 0.0267702, 0.025624, 0.0239879, 0.0210906, 0.0162482, 0.00923752, -1.57267e-09, -0.0256077, -0.0596014, -0.206708, -1.50509", \
+					  "1.78233, 0.125754, 0.0275249, 0.0264806, 0.0254814, 0.0249809, 0.0244792, 0.0239758, 0.0234701, 0.0229614, 0.0224485, 0.0219305, 0.0214058, 0.0208724, 0.0203277, 0.0197682, 0.0191888, 0.0185816, 0.0179331, 0.0172147, 0.0163354, 0.0149025, 0.0120194, 0.00710029, -1.75448e-09, -0.0217761, -0.0527541, -0.193782, -1.50263", \
+					  "1.78108, 0.138623, 0.0178747, 0.0167803, 0.0160173, 0.0156383, 0.0152607, 0.014884, 0.0145081, 0.0141326, 0.0137571, 0.013381, 0.0130037, 0.0126244, 0.0122419, 0.0118548, 0.0114608, 0.0110571, 0.0106387, 0.0101966, 0.00970884, 0.00908185, 0.00781582, 0.00493614, -4.72146e-09, -0.0178038, -0.0455947, -0.179979, -1.49999", \
+					  "1.78106, 0.154775, 0.0113971, 0.00922583, 0.00867979, 0.00841601, 0.00815507, 0.00789689, 0.0076414, 0.00738848, 0.007138, 0.00688978, 0.00664361, 0.00639922, 0.00615623, 0.00591416, 0.00567234, 0.00542981, 0.00518512, 0.00493588, 0.00467721, 0.00439427, 0.00399215, 0.00284458, -1.90778e-08, -0.0137382, -0.03819, -0.165395, -1.4972", \
+					  "1.78232, 0.17411, 0.010293, 0.00407989, 0.00367139, 0.00351717, 0.00336659, 0.00321954, 0.00307599, 0.00293589, 0.0027992, 0.00266588, 0.00253585, 0.00240903, 0.00228532, 0.00216458, 0.00204664, 0.00193126, 0.0018181, 0.00170664, 0.00159599, 0.00148407, 0.00136196, 0.00112086, -8.59442e-07, -0.0096593, -0.0306441, -0.150211, -1.49431", \
+					  "1.78495, 0.196505, 0.0163855, 0.00172894, 0.00105513, 0.000991557, 0.000932439, 0.000875977, 0.000822057, 0.000770597, 0.000721517, 0.000674739, 0.000630177, 0.000587745, 0.000547356, 0.000508912, 0.000472315, 0.000437454, 0.000404205, 0.00037242, 0.000341899, 0.000312311, 0.000282741, 0.000244965, -1.27668e-05, -0.00576493, -0.0231631, -0.134708, -1.49138", \
+					  "1.78884, 0.22143, 0.0286599, 0.00276103, 0.000212519, 0.000171606, 0.000152022, 0.000133821, 0.000116464, 9.98327e-05, 8.38595e-05, 6.84943e-05, 5.36932e-05, 3.94157e-05, 2.5624e-05, 1.22816e-05, -6.46865e-07, -1.31965e-05, -2.54029e-05, -3.73046e-05, -4.8946e-05, -6.03898e-05, -7.17731e-05, -8.40182e-05, -0.000137379, -0.00268916, -0.0162426, -0.119339, -1.48861", \
+					  "1.79352, 0.247689, 0.0446568, 0.008178, 4.02997e-05, -0.000154852, -0.000199207, -0.000238494, -0.000276883, -0.000315007, -0.000353067, -0.000391148, -0.000429295, -0.000467536, -0.000505885, -0.000544357, -0.000582958, -0.000621696, -0.000660579, -0.000699613, -0.000738806, -0.000778174, -0.000817743, -0.000857673, -0.000905673, -0.00170784, -0.0109998, -0.105135, -1.4866", \
+					  "1.79849, 0.274407, 0.0626036, 0.0176753, 7.76892e-06, -0.00103554, -0.00123949, -0.00139747, -0.00154775, -0.00169548, -0.00184226, -0.00198871, -0.00213519, -0.00228186, -0.00242883, -0.00257617, -0.00272391, -0.00287209, -0.00302073, -0.00316984, -0.00331943, -0.00346953, -0.00362016, -0.00377138, -0.00392459, -0.00437068, -0.00965701, -0.0944166, -1.48687", \
+					  "1.80361, 0.301271, 0.0814262, 0.0290098, 1.3151e-06, -0.00408242, -0.00504932, -0.00554796, -0.00597603, -0.00638061, -0.00677424, -0.00716187, -0.00754595, -0.00792783, -0.00830831, -0.00868793, -0.00906703, -0.00944587, -0.00982462, -0.0102034, -0.0105824, -0.0109617, -0.0113413, -0.0117215, -0.0121025, -0.0128926, -0.0155695, -0.0905853, -1.49151", \
+					  "1.80884, 0.328144, 0.100305, 0.0403909, 1.48009e-07, -0.00964825, -0.013477, -0.0148947, -0.0158428, -0.0166599, -0.0174206, -0.0181511, -0.0188633, -0.0195633, -0.020255, -0.0209405, -0.0216216, -0.0222992, -0.0229742, -0.0236471, -0.0243185, -0.0249887, -0.0256582, -0.0263272, -0.0269961, -0.0283404, -0.0301433, -0.0948458, -1.50084", \
+					  "1.81418, 0.354927, 0.118605, 0.0511764, 1.09622e-08, -0.0159824, -0.0250074, -0.0288286, -0.0307546, -0.032172, -0.0333982, -0.0345298, -0.0356064, -0.0366477, -0.0376647, -0.0386641, -0.0396504, -0.0406265, -0.0415947, -0.0425565, -0.0435131, -0.0444656, -0.0454148, -0.0463614, -0.0473063, -0.049195, -0.0511675, -0.105475, -1.51339", \
+					  "1.81962, 0.381539, 0.13593, 0.0612152, 1.96182e-09, -0.0221112, -0.037062, -0.045213, -0.0490415, -0.0513796, -0.0532059, -0.0548018, -0.0562716, -0.0576636, -0.0590034, -0.0603062, -0.0615815, -0.0628357, -0.0640735, -0.065298, -0.0665118, -0.0677168, -0.0689146, -0.0701065, -0.071294, -0.0736607, -0.0760403, -0.120722, -1.52792", \
+					  "1.82516, 0.407899, 0.15211, 0.0704958, 1.51467e-09, -0.0277914, -0.0485169, -0.0620512, -0.0691577, -0.0729732, -0.075616, -0.0777725, -0.0796805, -0.0814416, -0.0831075, -0.0847072, -0.0862585, -0.0877733, -0.0892596, -0.0907231, -0.0921682, -0.0935981, -0.0950155, -0.0964226, -0.0978215, -0.100602, -0.103375, -0.139586, -1.54378", \
+					  "1.8308, 0.433926, 0.167122, 0.079047, 1.48774e-09, -0.0329934, -0.0591175, -0.0781556, -0.0897906, -0.0959407, -0.0997395, -0.102603, -0.105019, -0.107183, -0.109189, -0.111088, -0.11291, -0.114675, -0.116395, -0.118081, -0.119738, -0.121372, -0.122987, -0.124586, -0.126172, -0.129315, -0.132438, -0.161474, -1.56062", \
+					  "1.83655, 0.459536, 0.181006, 0.0869106, 1.48922e-09, -0.0377395, -0.0688463, -0.0931124, -0.109884, -0.119425, -0.124887, -0.128672, -0.131696, -0.134314, -0.136686, -0.138895, -0.140991, -0.143003, -0.14495, -0.146847, -0.148704, -0.150528, -0.152324, -0.154098, -0.155854, -0.159321, -0.162754, -0.185977, -1.57822", \
+					  "1.84239, 0.484633, 0.193824, 0.0941317, 1.50606e-09, -0.0420634, -0.0777455, -0.106863, -0.128781, -0.142654, -0.150476, -0.155487, -0.159261, -0.162404, -0.16518, -0.167721, -0.170099, -0.17236, -0.174533, -0.176636, -0.178685, -0.18069, -0.182657, -0.184594, -0.186506, -0.19027, -0.193983, -0.212785, -1.59641", \
+					  "1.84834, 0.509109, 0.205644, 0.100756, 1.5431e-09, -0.0460002, -0.0858729, -0.119459, -0.146234, -0.164959, -0.175975, -0.182637, -0.187353, -0.191118, -0.19435, -0.197251, -0.199929, -0.202447, -0.204848, -0.207157, -0.209395, -0.211575, -0.213707, -0.215799, -0.217858, -0.2219, -0.22587, -0.241651, -1.61506", \
+					  "1.86056, 0.555677, 0.226549, 0.112385, 1.70649e-09, -0.0528436, -0.100052, -0.1415, -0.176862, -0.205155, -0.22471, -0.236473, -0.243947, -0.249381, -0.253752, -0.257503, -0.260855, -0.263935, -0.266817, -0.269551, -0.27217, -0.274697, -0.277149, -0.27954, -0.28188, -0.286438, -0.29088, -0.304542, -1.65336", \
+					  "1.8732, 0.598025, 0.244216, 0.122118, 2.02939e-09, -0.0585068, -0.111833, -0.159877, -0.202429, -0.238903, -0.26776, -0.287312, -0.299369, -0.30738, -0.313358, -0.31822, -0.322404, -0.326142, -0.329568, -0.332765, -0.335788, -0.338675, -0.341453, -0.344141, -0.346756, -0.35181, -0.35669, -0.371024, -1.69253", \
+					  "1.91289, 0.690339, 0.281888, 0.142548, 5.0597e-09, -0.0702166, -0.136365, -0.198384, -0.25619, -0.309638, -0.358412, -0.401719, -0.43773, -0.464191, -0.481776, -0.493705, -0.502492, -0.509475, -0.515341, -0.520466, -0.525072, -0.529296, -0.53323, -0.536936, -0.54046, -0.547086, -0.553294, -0.570587, -1.81109", \
+					  "1.95932, 0.757391, 0.3112, 0.158039, 2.27696e-08, -0.079003, -0.155143, -0.22839, -0.29871, -0.366064, -0.430404, -0.491655, -0.549688, -0.604245, -0.654765, -0.700033, -0.737954, -0.76683, -0.787543, -0.802611, -0.814154, -0.823474, -0.83133, -0.838169, -0.844273, -0.854949, -0.864243, -0.887635, -2.00031");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0412758, 0.0804001, 0.1207574, 0.1631514, 0.2269966");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1152098, 0.1544195, 0.1950266, 0.2374467, 0.3010282");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0207166, 0.0338345, 0.0473220, 0.0624993, 0.0843353");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0908851, 0.1058203, 0.1194388, 0.1345616, 0.1564956");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("6.1201");
+						index_3("0.001");
+						index_4("3.0591338, 3.2664808, 3.9241300, 4.5175139, 4.6923212");
+						values("0.397171, 0.635474, 0.794342, 0.635474, 0.397171");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("3.63806");
+						index_3("0.001");
+						index_4("1.8242748, 1.9673113, 2.4931477, 2.7927980, 2.9070911");
+						values("0.411273, 0.658037, 0.822547, 0.658037, 0.411273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("2.49642");
+						index_3("0.001");
+						index_4("1.2551436, 1.3642787, 1.7149092, 1.9727940, 2.0575111");
+						values("0.420461, 0.672738, 0.840922, 0.672738, 0.420461");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20049");
+						index_2("3.06005");
+						index_3("0.001");
+						index_4("1.5445368, 1.6564730, 2.0191217, 2.2924712, 2.3786366");
+						values("0.209092, 0.334546, 0.418183, 0.334546, 0.209092");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("1.81903");
+						index_3("0.001");
+						index_4("0.9226166, 0.9994876, 1.2292397, 1.4177552, 1.4762563");
+						values("0.213969, 0.342351, 0.427938, 0.342351, 0.213969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32686");
+						index_2("1.24821");
+						index_3("0.001");
+						index_4("0.6359782, 0.6945705, 0.8698399, 1.0018331, 1.0461282");
+						values("0.217945, 0.348711, 0.435889, 0.348711, 0.217945");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26844");
+						index_2("5.45709");
+						index_3("0.001");
+						index_4("2.7200092, 2.9196730, 3.6946373, 4.1477019, 4.3155592");
+						values("0.587518, 0.940028, 1.17504, 0.940028, 0.587518");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("1.96303");
+						index_3("0.001");
+						index_4("0.9839952, 1.0576146, 1.4221995, 1.6470744, 1.7510248");
+						values("1.19292, 0.948672, 0.78584, 0.948672, 1.19292");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.13486");
+						index_3("0.001");
+						index_4("0.5708908, 0.6204132, 0.8261284, 1.0015715, 1.0729300");
+						values("1.1803, 0.928487, 0.760609, 0.928487, 1.1803");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.758979");
+						index_3("0.001");
+						index_4("0.3837780, 0.4208785, 0.5933087, 0.6974604, 0.7501521");
+						values("1.17547, 0.920757, 0.750947, 0.920757, 1.17547");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10529");
+						index_2("0.981513");
+						index_3("0.001");
+						index_4("0.5000952, 0.5413296, 0.7077653, 0.8390098, 0.8997585");
+						values("1.38991, 1.26385, 1.17981, 1.26385, 1.38991");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("0.567428");
+						index_3("0.001");
+						index_4("0.2919827, 0.3196240, 0.4245786, 0.5124911, 0.5558410");
+						values("1.38841, 1.26145, 1.17681, 1.26145, 1.38841");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2478");
+						index_2("0.37949");
+						index_3("0.001");
+						index_4("0.1970661, 0.2181356, 0.3054647, 0.3583264, 0.3911840");
+						values("1.38625, 1.258, 1.1725, 1.258, 1.38625");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.1802");
+						index_2("1.70228");
+						index_3("0.001");
+						index_4("0.8465674, 0.9156882, 1.2596035, 1.4864485, 1.5869335");
+						values("1.01412, 0.662585, 0.428231, 0.662585, 1.01412");
+					}
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.035033;
+			capacitance : 0.035678;
+			rise_capacitance : 0.036324;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7043819, -3.5694831, -3.4562177, -3.3560194, -3.2862366, -3.2196478, -3.1599318", \
+					  "-4.1364505, -4.0091812, -3.8944081, -3.7943734, -3.7192262, -3.6590170, -3.6042078", \
+					  "-4.6010362, -4.4677943, -4.3534587, -4.2498038, -4.1506858, -4.1120931, -4.0565864", \
+					  "-5.0487989, -4.9139001, -4.7958155, -4.7464918, -4.6260761, -4.5644352, -4.5058750", \
+					  "-5.4581483, -5.3232491, -5.2088775, -5.1082607, -5.0338993, -4.9744854, -4.9138153", \
+					  "-5.8407641, -5.7137954, -5.5877806, -5.4924018, -5.4241524, -5.3593349, -5.2890125", \
+					  "-6.1996685, -6.0647698, -5.9586267, -5.8802232, -5.7772895, -5.7019529, -5.6567446");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4089106, -2.2999480, -2.1575876, -3.1190623, -1.8561995, -1.7445084, -1.6287131", \
+					  "-2.8501874, -2.7427504, -2.5870032, -2.4198116, -2.3046373, -3.2304567, -3.0604387", \
+					  "-3.3056413, -3.1966787, -3.0503735, -2.9106357, -2.7521389, -2.6417430, -2.5133761", \
+					  "-3.7397860, -4.8347417, -3.4988763, -3.3292202, -3.2041478, -3.0964086, -2.9276439", \
+					  "-4.1432584, -4.0205627, -3.8776717, -4.8441377, -3.6030427, -3.4785132, -3.3035316", \
+					  "-4.5077925, -4.3827082, -4.2359846, -4.1054357, -3.9641283, -4.8626669, -3.6850149", \
+					  "-4.9284858, -4.8027384, -4.6501658, -4.4889663, -4.3769838, -4.2318373, -4.0925931");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9998252, 3.8588220, 3.7455894, 3.6631092, 3.5603165, 3.4834325, 3.4094412", \
+					  "4.4152804, 4.2941133, 4.1806059, 4.1009225, 3.9879787, 3.9145281, 3.8633766", \
+					  "4.8860474, 4.7620399, 4.6238998, 4.5510016, 4.4663751, 4.3727060, 4.3177677", \
+					  "5.3218534, 5.1915310, 5.0719205, 4.9854252, 4.9116576, 4.8176674, 4.7472948", \
+					  "5.7102343, 5.5832310, 5.4877665, 5.3605265, 5.2829330, 5.2304626, 5.1383171", \
+					  "6.1177022, 5.9477075, 5.8876200, 5.7367536, 5.6415719, 5.6262806, 5.5095451", \
+					  "6.5048973, 6.3537134, 6.2512007, 6.1389061, 6.0608108, 5.9879331, 5.9288905");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7389023, 3.5670554, 3.3699868, 3.1740564, 2.9911596, 2.8379888, 2.6749390", \
+					  "4.1995849, 4.0292639, 3.8231962, 3.6283654, 3.4513557, 3.3103467, 3.1264747", \
+					  "4.6459985, 4.4756770, 4.2789202, 4.0811668, 3.8938047, 3.7435515, 3.5865698", \
+					  "5.0700984, 4.9284752, 4.7003439, 4.5020510, 4.3277948, 4.1960851, 4.0244024", \
+					  "5.5127017, 5.3408547, 5.1260723, 4.9407268, 4.7668543, 4.6072029, 4.4283158", \
+					  "5.8976262, 5.7257792, 5.5273775, 5.3280156, 5.1508680, 4.9824370, 4.8256224", \
+					  "6.2357326, 6.0654111, 5.8707699, 5.6592858, 5.4958312, 5.3561731, 5.1578278");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1100509, 0.1402729, 0.1704948, 0.2003868, 0.2290831, 0.2589750, 0.2888670");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1367426, 0.1896642, 0.2425858, 0.2469757, 0.2511901, 0.2555800, 0.2599699");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( INP_DIS & !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )"; */
+				miller_cap_fall : "0.00217425";
+				miller_cap_rise : "0.0017395";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10609, 0.943219, 0.883767, 0.852949, 0.814525, 0.792354, 0.768196, 0.742049, 0.713916, 0.683803, 0.651716, 0.617663, 0.581652, 0.543691, 0.503788, 0.461953, 0.418194, 0.372521, 0.324943, 0.27547, 0.22411, 0.170873, 0.11577, 0.0588089, -6.62279e-09, -0.118939, -0.237725, -0.634801, -2.57728", \
+					  "2.87144, 0.531706, 0.512266, 0.504404, 0.495377, 0.490257, 0.484591, 0.478213, 0.47087, 0.462178, 0.451599, 0.438585, 0.422766, 0.403978, 0.382161, 0.357306, 0.329423, 0.298529, 0.264647, 0.2278, 0.188014, 0.145314, 0.0997279, 0.051281, -6.69173e-09, -0.10701, -0.217611, -0.607544, -2.58107", \
+					  "2.73578, 0.277813, 0.26536, 0.261646, 0.257654, 0.255527, 0.253294, 0.250934, 0.248422, 0.245724, 0.242793, 0.239562, 0.235926, 0.231707, 0.226563, 0.219797, 0.21038, 0.197502, 0.180827, 0.160271, 0.135836, 0.10755, 0.0754535, 0.0395884, -6.80193e-09, -0.0875096, -0.183691, -0.55315, -2.57609", \
+					  "2.70013, 0.217932, 0.190158, 0.187392, 0.184466, 0.18293, 0.181334, 0.179671, 0.177926, 0.176086, 0.17413, 0.17203, 0.169747, 0.167222, 0.164362, 0.160988, 0.156695, 0.150562, 0.141313, 0.128153, 0.110837, 0.0893311, 0.0636595, 0.0338658, -6.85627e-09, -0.0778857, -0.166883, -0.525442, -2.57255", \
+					  "2.67182, 0.1905, 0.122861, 0.120909, 0.118876, 0.117822, 0.116739, 0.115622, 0.114466, 0.113265, 0.11201, 0.110689, 0.10929, 0.107791, 0.106163, 0.10436, 0.102304, 0.099817, 0.0963981, 0.0907767, 0.0814647, 0.0678035, 0.0496598, 0.0270434, -6.92147e-09, -0.0663757, -0.146753, -0.491869, -2.56785", \
+					  "2.66103, 0.189001, 0.093186, 0.0915994, 0.0899587, 0.0891137, 0.0882492, 0.0873627, 0.0864507, 0.0855091, 0.0845328, 0.0835153, 0.0824479, 0.0813191, 0.0801128, 0.0788052, 0.0773584, 0.0757017, 0.0736626, 0.0706689, 0.0652212, 0.055779, 0.0417964, 0.023194, -6.95826e-09, -0.0598622, -0.135348, -0.472673, -2.56502", \
+					  "2.65282, 0.195727, 0.0667594, 0.0655062, 0.0642261, 0.0635709, 0.0629038, 0.0622232, 0.0615271, 0.0608132, 0.0600783, 0.0593189, 0.05853, 0.0577054, 0.0568366, 0.0559113, 0.0549116, 0.0538075, 0.0525394, 0.0509404, 0.0483483, 0.0430006, 0.0333718, 0.019049, -6.99894e-09, -0.0528239, -0.123011, -0.451759, -2.5618", \
+					  "2.64748, 0.210785, 0.0441278, 0.0431181, 0.0421683, 0.0416852, 0.0411957, 0.0406989, 0.0401937, 0.0396788, 0.0391527, 0.0386133, 0.0380584, 0.0374845, 0.0368877, 0.036262, 0.0355993, 0.034887, 0.0341034, 0.0332017, 0.0320242, 0.0297942, 0.0244669, 0.0146262, -7.05707e-09, -0.0452568, -0.109725, -0.429044, -2.55809", \
+					  "2.64532, 0.234259, 0.0262194, 0.0249917, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230094, 0.0226672, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181092, 0.0173181, 0.0153837, 0.0100039, -7.42796e-09, -0.0371739, -0.095493, -0.404448, -2.55305", \
+					  "2.64676, 0.266248, 0.0153565, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.0096315, 0.00942346, 0.00921144, 0.0089944, 0.00877084, 0.00853856, 0.00829397, 0.00803008, 0.0077268, 0.00725593, 0.00547698, -2.29814e-08, -0.028626, -0.0803558, -0.377899, -2.54525", \
+					  "2.65217, 0.306708, 0.0156899, 0.00423619, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285059, 0.00275885, 0.00266549, 0.00256978, 0.00247049, 0.00236484, 0.00224158, 0.00195401, -3.98099e-07, -0.0197767, -0.0644516, -0.349338, -2.53688", \
+					  "2.6613, 0.354364, 0.0283608, 0.00200111, 0.000984578, 0.000953294, 0.000924644, 0.000896207, 0.00086792, 0.000839766, 0.000811735, 0.000783813, 0.000755988, 0.00072824, 0.000700551, 0.000672893, 0.000645228, 0.000617508, 0.000589661, 0.00056158, 0.00053308, 0.000503783, 0.000472481, 0.000427441, -6.96082e-06, -0.0112028, -0.0482156, -0.318761, -2.52792", \
+					  "2.67283, 0.40642, 0.0500367, 0.00492732, 0.000213863, 0.000170622, 0.000159697, 0.000149773, 0.000140108, 0.000130577, 0.000121137, 0.000111768, 0.000102453, 9.31882e-05, 8.39629e-05, 7.47718e-05, 6.56066e-05, 5.64605e-05, 4.73178e-05, 3.81621e-05, 2.89627e-05, 1.96596e-05, 1.00691e-05, -1.31579e-06, -8.81718e-05, -0.00461365, -0.0327962, -0.286392, -2.51844", \
+					  "2.68576, 0.460811, 0.0775249, 0.0148038, 4.03949e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374846, -0.000395172, -0.000415324, -0.000435359, -0.000455312, -0.000475209, -0.000495068, -0.0005149, -0.000534722, -0.000554536, -0.00057436, -0.000594208, -0.000614113, -0.000634333, -0.000668083, -0.00190926, -0.020105, -0.253221, -2.5088", \
+					  "2.69976, 0.516621, 0.108753, 0.0308962, 5.30601e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305515, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.00344759, -0.00385041, -0.0130621, -0.22201, -2.50034", \
+					  "2.71479, 0.573367, 0.141946, 0.0496878, 4.21304e-07, -0.00705462, -0.00845087, -0.00891356, -0.00923962, -0.00951923, -0.00977583, -0.0100188, -0.0102528, -0.0104804, -0.0107034, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124052, -0.0126129, -0.0128202, -0.0132813, -0.0168565, -0.198127, -2.49607", \
+					  "2.73087, 0.630682, 0.175505, 0.0687438, 2.5947e-08, -0.0163332, -0.0227546, -0.0246049, -0.0255367, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282854, -0.0287327, -0.0291667, -0.0295904, -0.0300058, -0.0304146, -0.0308178, -0.0312164, -0.0316112, -0.0320028, -0.0323917, -0.0327785, -0.0335554, -0.0350696, -0.18617, -2.49856", \
+					  "2.74808, 0.688247, 0.208339, 0.0872477, 2.79597e-09, -0.0268105, -0.0422271, -0.0484676, -0.0509589, -0.0524511, -0.0535829, -0.0545387, -0.0553918, -0.0561782, -0.0569179, -0.0576234, -0.0583026, -0.0589613, -0.0596033, -0.0602317, -0.0608487, -0.0614562, -0.0620556, -0.0626484, -0.0632356, -0.0643987, -0.0656982, -0.186797, -2.50817", \
+					  "2.76649, 0.745744, 0.239934, 0.104965, 1.5647e-09, -0.0371686, -0.0628067, -0.0771414, -0.0833792, -0.0864774, -0.088528, -0.090125, -0.0914789, -0.0926832, -0.0937873, -0.0948196, -0.0957983, -0.0967355, -0.0976398, -0.0985171, -0.0993724, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105775, -0.199256, -2.52424", \
+					  "2.78623, 0.802852, 0.270111, 0.121826, 1.48659e-09, -0.0470638, -0.0828508, -0.107029, -0.12017, -0.126438, -0.130051, -0.132612, -0.134657, -0.136404, -0.13796, -0.139383, -0.14071, -0.141964, -0.14316, -0.14431, -0.145423, -0.146504, -0.147558, -0.14859, -0.149604, -0.151586, -0.153532, -0.222818, -2.54598", \
+					  "2.80745, 0.859228, 0.298828, 0.137816, 1.46745e-09, -0.0564182, -0.101943, -0.13614, -0.15848, -0.170361, -0.17665, -0.180681, -0.183689, -0.186144, -0.188262, -0.190155, -0.191888, -0.193502, -0.195024, -0.196473, -0.197863, -0.199205, -0.200506, -0.201772, -0.20301, -0.205416, -0.207757, -0.256824, -2.57268", \
+					  "2.83032, 0.914506, 0.326092, 0.152942, 1.45317e-09, -0.0652237, -0.119983, -0.163846, -0.196079, -0.216072, -0.226786, -0.233082, -0.237446, -0.240834, -0.243656, -0.246114, -0.248322, -0.250347, -0.252233, -0.254011, -0.255703, -0.257323, -0.258885, -0.260397, -0.261867, -0.264707, -0.267449, -0.300644, -2.60368", \
+					  "2.85509, 0.968298, 0.351929, 0.167224, 1.44275e-09, -0.0734931, -0.136963, -0.190002, -0.231926, -0.261461, -0.278809, -0.288576, -0.29487, -0.299496, -0.303205, -0.306347, -0.309112, -0.311607, -0.313902, -0.316044, -0.318063, -0.319983, -0.321821, -0.323592, -0.325305, -0.328592, -0.331741, -0.353694, -2.6384", \
+					  "2.88203, 1.02021, 0.376376, 0.180687, 1.43804e-09, -0.0812468, -0.152908, -0.214602, -0.265734, -0.30505, -0.330989, -0.345893, -0.354947, -0.361231, -0.366064, -0.370039, -0.37346, -0.376496, -0.379252, -0.381795, -0.384171, -0.386414, -0.388548, -0.390591, -0.392558, -0.396307, -0.399873, -0.415297, -2.67631", \
+					  "2.91152, 1.06988, 0.399474, 0.19336, 1.44135e-09, -0.0885078, -0.167854, -0.237686, -0.297485, -0.346198, -0.38175, -0.403704, -0.416678, -0.425201, -0.431471, -0.436466, -0.440664, -0.444324, -0.4476, -0.450589, -0.453356, -0.455948, -0.458397, -0.460729, -0.462962, -0.467192, -0.471184, -0.484143, -2.71697", \
+					  "2.97977, 1.1615, 0.4418, 0.216461, 1.48375e-09, -0.101649, -0.194932, -0.279547, -0.35509, -0.42086, -0.475289, -0.515504, -0.540996, -0.556656, -0.56719, -0.575006, -0.581239, -0.586464, -0.591001, -0.595042, -0.598711, -0.602092, -0.605244, -0.60821, -0.611021, -0.616275, -0.621158, -0.634841, -2.80502", \
+					  "3.04612, 1.24231, 0.479282, 0.236781, 1.59845e-09, -0.113106, -0.218566, -0.316118, -0.405436, -0.486027, -0.556913, -0.615872, -0.659389, -0.687414, -0.705206, -0.717466, -0.726675, -0.734053, -0.740244, -0.745613, -0.750385, -0.754707, -0.758678, -0.762368, -0.765829, -0.772213, -0.778054, -0.79385, -2.89992", \
+					  "3.21153, 1.4283, 0.566484, 0.283526, 2.75987e-09, -0.139117, -0.272303, -0.399389, -0.520182, -0.634451, -0.741871, -0.841896, -0.933431, -1.01408, -1.07955, -1.12665, -1.15823, -1.17996, -1.19591, -1.20836, -1.21855, -1.2272, -1.23474, -1.24144, -1.24751, -1.25821, -1.26754, -1.29081, -3.20128", \
+					  "3.41027, 1.6049, 0.648484, 0.326739, 1.00728e-08, -0.162792, -0.321456, -0.475906, -0.626049, -0.771786, -0.913005, -1.04957, -1.18132, -1.308, -1.42921, -1.54421, -1.65152, -1.74829, -1.83005, -1.89325, -1.93912, -1.97235, -1.99733, -2.01696, -2.033, -2.05825, -2.07785, -2.12007, -3.70852");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0766252, 0.1443729, 0.2175079, 0.3027121, 0.4273146");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1479861, 0.2157110, 0.2889447, 0.3738479, 0.4978575");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0267871, 0.0462872, 0.0662096, 0.0866975, 0.1162931");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0984737, 0.1179702, 0.1378280, 0.1583835, 0.1878842");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.9016");
+						index_3("0.001");
+						index_4("6.9541410, 7.3391498, 8.8528809, 10.0997899, 10.4163950");
+						values("0.419988, 0.671981, 0.839976, 0.671981, 0.419988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.49114");
+						index_3("0.001");
+						index_4("4.2554720, 4.5216267, 5.7295876, 6.3779119, 6.5905454");
+						values("0.432901, 0.692641, 0.865801, 0.692641, 0.432901");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.73725");
+						index_3("0.001");
+						index_4("2.8810213, 3.0811243, 3.9529765, 4.4247611, 4.5821423");
+						values("0.437101, 0.699362, 0.874203, 0.699362, 0.437101");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.95081");
+						index_3("0.001");
+						index_4("3.5148237, 3.7304946, 4.4896486, 5.0767527, 5.2377423");
+						values("0.221735, 0.354776, 0.44347, 0.354776, 0.221735");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.24557");
+						index_3("0.001");
+						index_4("2.1560476, 2.3049820, 2.8418689, 3.1984489, 3.3125169");
+						values("0.22843, 0.365488, 0.45686, 0.365488, 0.22843");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.86862");
+						index_3("0.001");
+						index_4("1.4641907, 1.5768628, 2.0255541, 2.2240450, 2.3059591");
+						values("0.230231, 0.368369, 0.460461, 0.368369, 0.230231");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.7367");
+						index_3("0.001");
+						index_4("6.3394863, 6.7282359, 8.5444503, 9.5258727, 9.8482833");
+						values("0.603941, 0.966306, 1.20788, 0.966306, 0.603941");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.62845");
+						index_3("0.001");
+						index_4("1.3275445, 1.4457187, 2.0005622, 2.3521917, 2.4979574");
+						values("1.1656, 0.904965, 0.731206, 0.904965, 1.1656");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.53128");
+						index_3("0.001");
+						index_4("0.7772650, 0.8568353, 1.2324570, 1.4345538, 1.5335758");
+						values("1.16029, 0.896463, 0.720579, 0.896463, 1.16029");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.04215");
+						index_3("0.001");
+						index_4("0.5308896, 0.5903220, 0.8176050, 1.0107610, 1.0894645");
+						values("1.15884, 0.89414, 0.717675, 0.89414, 1.15884");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.31423");
+						index_3("0.001");
+						index_4("0.6741472, 0.7375558, 0.9865233, 1.1934942, 1.2788755");
+						values("1.39177, 1.26683, 1.18353, 1.26683, 1.39177");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.765642");
+						index_3("0.001");
+						index_4("0.3961692, 0.4386193, 0.6483293, 0.7320630, 0.7922588");
+						values("1.39127, 1.26603, 1.18254, 1.26603, 1.39127");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.521075");
+						index_3("0.001");
+						index_4("0.2721006, 0.3050607, 0.4431317, 0.5185584, 0.5705949");
+						values("1.38996, 1.26393, 1.17991, 1.26393, 1.38996");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.29693");
+						index_3("0.001");
+						index_4("1.1597463, 1.2756429, 1.8122408, 2.1256938, 2.2702790");
+						values("0.930507, 0.528811, 0.261014, 0.528811, 0.930507");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.009441;
+			capacitance : 0.009687;
+			rise_capacitance : 0.009934;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0040147, 0.0182602, 0.0405350, 0.2355953, 0.4228531, 0.6179133, 0.8129736");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0352494, 0.0506913, 0.0661331, 0.1050723, 0.1424539, 0.1813931, 0.2203323");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_H"; */
+				miller_cap_fall : "0.00155884";
+				miller_cap_rise : "0.00118822";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("2.71446, 0.263742, 0.248352, 0.243264, 0.237113, 0.233476, 0.229329, 0.224531, 0.218913, 0.212336, 0.204759, 0.196229, 0.186787, 0.176446, 0.165204, 0.153049, 0.139967, 0.125943, 0.11096, 0.0950027, 0.0780536, 0.0600961, 0.041113, 0.0210869, -4.0142e-09, -0.0440901, -0.0901134, -0.257539, -1.62616", \
+					  "2.62712, 0.13893, 0.127312, 0.125302, 0.123029, 0.121765, 0.120395, 0.118897, 0.117243, 0.115391, 0.113286, 0.110845, 0.107947, 0.104425, 0.1001, 0.0948481, 0.0886098, 0.0813525, 0.0730507, 0.0636805, 0.053219, 0.0416433, 0.0289304, 0.0150571, -3.68788e-09, -0.0327248, -0.0683652, -0.206877, -1.61764", \
+					  "2.58304, 0.0759242, 0.0645753, 0.0636247, 0.0625836, 0.0620213, 0.0614258, 0.0607915, 0.0601118, 0.0593779, 0.0585784, 0.0576979, 0.0567147, 0.0555974, 0.0542977, 0.0527362, 0.0507735, 0.0481783, 0.0446924, 0.040158, 0.0345049, 0.0276931, 0.0196911, 0.0104696, -1.65415e-09, -0.0241422, -0.0520794, -0.168696, -1.61105", \
+					  "2.57097, 0.0590565, 0.0467188, 0.0460288, 0.0452816, 0.044882, 0.0444618, 0.0440179, 0.0435466, 0.043043, 0.042501, 0.0419125, 0.0412666, 0.0405478, 0.0397339, 0.0387899, 0.0376562, 0.0362177, 0.0342423, 0.0314116, 0.0275151, 0.0224659, 0.0162193, 0.00874116, -2.98091e-09, -0.0209227, -0.0460008, -0.154359, -1.60869", \
+					  "2.56067, 0.0459863, 0.0309775, 0.030507, 0.0300036, 0.0297371, 0.0294591, 0.0291679, 0.0288615, 0.0285376, 0.0281931, 0.0278241, 0.0274255, 0.0269904, 0.026509, 0.0259673, 0.0253429, 0.0245972, 0.0236481, 0.0222892, 0.0201457, 0.0169303, 0.0125321, 0.00690091, -3.10643e-09, -0.0175038, -0.0395637, -0.139118, -1.60622", \
+					  "2.55625, 0.0411709, 0.0240366, 0.0236609, 0.0232617, 0.0230516, 0.0228332, 0.0226056, 0.0223672, 0.0221166, 0.0218517, 0.0215698, 0.0212678, 0.020941, 0.0205834, 0.0201865, 0.019737, 0.0192136, 0.0185745, 0.0177151, 0.0163451, 0.0140489, 0.0106049, 0.00593616, -3.81476e-09, -0.0157158, -0.0362051, -0.131141, -1.60495", \
+					  "2.55236, 0.0374698, 0.0177945, 0.017504, 0.0171977, 0.0170375, 0.0168717, 0.0166996, 0.0165205, 0.0163332, 0.0161364, 0.0159286, 0.0157076, 0.0154708, 0.0152145, 0.0149335, 0.0146207, 0.0142642, 0.0138439, 0.0133142, 0.0125309, 0.0111004, 0.00862072, 0.0049395, -3.88744e-09, -0.0138737, -0.0327507, -0.122924, -1.60366", \
+					  "2.54904, 0.0348784, 0.0123151, 0.0121, 0.0118757, 0.0117591, 0.0116391, 0.0115152, 0.0113869, 0.0112537, 0.0111147, 0.0109689, 0.0108153, 0.0106522, 0.0104776, 0.0102888, 0.0100818, 0.00985069, 0.00958595, 0.00926897, 0.00884838, 0.00811574, 0.00658064, 0.00390841, -1.83682e-09, -0.0119759, -0.0291996, -0.114479, -1.60236", \
+					  "2.54633, 0.0334143, 0.00767829, 0.00752697, 0.00737404, 0.00729518, 0.00721445, 0.00713163, 0.00704644, 0.00695855, 0.00686757, 0.006773, 0.00667423, 0.00657049, 0.00646075, 0.00634366, 0.00621737, 0.00607919, 0.00592498, 0.00574753, 0.00553094, 0.0052152, 0.00450015, 0.00284175, -2.15278e-09, -0.0100209, -0.0255525, -0.105839, -1.60106", \
+					  "2.54431, 0.0331543, 0.0040263, 0.00391637, 0.003824, 0.00377685, 0.00372891, 0.00368007, 0.00363022, 0.00357922, 0.0035269, 0.00347305, 0.00341742, 0.00335968, 0.00329943, 0.00323613, 0.00316905, 0.00309718, 0.00301901, 0.00293216, 0.00283215, 0.00270686, 0.00248527, 0.00175751, -4.2949e-09, -0.00800668, -0.021814, -0.0970701, -1.59978", \
+					  "2.54314, 0.0342751, 0.00164799, 0.0014945, 0.00144937, 0.00142685, 0.00140413, 0.00138118, 0.00135797, 0.00133444, 0.00131055, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115507, 0.00112577, 0.00109476, 0.00106146, 0.00102488, 0.000982936, 0.000927799, 0.000769705, -1.16892e-08, -0.00593466, -0.0179983, -0.0882592, -1.59853", \
+					  "2.5429, 0.0368472, 0.000919705, 0.000366696, 0.00034858, 0.000341216, 0.000333855, 0.000326479, 0.00031908, 0.000311651, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265826, 0.000257806, 0.000249586, 0.000241109, 0.00023228, 0.000222957, 0.000212863, 0.000201258, 0.000181869, -5.40064e-08, -0.00383664, -0.0141488, -0.0794999, -1.59731", \
+					  "2.5433, 0.0403722, 0.00179854, 7.91151e-05, 5.63979e-05, 5.47951e-05, 5.32903e-05, 5.17959e-05, 5.03058e-05, 4.88185e-05, 4.73338e-05, 4.58482e-05, 4.43621e-05, 4.28747e-05, 4.13809e-05, 3.9879e-05, 3.83638e-05, 3.68314e-05, 3.52769e-05, 3.36882e-05, 3.20451e-05, 3.03235e-05, 2.84434e-05, 2.59313e-05, -3.01094e-07, -0.00190435, -0.0103782, -0.0708745, -1.59613", \
+					  "2.54391, 0.0441927, 0.00372817, 0.00012705, 7.16368e-06, 6.29377e-06, 5.97967e-06, 5.70456e-06, 5.43896e-06, 5.17852e-06, 4.92171e-06, 4.66598e-06, 4.41287e-06, 4.16012e-06, 3.90763e-06, 3.65584e-06, 3.40227e-06, 3.14832e-06, 2.89319e-06, 2.63419e-06, 2.36522e-06, 2.09623e-06, 1.80532e-06, 1.44537e-06, -1.76024e-06, -0.000610603, -0.00688218, -0.0624488, -1.595", \
+					  "2.54455, 0.0479886, 0.00621157, 0.00059878, 7.76817e-07, -3.2311e-06, -3.91512e-06, -4.36889e-06, -4.76524e-06, -5.13415e-06, -5.48933e-06, -5.83479e-06, -6.1735e-06, -6.50728e-06, -6.83731e-06, -7.16434e-06, -7.48882e-06, -7.81479e-06, -8.13881e-06, -8.4602e-06, -8.78696e-06, -9.10629e-06, -9.43195e-06, -9.76904e-06, -1.04024e-05, -0.000127335, -0.00389877, -0.0542766, -1.59392", \
+					  "2.54518, 0.0516055, 0.00884674, 0.00174935, 8.30275e-08, -2.45783e-05, -2.86727e-05, -3.12183e-05, -3.33809e-05, -3.53697e-05, -3.72573e-05, -3.90783e-05, -4.08524e-05, -4.25922e-05, -4.4306e-05, -4.59998e-05, -4.7678e-05, -4.9344e-05, -5.10001e-05, -5.26484e-05, -5.42902e-05, -5.59315e-05, -5.75671e-05, -5.92002e-05, -6.08603e-05, -8.07423e-05, -0.00174215, -0.0464329, -1.59293", \
+					  "2.54577, 0.0549366, 0.0112934, 0.00325695, 1.39572e-08, -0.000150777, -0.000177561, -0.000191506, -0.00020247, -0.000212117, -0.000220995, -0.000229361, -0.000237359, -0.000245078, -0.000252579, -0.000259905, -0.000267089, -0.000274154, -0.000281118, -0.000287998, -0.000294803, -0.000301545, -0.000308231, -0.00031487, -0.000321468, -0.000336659, -0.000822061, -0.039132, -1.59217", \
+					  "2.54632, 0.0579221, 0.0133986, 0.00467055, 7.29352e-09, -0.000595314, -0.000742545, -0.000799816, -0.000838, -0.000868809, -0.000895602, -0.000919813, -0.000942204, -0.000963233, -0.000983205, -0.00100233, -0.00102076, -0.00103861, -0.00105597, -0.00107291, -0.00108948, -0.00110574, -0.00112171, -0.00113742, -0.00115291, -0.00118354, -0.00130125, -0.0327648, -1.59188", \
+					  "2.54681, 0.0605565, 0.0151715, 0.00585415, 6.65239e-09, -0.0012839, -0.0017784, -0.00195375, -0.00204808, -0.00211589, -0.00217098, -0.00221848, -0.00226089, -0.0022996, -0.00233552, -0.00236925, -0.0024012, -0.00243169, -0.00246095, -0.00248918, -0.0025165, -0.00254304, -0.00256889, -0.00259414, -0.00261884, -0.00266685, -0.00272685, -0.0274178, -1.59205", \
+					  "2.54725, 0.0628712, 0.0166693, 0.0068289, 6.58674e-09, -0.00195978, -0.00299402, -0.00342708, -0.00362709, -0.00375215, -0.00384591, -0.00392272, -0.00398886, -0.0040476, -0.00410091, -0.00415005, -0.00419589, -0.00423905, -0.00427999, -0.00431907, -0.00435655, -0.00439265, -0.00442756, -0.00446141, -0.00449433, -0.00455775, -0.00462038, -0.0229886, -1.59252", \
+					  "2.54763, 0.0649106, 0.0179477, 0.00763841, 6.57671e-09, -0.0025354, -0.004146, -0.00498844, -0.00538167, -0.00559739, -0.00574415, -0.00585741, -0.00595109, -0.00603191, -0.00610362, -0.00616855, -0.0062282, -0.00628366, -0.0063357, -0.00638488, -0.00643166, -0.00647639, -0.00651933, -0.00656072, -0.00660074, -0.00667727, -0.00675012, -0.019521, -1.59318", \
+					  "2.54796, 0.066718, 0.0190505, 0.00831947, 6.57268e-09, -0.00301078, -0.0051431, -0.00646468, -0.00716398, -0.00752735, -0.00775022, -0.00791017, -0.00803635, -0.00814168, -0.00823288, -0.00831388, -0.00838717, -0.00845443, -0.00851686, -0.00857531, -0.00863045, -0.00868278, -0.0087327, -0.00878054, -0.00882654, -0.00891389, -0.00899612, -0.0171886, -1.59395", \
+					  "2.54826, 0.0683301, 0.0200116, 0.00889982, 6.56974e-09, -0.00340331, -0.00598009, -0.00776413, -0.00884943, -0.00944086, -0.00977783, -0.0100005, -0.0101663, -0.0102994, -0.0104114, -0.0105088, -0.0105955, -0.010674, -0.010746, -0.0108127, -0.0108752, -0.010934, -0.0109898, -0.0110429, -0.0110938, -0.0111896, -0.0112791, -0.0161685, -1.59478", \
+					  "2.54852, 0.0697772, 0.0208566, 0.00940005, 6.56732e-09, -0.00373006, -0.00667807, -0.00886824, -0.0103514, -0.0112447, -0.0117514, -0.0120621, -0.0122783, -0.0124437, -0.0125784, -0.0126926, -0.0127923, -0.0128812, -0.0129618, -0.0130358, -0.0131044, -0.0131685, -0.0132288, -0.013286, -0.0133404, -0.0134423, -0.0135366, -0.0164087, -1.59564", \
+					  "2.54876, 0.0710838, 0.0216055, 0.0098356, 6.56527e-09, -0.00400487, -0.00726171, -0.00979414, -0.0116384, -0.012862, -0.0135981, -0.0140343, -0.0143173, -0.0145217, -0.0146814, -0.0148129, -0.0149251, -0.0150235, -0.0151114, -0.0151912, -0.0152644, -0.0153323, -0.0153958, -0.0154556, -0.0155121, -0.0156172, -0.0157137, -0.0175682, -1.59651", \
+					  "2.54916, 0.0733506, 0.0228739, 0.0105569, 6.56198e-09, -0.00443877, -0.00816953, -0.0112193, -0.0136215, -0.0154194, -0.0166733, -0.0174779, -0.017971, -0.0182865, -0.0185073, -0.0186748, -0.0188094, -0.018922, -0.0190192, -0.0191048, -0.0191816, -0.0192515, -0.0193156, -0.0193751, -0.0194307, -0.0195324, -0.019624, -0.0208726, -1.59822", \
+					  "2.54948, 0.07525, 0.0239071, 0.0111295, 6.55946e-09, -0.00476373, -0.00883304, -0.0122351, -0.0150033, -0.01718, -0.0188185, -0.0199843, -0.0207588, -0.0212449, -0.0215513, -0.021758, -0.0219092, -0.0220271, -0.0221235, -0.022205, -0.0222757, -0.0223383, -0.0223945, -0.0224457, -0.0224927, -0.022577, -0.0226513, -0.0237717, -1.59978", \
+					  "2.55015, 0.0794569, 0.0261038, 0.0123039, 6.55454e-09, -0.00537566, -0.01003, -0.0139757, -0.0172294, -0.0198181, -0.0217919, -0.023239, -0.0242791, -0.025029, -0.0255749, -0.0259714, -0.0262525, -0.0264433, -0.0265689, -0.0266531, -0.026713, -0.0267585, -0.0267948, -0.0268249, -0.0268508, -0.0268935, -0.0269283, -0.027928, -1.60237", \
+					  "2.55071, 0.0836557, 0.0281803, 0.0133623, 6.55038e-09, -0.00586628, -0.0109293, -0.0151802, -0.0186135, -0.0212442, -0.0231467, -0.0244802, -0.0254326, -0.0261466, -0.0267085, -0.0271683, -0.027555, -0.0278867, -0.0281747, -0.0284262, -0.0286457, -0.0288358, -0.0289976, -0.0291311, -0.0292364, -0.0293695, -0.0294358, -0.0304395, -1.60403");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1319318, 0.2388661, 0.3445142, 0.4559897, 0.6160467");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2095338, 0.3164698, 0.4220795, 0.5333810, 0.6935154");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2532284, 0.4409282, 0.6303947, 0.8372298, 1.1441795");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3109820, 0.4984489, 0.6871742, 0.8945616, 1.2026180");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("22.6524");
+						index_3("0.001");
+						index_4("11.4597951, 12.1770100, 16.5012127, 18.3582729, 19.1446841");
+						values("0.470114, 0.752183, 0.940228, 0.752183, 0.470114");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("13.0954");
+						index_3("0.001");
+						index_4("6.6540111, 7.1380961, 9.6775703, 11.0125008, 11.5573065");
+						values("0.481259, 0.770015, 0.962518, 0.770015, 0.481259");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("8.74077");
+						index_3("0.001");
+						index_4("4.4608198, 4.8210121, 6.7452998, 7.5703425, 7.9903046");
+						values("0.487861, 0.780577, 0.975721, 0.780577, 0.487861");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("11.3262");
+						index_3("0.001");
+						index_4("5.8114680, 6.2448606, 8.4230301, 9.2844781, 9.7000872");
+						values("0.228679, 0.365887, 0.457359, 0.365887, 0.228679");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("6.54772");
+						index_3("0.001");
+						index_4("3.3881103, 3.6797992, 5.0701654, 5.5738752, 5.8762329");
+						values("0.230416, 0.368666, 0.460832, 0.368666, 0.230416");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("4.37039");
+						index_3("0.001");
+						index_4("2.2819859, 2.5032272, 3.3340566, 3.8459980, 4.0830431");
+						values("0.231071, 0.369714, 0.462143, 0.369714, 0.231071");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("19.6432");
+						index_3("0.001");
+						index_4("9.8951663, 10.5471351, 14.5192578, 16.4235450, 17.2067636");
+						values("0.705296, 1.12847, 1.41059, 1.12847, 0.705296");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("37.3754");
+						index_3("0.001");
+						index_4("18.8442667, 20.0872260, 27.0706594, 30.0332137, 31.0007880");
+						values("1.1711, 0.883763, 0.692204, 0.883763, 1.1711");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("21.2218");
+						index_3("0.001");
+						index_4("10.7392540, 11.5658689, 16.0016465, 17.6542240, 18.2768357");
+						values("1.15403, 0.856452, 0.658065, 0.856452, 1.15403");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("14.0398");
+						index_3("0.001");
+						index_4("7.1347059, 7.7741213, 10.8126884, 11.9930814, 12.4478364");
+						values("1.14557, 0.842917, 0.641147, 0.842917, 1.14557");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.6877");
+						index_3("0.001");
+						index_4("9.5662354, 10.3012048, 13.8528391, 15.1331031, 15.6279728");
+						values("1.40825, 1.26321, 1.16651, 1.26321, 1.40825");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.6109");
+						index_3("0.001");
+						index_4("5.4837108, 5.9858733, 8.2039161, 8.9036135, 9.2242013");
+						values("1.40279, 1.25446, 1.15558, 1.25446, 1.40279");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30449");
+						index_2("7.0199");
+						index_3("0.001");
+						index_4("3.6599522, 4.0318475, 5.6178392, 6.0544869, 6.2895494");
+						values("1.40079, 1.25126, 1.15158, 1.25126, 1.40079");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.8327");
+						index_3("0.001");
+						index_4("15.9441740, 17.0712557, 23.6699244, 26.3810721, 27.2847679");
+						values("0.946351, 0.524162, 0.242703, 0.524162, 0.946351");
+					}
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006154;
+			capacitance : 0.006304;
+			rise_capacitance : 0.006454;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1463138, 4.0020665, 3.8751218, 3.7767836, 3.6900163, 3.6360970, 3.5550232", \
+					  "4.5892448, 4.4449980, 4.3240774, 4.2170501, 4.1253341, 4.0530115, 4.0010402", \
+					  "5.0478100, 4.9035627, 4.7810882, 4.6797999, 4.5930382, 4.4993691, 4.4699087", \
+					  "5.4821021, 5.3348031, 5.2111345, 5.1095175, 5.0227527, 4.9321354, 4.8912956", \
+					  "5.8689718, 5.7262500, 5.5978580, 5.5009617, 5.4126746, 5.3492204, 5.2925116", \
+					  "6.2352588, 6.0884283, 5.9642094, 5.8672452, 5.7774361, 5.7051291, 5.6685894", \
+					  "6.6513397, 6.5070925, 6.3946224, 6.2818100, 6.1938901, 6.1105288, 6.0674011");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7282313, 3.5319959, 3.3566896, 3.1650611, 3.0079466, 2.7850691, 2.6079277", \
+					  "4.1728748, 3.9829849, 3.8023685, 3.5953003, 3.4541159, 3.2310641, 3.0525478", \
+					  "4.6282456, 4.4383553, 4.2624911, 4.0565389, 3.9133961, 3.6894415, 3.5194217", \
+					  "5.0544580, 4.8660940, 4.6958187, 4.4981221, 4.3387510, 4.1150388, 3.9658857", \
+					  "5.4542897, 5.2613481, 5.0903453, 4.8965785, 4.7117575, 4.5227127, 4.3611157", \
+					  "5.8577563, 5.6667749, 5.4890344, 5.3046010, 5.0880436, 4.9099225, 4.7503995", \
+					  "6.2166768, 6.0267243, 5.8577257, 5.6546916, 5.4861922, 5.2649616, 5.0922353");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6631870, -3.5235554, -3.3964664, -3.3073732, -3.2231273, -3.1666276, -3.0669955", \
+					  "-4.1028853, -3.9647793, -3.8453695, -3.7409677, -3.6669312, -3.5925928, -3.5081562", \
+					  "-4.5583152, -4.4202097, -4.2992243, -4.2040275, -4.1151293, -4.0790892, -3.9776375", \
+					  "-5.0091302, -4.8694983, -4.7565140, -4.6675283, -4.5569763, -4.5217001, -4.4130732", \
+					  "-5.4139015, -5.2757960, -5.1605357, -5.0596138, -4.9676505, -4.8694575, -4.8236714", \
+					  "-5.7995694, -5.6598967, -5.5315216, -5.4452813, -5.3539073, -5.2969315, -5.2001840", \
+					  "-6.1584739, -6.0184512, -5.8904501, -5.8013676, -5.7335476, -5.6240330, -5.5442004");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4800902, -3.3240030, -3.1410014, -2.2699051, -2.7936593, -2.6014053, -2.4308857", \
+					  "-3.9228400, -3.7637011, -3.0065170, -3.4171832, -3.2346017, -3.0396640, -2.8631594", \
+					  "-4.3767445, -4.2191314, -4.0377650, -3.9075789, -3.6823686, -3.4914091, -3.3017564", \
+					  "-4.8077757, -4.6544907, -3.8945045, -4.3414865, -4.1131940, -3.9547505, -3.7360534", \
+					  "-5.2323307, -5.0747177, -4.8980239, -4.0298082, -3.9622742, -4.3439898, -4.1723204", \
+					  "-4.8993096, -5.4765336, -5.2979516, -5.1147977, -4.9053461, -4.7290937, -4.5455819", \
+					  "-5.9769027, -5.8210087, -5.6562143, -5.5042763, -5.2833943, -5.0991808, -4.9001169");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263645, 0.0309374, 0.0355104, 0.0353904, 0.0352752, 0.0351553, 0.0350353");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225111, 0.0258229, 0.0291348, 0.0290027, 0.0288759, 0.0287439, 0.0286118");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987757";
+				miller_cap_rise : "0.000928142";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44174, 0.385282, 0.370285, 0.36304, 0.353381, 0.347196, 0.339881, 0.331377, 0.321689, 0.310832, 0.298807, 0.285605, 0.271212, 0.255614, 0.238796, 0.220741, 0.201435, 0.18086, 0.159002, 0.135843, 0.111369, 0.0855638, 0.0584109, 0.0298949, -1.72797e-09, -0.06108, -0.122015, -0.313124, -1.99701", \
+					  "2.30561, 0.188386, 0.182232, 0.179883, 0.177198, 0.175685, 0.174021, 0.172165, 0.17006, 0.167618, 0.164705, 0.16113, 0.156653, 0.15107, 0.144254, 0.136142, 0.126694, 0.115881, 0.103679, 0.0900634, 0.0750116, 0.0585015, 0.0405108, 0.0210176, 1.14695e-09, -0.0447587, -0.0917136, -0.252727, -1.98488", \
+					  "2.24258, 0.0919935, 0.0870533, 0.0859663, 0.0847889, 0.0841576, 0.0834917, 0.0827848, 0.0820286, 0.0812123, 0.0803208, 0.0793326, 0.0782159, 0.0769191, 0.0753526, 0.0733454, 0.0705966, 0.0667614, 0.0616229, 0.0550839, 0.0470948, 0.0376208, 0.0266321, 0.0141008, 3.49626e-09, -0.0319864, -0.0679931, -0.204871, -1.97462", \
+					  "2.22902, 0.0745513, 0.0610382, 0.060246, 0.0594011, 0.0589542, 0.0584878, 0.0579988, 0.0574829, 0.0569352, 0.0563488, 0.0557144, 0.0550189, 0.054243, 0.0533559, 0.0523034, 0.0509737, 0.0491178, 0.0463312, 0.042287, 0.0368383, 0.0299214, 0.0214972, 0.0115333, 4.264e-09, -0.027229, -0.0591468, -0.186789, -1.97079", \
+					  "2.22002, 0.0725358, 0.0388068, 0.0382621, 0.0376896, 0.0373908, 0.037082, 0.0367618, 0.0364284, 0.0360795, 0.0357122, 0.0353229, 0.0349064, 0.0344557, 0.0339606, 0.0334051, 0.0327617, 0.0319724, 0.0308832, 0.0291153, 0.0262036, 0.0219065, 0.0161355, 0.00884494, 4.93546e-09, -0.022235, -0.0498506, -0.167601, -1.96676", \
+					  "2.2174, 0.0772667, 0.0293013, 0.028864, 0.0284081, 0.0281717, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255617, 0.0251645, 0.0247182, 0.0241973, 0.0235415, 0.0225631, 0.0208039, 0.0178067, 0.0133816, 0.00745964, 5.19687e-09, -0.0196546, -0.0450419, -0.157586, -1.96467", \
+					  "2.21612, 0.0858282, 0.0209789, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175994, 0.0172581, 0.0168566, 0.016332, 0.0154513, 0.0136782, 0.0105921, 0.00605115, 5.36265e-09, -0.017023, -0.0401329, -0.147291, -1.96254", \
+					  "2.21625, 0.0982346, 0.0139522, 0.0136677, 0.0134098, 0.0132777, 0.0131432, 0.0130059, 0.0128656, 0.0127217, 0.0125737, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.0095929, 0.00779032, 0.00462672, 5.33027e-09, -0.0143455, -0.0351309, -0.136715, -1.96036", \
+					  "2.21785, 0.114474, 0.00847878, 0.00806142, 0.0078853, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.0073276, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.00625251, 0.00606547, 0.00578079, 0.00504045, 0.00320573, 4.57187e-09, -0.0116302, -0.0300472, -0.125867, -1.95813", \
+					  "2.22103, 0.134511, 0.00538773, 0.00395646, 0.0038454, 0.00379207, 0.00373831, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39923e-09, -0.00889341, -0.0249004, -0.114764, -1.95586", \
+					  "2.22587, 0.15825, 0.00652191, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.000747901, -1.34265e-07, -0.00617436, -0.0197291, -0.103449, -1.95355", \
+					  "2.23229, 0.185258, 0.0129656, 0.000772726, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359748, -0.0146348, -0.0920222, -1.95122", \
+					  "2.23983, 0.214597, 0.0238244, 0.00203803, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156695, -0.00989801, -0.080692, -1.94894", \
+					  "2.24808, 0.245439, 0.0378359, 0.0065721, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711873, -0.00609888, -0.0698966, -1.94693", \
+					  "2.25688, 0.277318, 0.0540455, 0.0144538, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932865, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.00432393, -0.0606764, -1.94591", \
+					  "2.26617, 0.309935, 0.0715961, 0.0240562, 1.66405e-07, -0.00300829, -0.00354274, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441017, -0.00450818, -0.00460479, -0.00470029, -0.00479491, -0.0048888, -0.00498212, -0.00507495, -0.0051674, -0.00525953, -0.00535144, -0.00544327, -0.00564458, -0.00679764, -0.0553019, -1.94754", \
+					  "2.27596, 0.343052, 0.0896918, 0.0340668, 1.19167e-08, -0.007439, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148787, -0.0154748, -0.0559229, -1.95325", \
+					  "2.28621, 0.376467, 0.107743, 0.0440039, 2.16595e-09, -0.012709, -0.0195596, -0.0221285, -0.023176, -0.0238295, -0.0243363, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268083, -0.0271067, -0.0273992, -0.0276867, -0.02797, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626456, -1.96294", \
+					  "2.29693, 0.409998, 0.125426, 0.0537129, 1.59328e-09, -0.0180898, -0.029981, -0.0361624, -0.0387432, -0.040074, -0.0409848, -0.0417079, -0.0423281, -0.0428841, -0.0433964, -0.0438774, -0.0443348, -0.0447737, -0.0451981, -0.0456104, -0.0460129, -0.046407, -0.0467942, -0.0471755, -0.0475518, -0.0482934, -0.049035, -0.0747654, -1.97599", \
+					  "2.30812, 0.443476, 0.142595, 0.0631316, 1.5517e-09, -0.0233687, -0.0404402, -0.0513201, -0.0568216, -0.0594369, -0.0610078, -0.062154, -0.0630854, -0.0638902, -0.0646124, -0.0652769, -0.0658988, -0.0664883, -0.0670521, -0.0675953, -0.0681215, -0.0686336, -0.0691338, -0.0696239, -0.0701056, -0.0710491, -0.0719765, -0.09167, -1.9919", \
+					  "2.31977, 0.476738, 0.159187, 0.0722292, 1.54043e-09, -0.0284826, -0.0506642, -0.0665509, -0.0762131, -0.0810827, -0.0837295, -0.0854946, -0.0868462, -0.0879682, -0.0889468, -0.0898281, -0.0906395, -0.0913983, -0.0921165, -0.0928021, -0.0934613, -0.0940985, -0.0947174, -0.0953207, -0.095911, -0.0970604, -0.0981811, -0.112877, -2.01027", \
+					  "2.33188, 0.509622, 0.175173, 0.0809885, 1.53128e-09, -0.0334085, -0.0605607, -0.0814376, -0.0958736, -0.104139, -0.108497, -0.111167, -0.113086, -0.114612, -0.115903, -0.117041, -0.11807, -0.119019, -0.119908, -0.120748, -0.12155, -0.122321, -0.123064, -0.123785, -0.124488, -0.125847, -0.127164, -0.137985, -2.03075", \
+					  "2.34442, 0.541967, 0.190536, 0.0893997, 1.52276e-09, -0.0381363, -0.07009, -0.0958354, -0.115174, -0.127737, -0.134655, -0.138639, -0.141325, -0.143365, -0.145038, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.151961, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.166652, -2.05303", \
+					  "2.3574, 0.573601, 0.205269, 0.0974576, 1.51492e-09, -0.0426616, -0.0792329, -0.109687, -0.133845, -0.151147, -0.161545, -0.167401, -0.17112, -0.173816, -0.175952, -0.177745, -0.179311, -0.180715, -0.182, -0.183193, -0.184313, -0.185375, -0.186388, -0.18736, -0.188299, -0.190094, -0.191808, -0.198517, -2.07686", \
+					  "2.37077, 0.604353, 0.219371, 0.105161, 1.50795e-09, -0.0469829, -0.0879805, -0.122965, -0.151786, -0.173904, -0.188529, -0.196954, -0.202062, -0.205592, -0.208293, -0.210504, -0.212398, -0.214073, -0.215587, -0.216979, -0.218277, -0.219498, -0.220657, -0.221763, -0.222827, -0.224849, -0.226765, -0.233052, -2.10201", \
+					  "2.39846, 0.662545, 0.245688, 0.119506, 1.4978e-09, -0.0550164, -0.104281, -0.147766, -0.185364, -0.216702, -0.240735, -0.256493, -0.265854, -0.271805, -0.276038, -0.279322, -0.282025, -0.284343, -0.286389, -0.288235, -0.289928, -0.2915, -0.292975, -0.29437, -0.295698, -0.298194, -0.300528, -0.307392, -2.15551", \
+					  "2.41653, 0.715438, 0.269528, 0.132464, 1.49563e-09, -0.0622548, -0.119008, -0.170232, -0.215846, -0.255603, -0.288756, -0.313682, -0.329748, -0.339595, -0.346135, -0.350924, -0.354699, -0.357833, -0.36053, -0.362916, -0.365067, -0.367039, -0.368867, -0.370579, -0.372195, -0.375198, -0.37797, -0.385792, -2.21217", \
+					  "2.45744, 0.840326, 0.327095, 0.163567, 4.99141e-10, -0.0795566, -0.154371, -0.224418, -0.289654, -0.34999, -0.405216, -0.454782, -0.49731, -0.530339, -0.552826, -0.567421, -0.577412, -0.584791, -0.590597, -0.595384, -0.59947, -0.60305, -0.606248, -0.609151, -0.611819, -0.616614, -0.620875, -0.631906, -2.39035", \
+					  "2.49885, 0.961237, 0.38422, 0.194087, 2.69032e-09, -0.0964662, -0.189288, -0.278447, -0.363925, -0.445696, -0.523716, -0.59791, -0.668129, -0.734047, -0.794936, -0.849204, -0.894148, -0.927705, -0.95115, -0.967696, -0.979963, -0.989544, -0.997354, -1.00394, -1.00964, -1.01918, -1.02706, -1.04525, -2.68964");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1040598, 0.2117060, 0.3324728, 0.4724376, 0.6698057");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1745466, 0.2827524, 0.4023334, 0.5433291, 0.7391765");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0277421, 0.0492401, 0.0709281, 0.0927373, 0.1218279");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0997198, 0.1213935, 0.1430590, 0.1649557, 0.1940202");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("21.008");
+						index_3("0.001");
+						index_4("10.4917672, 11.0902812, 13.4053852, 15.1484025, 15.5887812");
+						values("0.411783, 0.658853, 0.823566, 0.658853, 0.411783");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7657");
+						index_3("0.001");
+						index_4("6.3817341, 6.7889306, 8.5561303, 9.5160983, 9.8065975");
+						values("0.423095, 0.676953, 0.846191, 0.676953, 0.423095");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.66518");
+						index_3("0.001");
+						index_4("4.3365782, 4.6418895, 5.7955110, 6.6297995, 6.8413086");
+						values("0.426166, 0.681866, 0.852332, 0.681866, 0.426166");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.504");
+						index_3("0.001");
+						index_4("5.2954894, 5.6311976, 6.9092520, 7.6053512, 7.8310778");
+						values("0.220343, 0.352549, 0.440686, 0.352549, 0.220343");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.38283");
+						index_3("0.001");
+						index_4("3.2287539, 3.4538015, 4.3632481, 4.7786066, 4.9278868");
+						values("0.224804, 0.359686, 0.449608, 0.359686, 0.224804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.33259");
+						index_3("0.001");
+						index_4("2.1989228, 2.3670899, 2.9445583, 3.3266688, 3.4374590");
+						values("0.225257, 0.360412, 0.450515, 0.360412, 0.225257");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1485");
+						index_3("0.001");
+						index_4("9.5116162, 10.1261183, 12.4892493, 14.2180763, 14.6638558");
+						values("0.590829, 0.945327, 1.18166, 0.945327, 0.590829");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.86346");
+						index_3("0.001");
+						index_4("1.4451185, 1.5708993, 2.2065743, 2.6182102, 2.8042553");
+						values("1.16754, 0.90806, 0.735075, 0.90806, 1.16754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("1.67353");
+						index_3("0.001");
+						index_4("0.8479551, 0.9325051, 1.2821714, 1.6050006, 1.7374971");
+						values("1.15876, 0.894013, 0.717517, 0.894013, 1.15876");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.14164");
+						index_3("0.001");
+						index_4("0.5812782, 0.6454362, 0.9554680, 1.1359472, 1.2559615");
+						values("1.15708, 0.891329, 0.714161, 0.891329, 1.15708");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.43173");
+						index_3("0.001");
+						index_4("0.7322647, 0.7990891, 1.0838620, 1.3285846, 1.4403199");
+						values("1.38707, 1.25931, 1.17413, 1.25931, 1.38707");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("0.836763");
+						index_3("0.001");
+						index_4("0.4323215, 0.4775443, 0.6763978, 0.8197854, 0.9048375");
+						values("1.38644, 1.25831, 1.17289, 1.25831, 1.38644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.57082");
+						index_3("0.001");
+						index_4("0.2972458, 0.3317164, 0.4840129, 0.5822623, 0.6652957");
+						values("1.38406, 1.25449, 1.16811, 1.25449, 1.38406");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18845");
+						index_2("2.51029");
+						index_3("0.001");
+						index_4("1.2680668, 1.3927565, 1.9750487, 2.3748066, 2.5635721");
+						values("0.92675, 0.522799, 0.253499, 0.522799, 0.92675");
+					}
+				}
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.017243;
+			capacitance : 0.017403;
+			rise_capacitance : 0.017563;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0592545, 0.0885380, 0.1178214, 0.1480761, 0.1771206, 0.2073752, 0.2376299");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0656024, 0.1179989, 0.1703955, 0.1748871, 0.1791991, 0.1836908, 0.1881824");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000744298";
+				miller_cap_rise : "0.000492995";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("1.99507, 0.444487, 0.415259, 0.40205, 0.385704, 0.376112, 0.365518, 0.353906, 0.341271, 0.32761, 0.31292, 0.297203, 0.280459, 0.262689, 0.243894, 0.224075, 0.203235, 0.181375, 0.158497, 0.134604, 0.109698, 0.0837824, 0.0568587, 0.0289302, -4.07686e-09, -0.0577521, -0.113836, -0.291728, -1.42417", \
+					  "1.92305, 0.287125, 0.272206, 0.266528, 0.260257, 0.256819, 0.253116, 0.249075, 0.244595, 0.239528, 0.233667, 0.226748, 0.218528, 0.208854, 0.197659, 0.184915, 0.170612, 0.154747, 0.137317, 0.118325, 0.0977708, 0.075658, 0.0519893, 0.0267686, -2.24712e-09, -0.0550518, -0.110222, -0.29098, -1.4278", \
+					  "1.87186, 0.167679, 0.158207, 0.154922, 0.151455, 0.149634, 0.147743, 0.145766, 0.143687, 0.141481, 0.139115, 0.136544, 0.133695, 0.130458, 0.12664, 0.121912, 0.115831, 0.10805, 0.0984106, 0.0868581, 0.0733724, 0.0579455, 0.0405746, 0.0212591, -1.51249e-09, -0.046147, -0.095267, -0.267651, -1.42369", \
+					  "1.85853, 0.131125, 0.120161, 0.117529, 0.114787, 0.113364, 0.111898, 0.110383, 0.108809, 0.107164, 0.105431, 0.103589, 0.101605, 0.0994326, 0.096996, 0.0941603, 0.0906609, 0.0860265, 0.0797491, 0.0715544, 0.061348, 0.0490985, 0.0347937, 0.0184283, -1.5234e-09, -0.0414055, -0.0871203, -0.253975, -1.42113", \
+					  "1.84799, 0.11061, 0.0844761, 0.082441, 0.0803472, 0.0792727, 0.0781759, 0.0770532, 0.0758998, 0.0747099, 0.073476, 0.0721882, 0.0708331, 0.0693915, 0.0678355, 0.0661202, 0.064165, 0.0617975, 0.0586151, 0.0539758, 0.0474183, 0.0387778, 0.0280051, 0.0150819, -1.53637e-09, -0.0357061, -0.0772254, -0.236831, -1.41791", \
+					  "1.84381, 0.108258, 0.0680784, 0.0663215, 0.0645255, 0.0636091, 0.0626777, 0.0617288, 0.0607593, 0.0597654, 0.0587422, 0.0576832, 0.05658, 0.055421, 0.0541894, 0.05286, 0.0513902, 0.0496974, 0.0475781, 0.0445244, 0.0398353, 0.0331204, 0.0242628, 0.0132275, -1.54382e-09, -0.0325064, -0.0716256, -0.226895, -1.41604", \
+					  "1.84045, 0.110347, 0.052958, 0.051466, 0.0499513, 0.0491828, 0.0484052, 0.0476169, 0.0468158, 0.0459996, 0.0451652, 0.0443086, 0.0434248, 0.0425068, 0.0415451, 0.0405257, 0.0394266, 0.0382088, 0.0367871, 0.0349135, 0.0319528, 0.0271892, 0.0203197, 0.0112652, -1.55191e-09, -0.0290876, -0.0656073, -0.216032, -1.414", \
+					  "1.83798, 0.116347, 0.0393522, 0.038109, 0.0368597, 0.0362297, 0.0355951, 0.034955, 0.0343081, 0.0336531, 0.0329881, 0.0323109, 0.0316186, 0.0309073, 0.0301718, 0.0294048, 0.0285952, 0.027725, 0.02676, 0.0256117, 0.0239693, 0.0210612, 0.016213, 0.00921014, -1.5702e-09, -0.0254675, -0.0591937, -0.204242, -1.41179", \
+					  "1.83647, 0.125957, 0.0275192, 0.0264758, 0.0254767, 0.0249763, 0.0244747, 0.0239714, 0.0234658, 0.0229571, 0.0224443, 0.0219263, 0.0214016, 0.0208683, 0.0203236, 0.0197641, 0.0191847, 0.0185774, 0.0179287, 0.0172098, 0.0163292, 0.0148915, 0.0120025, 0.00708473, -1.75219e-09, -0.0216703, -0.0524185, -0.191542, -1.40941", \
+					  "1.83599, 0.138996, 0.0178698, 0.0167779, 0.016015, 0.0156362, 0.0152586, 0.014882, 0.0145061, 0.0141307, 0.0137552, 0.0133792, 0.0130019, 0.0126226, 0.0122402, 0.0118531, 0.0114592, 0.0110555, 0.010637, 0.0101949, 0.00970696, 0.0090792, 0.00781027, 0.00492914, -4.71673e-09, -0.0177288, -0.045328, -0.177976, -1.40687", \
+					  "1.8366, 0.155327, 0.0113912, 0.00922486, 0.00867895, 0.0084152, 0.00815429, 0.00789615, 0.00764069, 0.0073878, 0.00713734, 0.00688916, 0.00664302, 0.00639865, 0.00615568, 0.00591364, 0.00567183, 0.00542932, 0.00518465, 0.00493542, 0.00467675, 0.00439378, 0.00399129, 0.0028426, -1.37995e-08, -0.0136895, -0.0379873, -0.163638, -1.40419", \
+					  "1.83837, 0.174847, 0.010293, 0.00407948, 0.00367118, 0.00351697, 0.00336641, 0.00321937, 0.00307583, 0.00293574, 0.00279907, 0.00266575, 0.00253573, 0.00240892, 0.00228522, 0.00216449, 0.00204655, 0.00193118, 0.00181802, 0.00170657, 0.00159593, 0.00148401, 0.0013619, 0.00112066, -8.57188e-07, -0.00963178, -0.0304987, -0.148702, -1.40141", \
+					  "1.84136, 0.19743, 0.0164138, 0.00172874, 0.00105511, 0.000991535, 0.000932419, 0.000875959, 0.000822041, 0.000770582, 0.000721505, 0.000674727, 0.000630167, 0.000587737, 0.000547348, 0.000508905, 0.000472309, 0.000437448, 0.0004042, 0.000372415, 0.000341895, 0.000312308, 0.000282738, 0.000244959, -1.27653e-05, -0.00575271, -0.0230668, -0.13344, -1.3986", \
+					  "1.84548, 0.22254, 0.0287394, 0.00276243, 0.000212514, 0.000171605, 0.000152021, 0.00013382, 0.000116464, 9.9832e-05, 8.38589e-05, 6.84938e-05, 5.36927e-05, 3.94153e-05, 2.56236e-05, 1.22813e-05, -6.47143e-07, -1.31967e-05, -2.54032e-05, -3.73048e-05, -4.89462e-05, -6.039e-05, -7.17733e-05, -8.40183e-05, -0.000137375, -0.00268569, -0.0161854, -0.118298, -1.39596", \
+					  "1.85028, 0.248985, 0.0448063, 0.0081899, 4.02957e-05, -0.000154854, -0.000199208, -0.000238495, -0.000276885, -0.000315009, -0.000353068, -0.00039115, -0.000429297, -0.000467538, -0.000505888, -0.000544359, -0.000582961, -0.000621699, -0.000660582, -0.000699616, -0.00073881, -0.000778178, -0.000817747, -0.000857678, -0.000905673, -0.0017072, -0.0109699, -0.104295, -1.39406", \
+					  "1.85536, 0.275894, 0.0628393, 0.0177153, 7.76563e-06, -0.00103559, -0.00123952, -0.0013975, -0.00154778, -0.00169552, -0.00184229, -0.00198875, -0.00213523, -0.0022819, -0.00242888, -0.00257622, -0.00272397, -0.00287215, -0.00302079, -0.0031699, -0.0033195, -0.0034696, -0.00362023, -0.00377146, -0.00392467, -0.00437051, -0.00964201, -0.0937415, -1.39444", \
+					  "1.86058, 0.302955, 0.0817634, 0.0290954, 1.31348e-06, -0.00408343, -0.00504972, -0.0055483, -0.00597637, -0.00638096, -0.0067746, -0.00716225, -0.00754635, -0.00792824, -0.00830874, -0.00868838, -0.0090675, -0.00944636, -0.00982513, -0.010204, -0.010583, -0.0109622, -0.0113419, -0.0117221, -0.0121032, -0.0128931, -0.0155604, -0.0900315, -1.39914", \
+					  "1.86591, 0.330027, 0.10076, 0.0405361, 1.47651e-07, -0.00965666, -0.0134807, -0.0148969, -0.0158447, -0.0166616, -0.0174224, -0.0181529, -0.0188651, -0.0195652, -0.0202569, -0.0209425, -0.0216236, -0.0223013, -0.0229763, -0.0236493, -0.0243208, -0.024991, -0.0256605, -0.0263296, -0.0269986, -0.0283429, -0.0301405, -0.0943751, -1.40852", \
+					  "1.87135, 0.357015, 0.119194, 0.0513916, 1.09216e-08, -0.0160097, -0.0250275, -0.0288383, -0.0307613, -0.0321777, -0.0334035, -0.034535, -0.0356116, -0.0366529, -0.0376699, -0.0386694, -0.0396557, -0.0406319, -0.0416002, -0.0425621, -0.0435188, -0.0444714, -0.0454207, -0.0463674, -0.0473125, -0.0492013, -0.0511724, -0.105063, -1.4211", \
+					  "1.87688, 0.383833, 0.136665, 0.0615078, 1.95203e-09, -0.0221675, -0.0371199, -0.0452462, -0.0490603, -0.0513937, -0.0532182, -0.0548132, -0.0562826, -0.0576743, -0.0590141, -0.0603168, -0.0615921, -0.0628465, -0.0640843, -0.065309, -0.0665228, -0.0677279, -0.0689258, -0.0701179, -0.0713056, -0.0736726, -0.0760522, -0.120354, -1.43564", \
+					  "1.88252, 0.410403, 0.152999, 0.0708706, 1.50517e-09, -0.0278841, -0.0486311, -0.0621357, -0.0692042, -0.0730036, -0.0756402, -0.0777939, -0.0797004, -0.0814607, -0.0831261, -0.0847254, -0.0862766, -0.0877913, -0.0892776, -0.0907412, -0.0921863, -0.0936163, -0.0950339, -0.0964412, -0.0978402, -0.100621, -0.103394, -0.139254, -1.4515", \
+					  "1.88825, 0.436645, 0.168168, 0.0795069, 1.47663e-09, -0.0331276, -0.0593013, -0.0783197, -0.0898922, -0.0960011, -0.0997833, -0.10264, -0.105051, -0.107213, -0.109218, -0.111116, -0.112938, -0.114702, -0.116422, -0.118108, -0.119765, -0.121399, -0.123014, -0.124613, -0.1262, -0.129343, -0.132466, -0.161177, -1.46834", \
+					  "1.89408, 0.462475, 0.182209, 0.0874567, 1.47644e-09, -0.0379183, -0.0691088, -0.0933762, -0.110076, -0.119538, -0.124962, -0.12873, -0.131746, -0.13436, -0.136729, -0.138936, -0.141031, -0.143041, -0.144988, -0.146885, -0.148741, -0.150565, -0.152362, -0.154136, -0.155891, -0.159359, -0.162792, -0.185718, -1.48592", \
+					  "1.90002, 0.487801, 0.195181, 0.0947639, 1.49154e-09, -0.0422886, -0.0780924, -0.10724, -0.129097, -0.142853, -0.150599, -0.155577, -0.159335, -0.162469, -0.16524, -0.167777, -0.170153, -0.172412, -0.174584, -0.176687, -0.178735, -0.180739, -0.182706, -0.184643, -0.186555, -0.190319, -0.194032, -0.212573, -1.50408", \
+					  "1.90605, 0.512516, 0.207152, 0.101473, 1.52671e-09, -0.0462724, -0.0863073, -0.119956, -0.146694, -0.165284, -0.176173, -0.182773, -0.187459, -0.191208, -0.194431, -0.197326, -0.2, -0.202515, -0.204914, -0.207222, -0.209458, -0.211637, -0.213768, -0.21586, -0.217919, -0.221961, -0.225931, -0.241504, -1.52269", \
+					  "1.91843, 0.55961, 0.228345, 0.113265, 1.68533e-09, -0.0532083, -0.100663, -0.142249, -0.177641, -0.205833, -0.225173, -0.236766, -0.244154, -0.249544, -0.253891, -0.257627, -0.260969, -0.264042, -0.26692, -0.26965, -0.272266, -0.27479, -0.277241, -0.279631, -0.28197, -0.286527, -0.290968, -0.304565, -1.56091", \
+					  "1.93121, 0.602566, 0.246276, 0.123148, 2.00154e-09, -0.0589585, -0.112615, -0.160876, -0.203533, -0.239985, -0.268648, -0.287905, -0.299758, -0.307664, -0.313585, -0.318414, -0.322577, -0.326301, -0.329717, -0.332906, -0.335924, -0.338806, -0.341581, -0.344266, -0.346879, -0.351929, -0.356808, -0.371129, -1.59996", \
+					  "1.97117, 0.696819, 0.284579, 0.143936, 4.97204e-09, -0.0708787, -0.137573, -0.200025, -0.258156, -0.311821, -0.360691, -0.403926, -0.439609, -0.465548, -0.482705, -0.49438, -0.503021, -0.509915, -0.515724, -0.52081, -0.525388, -0.529591, -0.533508, -0.537201, -0.540715, -0.547325, -0.553523, -0.570803, -1.7181", \
+					  "2.01766, 0.76533, 0.314455, 0.15974, 2.23139e-08, -0.0798517, -0.156747, -0.230658, -0.301552, -0.369394, -0.434135, -0.495701, -0.553956, -0.608624, -0.659095, -0.70405, -0.741309, -0.769359, -0.7894, -0.804026, -0.815288, -0.824425, -0.832154, -0.838904, -0.844942, -0.855526, -0.864762, -0.888066, -1.90668");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0532962, 0.1164085, 0.1813058, 0.2502645, 0.3510651");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1273617, 0.1906818, 0.2556366, 0.3244453, 0.4253668");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0247755, 0.0458702, 0.0679639, 0.0922574, 0.1270162");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0960268, 0.1176206, 0.1398183, 0.1640589, 0.1986304");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("9.4203");
+						index_3("0.001");
+						index_4("4.6987122, 5.0139785, 6.0092810, 6.9565790, 7.2268171");
+						values("0.379821, 0.607714, 0.759642, 0.607714, 0.379821");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("5.59997");
+						index_3("0.001");
+						index_4("2.7975166, 3.0135330, 3.7222505, 4.3007233, 4.4768596");
+						values("0.395866, 0.633385, 0.791731, 0.633385, 0.395866");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("3.8425");
+						index_3("0.001");
+						index_4("1.9229443, 2.0880286, 2.6148077, 3.0375345, 3.1689454");
+						values("0.404932, 0.647891, 0.809864, 0.647891, 0.404932");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.20046");
+						index_2("4.71015");
+						index_3("0.001");
+						index_4("2.3646207, 2.5321322, 3.0859696, 3.5278772, 3.6613608");
+						values("0.202854, 0.324566, 0.405708, 0.324566, 0.202854");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("2.79998");
+						index_3("0.001");
+						index_4("1.4101202, 1.5271114, 1.8797496, 2.1807894, 2.2707915");
+						values("0.207758, 0.332413, 0.415517, 0.332413, 0.207758");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32682");
+						index_2("1.92125");
+						index_3("0.001");
+						index_4("0.9704518, 1.0580654, 1.3239404, 1.5399026, 1.6096363");
+						values("0.211294, 0.33807, 0.422588, 0.33807, 0.211294");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("8.39995");
+						index_3("0.001");
+						index_4("4.1784931, 4.4860587, 5.5609351, 6.3854093, 6.6469788");
+						values("0.563338, 0.90134, 1.12668, 0.90134, 0.563338");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("2.99541");
+						index_3("0.001");
+						index_4("1.4968313, 1.6078118, 2.1347620, 2.5120513, 2.6726054");
+						values("1.21059, 0.976947, 0.821183, 0.976947, 1.21059");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("1.73173");
+						index_3("0.001");
+						index_4("0.8675804, 0.9419412, 1.2755231, 1.5274573, 1.6353887");
+						values("1.19859, 0.957748, 0.797185, 0.957748, 1.19859");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("1.15818");
+						index_3("0.001");
+						index_4("0.5820265, 0.6381582, 0.8809192, 1.0615978, 1.1438231");
+						values("1.19212, 0.947395, 0.784243, 0.947395, 1.19212");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10532");
+						index_2("1.49771");
+						index_3("0.001");
+						index_4("0.7573862, 0.8174991, 1.0607351, 1.2781419, 1.3721204");
+						values("1.39754, 1.27607, 1.19509, 1.27607, 1.39754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("0.865864");
+						index_3("0.001");
+						index_4("0.4405668, 0.4810424, 0.6590119, 0.7796020, 0.8466494");
+						values("1.39366, 1.26985, 1.18732, 1.26985, 1.39366");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24782");
+						index_2("0.579092");
+						index_3("0.001");
+						index_4("0.2960353, 0.3264005, 0.4389243, 0.5435620, 0.5950410");
+						values("1.39067, 1.26507, 1.18133, 1.26507, 1.39067");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18023");
+						index_2("2.59759");
+						index_3("0.001");
+						index_4("1.2889997, 1.3938822, 1.8333276, 2.2676232, 2.4210315");
+						values("1.03805, 0.700874, 0.476093, 0.700874, 1.03805");
+					}
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.004824;
+			capacitance : 0.004921;
+			rise_capacitance : 0.005018;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5899558, -3.4475908, -3.3217448, -3.2206821, -3.1603086, -3.0719180, -2.9951370", \
+					  "-4.0311800, -3.8872889, -3.7812808, -3.6593714, -3.6011347, -3.5181635, -3.4409386", \
+					  "-4.4866104, -4.3427392, -4.2257741, -4.1125269, -4.0188037, -3.9337584, -3.8719549", \
+					  "-4.9358990, -4.7935342, -4.6697914, -4.5737402, -4.4961321, -4.3861569, -4.3471837", \
+					  "-5.3421962, -5.1995405, -5.0953386, -4.9683073, -4.9026411, -4.7863755, -4.7412738", \
+					  "-5.7278641, -5.5854994, -5.4686009, -5.3624156, -5.2828410, -5.1906379, -5.1285764", \
+					  "-6.0867686, -5.9444038, -5.8274667, -5.7175995, -5.6417530, -5.5905300, -5.4893816");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.4098828, -3.3280622, -3.1486198, -2.9350448, -2.7528033, -2.5781805, -2.4168047", \
+					  "-3.8450032, -3.7799676, -3.5684062, -3.3732354, -3.2349598, -3.0481293, -2.8443201", \
+					  "-4.3050112, -4.2353975, -4.0391030, -3.8331406, -3.6824026, -3.5065128, -3.3087204", \
+					  "-4.7360424, -4.6510308, -4.4377095, -4.2657679, -4.0997008, -3.9246652, -3.7124621", \
+					  "-5.1363879, -5.0713515, -4.8467894, -4.6703572, -4.4573218, -4.3068062, -4.1183951", \
+					  "-5.4962503, -5.4266962, -5.2039339, -5.0292363, -4.8505077, -4.6875390, -4.4970922", \
+					  "-5.9111664, -5.8280373, -5.6273659, -5.4400370, -5.2590236, -5.1172348, -4.9290760");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0868147, 3.9397408, 3.8081194, 3.6958932, 3.6156691, 3.5170034, 3.4304682", \
+					  "4.5313653, 4.3858169, 4.2656183, 4.1563458, 4.0569097, 3.9615509, 3.8831390", \
+					  "4.9859626, 4.8395548, 4.6984197, 4.5804492, 4.5129347, 4.4085471, 4.3465461", \
+					  "5.4177128, 5.2691130, 5.1526039, 5.0267917, 4.9404323, 4.8479090, 4.7649092", \
+					  "5.8143848, 5.6627270, 5.5690792, 5.4495953, 5.3412903, 5.2461522, 5.1657796", \
+					  "6.1889887, 6.0373380, 5.9360310, 5.7966288, 5.7084073, 5.6544709, 5.5450616", \
+					  "6.5884718, 6.4429234, 6.3126564, 6.1913521, 6.1142156, 6.0420231, 5.9461314");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6824442, 3.5161523, 3.3170496, 3.1118515, 2.9583771, 2.7616880, 2.5895690", \
+					  "4.1427260, 3.9749082, 3.7681878, 3.5626411, 3.4158223, 3.2402867, 3.0527257", \
+					  "4.5970759, 4.4292581, 4.2394744, 4.0249771, 3.8717805, 3.6747938, 3.5070582", \
+					  "5.0195575, 4.8517397, 4.6535960, 4.4519155, 4.2876465, 4.1198931, 3.9259367", \
+					  "5.3950349, 5.2288274, 5.0343492, 4.8234073, 4.6712388, 4.4939943, 4.3096520", \
+					  "5.8215897, 5.6537715, 5.4584047, 5.2441045, 5.0924845, 4.9139353, 4.7251663", \
+					  "6.1813428, 6.0150509, 5.8328856, 5.6082639, 5.4504102, 5.2712677, 5.0866189");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0221885, 0.0283796, 0.0345707, 0.0344056, 0.0342470, 0.0340818, 0.0339166");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0267267, 0.0333573, 0.0399879, 0.0398996, 0.0398147, 0.0397264, 0.0396380");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0009802";
+				miller_cap_rise : "0.000957";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.81867, 0.385238, 0.37022, 0.362958, 0.353271, 0.347068, 0.339734, 0.331211, 0.321508, 0.310637, 0.2986, 0.285388, 0.270989, 0.255387, 0.238567, 0.220515, 0.201214, 0.18065, 0.158805, 0.135666, 0.111216, 0.0854396, 0.0583218, 0.029847, -1.73236e-09, -0.0609779, -0.121811, -0.312481, -1.97083", \
+					  "2.69467, 0.188368, 0.182221, 0.179871, 0.177184, 0.175669, 0.174004, 0.172147, 0.170039, 0.167593, 0.164676, 0.161094, 0.15661, 0.151018, 0.144195, 0.136076, 0.126623, 0.115808, 0.103606, 0.0899926, 0.0749467, 0.0584461, 0.0404691, 0.0209942, 1.20862e-09, -0.0447024, -0.0915929, -0.252256, -1.95882", \
+					  "2.63871, 0.0919581, 0.0870507, 0.0859636, 0.084786, 0.0841547, 0.0834886, 0.0827815, 0.0820251, 0.0812085, 0.0803166, 0.0793281, 0.0782107, 0.0769131, 0.0753454, 0.0733361, 0.0705841, 0.066745, 0.0616032, 0.055062, 0.0470723, 0.0375996, 0.0266149, 0.0140905, 3.62618e-09, -0.0319565, -0.0679224, -0.204525, -1.94865", \
+					  "2.62769, 0.074494, 0.0610369, 0.0602447, 0.0593997, 0.0589527, 0.0584863, 0.0579972, 0.0574813, 0.0569335, 0.0563469, 0.0557123, 0.0550167, 0.0542405, 0.0533531, 0.0523, 0.0509694, 0.0491117, 0.0463227, 0.042276, 0.0368258, 0.0299089, 0.0214863, 0.0115265, 4.41974e-09, -0.0272068, -0.0590915, -0.186488, -1.94486", \
+					  "2.62128, 0.0725924, 0.0388063, 0.0382615, 0.037689, 0.0373901, 0.0370813, 0.0367611, 0.0364277, 0.0360788, 0.0357115, 0.0353221, 0.0349055, 0.0344548, 0.0339596, 0.033404, 0.0327604, 0.0319708, 0.0308809, 0.0291116, 0.0261984, 0.0219004, 0.0161297, 0.00884103, 5.11625e-09, -0.0222197, -0.0498094, -0.167346, -1.94087", \
+					  "2.61996, 0.0774649, 0.0293009, 0.0288637, 0.0284078, 0.0281713, 0.0279281, 0.0276773, 0.0274178, 0.0271481, 0.0268664, 0.0265705, 0.0262573, 0.0259227, 0.0255611, 0.025164, 0.0247175, 0.0241965, 0.0235406, 0.0225615, 0.0208012, 0.0178031, 0.0133778, 0.0074569, 5.38871e-09, -0.0196424, -0.0450073, -0.157354, -1.9388", \
+					  "2.61999, 0.0862429, 0.0209786, 0.0206364, 0.0202851, 0.0201041, 0.0199188, 0.0197288, 0.0195333, 0.0193315, 0.0191223, 0.0189045, 0.0186763, 0.0184355, 0.018179, 0.0179024, 0.017599, 0.0172578, 0.0168562, 0.0163315, 0.0154502, 0.0136764, 0.0105899, 0.00604939, 5.56322e-09, -0.0170136, -0.0401043, -0.147081, -1.93669", \
+					  "2.62142, 0.0989581, 0.0139512, 0.0136676, 0.0134097, 0.0132776, 0.0131431, 0.0130058, 0.0128655, 0.0127216, 0.0125735, 0.0124207, 0.0122621, 0.0120967, 0.0119228, 0.0117385, 0.0115405, 0.0113241, 0.0110809, 0.0107922, 0.0103927, 0.00959227, 0.00778927, 0.00462573, 5.53496e-09, -0.0143385, -0.035108, -0.136529, -1.93453", \
+					  "2.62431, 0.115619, 0.0084731, 0.00806137, 0.00788526, 0.00779585, 0.00770527, 0.00761334, 0.0075199, 0.00742473, 0.00732756, 0.00722806, 0.00712583, 0.00702034, 0.00691092, 0.00679665, 0.00667625, 0.00654786, 0.00640846, 0.00625245, 0.0060654, 0.00578066, 0.00504011, 0.00320529, 4.77939e-09, -0.0116254, -0.0300294, -0.125704, -1.93232", \
+					  "2.62874, 0.136213, 0.00536273, 0.00395628, 0.00384539, 0.00379206, 0.00373829, 0.00368403, 0.00362921, 0.00357373, 0.00351751, 0.00346042, 0.00340231, 0.00334299, 0.00328222, 0.00321968, 0.00315493, 0.00308736, 0.00301605, 0.00293947, 0.00285451, 0.00275135, 0.00256235, 0.00184992, -2.99446e-09, -0.00889033, -0.0248872, -0.114622, -1.93007", \
+					  "2.63481, 0.160665, 0.00646497, 0.00151296, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998448, 0.000965512, 0.000929389, 0.000883879, 0.000747893, -1.29315e-07, -0.00617266, -0.0197199, -0.103329, -1.92779", \
+					  "2.64242, 0.18856, 0.0129116, 0.00076407, 0.00037576, 0.000365798, 0.000356667, 0.000347555, 0.000338439, 0.000329313, 0.000320172, 0.00031101, 0.00030182, 0.000292595, 0.000283324, 0.000273992, 0.000264584, 0.000255073, 0.000245425, 0.000235586, 0.000225466, 0.000214878, 0.000203192, 0.000183789, -2.33474e-06, -0.00359667, -0.0146288, -0.0919219, -1.92549", \
+					  "2.65115, 0.218967, 0.0238448, 0.00200393, 8.36698e-05, 6.84276e-05, 6.46532e-05, 6.12153e-05, 5.78539e-05, 5.45252e-05, 5.12135e-05, 4.79114e-05, 4.46142e-05, 4.13185e-05, 3.80214e-05, 3.47199e-05, 3.14107e-05, 2.80894e-05, 2.47503e-05, 2.13849e-05, 1.79787e-05, 1.45032e-05, 1.08708e-05, 6.33123e-06, -3.14337e-05, -0.00156575, -0.00989363, -0.0806093, -1.92323", \
+					  "2.66061, 0.25105, 0.0380128, 0.00651114, 1.57616e-05, -9.51763e-05, -0.000107435, -0.000116484, -0.000124833, -0.000132888, -0.000140786, -0.000148592, -0.000156339, -0.000164048, -0.000171731, -0.000179399, -0.000187057, -0.000194711, -0.000202365, -0.000210022, -0.000217689, -0.00022537, -0.000233084, -0.000240945, -0.000254729, -0.000705241, -0.00609046, -0.069824, -1.92124", \
+					  "2.67068, 0.284329, 0.0544644, 0.0144192, 2.03309e-06, -0.000683421, -0.000758546, -0.000802911, -0.000841416, -0.000877532, -0.00091237, -0.000946425, -0.000979963, -0.00101314, -0.00104606, -0.00107878, -0.00111136, -0.00114383, -0.00117621, -0.00120854, -0.00124082, -0.00127308, -0.00130532, -0.00133759, -0.00137062, -0.00152802, -0.0042927, -0.0605926, -1.92024", \
+					  "2.68128, 0.318478, 0.0723462, 0.0241211, 1.66431e-07, -0.00296062, -0.00348035, -0.00366584, -0.00380183, -0.00392038, -0.00403013, -0.00413462, -0.00423562, -0.00433418, -0.00443092, -0.00452627, -0.00462055, -0.00471396, -0.00480668, -0.00489882, -0.00499049, -0.00508178, -0.00517278, -0.00526355, -0.00535424, -0.0055533, -0.00670435, -0.0551665, -1.92188", \
+					  "2.6924, 0.353236, 0.0908656, 0.034294, 1.192e-08, -0.00737783, -0.00997927, -0.0107097, -0.011101, -0.0113983, -0.0116542, -0.011887, -0.0121052, -0.0123134, -0.0125143, -0.0127097, -0.0129008, -0.0130885, -0.0132734, -0.013456, -0.0136366, -0.0138157, -0.0139934, -0.0141701, -0.0143458, -0.0146986, -0.0152919, -0.0557091, -1.92763", \
+					  "2.70404, 0.388377, 0.109427, 0.0444459, 2.1669e-09, -0.0126768, -0.0194297, -0.0219327, -0.022958, -0.0236016, -0.0241022, -0.0245308, -0.0249169, -0.0252748, -0.025613, -0.0259365, -0.0262488, -0.0265522, -0.0268484, -0.0271387, -0.0274241, -0.0277054, -0.0279832, -0.0282581, -0.0285306, -0.0290708, -0.029658, -0.0623479, -1.93741", \
+					  "2.71617, 0.423701, 0.127694, 0.0544153, 1.59386e-09, -0.0181244, -0.0299178, -0.0359633, -0.038473, -0.0397767, -0.0406738, -0.0413883, -0.0420022, -0.0425531, -0.0430612, -0.0435384, -0.0439924, -0.0444283, -0.0448498, -0.0452595, -0.0456594, -0.0460511, -0.0464359, -0.0468149, -0.047189, -0.0479263, -0.0486639, -0.0743834, -1.95059", \
+					  "2.72879, 0.459023, 0.145508, 0.0641346, 1.55206e-09, -0.0235027, -0.0405141, -0.0512181, -0.0565554, -0.0590966, -0.0606354, -0.061764, -0.062684, -0.0634805, -0.0641961, -0.0648551, -0.0654724, -0.0660577, -0.0666177, -0.0671575, -0.0676805, -0.0681896, -0.068687, -0.0691744, -0.0696534, -0.0705921, -0.071515, -0.091205, -1.96665", \
+					  "2.74188, 0.494174, 0.162793, 0.0735678, 1.54059e-09, -0.0287444, -0.0509362, -0.0666519, -0.0760571, -0.0807534, -0.0833246, -0.085053, -0.0863831, -0.0874908, -0.0884587, -0.0893317, -0.0901362, -0.0908893, -0.0916024, -0.0922835, -0.0929385, -0.093572, -0.0941873, -0.0947874, -0.0953746, -0.0965183, -0.097634, -0.112331, -1.9852", \
+					  "2.75544, 0.52899, 0.179512, 0.0826926, 1.53122e-09, -0.0338229, -0.0610845, -0.0818328, -0.095966, -0.103918, -0.10811, -0.110704, -0.112582, -0.114083, -0.115357, -0.116481, -0.1175, -0.118441, -0.119323, -0.120157, -0.120954, -0.121719, -0.122458, -0.123175, -0.123874, -0.125227, -0.126537, -0.137362, -2.00589", \
+					  "2.76944, 0.563307, 0.19564, 0.0914941, 1.52244e-09, -0.0387247, -0.0709123, -0.0966024, -0.115645, -0.127768, -0.134369, -0.138201, -0.14081, -0.142807, -0.14445, -0.145868, -0.147132, -0.148283, -0.14935, -0.150351, -0.151299, -0.152204, -0.153073, -0.153912, -0.154726, -0.156292, -0.157799, -0.165956, -2.02841", \
+					  "2.78387, 0.596961, 0.211165, 0.0999627, 1.51432e-09, -0.043442, -0.0803939, -0.110892, -0.134802, -0.151599, -0.161483, -0.167056, -0.170637, -0.173257, -0.175346, -0.177107, -0.17865, -0.180036, -0.181306, -0.182488, -0.183598, -0.184651, -0.185656, -0.186622, -0.187555, -0.18934, -0.191045, -0.197753, -2.05249", \
+					  "2.79867, 0.629782, 0.226076, 0.108092, 1.50704e-09, -0.0479701, -0.0895138, -0.124666, -0.153318, -0.174932, -0.188861, -0.196802, -0.201668, -0.20507, -0.207696, -0.209858, -0.211717, -0.213365, -0.214859, -0.216235, -0.217519, -0.218729, -0.219878, -0.220976, -0.222031, -0.22404, -0.225947, -0.232227, -2.07791", \
+					  "2.82843, 0.692257, 0.25404, 0.123321, 1.49621e-09, -0.0564495, -0.106636, -0.15059, -0.188248, -0.219226, -0.242422, -0.257179, -0.2659, -0.271518, -0.275568, -0.27874, -0.281368, -0.283633, -0.28564, -0.287456, -0.289124, -0.290676, -0.292134, -0.293514, -0.294829, -0.297305, -0.299624, -0.306472, -2.13199", \
+					  "2.84626, 0.74953, 0.279532, 0.137177, 1.49329e-09, -0.0641594, -0.122252, -0.174303, -0.220274, -0.259922, -0.292394, -0.316014, -0.330794, -0.339874, -0.346002, -0.350553, -0.354178, -0.35721, -0.359834, -0.362165, -0.364274, -0.366211, -0.36801, -0.369699, -0.371294, -0.374265, -0.377013, -0.384807, -2.18927", \
+					  "2.8897, 0.886069, 0.341726, 0.17084, 8.72084e-10, -0.0828624, -0.160331, -0.232422, -0.299128, -0.360381, -0.415947, -0.465128, -0.506169, -0.536546, -0.556462, -0.569411, -0.57845, -0.585257, -0.590694, -0.595228, -0.599132, -0.602574, -0.605666, -0.608484, -0.611082, -0.61577, -0.619954, -0.630873, -2.36948", \
+					  "2.933, 1.01886, 0.404387, 0.204449, 2.67642e-09, -0.101532, -0.19879, -0.29178, -0.380508, -0.464969, -0.545133, -0.620925, -0.692151, -0.758347, -0.818408, -0.870044, -0.910321, -0.938807, -0.958465, -0.972589, -0.983322, -0.99189, -0.998999, -1.00508, -1.01039, -1.0194, -1.02693, -1.04457, -2.67232");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1137164, 0.2371759, 0.3752265, 0.5325143, 0.7542476");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1848564, 0.3093312, 0.4458783, 0.6044216, 0.8253704");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0284867, 0.0527123, 0.0772274, 0.1026872, 0.1351921");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1007103, 0.1249713, 0.1493420, 0.1739168, 0.2063203");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("23.7825");
+						index_3("0.001");
+						index_4("11.8711976, 12.5499252, 15.0895695, 17.1562072, 17.6572956");
+						values("0.409174, 0.654679, 0.818348, 0.654679, 0.409174");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("14.4516");
+						index_3("0.001");
+						index_4("7.2226649, 7.6846024, 9.4211165, 10.7764734, 11.1063447");
+						values("0.41891, 0.670256, 0.83782, 0.670256, 0.41891");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("9.80956");
+						index_3("0.001");
+						index_4("4.9084553, 5.2542168, 6.6199276, 7.4997073, 7.7434526");
+						values("0.422775, 0.67644, 0.84555, 0.67644, 0.422775");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("11.8913");
+						index_3("0.001");
+						index_4("5.9897244, 6.3635826, 7.6688858, 8.6120639, 8.8684122");
+						values("0.216248, 0.345997, 0.432496, 0.345997, 0.216248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("7.22579");
+						index_3("0.001");
+						index_4("3.6484224, 3.9004620, 4.7619324, 5.4108588, 5.5803664");
+						values("0.222153, 0.355445, 0.444307, 0.355445, 0.222153");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37938");
+						index_2("4.90478");
+						index_3("0.001");
+						index_4("2.4847892, 2.6725687, 3.3122624, 3.7683260, 3.8933398");
+						values("0.222623, 0.356196, 0.445246, 0.356196, 0.222623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("21.6774");
+						index_3("0.001");
+						index_4("10.7693602, 11.4644503, 14.3770201, 16.1016868, 16.6050355");
+						values("0.587803, 0.940484, 1.17561, 0.940484, 0.587803");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("3.15257");
+						index_3("0.001");
+						index_4("1.5883992, 1.7250324, 2.3324912, 2.8825134, 3.0881883");
+						values("1.17874, 0.92599, 0.757487, 0.92599, 1.17874");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("1.84323");
+						index_3("0.001");
+						index_4("0.9324958, 1.0252115, 1.4581160, 1.7692125, 1.9156677");
+						values("1.17052, 0.912826, 0.741033, 0.912826, 1.17052");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("1.25766");
+						index_3("0.001");
+						index_4("0.6386877, 0.7082930, 1.0249667, 1.2521476, 1.3850943");
+						values("1.16686, 0.90697, 0.733712, 0.90697, 1.16686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10807");
+						index_2("1.57629");
+						index_3("0.001");
+						index_4("0.8042125, 0.8771687, 1.2548584, 1.4653449, 1.5884164");
+						values("1.39184, 1.26694, 1.18368, 1.26694, 1.39184");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("0.921616");
+						index_3("0.001");
+						index_4("0.4742330, 0.5239927, 0.7532785, 0.9023005, 0.9983047");
+						values("1.3899, 1.26384, 1.1798, 1.26384, 1.3899");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25959");
+						index_2("0.62883");
+						index_3("0.001");
+						index_4("0.3258460, 0.3641411, 0.5188624, 0.6416617, 0.7338855");
+						values("1.38934, 1.26294, 1.17867, 1.26294, 1.38934");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18916");
+						index_2("2.76485");
+						index_3("0.001");
+						index_4("1.3933931, 1.5303517, 2.1499441, 2.6182551, 2.8270986");
+						values("0.94787, 0.556592, 0.29574, 0.556592, 0.94787");
+					}
+				}
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.004852;
+			capacitance : 0.004649;
+			fall_capacitance : 0.004446;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("3");
+				} 
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0074624, -0.0074270, -0.0073917, -0.0073595, -0.0073287, -0.0072965, -0.0072644");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0074093, 0.0073762, 0.0073432, 0.0073523, 0.0073610, 0.0073701, 0.0073792");
+				}
+			}*/
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0257693, 4.4686977, 4.9257356, 5.3584988, 5.7792631, 6.1299577, 6.5308082", \
+					  "3.8799966, 4.3244508, 4.7810683, 5.2304599, 5.6365417, 5.9811332, 6.3850332", \
+					  "3.7606392, 4.1974267, 4.6407445, 5.0988474, 5.4771701, 5.8434735, 6.2464045", \
+					  "3.6521431, 4.0976367, 4.5683682, 4.9896636, 5.3773633, 5.7451508, 6.1452366", \
+					  "3.5726136, 4.0022277, 4.4500415, 4.9037270, 5.3229654, 5.7100887, 6.0745478", \
+					  "3.4788548, 3.9108515, 4.3665891, 4.8291716, 5.1969240, 5.5586289, 6.0012766", \
+					  "3.4066572, 3.8496076, 4.3335427, 4.7570381, 5.1362326, 5.5013579, 5.9417509");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.4770297, -3.9197798, -4.3736843, -4.8229729, -5.2292701, -5.6149380, -5.9829978", \
+					  "-3.3463378, -3.7847252, -4.2429226, -4.6780888, -5.0730582, -5.4716122, -5.8260172", \
+					  "-3.1691105, -3.6637897, -4.1176917, -4.5563746, -4.9581496, -5.3329587, -5.7208546", \
+					  "-3.1143516, -3.5596652, -4.0204921, -4.4640449, -4.8739246, -5.2583037, -5.6151494", \
+					  "-2.9921556, -3.4333711, -3.9115686, -4.3453145, -4.7527153, -5.1846555, -5.5253994", \
+					  "-2.9316733, -3.4117584, -3.8376908, -4.3466263, -4.6824582, -5.0842266, -5.4879640", \
+					  "-2.8809665, -3.3117866, -3.7575140, -4.2210779, -4.6115744, -4.9858288, -5.3561464");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7043819, -4.1364505, -4.6010362, -5.0487989, -5.4581483, -5.8407641, -6.1996685", \
+					  "-3.5694831, -4.0091812, -4.4677943, -4.9139001, -5.3232491, -5.7137954, -6.0647698", \
+					  "-3.4562177, -3.8944081, -4.3534587, -4.7958155, -5.2088775, -5.5877806, -5.9586267", \
+					  "-3.3560194, -3.7943734, -4.2498038, -4.7464918, -5.1082607, -5.4924018, -5.8802232", \
+					  "-3.2862366, -3.7192262, -4.1506858, -4.6260761, -5.0338993, -5.4241524, -5.7772895", \
+					  "-3.2196478, -3.6590170, -4.1120931, -4.5644352, -4.9744854, -5.3593349, -5.7019529", \
+					  "-3.1599318, -3.6042078, -4.0565864, -4.5058750, -4.9138153, -5.2890125, -5.6567446");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9998252, 4.4152804, 4.8860474, 5.3218534, 5.7102343, 6.1177022, 6.5048973", \
+					  "3.8588220, 4.2941133, 4.7620399, 5.1915310, 5.5832310, 5.9477075, 6.3537134", \
+					  "3.7455894, 4.1806059, 4.6238998, 5.0719205, 5.4877665, 5.8876200, 6.2512007", \
+					  "3.6631092, 4.1009225, 4.5510016, 4.9854252, 5.3605265, 5.7367536, 6.1389061", \
+					  "3.5603165, 3.9879787, 4.4663751, 4.9116576, 5.2829330, 5.6415719, 6.0608108", \
+					  "3.4834325, 3.9145281, 4.3727060, 4.8176674, 5.2304626, 5.6262806, 5.9879331", \
+					  "3.4094412, 3.8633766, 4.3177677, 4.7472948, 5.1383171, 5.5095451, 5.9288905");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.1463138, 4.5892448, 5.0478100, 5.4821021, 5.8689718, 6.2352588, 6.6513397", \
+					  "4.0020665, 4.4449980, 4.9035627, 5.3348031, 5.7262500, 6.0884283, 6.5070925", \
+					  "3.8751218, 4.3240774, 4.7810882, 5.2111345, 5.5978580, 5.9642094, 6.3946224", \
+					  "3.7767836, 4.2170501, 4.6797999, 5.1095175, 5.5009617, 5.8672452, 6.2818100", \
+					  "3.6900163, 4.1253341, 4.5930382, 5.0227527, 5.4126746, 5.7774361, 6.1938901", \
+					  "3.6360970, 4.0530115, 4.4993691, 4.9321354, 5.3492204, 5.7051291, 6.1105288", \
+					  "3.5550232, 4.0010402, 4.4699087, 4.8912956, 5.2925116, 5.6685894, 6.0674011");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6631870, -4.1028853, -4.5583152, -5.0091302, -5.4139015, -5.7995694, -6.1584739", \
+					  "-3.5235554, -3.9647793, -4.4202097, -4.8694983, -5.2757960, -5.6598967, -6.0184512", \
+					  "-3.3964664, -3.8453695, -4.2992243, -4.7565140, -5.1605357, -5.5315216, -5.8904501", \
+					  "-3.3073732, -3.7409677, -4.2040275, -4.6675283, -5.0596138, -5.4452813, -5.8013676", \
+					  "-3.2231273, -3.6669312, -4.1151293, -4.5569763, -4.9676505, -5.3539073, -5.7335476", \
+					  "-3.1666276, -3.5925928, -4.0790892, -4.5217001, -4.8694575, -5.2969315, -5.6240330", \
+					  "-3.0669955, -3.5081562, -3.9776375, -4.4130732, -4.8236714, -5.2001840, -5.5442004");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5899558, -4.0311800, -4.4866104, -4.9358990, -5.3421962, -5.7278641, -6.0867686", \
+					  "-3.4475908, -3.8872889, -4.3427392, -4.7935342, -5.1995405, -5.5854994, -5.9444038", \
+					  "-3.3217448, -3.7812808, -4.2257741, -4.6697914, -5.0953386, -5.4686009, -5.8274667", \
+					  "-3.2206821, -3.6593714, -4.1125269, -4.5737402, -4.9683073, -5.3624156, -5.7175995", \
+					  "-3.1603086, -3.6011347, -4.0188037, -4.4961321, -4.9026411, -5.2828410, -5.6417530", \
+					  "-3.0719180, -3.5181635, -3.9337584, -4.3861569, -4.7863755, -5.1906379, -5.5905300", \
+					  "-2.9951370, -3.4409386, -3.8719549, -4.3471837, -4.7412738, -5.1285764, -5.4893816");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0868147, 4.5313653, 4.9859626, 5.4177128, 5.8143848, 6.1889887, 6.5884718", \
+					  "3.9397408, 4.3858169, 4.8395548, 5.2691130, 5.6627270, 6.0373380, 6.4429234", \
+					  "3.8081194, 4.2656183, 4.6984197, 5.1526039, 5.5690792, 5.9360310, 6.3126564", \
+					  "3.6958932, 4.1563458, 4.5804492, 5.0267917, 5.4495953, 5.7966288, 6.1913521", \
+					  "3.6156691, 4.0569097, 4.5129347, 4.9404323, 5.3412903, 5.7084073, 6.1142156", \
+					  "3.5170034, 3.9615509, 4.4085471, 4.8479090, 5.2461522, 5.6544709, 6.0420231", \
+					  "3.4304682, 3.8831390, 4.3465461, 4.7649092, 5.1657796, 5.5450616, 5.9461314");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5167255, -3.9610015, -4.4133796, -4.8459367, -5.2447559, -5.6046183, -6.0135383", \
+					  "-3.3625486, -3.8100933, -4.2575283, -4.6850055, -5.0999513, -5.4582882, -5.8662534", \
+					  "-3.2542020, -3.6881544, -4.1481624, -4.5792096, -4.9810642, -5.3378752, -5.7486120", \
+					  "-3.1387739, -3.5920799, -4.0457821, -4.4798238, -4.8785591, -5.2384245, -5.6484224", \
+					  "-3.0693274, -3.5075022, -3.9735110, -4.4000498, -4.7664967, -5.1600543, -5.5317939", \
+					  "-2.9882865, -3.4390359, -3.8845262, -4.3054087, -4.7119661, -5.0842701, -5.4391360", \
+					  "-2.8915696, -3.3557714, -3.7827272, -4.2196985, -4.6077992, -4.9920518, -5.4053963");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.9815398, 4.4244686, 4.8830320, 5.3142697, 5.7026617, 6.0704715, 6.4865769", \
+					  "3.8335928, 4.2741835, 4.7344042, 5.1661273, 5.5562411, 5.9209991, 6.3386299", \
+					  "3.7056543, 4.1490378, 4.6072819, 5.0388012, 5.4282896, 5.7992067, 6.1989896", \
+					  "3.5907031, 4.0355379, 4.4921012, 4.9258107, 5.3139589, 5.6802485, 6.0861874", \
+					  "3.5038592, 3.9452617, 4.4231510, 4.8365892, 5.2310847, 5.5900136, 6.0063623", \
+					  "3.4300268, 3.8752351, 4.3269413, 4.7673343, 5.1557268, 5.5185614, 5.9279739", \
+					  "3.3373775, 3.7675927, 4.2270852, 4.6881368, 5.0791249, 5.4169729, 5.8261341");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.7425549, -4.1746235, -4.6376833, -5.0869719, -5.4963214, -5.8774116, -6.2378415", \
+					  "-3.5992073, -4.0305570, -4.4899020, -4.9375248, -5.3430995, -5.7241760, -6.0846197", \
+					  "-3.4702567, -3.9161360, -4.3694148, -4.8260122, -5.2265269, -5.6191542, -5.9753875", \
+					  "-3.3867189, -3.8172618, -4.2848993, -4.7358887, -5.1389592, -5.5261530, -5.8850575", \
+					  "-3.3228575, -3.7138514, -4.2164602, -4.6535879, -5.0644171, -5.4592399, -5.8288256", \
+					  "-3.2397171, -3.6762672, -4.1093344, -4.5658510, -4.9911099, -5.3578050, -5.7061651", \
+					  "-3.1812943, -3.6362513, -4.0794743, -4.4967196, -4.9045432, -5.3024178, -5.6704776");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0425754, 4.4534845, 4.9257920, 5.3646854, 5.7485330, 6.1270653, 6.5475095", \
+					  "3.8969719, 4.3307689, 4.7981963, 5.2465479, 5.6550087, 6.0058752, 6.3902681", \
+					  "3.7834236, 4.2218360, 4.6804110, 5.1242715, 5.5046774, 5.9319445, 6.2945820", \
+					  "3.6882648, 4.1190103, 4.5959350, 5.0348177, 5.4094811, 5.7672314, 6.1989043", \
+					  "3.6057879, 4.0322717, 4.5137234, 4.9655394, 5.3318868, 5.7512437, 6.1186565", \
+					  "3.5200534, 3.9522721, 4.4047959, 4.8543705, 5.2397436, 5.6030183, 6.0314929", \
+					  "3.4518799, 3.8963058, 4.3614790, 4.7912170, 5.2195144, 5.6036356, 5.9771897");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("3.6702337, 4.1131701, 4.5732634, 5.0251197, 5.4267673, 5.7592085, 6.1650905", \
+					  "3.5198409, 3.9642883, 4.4228557, 4.8768690, 5.2745486, 5.6088017, 6.0145029", \
+					  "3.3975003, 3.8388246, 4.2959843, 4.7271789, 5.1200931, 5.4876280, 5.8878580", \
+					  "3.3083210, 3.7451720, 4.1945747, 4.6609381, 5.0355767, 5.4504492, 5.8056953", \
+					  "3.2146268, 3.6620960, 4.1320687, 4.5633548, 4.9611198, 5.3594913, 5.7098959", \
+					  "3.1211727, 3.5998307, 4.0599238, 4.5117801, 4.8746805, 5.2236018, 5.6375738", \
+					  "3.0709049, 3.5169021, 3.9556694, 4.4129232, 4.7678495, 5.2190845, 5.5447352");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5029632, -3.9472392, -4.3996173, -4.8489063, -5.2552036, -5.6408715, -5.9936724", \
+					  "-3.3634679, -3.8045053, -4.2600472, -4.7109349, -5.1155215, -5.5029756, -5.8603373", \
+					  "-3.2426701, -3.6667919, -4.1269696, -4.5821921, -4.9959727, -5.3695796, -5.7332048", \
+					  "-3.1428861, -3.1031506, -4.0466148, -4.4981980, -4.9016237, -5.2888611, -5.6551809", \
+					  "-3.0694176, -3.0207734, -3.9689724, -3.9099974, -4.8451791, -5.2381363, -5.5801072", \
+					  "-3.0279989, -3.4256395, -3.9195093, -4.3587060, -4.7694672, -5.1207138, -5.5030595", \
+					  "-2.8999482, -3.3738001, -3.7910832, -4.2861485, -4.6759300, -5.0552253, -5.4354922");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0486577, 4.4900442, 4.9486102, 5.3989917, 5.7697165, 6.1374966, 6.5537645", \
+					  "3.9028881, 4.3473268, 4.8045068, 5.2355762, 5.6225298, 5.9932542, 6.4079935", \
+					  "3.7804100, 4.2233530, 4.6819093, 5.1276923, 5.5000100, 5.8662002, 6.2810009", \
+					  "3.6750361, 4.1235586, 4.5794216, 5.0058294, 5.3971164, 5.7740619, 6.1814423", \
+					  "3.5923600, 4.0261175, 4.4938291, 4.9289162, 5.3225738, 5.6798542, 6.0887951", \
+					  "3.4941135, 3.9334867, 4.3849016, 4.8283278, 5.2151717, 5.5805443, 6.0031125", \
+					  "3.4416415, 3.8860802, 4.3502395, 4.7798697, 5.1697564, 5.5057206, 5.9463988");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.5472203, -3.9930221, -4.4423484, -4.8749055, -5.2752511, -5.6335880, -6.0425071", \
+					  "-3.3948328, -3.8396568, -4.2935426, -4.7276576, -5.1276349, -5.4863262, -5.8967712", \
+					  "-3.2744229, -3.7278764, -4.1832575, -4.6160166, -5.0264279, -5.3805993, -5.7920617", \
+					  "-3.1672682, -3.6086371, -4.0653580, -4.5026744, -4.8958777, -5.2557622, -5.6764772", \
+					  "-3.0867590, -3.6030454, -4.0614931, -4.4929084, -4.8586488, -5.1894988, -5.6472846", \
+					  "-3.0460851, -3.4286331, -3.9246612, -4.3569838, -4.7422614, -5.1385542, -5.4999265", \
+					  "-2.9502038, -3.4180505, -3.8313123, -4.2649250, -4.6941763, -5.0613755, -5.4711530");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0774063, 0.3661463, 0.8100215, 1.2330517, 1.6375096, 2.0307056, 2.3902809", \
+					  "-0.2179084, 0.2348286, 0.6798310, 1.0977495, 1.4743166, 1.8985833, 2.2737785", \
+					  "-0.3410472, 0.1089237, 0.5683376, 0.9919647, 1.3807624, 1.7442802, 2.1104909", \
+					  "-0.4334523, 0.0112132, 0.4777308, 0.9080393, 1.3032093, 1.6643387, 2.0137639", \
+					  "-0.5171666, -0.0750987, 0.3983915, 0.8305834, 1.2229366, 1.5993514, 1.9664968", \
+					  "-0.5998993, -0.1649495, 0.3179179, 0.7447017, 1.1410475, 1.5238088, 1.8813478", \
+					  "-0.6736859, -0.2375447, 0.2240285, 0.6670371, 1.0862151, 1.4715047, 1.8183169");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4735606, 0.0230268, -0.4160068, -0.8114308, -1.2177282, -1.6079736, -1.9699297", \
+					  "0.6247309, 0.1697260, -0.2765302, -0.6931115, -1.0810984, -1.4362486, -1.7646354", \
+					  "0.7478874, 0.2960048, -0.1554966, -0.5784480, -0.9662728, -1.3412595, -1.6849051", \
+					  "0.8430556, 0.3907732, -0.0633616, -0.4892964, -0.8713668, -1.2506404, -1.5988637", \
+					  "0.9353136, 0.4523912, 0.0010369, -0.4171149, -0.7943160, -1.1656906, -1.5247306", \
+					  "1.0211272, 0.5426262, 0.0802300, -0.3164848, -0.7185361, -1.0812457, -1.4352299", \
+					  "1.0796092, 0.6114209, 0.1594369, -0.2390226, -0.6322401, -1.0642398, -1.3783122");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-3.6662346, -4.1059327, -4.5613630, -5.0106516, -5.4169493, -5.8026168, -6.1615212", \
+					  "-3.5235174, -3.9632157, -4.4186456, -4.8694606, -5.2742319, -5.6584188, -6.0170732", \
+					  "-3.3934146, -3.8300729, -4.2904664, -4.7411730, -5.1470985, -5.5404780, -5.8888927", \
+					  "-3.3058473, -3.7394418, -4.2025016, -4.6691115, -5.0580879, -5.4437558, -5.8187590", \
+					  "-3.2231564, -3.6684357, -4.1136096, -4.5554331, -4.9632056, -5.3539934, -5.7334903", \
+					  "-3.1864502, -3.5971705, -4.0806729, -4.5217241, -4.8771167, -5.3003728, -5.6270679", \
+					  "-3.0699307, -3.5253731, -3.9775736, -4.4160344, -4.8343527, -5.2123911, -5.5442017");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("4.0806974, 4.5221394, 4.9807214, 5.4135290, 5.8019765, 6.1683279, 6.5855639", \
+					  "3.9348789, 4.3778468, 4.8365381, 5.2661846, 5.6608290, 6.0301384, 6.4397463", \
+					  "3.8082438, 4.2508435, 4.7078997, 5.1437590, 5.5352574, 5.9000829, 6.3160853", \
+					  "3.7097141, 4.1511948, 4.6082398, 5.0425471, 5.4328176, 5.7944696, 6.2060306", \
+					  "3.6250385, 4.0585142, 4.5229014, 4.9541836, 5.3411038, 5.7431298, 6.1267234", \
+					  "3.5277477, 4.0081394, 4.4462348, 4.8727216, 5.2474849, 5.6340708, 6.0590922", \
+					  "3.4686543, 3.9381456, 4.3721829, 4.8019387, 5.1919112, 5.5860328, 5.9887395");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "ENABLE_H"; */
+				miller_cap_fall : "0.00155778";
+				miller_cap_rise : "0.00119597";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.24376, 0.26334, 0.248167, 0.243049, 0.236855, 0.233188, 0.229005, 0.224161, 0.21849, 0.211858, 0.204235, 0.19567, 0.186201, 0.175844, 0.164593, 0.152439, 0.139368, 0.125366, 0.110418, 0.0945094, 0.0776239, 0.0597462, 0.0408604, 0.0209503, -6.06366e-09, -0.043778, -0.089445, -0.25448, -1.4972", \
+					  "3.17111, 0.138731, 0.127274, 0.12526, 0.122981, 0.121714, 0.12034, 0.118838, 0.117178, 0.115319, 0.113205, 0.110752, 0.107838, 0.104296, 0.0999484, 0.0946754, 0.0884204, 0.0811522, 0.0728465, 0.0634809, 0.0530337, 0.0414835, 0.0288089, 0.0149884, -3.94803e-09, -0.0325487, -0.0679646, -0.204838, -1.48875", \
+					  "3.13456, 0.0759111, 0.064566, 0.0636146, 0.0625727, 0.0620099, 0.0614138, 0.0607789, 0.0600983, 0.0593635, 0.0585629, 0.0576809, 0.0566959, 0.0555762, 0.0542733, 0.0527072, 0.0507375, 0.0481324, 0.0446358, 0.0400933, 0.0344369, 0.0276282, 0.0196375, 0.010437, -4.34854e-09, -0.024043, -0.0518341, -0.167323, -1.48226", \
+					  "3.12474, 0.0591341, 0.0467139, 0.0460236, 0.045276, 0.0448761, 0.0444557, 0.0440115, 0.0435399, 0.0430359, 0.0424934, 0.0419043, 0.0412577, 0.040538, 0.0397229, 0.0387772, 0.0376411, 0.0361987, 0.0342167, 0.0313783, 0.0274762, 0.0224258, 0.0161841, 0.00871876, -3.51971e-09, -0.0208467, -0.0458038, -0.153207, -1.47994", \
+					  "3.11646, 0.0461944, 0.0309753, 0.0305046, 0.0300011, 0.0297346, 0.0294564, 0.0291651, 0.0288586, 0.0285346, 0.02819, 0.0278208, 0.0274219, 0.0269865, 0.0265047, 0.0259625, 0.0253375, 0.0245908, 0.0236399, 0.0222773, 0.0201288, 0.01691, 0.0125122, 0.00688725, -4.59167e-09, -0.017449, -0.0394121, -0.13818, -1.47753", \
+					  "3.11296, 0.0414606, 0.0240352, 0.0236594, 0.0232602, 0.02305, 0.0228316, 0.0226039, 0.0223655, 0.0221148, 0.0218498, 0.0215679, 0.0212656, 0.0209387, 0.020581, 0.0201838, 0.019734, 0.0192101, 0.0185703, 0.0177092, 0.0163359, 0.0140362, 0.0105913, 0.00592621, -7.3843e-10, -0.0156707, -0.0360748, -0.130306, -1.47628", \
+					  "3.10992, 0.0378475, 0.0177937, 0.0175032, 0.0171969, 0.0170366, 0.0168708, 0.0166987, 0.0165195, 0.0163322, 0.0161354, 0.0159275, 0.0157065, 0.0154696, 0.0152132, 0.0149321, 0.0146191, 0.0142625, 0.0138418, 0.0133116, 0.0125269, 0.0110937, 0.00861234, 0.00493277, -2.97822e-09, -0.0138376, -0.0326407, -0.12219, -1.47502", \
+					  "3.10736, 0.0353472, 0.0123147, 0.0120996, 0.0118753, 0.0117587, 0.0116386, 0.0115147, 0.0113865, 0.0112532, 0.0111142, 0.0109684, 0.0108148, 0.0106516, 0.010477, 0.0102881, 0.0100811, 0.00984991, 0.00958508, 0.00926792, 0.00884694, 0.00811304, 0.0065763, 0.00390435, -2.24528e-09, -0.0119481, -0.0291086, -0.113841, -1.47375", \
+					  "3.10532, 0.033974, 0.0076781, 0.00752679, 0.00737386, 0.00729499, 0.00721426, 0.00713144, 0.00704624, 0.00695835, 0.00686737, 0.00677279, 0.00667402, 0.00657026, 0.00646051, 0.00634342, 0.00621712, 0.00607892, 0.00592467, 0.00574718, 0.0055305, 0.00521449, 0.00449856, 0.00283974, -1.93636e-09, -0.0100005, -0.0254794, -0.105291, -1.47248", \
+					  "3.10386, 0.0338015, 0.00402622, 0.00391631, 0.00382395, 0.00377679, 0.00372885, 0.00368001, 0.00363016, 0.00357916, 0.00352684, 0.00347299, 0.00341736, 0.00335962, 0.00329937, 0.00323606, 0.00316898, 0.0030971, 0.00301893, 0.00293207, 0.00283205, 0.00270674, 0.00248499, 0.00175684, -4.37271e-09, -0.00799268, -0.0217571, -0.0966051, -1.47123", \
+					  "3.10312, 0.0350028, 0.00164796, 0.00149449, 0.00144936, 0.00142684, 0.00140412, 0.00138117, 0.00135795, 0.00133443, 0.00131054, 0.00128624, 0.00126144, 0.00123606, 0.00120998, 0.00118304, 0.00115506, 0.00112576, 0.00109475, 0.00106145, 0.00102486, 0.000982922, 0.000927777, 0.000769621, -1.35145e-08, -0.00592603, -0.017956, -0.0878691, -1.47001", \
+					  "3.10316, 0.0376452, 0.000920054, 0.000366695, 0.000348579, 0.000341215, 0.000333854, 0.000326478, 0.000319079, 0.000311649, 0.000304183, 0.000296668, 0.000289092, 0.000281441, 0.000273693, 0.000265825, 0.000257805, 0.000249584, 0.000241108, 0.000232279, 0.000222954, 0.000212863, 0.000201255, 0.000181865, -5.67266e-08, -0.00383224, -0.0141192, -0.0791762, -1.46882", \
+					  "3.10373, 0.0412325, 0.00180117, 7.91156e-05, 5.63979e-05, 5.4795e-05, 5.32901e-05, 5.17957e-05, 5.03055e-05, 4.88181e-05, 4.73334e-05, 4.58477e-05, 4.43615e-05, 4.28741e-05, 4.13803e-05, 3.98783e-05, 3.8363e-05, 3.68305e-05, 3.5276e-05, 3.36872e-05, 3.2044e-05, 3.03224e-05, 2.84423e-05, 2.59282e-05, -3.03123e-07, -0.00190283, -0.0103592, -0.0706092, -1.46767", \
+					  "3.10447, 0.0451103, 0.0037363, 0.000127073, 7.16368e-06, 6.29371e-06, 5.97955e-06, 5.70437e-06, 5.43871e-06, 5.17819e-06, 4.92132e-06, 4.66553e-06, 4.41236e-06, 4.15954e-06, 3.90699e-06, 3.65513e-06, 3.40149e-06, 3.14748e-06, 2.89229e-06, 2.63322e-06, 2.36419e-06, 2.09513e-06, 1.80416e-06, 1.44416e-06, -1.76102e-06, -0.000610372, -0.00687143, -0.0622343, -1.46657", \
+					  "3.10524, 0.0489599, 0.00622796, 0.000599231, 7.76816e-07, -3.23117e-06, -3.91525e-06, -4.36909e-06, -4.76549e-06, -5.13448e-06, -5.48972e-06, -5.83524e-06, -6.17402e-06, -6.50786e-06, -6.83796e-06, -7.16505e-06, -7.48959e-06, -7.81564e-06, -8.13971e-06, -8.46117e-06, -8.78799e-06, -9.10739e-06, -9.43311e-06, -9.77027e-06, -1.04038e-05, -0.000127326, -0.00389401, -0.0541062, -1.46552", \
+					  "3.10599, 0.0526275, 0.0088726, 0.00175185, 8.30271e-08, -2.45784e-05, -2.86729e-05, -3.12185e-05, -3.33812e-05, -3.53701e-05, -3.72578e-05, -3.90788e-05, -4.0853e-05, -4.25928e-05, -4.43067e-05, -4.60006e-05, -4.76789e-05, -4.93449e-05, -5.10011e-05, -5.26494e-05, -5.42913e-05, -5.59327e-05, -5.75683e-05, -5.92015e-05, -6.08617e-05, -8.07462e-05, -0.00174083, -0.0463004, -1.46456", \
+					  "3.10669, 0.0560061, 0.0113285, 0.0032631, 1.39568e-08, -0.000150782, -0.000177563, -0.000191508, -0.000202471, -0.000212118, -0.000220997, -0.000229363, -0.000237361, -0.000245079, -0.000252581, -0.000259907, -0.000267091, -0.000274156, -0.000281121, -0.000288, -0.000294806, -0.000301548, -0.000308233, -0.000314872, -0.000321471, -0.000336658, -0.000821876, -0.0390322, -1.46383", \
+					  "3.10735, 0.0590352, 0.0134422, 0.00468086, 7.29295e-09, -0.000595417, -0.000742582, -0.000799839, -0.000838018, -0.000868824, -0.000895617, -0.000919827, -0.000942217, -0.000963246, -0.000983218, -0.00100234, -0.00102077, -0.00103862, -0.00105598, -0.00107292, -0.0010895, -0.00110575, -0.00112172, -0.00113743, -0.00115292, -0.00118355, -0.00130124, -0.032691, -1.46357", \
+					  "3.10794, 0.0617085, 0.0152228, 0.00586858, 6.65135e-09, -0.00128455, -0.0017787, -0.0019539, -0.00204818, -0.00211598, -0.00217105, -0.00221855, -0.00226094, -0.00229966, -0.00233558, -0.0023693, -0.00240125, -0.00243174, -0.002461, -0.00248922, -0.00251654, -0.00254308, -0.00256893, -0.00259418, -0.00261888, -0.00266689, -0.00272689, -0.0273682, -1.46378", \
+					  "3.10846, 0.0640574, 0.0167278, 0.00684723, 6.5853e-09, -0.00196158, -0.00299527, -0.00342767, -0.00362743, -0.0037524, -0.00384611, -0.0039229, -0.00398902, -0.00404775, -0.00410104, -0.00415018, -0.00419601, -0.00423916, -0.0042801, -0.00431917, -0.00435665, -0.00439275, -0.00442766, -0.00446151, -0.00449443, -0.00455784, -0.00462047, -0.022957, -1.4643", \
+					  "3.10891, 0.0661267, 0.0180127, 0.00766036, 6.57495e-09, -0.0025387, -0.00414909, -0.00499019, -0.0053826, -0.005598, -0.00574461, -0.00585779, -0.00595141, -0.0060322, -0.00610389, -0.00616879, -0.00622843, -0.00628388, -0.0063359, -0.00638508, -0.00643185, -0.00647657, -0.00651951, -0.00656089, -0.00660091, -0.00667742, -0.00675027, -0.0194993, -1.46499", \
+					  "3.10932, 0.0679601, 0.0191216, 0.00834476, 6.57065e-09, -0.00301566, -0.00514864, -0.00646861, -0.00716617, -0.00752865, -0.00775112, -0.00791087, -0.00803693, -0.00814218, -0.00823332, -0.00831428, -0.00838754, -0.00845478, -0.00851718, -0.00857562, -0.00863074, -0.00868306, -0.00873297, -0.0087808, -0.0088268, -0.00891413, -0.00899635, -0.017178, -1.4658", \
+					  "3.10967, 0.0695951, 0.0200882, 0.00892818, 6.56749e-09, -0.00340972, -0.00598829, -0.00777103, -0.00885386, -0.00944342, -0.00977947, -0.0100017, -0.0101672, -0.0103002, -0.0104121, -0.0105094, -0.010596, -0.0106745, -0.0107464, -0.0108132, -0.0108756, -0.0109344, -0.0109902, -0.0110433, -0.0110941, -0.01119, -0.0112794, -0.0161625, -1.46666", \
+					  "3.10998, 0.0710624, 0.0209384, 0.00943123, 6.57119e-09, -0.00373792, -0.00668888, -0.00887844, -0.010359, -0.0112493, -0.0117542, -0.012064, -0.0122797, -0.0124449, -0.0125794, -0.0126934, -0.0127931, -0.0128819, -0.0129625, -0.0130364, -0.0131049, -0.013169, -0.0132293, -0.0132865, -0.0133409, -0.0134427, -0.013537, -0.0164052, -1.46756", \
+					  "3.11026, 0.072387, 0.0216921, 0.00986936, 6.56048e-09, -0.00401405, -0.00727496, -0.00980761, -0.0116495, -0.0128697, -0.0136029, -0.0140373, -0.0143194, -0.0145233, -0.0146827, -0.014814, -0.0149261, -0.0150244, -0.0151122, -0.0151919, -0.0152651, -0.015333, -0.0153964, -0.0154561, -0.0155126, -0.0156177, -0.0157142, -0.0175661, -1.46846", \
+					  "3.11073, 0.0746843, 0.022969, 0.0105952, 6.55763e-09, -0.00445021, -0.00818699, -0.0112385, -0.0136395, -0.0154341, -0.0166839, -0.0174848, -0.0179754, -0.0182895, -0.0185095, -0.0186766, -0.0188108, -0.0189233, -0.0190203, -0.0191058, -0.0191825, -0.0192523, -0.0193164, -0.0193758, -0.0194314, -0.019533, -0.0196246, -0.0208712, -1.47024", \
+					  "3.11111, 0.0766084, 0.0240096, 0.0111718, 6.55607e-09, -0.00477702, -0.00885386, -0.0122588, -0.0150266, -0.0172006, -0.0188349, -0.0199963, -0.0207668, -0.0212499, -0.0215546, -0.0217604, -0.021911, -0.0220286, -0.0221247, -0.022206, -0.0222766, -0.0223391, -0.0223953, -0.0224464, -0.0224934, -0.0225776, -0.0226518, -0.0237703, -1.47184", \
+					  "3.11187, 0.0808676, 0.0262236, 0.0123549, 6.55111e-09, -0.0053927, -0.0100572, -0.0140075, -0.0172611, -0.0198465, -0.0218151, -0.0232569, -0.0242925, -0.025039, -0.0255824, -0.0259769, -0.0262564, -0.0264459, -0.0265706, -0.0266543, -0.0267139, -0.0267592, -0.0267953, -0.0268254, -0.0268512, -0.0268938, -0.0269286, -0.0279262, -1.47446", \
+					  "3.11247, 0.0851575, 0.0283184, 0.0134222, 6.54661e-09, -0.00588657, -0.0109615, -0.015217, -0.018649, -0.0212743, -0.0231698, -0.0244974, -0.0254457, -0.0261569, -0.026717, -0.0271754, -0.0275612, -0.0278921, -0.0281795, -0.0284304, -0.0286494, -0.028839, -0.0290003, -0.0291333, -0.0292381, -0.0293704, -0.0294362, -0.0304375, -1.47613");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1309730, 0.2371170, 0.3420117, 0.4526331, 0.6099858");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2073817, 0.3133037, 0.4188167, 0.5283915, 0.6861403");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2509568, 0.4357425, 0.6226989, 0.8279100, 1.1338153");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3083766, 0.4931356, 0.6792439, 0.8854154, 1.1913813");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("22.484");
+						index_3("0.001");
+						index_4("11.3745905, 12.0872720, 16.3178160, 18.2132519, 19.0043720");
+						values("0.471486, 0.754378, 0.942972, 0.754378, 0.471486");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("12.9979");
+						index_3("0.001");
+						index_4("6.6047282, 7.0860247, 9.7474123, 10.9281021, 11.4725545");
+						values("0.483317, 0.773307, 0.966634, 0.773307, 0.483317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("8.67567");
+						index_3("0.001");
+						index_4("4.4274141, 4.7878914, 6.6719357, 7.5148186, 7.9330275");
+						values("0.490657, 0.785052, 0.981314, 0.785052, 0.490657");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28808");
+						index_2("11.242");
+						index_3("0.001");
+						index_4("5.7690770, 6.1959274, 8.4035012, 9.2115606, 9.6290735");
+						values("0.229623, 0.367397, 0.459247, 0.367397, 0.229623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("6.49896");
+						index_3("0.001");
+						index_4("3.3633434, 3.6607024, 4.9709928, 5.5392091, 5.8363835");
+						values("0.234143, 0.374629, 0.468286, 0.374629, 0.234143");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40444");
+						index_2("4.33783");
+						index_3("0.001");
+						index_4("2.2661142, 2.4847230, 3.3473163, 3.8178808, 4.0533022");
+						values("0.233643, 0.373828, 0.467285, 0.373828, 0.233643");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34981");
+						index_2("19.4969");
+						index_3("0.001");
+						index_4("9.8240248, 10.4758334, 14.4701022, 16.2972580, 17.0762854");
+						values("0.707674, 1.13228, 1.41535, 1.13228, 0.707674");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("36.913");
+						index_3("0.001");
+						index_4("18.6093099, 19.8236073, 26.9412435, 29.6569476, 30.6186266");
+						values("1.17025, 0.882393, 0.690492, 0.882393, 1.17025");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("20.9592");
+						index_3("0.001");
+						index_4("10.6078296, 11.4355820, 15.8304765, 17.4398414, 18.0516346");
+						values("1.1539, 0.856241, 0.657802, 0.856241, 1.1539");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("13.8661");
+						index_3("0.001");
+						index_4("7.0454962, 7.6670466, 10.6531207, 11.8478000, 12.2971720");
+						values("1.14442, 0.84107, 0.638837, 0.84107, 1.14442");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17627");
+						index_2("18.4565");
+						index_3("0.001");
+						index_4("9.4538595, 10.1897596, 13.8021770, 14.9491994, 15.4348016");
+						values("1.40794, 1.2627, 1.16587, 1.2627, 1.40794");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.4177413, 5.9103789, 8.0571401, 8.7938356, 9.1105962");
+						values("1.40159, 1.25254, 1.15318, 1.25254, 1.40159");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3045");
+						index_2("6.93307");
+						index_3("0.001");
+						index_4("3.6190009, 3.9930486, 5.4793910, 5.9768558, 6.2116371");
+						values("1.39933, 1.24893, 1.14866, 1.24893, 1.39933");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24374");
+						index_2("31.4389");
+						index_3("0.001");
+						index_4("15.7426590, 16.8669744, 23.4200578, 26.0596418, 26.9523575");
+						values("0.94701, 0.525217, 0.244021, 0.525217, 0.94701");
+					}
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.023133;
+			capacitance : 0.023996;
+			rise_capacitance : 0.024297;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.7425549, -3.5992073, -3.4702567, -3.3867189, -3.3228575, -3.2397171, -3.1812943", \
+					  "-4.1746235, -4.0305570, -3.9161360, -3.8172618, -3.7138514, -3.6762672, -3.6362513", \
+					  "-4.6376833, -4.4899020, -4.3694148, -4.2848993, -4.2164602, -4.1093344, -4.0794743", \
+					  "-5.0869719, -4.9375248, -4.8260122, -4.7358887, -4.6535879, -4.5658510, -4.4967196", \
+					  "-5.4963214, -5.3430995, -5.2265269, -5.1389592, -5.0644171, -4.9911099, -4.9045432", \
+					  "-5.8774116, -5.7241760, -5.6191542, -5.5261530, -5.4592399, -5.3578050, -5.3024178", \
+					  "-6.2378415, -6.0846197, -5.9753875, -5.8850575, -5.8288256, -5.7061651, -5.6704776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-2.4272388, -2.3075830, -2.1680082, -3.1717672, -1.8639438, -1.7261979, -1.6636713", \
+					  "-2.8730931, -2.7488598, -2.5814594, -2.3936479, -2.3099755, -2.1040242, -3.0936768", \
+					  "-3.3285469, -3.2043137, -3.0912361, -2.8468332, -2.7694220, -2.6376116, -2.5562332", \
+					  "-3.7642176, -4.8667903, -3.4887093, -3.3123901, -3.1818527, -3.0256128, -2.9418094", \
+					  "-4.1631127, -4.0266725, -3.8669767, -4.8937971, -3.6137244, -3.4832015, -3.3661098", \
+					  "-4.5306991, -4.3678076, -4.2353189, -4.1190120, -3.9903065, -4.8794659, -3.7204095", \
+					  "-4.9908315, -4.8635462, -4.6417942, -4.4961845, -4.3708612, -4.2641581, -4.1488175");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0425754, 3.8969719, 3.7834236, 3.6882648, 3.6057879, 3.5200534, 3.4518799", \
+					  "4.4534845, 4.3307689, 4.2218360, 4.1190103, 4.0322717, 3.9522721, 3.8963058", \
+					  "4.9257920, 4.7981963, 4.6804110, 4.5959350, 4.5137234, 4.4047959, 4.3614790", \
+					  "5.3646854, 5.2465479, 5.1242715, 5.0348177, 4.9655394, 4.8543705, 4.7912170", \
+					  "5.7485330, 5.6550087, 5.5046774, 5.4094811, 5.3318868, 5.2397436, 5.2195144", \
+					  "6.1270653, 6.0058752, 5.9319445, 5.7672314, 5.7512437, 5.6030183, 5.6036356", \
+					  "6.5475095, 6.3902681, 6.2945820, 6.1989043, 6.1186565, 6.0314929, 5.9771897");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7816415, 3.6040862, 3.4046404, 3.2194889, 3.0395276, 2.8634859, 2.7034964", \
+					  "4.2169400, 4.0469182, 3.8345960, 3.6505222, 3.4855133, 3.2675103, 3.1494718", \
+					  "4.6816069, 4.5039553, 4.3043887, 4.1204056, 3.9256792, 3.7747932, 3.6050006", \
+					  "5.1143696, 4.9314928, 4.7370226, 4.5634428, 4.3706663, 4.1512005, 4.0362898", \
+					  "5.5042871, 5.3121572, 5.1323291, 4.9903124, 4.7497955, 4.5829974, 4.4205957", \
+					  "5.8705683, 5.6800438, 5.4865142, 5.2985796, 5.1183092, 4.9711642, 4.8017957", \
+					  "6.2765169, 6.1003916, 5.9056682, 5.7341170, 5.5451228, 5.3710870, 5.2071470");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00226308";
+				miller_cap_rise : "0.00175641";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("3.10942, 0.943241, 0.883804, 0.852995, 0.814579, 0.792412, 0.768256, 0.742112, 0.713981, 0.68387, 0.651784, 0.617731, 0.58172, 0.543757, 0.503852, 0.462015, 0.418253, 0.372576, 0.324993, 0.275513, 0.224147, 0.170903, 0.11579, 0.0588196, -6.73738e-09, -0.118962, -0.23777, -0.634969, -2.57966", \
+					  "2.87452, 0.531711, 0.512272, 0.504411, 0.495384, 0.490265, 0.4846, 0.478223, 0.470882, 0.462192, 0.451616, 0.438604, 0.422789, 0.404003, 0.382189, 0.357336, 0.329453, 0.298559, 0.264676, 0.227827, 0.188038, 0.145334, 0.0997427, 0.051289, -7.19632e-09, -0.107028, -0.217649, -0.607707, -2.58345", \
+					  "2.73872, 0.277819, 0.265362, 0.261648, 0.257656, 0.255529, 0.253296, 0.250936, 0.248424, 0.245726, 0.242796, 0.239565, 0.235929, 0.231711, 0.226567, 0.219803, 0.210388, 0.197511, 0.180837, 0.160282, 0.135847, 0.107561, 0.0754616, 0.0395931, -8.85509e-09, -0.0875222, -0.18372, -0.553297, -2.57847", \
+					  "2.70303, 0.217946, 0.190159, 0.187393, 0.184467, 0.182931, 0.181335, 0.179672, 0.177927, 0.176087, 0.174131, 0.172031, 0.169748, 0.167224, 0.164364, 0.16099, 0.156698, 0.150566, 0.141318, 0.128159, 0.110844, 0.0893378, 0.063665, 0.0338691, -4.53996e-09, -0.0778959, -0.166908, -0.525582, -2.57493", \
+					  "2.67469, 0.190519, 0.122861, 0.12091, 0.118876, 0.117823, 0.116739, 0.115623, 0.114467, 0.113265, 0.11201, 0.11069, 0.10929, 0.107791, 0.106163, 0.104361, 0.102305, 0.0998179, 0.0963994, 0.0907788, 0.0814676, 0.0678069, 0.049663, 0.0270456, -6.09334e-09, -0.0663834, -0.146773, -0.492, -2.57024", \
+					  "2.66389, 0.189024, 0.0931863, 0.0915996, 0.0899589, 0.0891139, 0.0882495, 0.0873629, 0.0864509, 0.0855094, 0.0845331, 0.0835156, 0.0824482, 0.0813194, 0.0801131, 0.0788056, 0.0773588, 0.0757022, 0.0736632, 0.0706698, 0.0652226, 0.0557811, 0.0417985, 0.0231955, -5.72163e-09, -0.0598686, -0.135366, -0.472798, -2.5674", \
+					  "2.65567, 0.195755, 0.0667595, 0.0655063, 0.0642262, 0.063571, 0.062904, 0.0622234, 0.0615273, 0.0608133, 0.0600785, 0.059319, 0.0585302, 0.0577056, 0.0568367, 0.0559115, 0.0549118, 0.0538077, 0.0525396, 0.0509407, 0.0483489, 0.0430016, 0.0333731, 0.01905, -6.82278e-09, -0.052829, -0.123026, -0.451878, -2.56418", \
+					  "2.65032, 0.210819, 0.0441279, 0.0431181, 0.0421684, 0.0416853, 0.0411958, 0.040699, 0.0401937, 0.0396789, 0.0391527, 0.0386134, 0.0380584, 0.0374846, 0.0368877, 0.0362621, 0.0355994, 0.0348871, 0.0341035, 0.0332018, 0.0320244, 0.0297945, 0.0244675, 0.0146268, -9.87035e-09, -0.0452608, -0.109738, -0.429156, -2.56047", \
+					  "2.64816, 0.2343, 0.0262196, 0.0249918, 0.0243411, 0.0240128, 0.0236817, 0.0233474, 0.0230095, 0.0226673, 0.0223201, 0.021967, 0.0216071, 0.0212388, 0.0208603, 0.0204694, 0.0200626, 0.0196351, 0.0191794, 0.0186818, 0.0181093, 0.0173182, 0.0153839, 0.0100042, -7.71041e-09, -0.0371767, -0.0955031, -0.404553, -2.55542", \
+					  "2.64959, 0.2663, 0.0153568, 0.0118124, 0.0114123, 0.011219, 0.0110249, 0.0108301, 0.0106343, 0.0104373, 0.0102388, 0.0100387, 0.00983637, 0.00963151, 0.00942346, 0.00921145, 0.00899441, 0.00877085, 0.00853857, 0.00829398, 0.00803009, 0.00772681, 0.00725595, 0.00547703, -2.08438e-08, -0.0286279, -0.0803637, -0.377996, -2.54763", \
+					  "2.65501, 0.306773, 0.0156907, 0.0042362, 0.00391379, 0.00382537, 0.00373722, 0.00364916, 0.00356116, 0.00347317, 0.00338514, 0.00329698, 0.00320863, 0.00311997, 0.00303087, 0.00294115, 0.00285058, 0.00275885, 0.00266549, 0.00256978, 0.0024705, 0.00236484, 0.00224158, 0.00195401, -3.98572e-07, -0.0197777, -0.0644572, -0.349426, -2.53925", \
+					  "2.66414, 0.354445, 0.0283632, 0.00200113, 0.000984578, 0.000953294, 0.000924645, 0.000896207, 0.00086792, 0.000839765, 0.000811735, 0.000783813, 0.000755987, 0.000728239, 0.000700551, 0.000672893, 0.000645229, 0.00061751, 0.000589663, 0.000561578, 0.000533079, 0.000503784, 0.000472482, 0.000427442, -6.96365e-06, -0.0112032, -0.0482193, -0.318841, -2.53028", \
+					  "2.67567, 0.40652, 0.0500423, 0.00492745, 0.000213863, 0.000170622, 0.000159697, 0.000149772, 0.000140108, 0.000130577, 0.000121137, 0.000111767, 0.000102454, 9.31884e-05, 8.39638e-05, 7.4772e-05, 6.5605e-05, 5.64593e-05, 4.73185e-05, 3.81602e-05, 2.8963e-05, 1.96592e-05, 1.00694e-05, -1.31394e-06, -8.81734e-05, -0.00461375, -0.0327983, -0.286461, -2.52079", \
+					  "2.68861, 0.460931, 0.0775351, 0.0148046, 4.0395e-05, -0.000254911, -0.000287595, -0.000311376, -0.000333224, -0.000354255, -0.000374847, -0.000395172, -0.000415324, -0.000435358, -0.000455312, -0.00047521, -0.000495066, -0.000514902, -0.000534721, -0.000554534, -0.000574358, -0.000594206, -0.000614111, -0.000634333, -0.000668081, -0.00190928, -0.020106, -0.253279, -2.51114", \
+					  "2.70262, 0.516762, 0.108769, 0.0308989, 5.30608e-06, -0.00175404, -0.00195388, -0.00206549, -0.00216084, -0.00224965, -0.00233497, -0.00241816, -0.00249994, -0.00258072, -0.00266078, -0.00274031, -0.00281942, -0.00289822, -0.00297678, -0.00305516, -0.00313339, -0.00321154, -0.00328963, -0.00336774, -0.0034476, -0.00385041, -0.0130626, -0.222058, -2.50269", \
+					  "2.71765, 0.573529, 0.14197, 0.0496935, 4.21319e-07, -0.00705468, -0.00845089, -0.00891357, -0.00923963, -0.00951924, -0.00977584, -0.0100188, -0.0102528, -0.0104804, -0.0107035, -0.0109229, -0.0111396, -0.0113541, -0.0115668, -0.011778, -0.011988, -0.012197, -0.0124053, -0.0126129, -0.0128202, -0.0132813, -0.0168568, -0.198165, -2.49841", \
+					  "2.73374, 0.630867, 0.175538, 0.0687536, 2.5949e-08, -0.0163337, -0.0227549, -0.024605, -0.0255368, -0.0262239, -0.0268062, -0.0273313, -0.0278206, -0.0282855, -0.0287327, -0.0291667, -0.0295904, -0.0300059, -0.0304146, -0.0308179, -0.0312165, -0.0316113, -0.0320028, -0.0323917, -0.0327786, -0.0335555, -0.0350698, -0.186201, -2.50091", \
+					  "2.75095, 0.688455, 0.208383, 0.0872623, 2.79635e-09, -0.0268123, -0.0422284, -0.0484682, -0.0509592, -0.0524513, -0.0535831, -0.0545389, -0.0553919, -0.0561783, -0.056918, -0.0576235, -0.0583028, -0.0589614, -0.0596034, -0.0602318, -0.0608488, -0.0614563, -0.0620557, -0.0626485, -0.0632357, -0.0643988, -0.0656984, -0.186825, -2.51053", \
+					  "2.76937, 0.745976, 0.239989, 0.104985, 1.56496e-09, -0.0371723, -0.0628106, -0.0771437, -0.0833802, -0.0864781, -0.0885285, -0.0901255, -0.0914792, -0.0926836, -0.0937876, -0.0948199, -0.0957986, -0.0967358, -0.09764, -0.0985174, -0.0993726, -0.100209, -0.10103, -0.101838, -0.102635, -0.104203, -0.105776, -0.199281, -2.52661", \
+					  "2.78911, 0.803108, 0.270178, 0.121853, 1.48686e-09, -0.0470699, -0.0828586, -0.107036, -0.120173, -0.12644, -0.130052, -0.132613, -0.134658, -0.136405, -0.13796, -0.139384, -0.140711, -0.141964, -0.143161, -0.144311, -0.145423, -0.146504, -0.147559, -0.148591, -0.149604, -0.151587, -0.153533, -0.222842, -2.54837", \
+					  "2.81032, 0.85951, 0.298908, 0.137848, 1.46774e-09, -0.0564272, -0.101955, -0.136152, -0.158489, -0.170366, -0.176653, -0.180683, -0.18369, -0.186146, -0.188264, -0.190156, -0.191889, -0.193503, -0.195025, -0.196474, -0.197864, -0.199206, -0.200507, -0.201773, -0.203011, -0.205416, -0.207757, -0.256846, -2.57509", \
+					  "2.83319, 0.914814, 0.326185, 0.152981, 1.45349e-09, -0.065236, -0.120002, -0.163866, -0.196095, -0.216082, -0.226791, -0.233086, -0.237449, -0.240837, -0.243658, -0.246116, -0.248323, -0.250348, -0.252234, -0.254013, -0.255704, -0.257325, -0.258886, -0.260398, -0.261868, -0.264708, -0.26745, -0.300665, -2.60613", \
+					  "2.85795, 0.968632, 0.352036, 0.16727, 1.44311e-09, -0.073509, -0.136988, -0.19003, -0.231952, -0.261479, -0.27882, -0.288583, -0.294875, -0.2995, -0.303208, -0.30635, -0.309114, -0.311609, -0.313905, -0.316046, -0.318065, -0.319985, -0.321823, -0.323594, -0.325306, -0.328593, -0.331743, -0.353712, -2.64088", \
+					  "2.88488, 1.02057, 0.376496, 0.18074, 1.43843e-09, -0.0812663, -0.15294, -0.21464, -0.265772, -0.305082, -0.33101, -0.345905, -0.354955, -0.361237, -0.366069, -0.370044, -0.373464, -0.3765, -0.379255, -0.381798, -0.384174, -0.386417, -0.388551, -0.390594, -0.39256, -0.39631, -0.399875, -0.41531, -2.67882", \
+					  "2.91434, 1.07028, 0.399607, 0.193421, 1.44177e-09, -0.0885312, -0.167894, -0.237735, -0.297536, -0.346245, -0.381784, -0.403725, -0.416691, -0.425211, -0.431479, -0.436473, -0.44067, -0.444329, -0.447604, -0.450593, -0.45336, -0.455952, -0.458401, -0.460732, -0.462966, -0.467195, -0.471187, -0.484151, -2.71951", \
+					  "2.9825, 1.16196, 0.441959, 0.216537, 1.48425e-09, -0.10168, -0.194987, -0.279618, -0.35517, -0.42094, -0.475361, -0.515557, -0.54103, -0.556678, -0.567205, -0.575019, -0.58125, -0.586473, -0.591008, -0.595049, -0.598718, -0.602098, -0.60525, -0.608215, -0.611026, -0.61628, -0.621163, -0.634846, -2.80765", \
+					  "3.04864, 1.24282, 0.479467, 0.236871, 1.59904e-09, -0.113145, -0.218636, -0.31621, -0.405544, -0.486143, -0.557028, -0.615973, -0.659462, -0.687462, -0.705238, -0.71749, -0.726693, -0.734069, -0.740257, -0.745625, -0.750396, -0.754717, -0.758687, -0.762376, -0.765837, -0.77222, -0.778061, -0.793857, -2.90263", \
+					  "3.21358, 1.42897, 0.566736, 0.283653, 2.7611e-09, -0.139177, -0.272415, -0.399544, -0.520374, -0.634672, -0.742113, -0.84215, -0.933685, -1.01432, -1.07974, -1.12679, -1.15833, -1.18003, -1.19596, -1.2084, -1.21859, -1.22723, -1.23476, -1.24147, -1.24753, -1.25823, -1.26756, -1.29082, -3.20426", \
+					  "3.41214, 1.60571, 0.648809, 0.326906, 1.0078e-08, -0.162874, -0.321615, -0.476134, -0.62634, -0.772134, -0.913404, -1.05002, -1.1818, -1.30851, -1.42974, -1.54475, -1.65205, -1.74878, -1.83047, -1.89357, -1.93935, -1.97253, -1.99747, -2.01707, -2.0331, -2.05832, -2.0779, -2.12011, -3.71194");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0751929, 0.1425939, 0.2154001, 0.3002747, 0.4244672");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1469966, 0.2144195, 0.2877457, 0.3719209, 0.4951714");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0255361, 0.0449254, 0.0647596, 0.0852475, 0.1147706");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0972117, 0.1166955, 0.1364795, 0.1570171, 0.1864380");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("13.7613");
+						index_3("0.001");
+						index_4("6.8862347, 7.2676286, 8.8206699, 9.9952784, 10.3095043");
+						values("0.41686, 0.666976, 0.83372, 0.666976, 0.41686");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("8.4054");
+						index_3("0.001");
+						index_4("4.2115909, 4.4746304, 5.5221119, 6.3128945, 6.5237900");
+						values("0.430771, 0.689234, 0.861543, 0.689234, 0.430771");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("5.67932");
+						index_3("0.001");
+						index_4("2.8500052, 3.0473715, 3.8177136, 4.3808007, 4.5349297");
+						values("0.435845, 0.697353, 0.871691, 0.697353, 0.435845");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2765");
+						index_2("6.88063");
+						index_3("0.001");
+						index_4("3.4785954, 3.6913950, 4.6395857, 5.0256917, 5.1852435");
+						values("0.221221, 0.353953, 0.442442, 0.353953, 0.221221");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("4.2027");
+						index_3("0.001");
+						index_4("2.1337621, 2.2813222, 2.9291086, 3.1731174, 3.2820710");
+						values("0.226971, 0.363154, 0.453942, 0.363154, 0.226971");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37952");
+						index_2("2.83966");
+						index_3("0.001");
+						index_4("1.4494273, 1.5606367, 1.9947137, 2.2005266, 2.2818503");
+						values("0.228035, 0.364855, 0.456069, 0.364855, 0.228035");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33081");
+						index_2("12.6081");
+						index_3("0.001");
+						index_4("6.2743771, 6.6609437, 8.2644248, 9.4253320, 9.7466409");
+						values("0.60152, 0.962433, 1.20304, 0.962433, 0.60152");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("2.56289");
+						index_3("0.001");
+						index_4("1.2943391, 1.4092226, 1.9780497, 2.2948003, 2.4371362");
+						values("1.17556, 0.920894, 0.751118, 0.920894, 1.17556");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("1.49309");
+						index_3("0.001");
+						index_4("0.7572725, 0.8350104, 1.1850984, 1.3989022, 1.4952117");
+						values("1.1697, 0.911525, 0.739407, 0.911525, 1.1697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("1.01616");
+						index_3("0.001");
+						index_4("0.5169897, 0.5754980, 0.8488364, 0.9855231, 1.0630974");
+						values("1.16603, 0.905649, 0.732061, 0.905649, 1.16603");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.09961");
+						index_2("1.28144");
+						index_3("0.001");
+						index_4("0.6571300, 0.7201951, 1.0207358, 1.1647871, 1.2488612");
+						values("1.39546, 1.27274, 1.19092, 1.27274, 1.39546");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("0.746544");
+						index_3("0.001");
+						index_4("0.3855548, 0.4282105, 0.5881290, 0.7138073, 0.7728802");
+						values("1.39473, 1.27158, 1.18947, 1.27158, 1.39473");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2533");
+						index_2("0.508078");
+						index_3("0.001");
+						index_4("0.2643969, 0.2961246, 0.4427336, 0.5056108, 0.5571669");
+						values("1.39534, 1.27255, 1.19068, 1.27255, 1.39534");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18175");
+						index_2("2.23963");
+						index_3("0.001");
+						index_4("1.1301031, 1.2435884, 1.7693928, 2.0737030, 2.2146965");
+						values("0.944019, 0.55043, 0.288038, 0.55043, 0.944019");
+					}
+				}
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006354;
+			capacitance : 0.006212;
+			fall_capacitance : 0.006069;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.6702337, 3.5198409, 3.3975003, 3.3083210, 3.2146268, 3.1211727, 3.0709049", \
+					  "4.1131701, 3.9642883, 3.8388246, 3.7451720, 3.6620960, 3.5998307, 3.5169021", \
+					  "4.5732634, 4.4228557, 4.2959843, 4.1945747, 4.1320687, 4.0599238, 3.9556694", \
+					  "5.0251197, 4.8768690, 4.7271789, 4.6609381, 4.5633548, 4.5117801, 4.4129232", \
+					  "5.4267673, 5.2745486, 5.1200931, 5.0355767, 4.9611198, 4.8746805, 4.7678495", \
+					  "5.7592085, 5.6088017, 5.4876280, 5.4504492, 5.3594913, 5.2236018, 5.2190845", \
+					  "6.1650905, 6.0145029, 5.8878580, 5.8056953, 5.7098959, 5.6375738, 5.5447352");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.1890445, 4.0170709, 3.8346697, 3.6463932, 3.4744268, 3.2819883, 3.0849455", \
+					  "4.6478233, 4.4773761, 4.2933881, 4.1012219, 3.9290072, 3.7271751, 3.5578023", \
+					  "5.1022780, 4.9327043, 4.7478424, 4.5530191, 4.3951370, 4.1968685, 4.0139095", \
+					  "5.5260594, 5.3540863, 5.1704532, 4.9936777, 4.8181457, 4.5996773, 4.4285893", \
+					  "5.9412158, 5.7295691, 5.5440553, 5.3529588, 5.1904115, 4.9980502, 4.7952871", \
+					  "6.3401509, 6.1697037, 5.9783940, 5.7965231, 5.6242698, 5.4335157, 5.2341627", \
+					  "6.6893977, 6.5158988, 6.3336246, 6.1516479, 5.9734722, 5.7719481, 5.5843319");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5029632, -3.3634679, -3.2426701, -3.1428861, -3.0694176, -3.0279989, -2.8999482", \
+					  "-3.9472392, -3.8045053, -3.6667919, -3.1031506, -3.0207734, -3.4256395, -3.3738001", \
+					  "-4.3996173, -4.2600472, -4.1269696, -4.0466148, -3.9689724, -3.9195093, -3.7910832", \
+					  "-4.8489063, -4.7109349, -4.5821921, -4.4981980, -3.9099974, -4.3587060, -4.2861485", \
+					  "-5.2552036, -5.1155215, -4.9959727, -4.9016237, -4.8451791, -4.7694672, -4.6759300", \
+					  "-5.6408715, -5.5029756, -5.3695796, -5.2888611, -5.2381363, -5.1207138, -5.0552253", \
+					  "-5.9936724, -5.8603373, -5.7332048, -5.6551809, -5.5801072, -5.5030595, -5.4354922");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6784508, -3.5284175, -3.3353782, -3.1470124, -2.9435629, -2.7728439, -2.5948712", \
+					  "-4.1181487, -3.9696417, -3.7799525, -3.5732290, -3.4365530, -3.2156553, -3.0376343", \
+					  "-4.5735790, -4.4235457, -4.2459096, -4.0313008, -3.8479990, -3.6742262, -3.4915570", \
+					  "-5.0061361, -4.8561028, -4.6710269, -4.4668031, -4.2880816, -4.1046204, -3.9485328", \
+					  "-5.4049558, -5.2564483, -5.0683934, -4.8627735, -4.6764048, -4.4949233, -4.3014123", \
+					  "-5.7648177, -5.6149043, -5.4309310, -5.2241084, -5.0586177, -4.8592110, -4.6667352", \
+					  "-6.1782083, -6.0277598, -5.8519946, -5.6459452, -5.4644708, -5.2792088, -5.0901130");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263749, 0.0309620, 0.0355490, 0.0354413, 0.0353379, 0.0352302, 0.0351225");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224572, 0.0256981, 0.0289390, 0.0288389, 0.0287429, 0.0286428, 0.0285428");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N )"; */
+				miller_cap_fall : "0.000988256";
+				miller_cap_rise : "0.000933588";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72805e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50166e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27045e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94293e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37091e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33867e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57987e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39291e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1038168, 0.2119605, 0.3317199, 0.4723342, 0.6694523");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1743548, 0.2822474, 0.4025512, 0.5445606, 0.7476207");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274997, 0.0489806, 0.0704971, 0.0917145, 0.1207964");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996057, 0.1212619, 0.1427823, 0.1643646, 0.1933294");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9591");
+						index_3("0.001");
+						index_4("10.4676679, 11.0648362, 13.3736406, 15.1131982, 15.5525648");
+						values("0.411066, 0.657706, 0.822132, 0.657706, 0.411066");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.736");
+						index_3("0.001");
+						index_4("6.3666665, 6.7742603, 8.5651160, 9.4968956, 9.7851099");
+						values("0.422248, 0.675596, 0.844495, 0.675596, 0.422248");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.64503");
+						index_3("0.001");
+						index_4("4.3267116, 4.6315711, 5.7864281, 6.6141170, 6.8251749");
+						values("0.425305, 0.680488, 0.85061, 0.680488, 0.425305");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4796");
+						index_3("0.001");
+						index_4("5.2846331, 5.6100521, 6.9203377, 7.5878338, 7.8119420");
+						values("0.21916, 0.350655, 0.438319, 0.350655, 0.21916");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.36798");
+						index_3("0.001");
+						index_4("3.2212822, 3.4467277, 4.3358957, 4.7664820, 4.9163113");
+						values("0.224426, 0.359081, 0.448852, 0.359081, 0.224426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32252");
+						index_3("0.001");
+						index_4("2.1941583, 2.3640283, 3.0198706, 3.3203460, 3.4299969");
+						values("0.225287, 0.360459, 0.450573, 0.360459, 0.225287");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1039");
+						index_3("0.001");
+						index_4("9.4904305, 10.0992563, 12.5727974, 14.1861360, 14.6298090");
+						values("0.589983, 0.943972, 1.17997, 0.943972, 0.589983");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84381");
+						index_3("0.001");
+						index_4("1.4351560, 1.5600420, 2.1484236, 2.6012848, 2.7860726");
+						values("1.17048, 0.912762, 0.740952, 0.912762, 1.17048");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.66204");
+						index_3("0.001");
+						index_4("0.8424910, 0.9263899, 1.2751291, 1.5938573, 1.7252852");
+						values("1.16344, 0.901496, 0.72687, 0.901496, 1.16344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.13381");
+						index_3("0.001");
+						index_4("0.5772234, 0.6409423, 0.9494789, 1.1282934, 1.2483425");
+						values("1.16017, 0.896273, 0.720341, 0.896273, 1.16017");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42191");
+						index_3("0.001");
+						index_4("0.7276572, 0.7944659, 1.1512676, 1.3223284, 1.4317136");
+						values("1.3906, 1.26495, 1.18119, 1.26495, 1.3906");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.831022");
+						index_3("0.001");
+						index_4("0.4292196, 0.4743594, 0.6682208, 0.8151679, 0.8995267");
+						values("1.38772, 1.26035, 1.17544, 1.26035, 1.38772");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.566904");
+						index_3("0.001");
+						index_4("0.2950762, 0.3293197, 0.4817812, 0.5783775, 0.6616633");
+						values("1.3852, 1.25632, 1.1704, 1.25632, 1.3852");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.49307");
+						index_3("0.001");
+						index_4("1.2592985, 1.3834208, 1.9554018, 2.3587111, 2.5464943");
+						values("0.931197, 0.529914, 0.262393, 0.529914, 0.931197");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.014607;
+			capacitance : 0.015082;
+			rise_capacitance : 0.015556;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116067, -0.0013654, 0.0088758, 0.0208884, 0.0324205, 0.0444331, 0.0564456");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439349, 0.1026500, 0.1613651, 0.4213280, 0.6708923, 0.9308551, 1.1908180");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "!ENABLE_INP_H&!HLD_H_N"; */
+				miller_cap_fall : "0.00140765";
+				miller_cap_rise : "0.000949824";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("1.86224, 0.261617, 0.248448, 0.243376, 0.237248, 0.233626, 0.229499, 0.224725, 0.219135, 0.212586, 0.205034, 0.196522, 0.187094, 0.176763, 0.165526, 0.15337, 0.140282, 0.126246, 0.111245, 0.0952619, 0.0782795, 0.0602801, 0.0412459, 0.0211586, -8.94047e-10, -0.0442542, -0.0904651, -0.258781, -1.6818", \
+					  "1.78141, 0.136589, 0.127332, 0.125325, 0.123054, 0.121791, 0.120423, 0.118928, 0.117277, 0.115429, 0.113329, 0.110894, 0.108004, 0.104493, 0.10018, 0.0949387, 0.0887092, 0.0814577, 0.0731579, 0.0637854, 0.0533164, 0.0417272, 0.0289942, 0.0150932, -1.74633e-10, -0.0328174, -0.0685758, -0.207488, -1.67134", \
+					  "1.74053, 0.0740282, 0.0645802, 0.0636299, 0.0625893, 0.0620273, 0.061432, 0.0607981, 0.0601188, 0.0593855, 0.0585866, 0.0577068, 0.0567245, 0.0556084, 0.0543104, 0.0527514, 0.0507923, 0.0482024, 0.0447221, 0.040192, 0.0345406, 0.0277272, 0.0197192, 0.0104867, 5.33415e-10, -0.0241943, -0.0522083, -0.168879, -1.66313", \
+					  "1.72923, 0.0573232, 0.0467214, 0.0460315, 0.0452846, 0.044885, 0.0444649, 0.0440212, 0.0435501, 0.0430467, 0.042505, 0.0419168, 0.0412712, 0.040553, 0.0397397, 0.0387965, 0.0376641, 0.0362277, 0.0342557, 0.031429, 0.0275356, 0.022487, 0.0162378, 0.00875293, 8.20408e-10, -0.0209627, -0.0461043, -0.154397, -1.66017", \
+					  "1.71953, 0.044579, 0.0309787, 0.0305082, 0.0300049, 0.0297385, 0.0294605, 0.0291693, 0.028863, 0.0285392, 0.0281948, 0.0278259, 0.0274274, 0.0269924, 0.0265112, 0.0259698, 0.0253458, 0.0246006, 0.0236525, 0.0222955, 0.0201546, 0.016941, 0.0125425, 0.0069081, 1.12378e-09, -0.0175326, -0.0396434, -0.13901, -1.65706", \
+					  "1.71535, 0.0398515, 0.0240373, 0.0236617, 0.0232625, 0.0230524, 0.0228341, 0.0226064, 0.0223681, 0.0221176, 0.0218527, 0.0215709, 0.0212689, 0.0209422, 0.0205847, 0.0201879, 0.0197386, 0.0192154, 0.0185768, 0.0177182, 0.01635, 0.0140555, 0.010612, 0.00594139, 1.27631e-09, -0.0157395, -0.0362736, -0.130962, -1.65545", \
+					  "1.71166, 0.0362215, 0.0177949, 0.0175045, 0.0171982, 0.0170379, 0.0168721, 0.0167001, 0.016521, 0.0163337, 0.016137, 0.0159292, 0.0157082, 0.0154714, 0.0152151, 0.0149343, 0.0146215, 0.0142651, 0.0138449, 0.0133156, 0.012533, 0.011104, 0.00862512, 0.00494304, 1.42097e-09, -0.0138926, -0.0328085, -0.122674, -1.65382", \
+					  "1.70848, 0.0336962, 0.0123153, 0.0121002, 0.0118759, 0.0117593, 0.0116393, 0.0115154, 0.0113872, 0.0112539, 0.0111149, 0.0109692, 0.0108156, 0.0106525, 0.0104779, 0.0102891, 0.0100821, 0.00985109, 0.00958641, 0.00926952, 0.00884914, 0.00811715, 0.00658291, 0.00391055, 1.52218e-09, -0.0119905, -0.0292473, -0.11416, -1.65216", \
+					  "1.70587, 0.0323074, 0.00767831, 0.00752706, 0.00737413, 0.00729527, 0.00721454, 0.00713172, 0.00704654, 0.00695865, 0.00686768, 0.00677311, 0.00667435, 0.0065706, 0.00646087, 0.00634379, 0.00621751, 0.00607934, 0.00592514, 0.00574772, 0.00553117, 0.00521557, 0.00450099, 0.00284281, 1.37866e-09, -0.0100316, -0.0255909, -0.105454, -1.65052", \
+					  "1.70391, 0.0321495, 0.00402614, 0.00391639, 0.00382403, 0.00377688, 0.00372893, 0.0036801, 0.00363025, 0.00357925, 0.00352693, 0.00347308, 0.00341745, 0.00335972, 0.00329947, 0.00323617, 0.00316909, 0.00309721, 0.00301905, 0.00293221, 0.0028322, 0.00270693, 0.00248542, 0.00175786, -1.14917e-10, -0.00801403, -0.0218439, -0.0966208, -1.64888", \
+					  "1.70273, 0.033496, 0.00164763, 0.0014945, 0.00144937, 0.00142685, 0.00140414, 0.00138119, 0.00135797, 0.00133444, 0.00131056, 0.00128625, 0.00126145, 0.00123607, 0.00120999, 0.00118306, 0.00115508, 0.00112578, 0.00109477, 0.00106147, 0.00102489, 0.000982948, 0.000927812, 0.000769753, -8.54995e-09, -0.00593919, -0.0180205, -0.0877504, -1.64728", \
+					  "1.70243, 0.0364574, 0.000919792, 0.00036669, 0.000348577, 0.000341213, 0.000333853, 0.000326477, 0.000319078, 0.00031165, 0.000304184, 0.000296669, 0.000289093, 0.000281442, 0.000273695, 0.000265827, 0.000257807, 0.000249589, 0.000241111, 0.000232284, 0.00022296, 0.000212868, 0.000201262, 0.000181873, -5.14829e-08, -0.00383895, -0.0141643, -0.0789358, -1.64573", \
+					  "1.70272, 0.0405129, 0.00180641, 7.91113e-05, 5.63946e-05, 5.47923e-05, 5.32877e-05, 5.17934e-05, 5.03037e-05, 4.88171e-05, 4.73322e-05, 4.58478e-05, 4.43624e-05, 4.2874e-05, 4.13805e-05, 3.98788e-05, 3.83652e-05, 3.68345e-05, 3.52795e-05, 3.36896e-05, 3.20482e-05, 3.03252e-05, 2.84459e-05, 2.59347e-05, -2.97927e-07, -0.00190515, -0.0103883, -0.0702594, -1.64422", \
+					  "1.70323, 0.0450727, 0.00378492, 0.000127138, 7.16037e-06, 6.29059e-06, 5.97675e-06, 5.70171e-06, 5.43694e-06, 5.17716e-06, 4.92042e-06, 4.66574e-06, 4.41246e-06, 4.16005e-06, 3.90805e-06, 3.656e-06, 3.40335e-06, 3.14944e-06, 2.8934e-06, 2.634e-06, 2.36931e-06, 2.09596e-06, 1.80567e-06, 1.44921e-06, -1.75896e-06, -0.000610722, -0.00688781, -0.0617867, -1.64276", \
+					  "1.70379, 0.0498975, 0.00644802, 0.000602003, 7.73509e-07, -3.23894e-06, -3.92429e-06, -4.37863e-06, -4.77532e-06, -5.14594e-06, -5.50154e-06, -5.84742e-06, -6.18662e-06, -6.52106e-06, -6.85206e-06, -7.18057e-06, -7.5073e-06, -7.83281e-06, -8.15757e-06, -8.48203e-06, -8.8066e-06, -9.13185e-06, -9.45879e-06, -9.79268e-06, -1.04301e-05, -0.000127367, -0.00390126, -0.0535714, -1.64137", \
+					  "1.70437, 0.0549244, 0.0095639, 0.00179965, 7.9723e-08, -2.47782e-05, -2.8928e-05, -3.15158e-05, -3.37174e-05, -3.57445e-05, -3.76702e-05, -3.95298e-05, -4.13431e-05, -4.31229e-05, -4.48777e-05, -4.66135e-05, -4.83349e-05, -5.00453e-05, -5.17472e-05, -5.34427e-05, -5.51334e-05, -5.68208e-05, -5.8506e-05, -6.01903e-05, -6.1904e-05, -8.18974e-05, -0.00174397, -0.0456892, -1.64007", \
+					  "1.70497, 0.0601292, 0.0129673, 0.00355753, 1.0655e-08, -0.000157998, -0.000186955, -0.000202281, -0.000214406, -0.000225118, -0.000235011, -0.000244363, -0.000253327, -0.000262004, -0.000270459, -0.000278738, -0.000286877, -0.000294902, -0.000302832, -0.000310685, -0.000318472, -0.000326205, -0.000333892, -0.000341541, -0.00034916, -0.000366432, -0.000853672, -0.038387, -1.63895", \
+					  "1.70559, 0.0654931, 0.0165234, 0.005559, 3.9929e-09, -0.000685905, -0.00086878, -0.000944572, -0.000996147, -0.00103813, -0.00107485, -0.00110817, -0.00113911, -0.00116827, -0.00119605, -0.00122274, -0.00124854, -0.0012736, -0.00129804, -0.00132195, -0.00134542, -0.00136849, -0.00139122, -0.00141366, -0.00143583, -0.00147974, -0.00161028, -0.0322875, -1.63829", \
+					  "1.70622, 0.0709982, 0.0201276, 0.00761682, 3.35102e-09, -0.00163337, -0.00230506, -0.00257297, -0.00272669, -0.0028397, -0.00293242, -0.00301282, -0.00308488, -0.00315088, -0.00321227, -0.00327003, -0.00332486, -0.00337728, -0.00342769, -0.00347638, -0.0035236, -0.00356954, -0.00361437, -0.00365822, -0.0037012, -0.00378493, -0.00387956, -0.027801, -1.6382", \
+					  "1.70686, 0.0766265, 0.0237085, 0.00965235, 3.28282e-09, -0.00272644, -0.00420939, -0.00489866, -0.00525904, -0.0054982, -0.00568176, -0.00583383, -0.00596557, -0.00608304, -0.00618991, -0.00628861, -0.0063808, -0.00646769, -0.00655019, -0.00662899, -0.00670463, -0.00677753, -0.00684806, -0.0069165, -0.00698309, -0.00711151, -0.00723646, -0.0248657, -1.63862", \
+					  "1.70751, 0.0823593, 0.0272232, 0.0116353, 3.2693e-09, -0.00383068, -0.00627705, -0.00763145, -0.00835307, -0.00879674, -0.00911542, -0.00936744, -0.00957851, -0.00976189, -0.00992532, -0.0100737, -0.0102102, -0.0103373, -0.0104566, -0.0105694, -0.0106767, -0.0107793, -0.0108778, -0.0109727, -0.0110645, -0.01124, -0.0114066, -0.0234809, -1.63942", \
+					  "1.70817, 0.0881779, 0.0306485, 0.0135544, 3.26125e-09, -0.00490811, -0.00835984, -0.0105433, -0.0118064, -0.0125628, -0.0130758, -0.013463, -0.0137763, -0.0140415, -0.0142731, -0.0144798, -0.0146675, -0.0148401, -0.0150005, -0.0151507, -0.0152925, -0.015427, -0.0155554, -0.0156783, -0.0157964, -0.0160205, -0.0162312, -0.0237947, -1.64055", \
+					  "1.70883, 0.0940625, 0.0339732, 0.0154058, 3.25401e-09, -0.00594818, -0.0104009, -0.0134895, -0.0154494, -0.01665, -0.0174353, -0.0180028, -0.0184462, -0.0188117, -0.0191243, -0.0193988, -0.0196446, -0.0198681, -0.0200737, -0.0202647, -0.0204436, -0.0206122, -0.0207719, -0.0209241, -0.0210696, -0.0213438, -0.0215994, -0.0259489, -1.64197", \
+					  "1.70951, 0.0999926, 0.0371928, 0.0171891, 3.24712e-09, -0.00694817, -0.0123795, -0.0163945, -0.0191513, -0.0209284, -0.0220819, -0.022887, -0.023495, -0.0239828, -0.0243912, -0.0247438, -0.0250553, -0.0253353, -0.0255905, -0.0258256, -0.0260441, -0.0262487, -0.0264415, -0.0266242, -0.026798, -0.0271234, -0.0274245, -0.0298691, -1.64365", \
+					  "1.71019, 0.105947, 0.0403065, 0.0189056, 3.24054e-09, -0.00790803, -0.0142882, -0.0192235, -0.0228257, -0.0252869, -0.0269141, -0.028027, -0.0288423, -0.0294789, -0.0300004, -0.030443, -0.0308285, -0.0311712, -0.0314804, -0.031763, -0.0320237, -0.0322664, -0.0324938, -0.0327082, -0.0329113, -0.0332892, -0.0336362, -0.03519, -1.64559", \
+					  "1.71158, 0.117843, 0.0462218, 0.0221462, 3.22822e-09, -0.00971186, -0.0178918, -0.024605, -0.0299204, -0.0339275, -0.0367849, -0.0387606, -0.0401552, -0.041192, -0.0420051, -0.0426708, -0.0432342, -0.0437231, -0.0441558, -0.0445447, -0.0448986, -0.0452239, -0.0455254, -0.045807, -0.0460714, -0.0465578, -0.0469986, -0.0482125, -1.65025", \
+					  "1.71298, 0.129577, 0.0517392, 0.0251464, 3.21693e-09, -0.0113715, -0.0212209, -0.0296043, -0.0365744, -0.0421826, -0.0464955, -0.0496482, -0.0518899, -0.0535093, -0.0547305, -0.0556947, -0.0564862, -0.0571561, -0.057737, -0.0582501, -0.0587103, -0.0591281, -0.0595113, -0.0598656, -0.0601956, -0.0607961, -0.0613336, -0.0627001, -1.65594", \
+					  "1.71722, 0.161618, 0.0661652, 0.0328956, 3.1882e-09, -0.0156116, -0.0297669, -0.0425078, -0.0538718, -0.0638894, -0.0725781, -0.0799365, -0.0859511, -0.0906475, -0.0941744, -0.0967958, -0.098782, -0.100337, -0.101597, -0.102647, -0.103544, -0.104326, -0.105019, -0.10564, -0.106204, -0.107197, -0.108054, -0.110105, -1.676", \
+					  "1.7238, 0.2061, 0.0845438, 0.0425795, 3.15313e-09, -0.0208195, -0.0403278, -0.0585539, -0.0755251, -0.0912657, -0.105795, -0.119124, -0.131251, -0.142151, -0.151766, -0.160013, -0.166819, -0.172218, -0.176392, -0.179612, -0.182138, -0.184167, -0.185838, -0.187247, -0.188457, -0.190454, -0.192058, -0.195552, -1.71252");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2122330, 0.4867790, 0.7831018, 1.1114446, 1.5636206");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2877912, 0.5623319, 0.8586944, 1.1873077, 1.6396450");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2055833, 0.4672801, 0.7370559, 1.0235279, 1.3948394");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2805561, 0.5422927, 0.8121293, 1.0993198, 1.4700826");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("52.2339");
+						index_3("0.001");
+						index_4("26.1541236, 27.6505147, 37.1014323, 42.0474896, 43.5931979");
+						values("0.412108, 0.659373, 0.824217, 0.659373, 0.412108");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("30.1967");
+						index_3("0.001");
+						index_4("15.1396745, 16.1385692, 22.2428547, 25.1236573, 26.1645489");
+						values("0.421628, 0.674606, 0.843257, 0.674606, 0.421628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("20.1553");
+						index_3("0.001");
+						index_4("10.1175095, 10.8671952, 15.0546332, 17.2171260, 17.9911448");
+						values("0.427598, 0.684157, 0.855196, 0.684157, 0.427598");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28809");
+						index_2("26.117");
+						index_3("0.001");
+						index_4("13.1823588, 14.0107462, 18.9627243, 21.1484436, 21.9735772");
+						values("0.213742, 0.341987, 0.427483, 0.341987, 0.213742");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("15.0983");
+						index_3("0.001");
+						index_4("7.6489540, 8.1981236, 11.3134178, 12.6510235, 13.2080064");
+						values("0.217478, 0.347965, 0.434956, 0.347965, 0.217478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40445");
+						index_2("10.0776");
+						index_3("0.001");
+						index_4("5.1227389, 5.5300310, 7.7815878, 8.6673996, 9.0976946");
+						values("0.219756, 0.351609, 0.439512, 0.351609, 0.219756");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34982");
+						index_2("45.295");
+						index_3("0.001");
+						index_4("22.5910643, 24.0639499, 32.6848062, 37.5073632, 39.0444014");
+						values("0.603101, 0.964962, 1.2062, 0.964962, 0.603101");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("42.5441");
+						index_3("0.001");
+						index_4("21.3278776, 22.7283334, 30.8832079, 35.4805496, 36.8679901");
+						values("1.2365, 0.988407, 0.823009, 0.988407, 1.2365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("24.7316");
+						index_3("0.001");
+						index_4("12.4194279, 13.3640485, 18.4132848, 21.3209441, 22.2649565");
+						values("1.22642, 0.972279, 0.802848, 0.972279, 1.22642");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("16.629");
+						index_3("0.001");
+						index_4("8.3661721, 9.0807386, 13.0418651, 14.7209776, 15.4224954");
+						values("1.22074, 0.963184, 0.79148, 0.963184, 1.22074");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22742");
+						index_2("21.272");
+						index_3("0.001");
+						index_4("10.7472139, 11.4980763, 16.2472373, 17.8640017, 18.5909708");
+						values("1.44076, 1.31522, 1.23152, 1.31522, 1.44076");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("12.3658");
+						index_3("0.001");
+						index_4("6.2738625, 6.7825978, 9.3554857, 10.7459615, 11.2525635");
+						values("1.43725, 1.3096, 1.2245, 1.3096, 1.43725");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35661");
+						index_2("8.31451");
+						index_3("0.001");
+						index_4("4.2364397, 4.6267034, 6.6311838, 7.4235934, 7.8120434");
+						values("1.43524, 1.30638, 1.22048, 1.30638, 1.43524");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29592");
+						index_2("37.0974");
+						index_3("0.001");
+						index_4("18.5507857, 19.9419269, 27.3350433, 31.7967924, 33.1806411");
+						values("1.02915, 0.656634, 0.408292, 0.656634, 1.02915");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.030962;
+			capacitance : 0.030976;
+			fall_capacitance : 0.030989;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0044549, -0.0042680, -0.0040812, -0.0042472, -0.0044066, -0.0045726, -0.0047387");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0528958, 0.0764351, 0.0999744, 0.0998857, 0.0998006, 0.0997119, 0.0996232");
+				}
+			}*/
+			/* Copied over from enable_vswitch_h. NCX does not seem to produce CCS noise for this pin. SIVK. 10-5-2014 */
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00195971";
+				miller_cap_rise : "0.00133726";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.50984, 0.452441, 0.427369, 0.417961, 0.406728, 0.400164, 0.392753, 0.384267, 0.374426, 0.362961, 0.349751, 0.334867, 0.318418, 0.30046, 0.281001, 0.260033, 0.237538, 0.213496, 0.187885, 0.160682, 0.131866, 0.101412, 0.0692984, 0.0355021, 1.61074e-09, -0.0737256, -0.149787, -0.413524, -1.81224", \
+					  "3.34805, 0.240717, 0.224675, 0.220738, 0.216312, 0.213865, 0.211224, 0.208352, 0.205196, 0.201688, 0.197731, 0.193187, 0.187855, 0.181456, 0.173682, 0.164318, 0.153272, 0.140503, 0.125975, 0.109654, 0.0915067, 0.0715006, 0.0496026, 0.02578, 3.44234e-09, -0.0555046, -0.115034, -0.335721, -1.79241", \
+					  "3.26366, 0.129928, 0.116191, 0.11425, 0.112135, 0.110999, 0.109799, 0.108525, 0.107165, 0.105703, 0.104118, 0.102381, 0.100453, 0.098277, 0.0957689, 0.0927919, 0.0891146, 0.0843602, 0.0780936, 0.0700382, 0.0600728, 0.048133, 0.0341702, 0.0181401, 7.3322e-09, -0.0413569, -0.0883726, -0.276252, -1.77666", \
+					  "3.23976, 0.0988242, 0.0846208, 0.0831877, 0.0816449, 0.0808235, 0.0799627, 0.0790566, 0.078098, 0.0770778, 0.0759843, 0.0748025, 0.0735119, 0.0720842, 0.0704785, 0.068632, 0.0664409, 0.0637128, 0.0600717, 0.0549829, 0.0480711, 0.039182, 0.0282419, 0.0151973, 8.05784e-09, -0.035948, -0.0782555, -0.253634, -1.77098", \
+					  "3.21882, 0.0731112, 0.0564419, 0.0554454, 0.0543862, 0.0538282, 0.0532481, 0.0526427, 0.0520083, 0.0513403, 0.0506328, 0.0498783, 0.0490673, 0.0481865, 0.047218, 0.0461354, 0.0448982, 0.0434373, 0.0416143, 0.0390959, 0.0352561, 0.0295791, 0.0218627, 0.0120225, 1.01322e-08, -0.0301381, -0.0674355, -0.229369, -1.76502", \
+					  "3.20964, 0.0625843, 0.0438985, 0.0430938, 0.0422445, 0.0417998, 0.0413394, 0.0408613, 0.0403628, 0.0398408, 0.0392914, 0.0387096, 0.0380892, 0.0374216, 0.0366954, 0.0358944, 0.0349943, 0.033956, 0.0327066, 0.0310734, 0.0285873, 0.0245305, 0.0184941, 0.0103407, 1.03722e-08, -0.0270717, -0.061745, -0.216572, -1.76194", \
+					  "3.20144, 0.0537039, 0.0325554, 0.0319248, 0.0312647, 0.0309212, 0.0305674, 0.0302018, 0.0298227, 0.0294283, 0.0290158, 0.0285823, 0.0281239, 0.0276352, 0.0271095, 0.0265371, 0.0259043, 0.0251896, 0.0243563, 0.0233266, 0.0218682, 0.0193338, 0.0150033, 0.0085914, 1.20331e-08, -0.023892, -0.0558594, -0.203317, -1.75881", \
+					  "3.19431, 0.0465566, 0.0225586, 0.0220843, 0.0215927, 0.0213389, 0.0210788, 0.0208116, 0.0205363, 0.0202517, 0.0199564, 0.0196486, 0.0193259, 0.0189854, 0.0186234, 0.0182345, 0.0178114, 0.0173431, 0.0168122, 0.0161855, 0.0153776, 0.0140574, 0.0113959, 0.0067714, 1.05353e-08, -0.0205945, -0.0497742, -0.189619, -1.75568", \
+					  "3.18838, 0.041271, 0.0140901, 0.0137524, 0.0134095, 0.0132339, 0.0130552, 0.0128728, 0.0126862, 0.0124949, 0.012298, 0.0120947, 0.0118838, 0.0116638, 0.0114327, 0.0111882, 0.0109266, 0.0106431, 0.0103299, 0.00997401, 0.00954784, 0.00895471, 0.00771681, 0.00488497, 1.07055e-08, -0.0171755, -0.0434882, -0.175533, -1.75256", \
+					  "3.18383, 0.0380683, 0.00742694, 0.00719685, 0.0069829, 0.0068746, 0.00676517, 0.00665442, 0.00654214, 0.00642806, 0.00631188, 0.00619319, 0.00607153, 0.0059463, 0.00581674, 0.00568183, 0.00554024, 0.00539009, 0.00522861, 0.00505144, 0.00485057, 0.00460534, 0.00420334, 0.00298161, 7.10819e-09, -0.013633, -0.0370085, -0.161158, -1.74948", \
+					  "3.18094, 0.0374353, 0.00301561, 0.00280332, 0.00269578, 0.00264232, 0.00258881, 0.00253519, 0.00248139, 0.00242734, 0.00237294, 0.00231808, 0.00226262, 0.00220639, 0.00214917, 0.00209068, 0.00203054, 0.00196824, 0.00190308, 0.00183394, 0.00175902, 0.00167456, 0.00156706, 0.00129139, -3.36744e-09, -0.00997972, -0.0303659, -0.14663, -1.74646", \
+					  "3.1799, 0.0394505, 0.00126775, 0.000710169, 0.000671199, 0.000653614, 0.000636206, 0.000618949, 0.000601829, 0.00058483, 0.000567931, 0.00055111, 0.000534342, 0.00051759, 0.000500816, 0.000483968, 0.000466977, 0.000449753, 0.000432172, 0.00041405, 0.000395099, 0.000374788, 0.000351699, 0.000314451, -5.10874e-08, -0.0063085, -0.0236583, -0.132088, -1.74353", \
+					  "3.18019, 0.0433294, 0.00183395, 0.00013762, 0.000111418, 0.000107759, 0.000104251, 0.000100808, 9.74236e-05, 9.40926e-05, 9.08136e-05, 8.75796e-05, 8.43881e-05, 8.1234e-05, 7.81096e-05, 7.50086e-05, 7.19209e-05, 6.88367e-05, 6.57347e-05, 6.25922e-05, 5.93729e-05, 5.60155e-05, 5.23598e-05, 4.74841e-05, -2.84813e-07, -0.00303754, -0.0171209, -0.11766, -1.74068", \
+					  "3.181, 0.0479879, 0.00374767, 0.000130356, 1.46475e-05, 1.34975e-05, 1.28907e-05, 1.23308e-05, 1.17909e-05, 1.12638e-05, 1.07486e-05, 1.02446e-05, 9.74933e-06, 9.26237e-06, 8.78114e-06, 8.30784e-06, 7.83783e-06, 7.37053e-06, 6.90436e-06, 6.43695e-06, 5.96346e-06, 5.47619e-06, 4.95381e-06, 4.30292e-06, -1.68545e-06, -0.000954854, -0.0111221, -0.10345, -1.73792", \
+					  "3.18193, 0.0529543, 0.00640759, 0.000584, 1.63285e-06, -2.24907e-06, -2.94098e-06, -3.41128e-06, -3.82481e-06, -4.21265e-06, -4.58471e-06, -4.94692e-06, -5.3024e-06, -5.65047e-06, -5.99609e-06, -6.33897e-06, -6.6778e-06, -7.0161e-06, -7.35172e-06, -7.68768e-06, -8.02375e-06, -8.36215e-06, -8.70266e-06, -9.05671e-06, -9.99083e-06, -0.000195267, -0.00609759, -0.0895462, -1.73528", \
+					  "3.1829, 0.0581156, 0.00954221, 0.00176521, 1.67867e-07, -2.36259e-05, -2.75931e-05, -3.00719e-05, -3.21839e-05, -3.41286e-05, -3.59775e-05, -3.77644e-05, -3.95062e-05, -4.12174e-05, -4.29018e-05, -4.45709e-05, -4.62263e-05, -4.7871e-05, -4.95074e-05, -5.11372e-05, -5.27615e-05, -5.43857e-05, -5.60079e-05, -5.76262e-05, -5.93032e-05, -8.96004e-05, -0.00257514, -0.0760538, -1.73278", \
+					  "3.1839, 0.0634399, 0.0129803, 0.00351898, 1.92849e-08, -0.000151524, -0.000179134, -0.000193808, -0.000205446, -0.000215745, -0.000225265, -0.000234271, -0.00024291, -0.000251275, -0.000259428, -0.000267417, -0.000275272, -0.000283018, -0.000290676, -0.00029826, -0.000305782, -0.000313255, -0.000320682, -0.000328073, -0.00033544, -0.000353585, -0.00104728, -0.0632491, -1.73063", \
+					  "3.18492, 0.0689081, 0.0165862, 0.00552974, 4.82926e-09, -0.000665794, -0.000841197, -0.00091412, -0.000963937, -0.00100458, -0.00104018, -0.00107254, -0.0011026, -0.00113095, -0.00115798, -0.00118395, -0.00120907, -0.00123348, -0.0012573, -0.00128061, -0.00130348, -0.00132598, -0.00134816, -0.00137004, -0.00139168, -0.0014347, -0.00160172, -0.0518051, -1.72928", \
+					  "3.18596, 0.0745035, 0.0202549, 0.00760858, 3.44046e-09, -0.00160307, -0.00225419, -0.00251307, -0.00266221, -0.00277218, -0.00286259, -0.00294111, -0.00301155, -0.00307611, -0.00313621, -0.00319278, -0.00324651, -0.0032979, -0.00334733, -0.0033951, -0.00344143, -0.00348652, -0.00353053, -0.00357358, -0.00361579, -0.00369806, -0.00379725, -0.0422461, -1.72899", \
+					  "3.18702, 0.0802099, 0.0239143, 0.00967532, 3.30516e-09, -0.00269466, -0.00414415, -0.0048125, -0.00516241, -0.00539549, -0.00557487, -0.00572376, -0.0058529, -0.00596817, -0.00607312, -0.0061701, -0.00626075, -0.00634622, -0.0064274, -0.00650496, -0.00657943, -0.00665124, -0.00672072, -0.00678815, -0.00685378, -0.00698037, -0.00710448, -0.0346952, -1.72957", \
+					  "3.1881, 0.0860116, 0.0275202, 0.0116985, 3.28958e-09, -0.00380491, -0.00621038, -0.00752892, -0.00822923, -0.00866115, -0.00897247, -0.00921929, -0.00942636, -0.00960652, -0.00976722, -0.0099132, -0.0100477, -0.0101729, -0.0102905, -0.0104017, -0.0105076, -0.0106088, -0.010706, -0.0107998, -0.0108904, -0.0110637, -0.0112285, -0.0294094, -1.73087", \
+					  "3.18919, 0.0918925, 0.0310481, 0.0136655, 3.2855e-09, -0.00489471, -0.00830448, -0.0104393, -0.0116655, -0.0124001, -0.0129003, -0.013279, -0.0135862, -0.0138467, -0.0140744, -0.0142779, -0.0144628, -0.014633, -0.0147912, -0.0149395, -0.0150795, -0.0152124, -0.0153392, -0.0154607, -0.0155775, -0.0157992, -0.0160076, -0.0267975, -1.73275", \
+					  "3.19029, 0.0978365, 0.0344855, 0.0155717, 3.28262e-09, -0.00595266, -0.0103684, -0.0134005, -0.0153067, -0.0164704, -0.0172336, -0.0177872, -0.0182212, -0.0185797, -0.0188869, -0.0191571, -0.0193992, -0.0196196, -0.0198224, -0.020011, -0.0201877, -0.0203543, -0.0205122, -0.0206627, -0.0208067, -0.021078, -0.0213311, -0.0271034, -1.73516", \
+					  "3.19141, 0.103827, 0.0378266, 0.0174159, 3.27995e-09, -0.00697535, -0.0123798, -0.0163362, -0.0190253, -0.0207467, -0.021864, -0.0226466, -0.02324, -0.0237174, -0.0241181, -0.0244647, -0.0247714, -0.0250473, -0.0252989, -0.025531, -0.0257468, -0.025949, -0.0261396, -0.0263203, -0.0264923, -0.0268145, -0.0271126, -0.0300774, -1.73806", \
+					  "3.19254, 0.109848, 0.0410694, 0.0191985, 3.27739e-09, -0.0079621, -0.0143303, -0.0192102, -0.0227355, -0.025122, -0.0266939, -0.0277714, -0.0285641, -0.0291853, -0.0296957, -0.0301299, -0.0305089, -0.0308462, -0.0311509, -0.0314296, -0.031687, -0.0319268, -0.0321516, -0.0323636, -0.0325645, -0.0329386, -0.0332824, -0.0349997, -1.74143", \
+					  "3.19482, 0.121913, 0.0472624, 0.0225843, 3.27256e-09, -0.00983004, -0.0180401, -0.0247181, -0.0299539, -0.033859, -0.0366172, -0.0385166, -0.0398602, -0.0408636, -0.0416539, -0.0423034, -0.0428546, -0.0433341, -0.0437592, -0.0441418, -0.0444904, -0.0448112, -0.0451089, -0.0453869, -0.0456483, -0.0461295, -0.046566, -0.0477887, -1.74968", \
+					  "3.19714, 0.133891, 0.0530776, 0.0257431, 3.26809e-09, -0.0115645, -0.0214989, -0.0298824, -0.0367886, -0.0422879, -0.0464686, -0.0494941, -0.0516368, -0.0531884, -0.0543642, -0.0552971, -0.0560662, -0.0567195, -0.0572874, -0.0577902, -0.058242, -0.0586528, -0.0590301, -0.0593793, -0.0597049, -0.060298, -0.0608296, -0.0621857, -1.75987", \
+					  "3.20415, 0.168034, 0.0684657, 0.0340124, 3.24048e-09, -0.0160662, -0.0305209, -0.0434294, -0.05485, -0.0648305, -0.073403, -0.0805778, -0.086358, -0.0908042, -0.094111, -0.0965662, -0.0984355, -0.099909, -0.10111, -0.102117, -0.102982, -0.103739, -0.104411, -0.105017, -0.105567, -0.106539, -0.10738, -0.109401, -1.79593", \
+					  "3.21521, 0.215255, 0.0884768, 0.0445804, 3.2421e-09, -0.0217383, -0.0419647, -0.0607297, -0.0780802, -0.0940575, -0.108696, -0.122018, -0.134028, -0.144702, -0.153981, -0.161785, -0.168084, -0.172989, -0.176751, -0.179659, -0.181956, -0.183819, -0.185367, -0.186683, -0.187823, -0.189717, -0.191254, -0.194638, -1.8615");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1308910, 0.2813047, 0.4384540, 0.6138570, 0.8556874");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2070441, 0.3573281, 0.5152683, 0.6888627, 0.9320552");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2163176, 0.4634116, 0.7188773, 0.9944066, 1.3642780");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2898569, 0.5369321, 0.7927640, 1.0676406, 1.4371701");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("28.7587");
+						index_3("0.001");
+						index_4("14.4332972, 15.2815776, 20.9683595, 23.6688150, 24.7071907");
+						values("0.418554, 0.669686, 0.837108, 0.669686, 0.418554");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("16.6702");
+						index_3("0.001");
+						index_4("8.3836178, 8.9531514, 12.4785441, 14.2253145, 14.9289660");
+						values("0.429237, 0.68678, 0.858474, 0.68678, 0.429237");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("11.1529");
+						index_3("0.001");
+						index_4("5.6210717, 6.0479595, 8.4530678, 9.7907932, 10.3251397");
+						values("0.435295, 0.696472, 0.87059, 0.696472, 0.435295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28749");
+						index_2("14.3793");
+						index_3("0.001");
+						index_4("7.2838762, 7.7615082, 10.7985303, 11.9523753, 12.5040066");
+						values("0.214241, 0.342785, 0.428481, 0.342785, 0.214241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("8.33508");
+						index_3("0.001");
+						index_4("4.2430613, 4.5616694, 6.3815808, 7.1817965, 7.5762771");
+						values("0.218478, 0.349565, 0.436956, 0.349565, 0.218478");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40388");
+						index_2("5.57643");
+						index_3("0.001");
+						index_4("2.8513970, 3.0909821, 4.3915755, 4.9552774, 5.2559904");
+						values("0.221365, 0.354183, 0.442729, 0.354183, 0.221365");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3493");
+						index_2("25.0052");
+						index_3("0.001");
+						index_4("12.5018128, 13.3155930, 18.3699273, 21.2097647, 22.2323767");
+						values("0.615997, 0.985595, 1.23199, 0.985595, 0.615997");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("41.5231");
+						index_3("0.001");
+						index_4("20.8404255, 22.2158212, 30.4760463, 33.9504534, 35.1066890");
+						values("1.22391, 0.968253, 0.797816, 0.968253, 1.22391");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("24.1665");
+						index_3("0.001");
+						index_4("12.1536075, 13.0841784, 17.8648583, 20.3984414, 21.1563972");
+						values("1.21335, 0.951355, 0.776694, 0.951355, 1.21335");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("16.2583");
+						index_3("0.001");
+						index_4("8.1951738, 8.8994571, 12.5563923, 14.0607624, 14.6235772");
+						values("1.20714, 0.94143, 0.764288, 0.94143, 1.20714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22881");
+						index_2("20.7616");
+						index_3("0.001");
+						index_4("10.5174713, 11.2723063, 15.5954518, 17.1033734, 17.6936203");
+						values("1.43395, 1.30431, 1.21789, 1.30431, 1.43395");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("12.0833");
+						index_3("0.001");
+						index_4("6.1519168, 6.6733363, 9.2893175, 10.2793422, 10.6782521");
+						values("1.42988, 1.29781, 1.20976, 1.29781, 1.42988");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35759");
+						index_2("8.12917");
+						index_3("0.001");
+						index_4("4.1588590, 4.5430488, 6.4534168, 7.0933881, 7.3908386");
+						values("1.42864, 1.29582, 1.20728, 1.29582, 1.42864");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29709");
+						index_2("36.2498");
+						index_3("0.001");
+						index_4("18.1291235, 19.4664653, 27.3525907, 30.4201999, 31.5462740");
+						values("1.01615, 0.63584, 0.3823, 0.63584, 1.01615");
+					}
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006596;
+			capacitance : 0.006450;
+			fall_capacitance : 0.006304;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0774063, -0.2179084, -0.3410472, -0.4334523, -0.5171666, -0.5998993, -0.6736859", \
+					  "0.3661463, 0.2348286, 0.1089237, 0.0112132, -0.0750987, -0.1649495, -0.2375447", \
+					  "0.8100215, 0.6798310, 0.5683376, 0.4777308, 0.3983915, 0.3179179, 0.2240285", \
+					  "1.2330517, 1.0977495, 0.9919647, 0.9080393, 0.8305834, 0.7447017, 0.6670371", \
+					  "1.6375096, 1.4743166, 1.3807624, 1.3032093, 1.2229366, 1.1410475, 1.0862151", \
+					  "2.0307056, 1.8985833, 1.7442802, 1.6643387, 1.5993514, 1.5238088, 1.4715047", \
+					  "2.3902809, 2.2737785, 2.1104909, 2.0137639, 1.9664968, 1.8813478, 1.8183169");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3337404, -0.5047814, -0.6894201, -0.8709674, -1.0385685, -1.2259194, -1.3586933", \
+					  "0.1026319, -0.0726835, -0.2539427, -0.4496022, -0.6248265, -0.7973195, -0.9794963", \
+					  "0.5418514, 0.3785308, 0.2083960, 0.0168109, -0.1710050, -0.3624440, -0.5466349", \
+					  "0.9643008, 0.8079360, 0.6279105, 0.4525999, 0.2778543, 0.0776511, -0.0907839", \
+					  "1.3470207, 1.1782561, 1.0378817, 0.8449287, 0.6714458, 0.4904320, 0.2908434", \
+					  "1.7627210, 1.5380087, 1.4043649, 1.2118081, 1.0440019, 0.8910825, 0.7013185", \
+					  "2.1337665, 1.9425022, 1.7481360, 1.5851616, 1.4199513, 1.2593131, 1.0493598");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4735606, 0.6247309, 0.7478874, 0.8430556, 0.9353136, 1.0211272, 1.0796092", \
+					  "0.0230268, 0.1697260, 0.2960048, 0.3907732, 0.4523912, 0.5426262, 0.6114209", \
+					  "-0.4160068, -0.2765302, -0.1554966, -0.0633616, 0.0010369, 0.0802300, 0.1594369", \
+					  "-0.8114308, -0.6931115, -0.5784480, -0.4892964, -0.4171149, -0.3164848, -0.2390226", \
+					  "-1.2177282, -1.0810984, -0.9662728, -0.8713668, -0.7943160, -0.7185361, -0.6322401", \
+					  "-1.6079736, -1.4362486, -1.3412595, -1.2506404, -1.1656906, -1.0812457, -1.0642398", \
+					  "-1.9699297, -1.7646354, -1.6849051, -1.5988637, -1.5247306, -1.4352299, -1.3783122");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4415065, 0.6369806, 0.7896292, 0.9954749, 1.1430934, 1.3024875, 1.5234444", \
+					  "-0.0102981, 0.1719051, 0.3696177, 0.5565603, 0.7029893, 0.8842736, 1.0736562", \
+					  "-0.4328029, -0.2753332, -0.0788555, 0.0937090, 0.2866518, 0.4754247, 0.6529799", \
+					  "-0.8426939, -0.6690556, -0.5074518, -0.3259332, -0.1542790, 0.0412818, 0.2036161", \
+					  "-1.2315603, -1.0643869, -0.8909955, -0.7141967, -0.5360245, -0.3447124, -0.1857252", \
+					  "-1.5737718, -1.4247721, -1.2835794, -1.0831789, -0.9186146, -0.7835795, -0.6017080", \
+					  "-1.9699411, -1.7329334, -1.6195395, -1.4507585, -1.2828433, -1.0820365, -0.9417408");
+				}
+			}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263717, 0.0308353, 0.0352989, 0.0351979, 0.0351008, 0.0349998, 0.0348987");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0225191, 0.0257149, 0.0289107, 0.0288101, 0.0287134, 0.0286128, 0.0285121");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000987884";
+				miller_cap_rise : "0.000932598";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72796e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14966e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50173e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27052e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.943e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20486e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37097e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33874e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57997e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39283e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1034027, 0.2100632, 0.3304757, 0.4688756, 0.6654270");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1740046, 0.2812572, 0.4008897, 0.5422689, 0.7444183");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0274444, 0.0488197, 0.0702212, 0.0913668, 0.1202208");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0995425, 0.1211047, 0.1425229, 0.1640048, 0.1928435");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.8784");
+						index_3("0.001");
+						index_4("10.4272256, 11.0221904, 13.3180288, 15.0551278, 15.4926824");
+						values("0.411714, 0.658743, 0.823428, 0.658743, 0.411714");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.6869");
+						index_3("0.001");
+						index_4("6.3419616, 6.7482301, 8.5138193, 9.4600746, 9.7473619");
+						values("0.423087, 0.676939, 0.846173, 0.676939, 0.423087");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.61173");
+						index_3("0.001");
+						index_4("4.3100144, 4.6136258, 5.7631878, 6.5887420, 6.7989178");
+						values("0.425964, 0.681543, 0.851928, 0.681543, 0.425964");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4392");
+						index_3("0.001");
+						index_4("5.2634097, 5.5889536, 6.7345715, 7.5600376, 7.7828200");
+						values("0.219506, 0.35121, 0.439013, 0.35121, 0.219506");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.34345");
+						index_3("0.001");
+						index_4("3.2090473, 3.4337905, 4.3158534, 4.7483073, 4.8973404");
+						values("0.224875, 0.3598, 0.44975, 0.3598, 0.224875");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.30586");
+						index_3("0.001");
+						index_4("2.1847635, 2.3521617, 2.9146560, 3.3077238, 3.4167086");
+						values("0.225488, 0.360781, 0.450976, 0.360781, 0.225488");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.0303");
+						index_3("0.001");
+						index_4("9.4537725, 10.0611516, 12.5044236, 14.1306665, 14.5735003");
+						values("0.590784, 0.945255, 1.18157, 0.945255, 0.590784");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.83124");
+						index_3("0.001");
+						index_4("1.4289035, 1.5532746, 2.1406801, 2.5900774, 2.7740609");
+						values("1.17023, 0.912372, 0.740465, 0.912372, 1.17023");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.65469");
+						index_3("0.001");
+						index_4("0.8386711, 0.9223326, 1.3322537, 1.5880203, 1.7189978");
+						values("1.16173, 0.898761, 0.723451, 0.898761, 1.16173");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.12879");
+						index_3("0.001");
+						index_4("0.5747143, 0.6381486, 0.9469523, 1.1234158, 1.2420637");
+						values("1.16003, 0.896049, 0.720061, 0.896049, 1.16003");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.41562");
+						index_3("0.001");
+						index_4("0.7245504, 0.7913956, 1.0564779, 1.3147487, 1.4238732");
+						values("1.39051, 1.26481, 1.18101, 1.26481, 1.39051");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.827347");
+						index_3("0.001");
+						index_4("0.4274108, 0.4723740, 0.6656033, 0.8115374, 0.8954472");
+						values("1.38773, 1.26037, 1.17546, 1.26037, 1.38773");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.564397");
+						index_3("0.001");
+						index_4("0.2938385, 0.3279803, 0.4794403, 0.5758489, 0.6587186");
+						values("1.38519, 1.2563, 1.17037, 1.2563, 1.38519");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.48204");
+						index_3("0.001");
+						index_4("1.2538393, 1.3774614, 1.9482284, 2.3481997, 2.5351272");
+						values("0.930639, 0.529023, 0.261278, 0.529023, 0.930639");
+					}
+				}
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.013755;
+			capacitance : 0.013699;
+			fall_capacitance : 0.013642;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.6662346, -3.5235174, -3.3934146, -3.3058473, -3.2231564, -3.1864502, -3.0699307", \
+					  "-4.1059327, -3.9632157, -3.8300729, -3.7394418, -3.6684357, -3.5971705, -3.5253731", \
+					  "-4.5613630, -4.4186456, -4.2904664, -4.2025016, -4.1136096, -4.0806729, -3.9775736", \
+					  "-5.0106516, -4.8694606, -4.7411730, -4.6691115, -4.5554331, -4.5217241, -4.4160344", \
+					  "-5.4169493, -5.2742319, -5.1470985, -5.0580879, -4.9632056, -4.8771167, -4.8343527", \
+					  "-5.8026168, -5.6584188, -5.5404780, -5.4437558, -5.3539934, -5.3003728, -5.2123911", \
+					  "-6.1615212, -6.0170732, -5.8888927, -5.8187590, -5.7334903, -5.6270679, -5.5442017");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5273901, -3.3309342, -3.1347271, -3.0188067, -2.8150812, -2.6167812, -2.4410111", \
+					  "-3.9716658, -3.7651897, -3.5983718, -3.4627929, -3.2277847, -3.0450233, -2.8965661", \
+					  "-4.4091559, -4.2112609, -4.0416650, -3.9030847, -3.6781953, -3.4868825, -3.3212781", \
+					  "-4.8733330, -4.6920150, -4.5148194, -4.3734063, -4.1423724, -3.9512106, -3.7836061", \
+					  "-5.2796302, -5.0762063, -4.9122188, -4.7760224, -4.5328694, -4.3571086, -4.2380887", \
+					  "-5.6652982, -5.4651094, -5.2995010, -5.1628206, -4.9251822, -4.7323311, -4.6179675", \
+					  "-6.0242026, -5.8264257, -5.6691120, -5.5121207, -5.2856126, -5.1096285, -4.9443074");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.0806974, 3.9348789, 3.8082438, 3.7097141, 3.6250385, 3.5277477, 3.4686543", \
+					  "4.5221394, 4.3778468, 4.2508435, 4.1511948, 4.0585142, 4.0081394, 3.9381456", \
+					  "4.9807214, 4.8365381, 4.7078997, 4.6082398, 4.5229014, 4.4462348, 4.3721829", \
+					  "5.4135290, 5.2661846, 5.1437590, 5.0425471, 4.9541836, 4.8727216, 4.8019387", \
+					  "5.8019765, 5.6608290, 5.5352574, 5.4328176, 5.3411038, 5.2474849, 5.1919112", \
+					  "6.1683279, 6.0301384, 5.9000829, 5.7944696, 5.7431298, 5.6340708, 5.5860328", \
+					  "6.5855639, 6.4397463, 6.3160853, 6.2060306, 6.1267234, 6.0590922, 5.9887395");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.7160133, 3.5771102, 3.3600310, 3.1590442, 2.9905761, 2.8211904, 2.6293406", \
+					  "4.1587603, 4.0351813, 3.8165482, 3.6405810, 3.4535009, 3.2777359, 3.0888705", \
+					  "4.6267699, 4.4878672, 4.2575426, 4.0937656, 3.9202342, 3.7258437, 3.5354344", \
+					  "5.0387439, 4.9311859, 4.6994056, 4.5053244, 4.3151103, 4.1763431, 3.9871558", \
+					  "5.4902454, 5.3280578, 5.1354559, 4.9181608, 4.7608284, 4.5768387, 4.3799722", \
+					  "5.8596832, 5.7207806, 5.5095500, 5.3145206, 5.1326044, 4.9679903, 4.7618472", \
+					  "6.2128514, 6.0765933, 5.8659222, 5.6749146, 5.5193315, 5.3119258, 5.1001126");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224721, 0.0257553, 0.0290384, 0.0289108, 0.0287884, 0.0286608, 0.0285332");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0263728, 0.0309450, 0.0355171, 0.0354051, 0.0352975, 0.0351854, 0.0350733");
+				}
+			}*/
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000984778";
+				miller_cap_rise : "0.000925039";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("2.44018, 0.38528, 0.370283, 0.363037, 0.353377, 0.347191, 0.339875, 0.33137, 0.321682, 0.310824, 0.298799, 0.285596, 0.271203, 0.255605, 0.238786, 0.220732, 0.201426, 0.180851, 0.158994, 0.135836, 0.111363, 0.0855587, 0.0584072, 0.0298929, -1.72806e-09, -0.0610757, -0.122006, -0.313097, -1.99591", \
+					  "2.30414, 0.188385, 0.182232, 0.179883, 0.177198, 0.175684, 0.17402, 0.172165, 0.170059, 0.167617, 0.164704, 0.161128, 0.156652, 0.151068, 0.144252, 0.136139, 0.126691, 0.115878, 0.103676, 0.0900605, 0.075009, 0.0584992, 0.040509, 0.0210166, 1.14957e-09, -0.0447564, -0.0917086, -0.252708, -1.98379", \
+					  "2.24114, 0.0919925, 0.0870531, 0.0859662, 0.0847888, 0.0841575, 0.0834916, 0.0827847, 0.0820285, 0.0812121, 0.0803206, 0.0793324, 0.0782156, 0.0769189, 0.0753523, 0.073345, 0.0705961, 0.0667607, 0.0616221, 0.055083, 0.0470939, 0.0376199, 0.0266313, 0.0141004, 3.50165e-09, -0.0319852, -0.0679901, -0.204856, -1.97353", \
+					  "2.22759, 0.0745495, 0.0610381, 0.060246, 0.059401, 0.0589542, 0.0584878, 0.0579987, 0.0574829, 0.0569352, 0.0563487, 0.0557143, 0.0550188, 0.0542429, 0.0533558, 0.0523033, 0.0509735, 0.0491175, 0.0463309, 0.0422865, 0.0368377, 0.0299209, 0.0214967, 0.011533, 4.27043e-09, -0.027228, -0.0591445, -0.186777, -1.9697", \
+					  "2.2186, 0.072533, 0.0388068, 0.0382621, 0.0376896, 0.0373907, 0.037082, 0.0367617, 0.0364283, 0.0360795, 0.0357122, 0.0353229, 0.0349063, 0.0344556, 0.0339605, 0.0334051, 0.0327616, 0.0319723, 0.0308831, 0.0291151, 0.0262034, 0.0219062, 0.0161352, 0.00884478, 4.94291e-09, -0.0222343, -0.0498489, -0.16759, -1.96568", \
+					  "2.21598, 0.0772632, 0.0293012, 0.028864, 0.0284081, 0.0281716, 0.0279285, 0.0276777, 0.0274182, 0.0271485, 0.0268668, 0.0265709, 0.0262577, 0.0259232, 0.0255616, 0.0251645, 0.0247182, 0.0241972, 0.0235415, 0.022563, 0.0208038, 0.0178066, 0.0133814, 0.00745952, 5.20476e-09, -0.0196541, -0.0450405, -0.157577, -1.96359", \
+					  "2.21471, 0.0858238, 0.0209788, 0.0206366, 0.0202853, 0.0201043, 0.019919, 0.019729, 0.0195335, 0.0193317, 0.0191226, 0.0189047, 0.0186766, 0.0184358, 0.0181793, 0.0179027, 0.0175993, 0.0172581, 0.0168566, 0.016332, 0.0154512, 0.0136781, 0.010592, 0.00605107, 5.37089e-09, -0.0170226, -0.0401317, -0.147282, -1.96146", \
+					  "2.21483, 0.0982289, 0.0139522, 0.0136677, 0.0134097, 0.0132777, 0.0131431, 0.0130059, 0.0128656, 0.0127217, 0.0125736, 0.0124208, 0.0122622, 0.0120968, 0.011923, 0.0117386, 0.0115406, 0.0113242, 0.0110811, 0.0107924, 0.010393, 0.00959288, 0.00779028, 0.00462668, 5.33864e-09, -0.0143452, -0.03513, -0.136708, -1.95927", \
+					  "2.21643, 0.114467, 0.00847876, 0.00806142, 0.00788529, 0.00779589, 0.0077053, 0.00761338, 0.00751994, 0.00742477, 0.00732759, 0.0072281, 0.00712587, 0.00702038, 0.00691096, 0.00679669, 0.0066763, 0.0065479, 0.00640851, 0.0062525, 0.00606547, 0.00578079, 0.00504043, 0.00320572, 4.57986e-09, -0.01163, -0.0300465, -0.125861, -1.95705", \
+					  "2.21961, 0.134502, 0.00538769, 0.00395646, 0.0038454, 0.00379207, 0.0037383, 0.00368404, 0.00362922, 0.00357374, 0.00351752, 0.00346043, 0.00340232, 0.003343, 0.00328223, 0.00321969, 0.00315494, 0.00308737, 0.00301607, 0.00293948, 0.00285452, 0.00275137, 0.0025624, 0.00185004, -3.39292e-09, -0.00889328, -0.0248998, -0.114758, -1.95478", \
+					  "2.22445, 0.158237, 0.00652179, 0.00151444, 0.00140759, 0.00138193, 0.00135622, 0.0013304, 0.00130446, 0.00127837, 0.0012521, 0.00122564, 0.00119893, 0.00117193, 0.00114458, 0.00111679, 0.00108847, 0.00105947, 0.00102957, 0.000998446, 0.00096551, 0.000929386, 0.000883877, 0.0007479, -1.34263e-07, -0.00617429, -0.0197287, -0.103444, -1.95247", \
+					  "2.23087, 0.185241, 0.0129652, 0.000772724, 0.00037576, 0.000365764, 0.00035663, 0.000347514, 0.000338396, 0.000329267, 0.000320124, 0.000310959, 0.000301767, 0.000292539, 0.000283265, 0.000273932, 0.00026452, 0.000255007, 0.000245357, 0.000235515, 0.000225393, 0.000214802, 0.000203114, 0.000183708, -2.41829e-06, -0.00359745, -0.0146345, -0.0920181, -1.95015", \
+					  "2.2384, 0.214576, 0.0238234, 0.00203801, 8.36696e-05, 6.80284e-05, 6.42102e-05, 6.07392e-05, 5.73471e-05, 5.39887e-05, 5.06479e-05, 4.7317e-05, 4.39911e-05, 4.06668e-05, 3.73412e-05, 3.40113e-05, 3.06736e-05, 2.7324e-05, 2.39565e-05, 2.05626e-05, 1.7128e-05, 1.3624e-05, 9.96309e-06, 5.39495e-06, -3.23985e-05, -0.00156694, -0.00989787, -0.0806886, -1.94786", \
+					  "2.24665, 0.245413, 0.037834, 0.00657197, 1.57613e-05, -9.80299e-05, -0.000110591, -0.000119849, -0.000128387, -0.000136623, -0.000144698, -0.000152677, -0.000160596, -0.000168475, -0.000176329, -0.000184166, -0.000191993, -0.000199815, -0.000207637, -0.000215463, -0.000223298, -0.000231148, -0.00023903, -0.00024706, -0.000261012, -0.000711872, -0.00609881, -0.0698939, -1.94585", \
+					  "2.25544, 0.277287, 0.0540424, 0.0144533, 2.03295e-06, -0.000698992, -0.000776037, -0.000821325, -0.000860581, -0.000897379, -0.000932864, -0.000967546, -0.00100169, -0.00103547, -0.00106898, -0.00110229, -0.00113545, -0.0011685, -0.00120146, -0.00123436, -0.00126722, -0.00130004, -0.00133286, -0.00136569, -0.00139929, -0.00155782, -0.0043239, -0.0606742, -1.94483", \
+					  "2.26473, 0.309897, 0.0715914, 0.0240552, 1.66403e-07, -0.00300829, -0.00354273, -0.003732, -0.00387026, -0.00399062, -0.00410196, -0.00420791, -0.00431029, -0.00441016, -0.00450818, -0.00460479, -0.00470029, -0.0047949, -0.0048888, -0.00498212, -0.00507495, -0.00516739, -0.00525953, -0.00535144, -0.00544327, -0.00564457, -0.00679761, -0.0553001, -1.94646", \
+					  "2.27451, 0.343008, 0.0896853, 0.034065, 1.19165e-08, -0.00743892, -0.0100987, -0.0108467, -0.0112446, -0.011546, -0.011805, -0.0120405, -0.012261, -0.0124713, -0.0126743, -0.0128716, -0.0130645, -0.013254, -0.0134406, -0.0136249, -0.0138072, -0.0139879, -0.0141672, -0.0143454, -0.0145228, -0.0148786, -0.0154748, -0.0559212, -1.95217", \
+					  "2.28476, 0.376417, 0.107735, 0.0440012, 2.16587e-09, -0.0127087, -0.0195594, -0.0221285, -0.0231759, -0.0238295, -0.0243362, -0.0247695, -0.0251593, -0.0255205, -0.0258615, -0.0261877, -0.0265025, -0.0268082, -0.0271067, -0.0273992, -0.0276867, -0.0279699, -0.0282497, -0.0285265, -0.0288009, -0.0293449, -0.0299356, -0.0626439, -1.96185", \
+					  "2.29548, 0.409941, 0.125415, 0.053709, 1.5932e-09, -0.0180892, -0.0299803, -0.036162, -0.038743, -0.0400739, -0.0409847, -0.0417078, -0.042328, -0.042884, -0.0433964, -0.0438774, -0.0443347, -0.0447737, -0.045198, -0.0456104, -0.0460128, -0.046407, -0.0467942, -0.0471754, -0.0475518, -0.0482933, -0.049035, -0.0747636, -1.9749", \
+					  "2.30666, 0.443411, 0.142582, 0.0631265, 1.5516e-09, -0.0233677, -0.0404389, -0.0513192, -0.0568211, -0.0594367, -0.0610076, -0.0621539, -0.0630853, -0.0638901, -0.0646123, -0.0652768, -0.0658987, -0.0664882, -0.067052, -0.0675952, -0.0681215, -0.0686335, -0.0691337, -0.0696239, -0.0701055, -0.071049, -0.0719764, -0.091668, -1.9908", \
+					  "2.31831, 0.476666, 0.159171, 0.0722226, 1.54032e-09, -0.028481, -0.0506621, -0.066549, -0.0762119, -0.0810821, -0.0837291, -0.0854943, -0.086846, -0.087968, -0.0889466, -0.0898279, -0.0906393, -0.0913982, -0.0921163, -0.092802, -0.0934611, -0.0940984, -0.0947173, -0.0953206, -0.0959108, -0.0970602, -0.098181, -0.112874, -2.00916", \
+					  "2.33041, 0.509543, 0.175153, 0.0809804, 1.53116e-09, -0.0334062, -0.0605574, -0.0814343, -0.0958712, -0.104138, -0.108496, -0.111166, -0.113086, -0.114612, -0.115903, -0.11704, -0.118069, -0.119019, -0.119908, -0.120748, -0.12155, -0.12232, -0.123064, -0.123785, -0.124488, -0.125847, -0.127163, -0.137983, -2.02962", \
+					  "2.34295, 0.54188, 0.190513, 0.0893899, 1.52262e-09, -0.0381333, -0.0700854, -0.0958305, -0.11517, -0.127734, -0.134653, -0.138638, -0.141324, -0.143365, -0.145037, -0.146476, -0.147755, -0.148919, -0.149996, -0.151005, -0.15196, -0.152872, -0.153747, -0.154591, -0.155409, -0.156984, -0.158498, -0.16665, -2.05189", \
+					  "2.35592, 0.573506, 0.205243, 0.0974463, 1.51476e-09, -0.0426578, -0.0792269, -0.10968, -0.133838, -0.151142, -0.161542, -0.167399, -0.171119, -0.173815, -0.175951, -0.177745, -0.17931, -0.180715, -0.182, -0.183192, -0.184313, -0.185374, -0.186387, -0.18736, -0.188299, -0.190094, -0.191807, -0.198515, -2.07571", \
+					  "2.36928, 0.604251, 0.219342, 0.105147, 1.50778e-09, -0.0469782, -0.0879729, -0.122956, -0.151777, -0.173897, -0.188525, -0.196951, -0.20206, -0.205591, -0.208292, -0.210503, -0.212398, -0.214072, -0.215586, -0.216979, -0.218276, -0.219497, -0.220656, -0.221763, -0.222826, -0.224848, -0.226765, -0.233051, -2.10084", \
+					  "2.39697, 0.662426, 0.245652, 0.11949, 1.49761e-09, -0.0550098, -0.10427, -0.147752, -0.18535, -0.216688, -0.240724, -0.256486, -0.26585, -0.271802, -0.276036, -0.27932, -0.282023, -0.284341, -0.286388, -0.288234, -0.289927, -0.291499, -0.292974, -0.294369, -0.295697, -0.298193, -0.300528, -0.307391, -2.15431", \
+					  "2.41504, 0.715302, 0.269486, 0.132444, 1.49541e-09, -0.0622464, -0.118994, -0.170213, -0.215825, -0.255582, -0.288737, -0.313667, -0.329739, -0.339589, -0.346131, -0.350921, -0.354697, -0.35783, -0.360528, -0.362914, -0.365066, -0.367037, -0.368866, -0.370578, -0.372194, -0.375197, -0.377969, -0.385791, -2.21094", \
+					  "2.45595, 0.840144, 0.327034, 0.163536, 4.98018e-10, -0.0795426, -0.154346, -0.224383, -0.289612, -0.349944, -0.405167, -0.454734, -0.497267, -0.530306, -0.552803, -0.567406, -0.577401, -0.584783, -0.59059, -0.595378, -0.599465, -0.603045, -0.606244, -0.609147, -0.611815, -0.616611, -0.620872, -0.631903, -2.38903", \
+					  "2.49735, 0.961011, 0.384137, 0.194045, 2.68972e-09, -0.0964454, -0.189249, -0.278392, -0.363856, -0.445615, -0.523625, -0.597812, -0.668025, -0.733941, -0.794831, -0.849107, -0.894068, -0.927646, -0.951108, -0.967665, -0.979939, -0.989524, -0.997338, -1.00393, -1.00962, -1.01917, -1.02705, -1.04524, -2.68815");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1039606, 0.2121597, 0.3321481, 0.4731003, 0.6703353");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1744746, 0.2828639, 0.4027054, 0.5434460, 0.7407177");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0275871, 0.0490914, 0.0706230, 0.0919709, 0.1210138");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0996582, 0.1213416, 0.1429670, 0.1647125, 0.1938020");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("20.9869");
+						index_3("0.001");
+						index_4("10.4711798, 11.0726242, 13.3119249, 15.1413414, 15.5798103");
+						values("0.414729, 0.663567, 0.829458, 0.663567, 0.414729");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("12.7528");
+						index_3("0.001");
+						index_4("6.3754004, 6.7832083, 8.5244658, 9.5056741, 9.7967010");
+						values("0.422515, 0.676023, 0.845029, 0.676023, 0.422515");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("8.65649");
+						index_3("0.001");
+						index_4("4.3322928, 4.6376993, 5.7992672, 6.6229889, 6.8343549");
+						values("0.425618, 0.680989, 0.851237, 0.680989, 0.425618");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.27312");
+						index_2("10.4934");
+						index_3("0.001");
+						index_4("5.2901455, 5.6180763, 6.7353850, 7.6001417, 7.8239655");
+						values("0.218709, 0.349934, 0.437418, 0.349934, 0.218709");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("6.37642");
+						index_3("0.001");
+						index_4("3.2254724, 3.4506936, 4.3534319, 4.7738382, 4.9229052");
+						values("0.224455, 0.359129, 0.448911, 0.359129, 0.224455");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37939");
+						index_2("4.32824");
+						index_3("0.001");
+						index_4("2.1970765, 2.3672250, 3.0199840, 3.3247972, 3.4345379");
+						values("0.225361, 0.360577, 0.450721, 0.360577, 0.225361");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32955");
+						index_2("19.1293");
+						index_3("0.001");
+						index_4("9.5022611, 10.1160056, 12.4915030, 14.2038938, 14.6492185");
+						values("0.590062, 0.944099, 1.18012, 0.944099, 0.590062");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("2.84955");
+						index_3("0.001");
+						index_4("1.4380760, 1.5631958, 2.1531860, 2.6065543, 2.7916954");
+						values("1.16999, 0.911977, 0.739971, 0.911977, 1.16999");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("1.6654");
+						index_3("0.001");
+						index_4("0.8440436, 0.9281940, 1.3404670, 1.5982726, 1.7301052");
+						values("1.16142, 0.898275, 0.722844, 0.898275, 1.16142");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("1.1361");
+						index_3("0.001");
+						index_4("0.5783598, 0.6421138, 0.8835333, 1.1300784, 1.2496487");
+						values("1.15978, 0.895652, 0.719565, 0.895652, 1.15978");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10726");
+						index_2("1.42478");
+						index_3("0.001");
+						index_4("0.7293547, 0.7960119, 1.0787765, 1.3217579, 1.4330570");
+						values("1.38986, 1.26377, 1.17971, 1.26377, 1.38986");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("0.832699");
+						index_3("0.001");
+						index_4("0.4301439, 0.4753052, 0.6709363, 0.8160215, 0.9007391");
+						values("1.38755, 1.26007, 1.17509, 1.26007, 1.38755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.25898");
+						index_2("0.568048");
+						index_3("0.001");
+						index_4("0.2957065, 0.3299757, 0.4829697, 0.5795484, 0.6627410");
+						values("1.38499, 1.25599, 1.16998, 1.25599, 1.38499");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18844");
+						index_2("2.4981");
+						index_3("0.001");
+						index_4("1.2618608, 1.3861710, 1.9601760, 2.3634569, 2.5515635");
+						values("0.930491, 0.528785, 0.260982, 0.528785, 0.930491");
+					}
+				}
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.518265;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.4709746, 0.4784262, 0.4891377, 0.5070763, 0.5436270, 0.6014861, 0.8635238", \
+					  "-0.5070500, -0.5019151, -0.4888559, -0.4718216, -0.4326391, -0.3757808, -0.1111515", \
+					  "-0.3454389, -0.3443206, -0.3461385, -0.3424343, -0.3307182, -0.3032259, -0.0434266", \
+					  "-0.1616749, -0.1594890, -0.1694245, -0.1592875, -0.1578880, -0.1586050, 0.0184719", \
+					  "0.1281601, 0.1376252, 0.1282466, 0.1375793, 0.1398359, 0.1398585, 0.1356698", \
+					  "0.1806815, 0.1887427, 0.1804351, 0.1904643, 0.1916735, 0.1884371, 0.1923620", \
+					  "0.2237826, 0.2350515, 0.2257350, 0.2358357, 0.2373518, 0.2338525, 0.2387410");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5907662, 0.5947313, 0.6031021, 0.6166587, 0.6355970, 0.6637926, 0.7099852", \
+					  "1.6073442, 1.6116546, 1.6196162, 1.6328216, 1.6513697, 1.6786980, 1.7240927", \
+					  "1.5553413, 1.5603922, 1.5717227, 1.5899883, 1.6166741, 1.6617276, 1.7195207", \
+					  "1.6541184, 1.6428316, 1.6436759, 1.6449052, 1.6442837, 1.6476672, 1.6726718", \
+					  "1.7966565, 1.7895452, 1.7877775, 1.7889608, 1.7921617, 1.7916933, 1.8026735", \
+					  "1.8532736, 1.8457260, 1.8446343, 1.8453342, 1.8581365, 1.8480241, 1.8606562", \
+					  "1.8586261, 1.8558636, 1.8463917, 1.8465917, 1.8598445, 1.8493696, 1.8617453");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.6178647, 0.6309351, 0.6617586, 0.7316540, 0.8351122, 0.8446205, 0.8405930", \
+					  "-0.2565612, -0.2363088, -0.2066968, -0.1367518, -0.0335200, -0.0206961, -0.0275122", \
+					  "-0.0538115, -0.0325179, -0.0054390, 0.0658555, 0.1681093, 0.1789904, 0.1766773", \
+					  "0.3938975, 0.4057211, 0.4062303, 0.4064106, 0.4152651, 0.3866411, 0.3558577", \
+					  "0.5849112, 0.5973873, 0.5968771, 0.5986233, 0.6025923, 0.5961155, 0.5630792", \
+					  "0.7409620, 0.7519683, 0.7485825, 0.7482560, 0.7571819, 0.7445995, 0.7502841", \
+					  "0.8869987, 0.9021626, 0.8948058, 0.8962456, 0.9015050, 0.8908563, 0.8950149");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.9054365, 0.9103299, 0.9210225, 0.9407611, 0.9735643, 1.0199269, 1.0568733", \
+					  "1.9070685, 1.9123264, 1.9231444, 1.9421749, 1.9815096, 2.0213460, 2.0580820", \
+					  "1.9058323, 1.9109382, 1.9212774, 1.9409389, 1.9833957, 2.0195193, 2.0580553", \
+					  "1.8968436, 1.9026291, 1.9132716, 1.9283826, 1.9785332, 2.0156108, 2.0517518", \
+					  "1.8523085, 1.8582850, 1.8714101, 1.8950948, 1.9448278, 1.9986246, 2.0409638", \
+					  "1.9225554, 1.9224856, 1.9251426, 1.9355420, 1.9389820, 1.9576474, 1.9989281", \
+					  "1.9915688, 1.9898343, 2.0021797, 2.0040380, 2.0043260, 2.0078891, 1.9982336");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.5608986, 0.5737073, 0.6039250, 0.6744709, 0.7753316, 0.7867645, 0.7820514", \
+					  "-0.4948568, -0.4833666, -0.4532519, -0.3841467, -0.2775042, -0.2686824, -0.2740053", \
+					  "-0.4388933, -0.4295184, -0.4163075, -0.3500179, -0.2590556, -0.2524994, -0.2577239", \
+					  "-0.1672225, -0.1653720, -0.1756160, -0.1655129, -0.1626196, -0.1648940, -0.2394040", \
+					  "-0.1533508, -0.1516854, -0.1634999, -0.1514087, -0.1467682, -0.1500592, -0.1548485", \
+					  "-0.1519710, -0.1387075, -0.1487752, -0.1457202, -0.1361274, -0.1371411, -0.1486350", \
+					  "-0.1345895, -0.1257354, -0.1356978, -0.1331073, -0.1205961, -0.1228208, -0.1352894");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.8494760, 0.8551666, 0.8667456, 0.8864118, 0.9213918, 0.9713479, 1.0114220", \
+					  "1.8668400, 1.8726392, 1.8846571, 1.9046327, 1.9379583, 1.9877351, 2.0283690", \
+					  "1.8602977, 1.8660750, 1.8770022, 1.8978319, 1.9313949, 1.9803501, 2.0215218", \
+					  "1.8567758, 1.8624386, 1.8734545, 1.8938163, 1.9270781, 1.9769913, 2.0180936", \
+					  "1.8512585, 1.8584973, 1.8684670, 1.8853046, 1.9233460, 1.9749152, 2.0148074", \
+					  "1.8286466, 1.8364526, 1.8472778, 1.8723814, 1.9089895, 1.9670947, 2.0094646", \
+					  "1.7942172, 1.8129210, 1.8141714, 1.8472960, 1.8767312, 1.9414418, 1.9869588");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("0.7277388, 0.7312817, 0.7387439, 0.7500709, 0.7656809, 0.7863175, 0.8214479", \
+					  "0.7238581, 0.7274020, 0.7347568, 0.7461155, 0.7619933, 0.7824899, 0.8181965", \
+					  "0.7200900, 0.7238813, 0.7312614, 0.7420972, 0.7577154, 0.7781677, 0.8141652", \
+					  "0.7199398, 0.7234913, 0.7309290, 0.7424840, 0.7581558, 0.7785604, 0.8154305", \
+					  "0.7193072, 0.7230259, 0.7301485, 0.7423652, 0.7582237, 0.7787188, 0.8152205", \
+					  "0.7199528, 0.7235038, 0.7309405, 0.7424246, 0.7574091, 0.7779352, 0.8157831", \
+					  "0.7195848, 0.7231488, 0.7305956, 0.7422809, 0.7580433, 0.7785329, 0.8149253");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02020886, 0.04083979, 0.08253254, 0.1667888, 0.3370611, 0.681162");
+					values("1.5317099, 1.5348844, 1.5328816, 1.5321826, 1.5328207, 1.5387555, 1.5459022", \
+					  "1.5274920, 1.5302229, 1.5283372, 1.5264311, 1.5281858, 1.5324618, 1.5420560", \
+					  "1.5210548, 1.5213597, 1.5223566, 1.5209493, 1.5227135, 1.5286339, 1.5361728", \
+					  "1.5233775, 1.5217771, 1.5228654, 1.5218806, 1.5231030, 1.5267988, 1.5365534", \
+					  "1.5234757, 1.5216895, 1.5228012, 1.5217105, 1.5233906, 1.5286092, 1.5353348", \
+					  "1.5214304, 1.5224651, 1.5230878, 1.5213923, 1.5234987, 1.5289293, 1.5374130", \
+					  "1.5208298, 1.5202800, 1.5224818, 1.5208511, 1.5225327, 1.5284066, 1.5369430");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.2930626, 2.3110573, 2.3434308, 2.4099336, 2.5415505, 2.8045943, 3.3327849", \
+					  "5.0356714, 5.0521063, 5.0854636, 5.1514886, 5.2826601, 5.5464264, 6.0748406", \
+					  "7.4251094, 7.4446360, 7.4707778, 7.5414555, 7.6753537, 7.9364906, 8.4672953", \
+					  "7.9563351, 7.9781568, 8.0021012, 8.0740135, 8.2088958, 8.4690415, 9.0009324", \
+					  "11.1497680, 11.1671460, 11.2006510, 11.2644720, 11.3978210, 11.6585140, 12.1899440", \
+					  "13.8204830, 13.8316400, 13.8703600, 13.9291510, 14.0623390, 14.3027700, 14.8544330", \
+					  "15.8372270, 15.8513060, 15.8864530, 15.9525900, 16.0820460, 16.3220260, 16.8738640", \
+					  "18.6645630, 18.6769980, 18.7220980, 18.8014630, 18.9404420, 19.1999660, 19.7120240", \
+					  "57.5485370, 57.5485402, 57.5817440, 57.5873820, 57.6897920, 58.0805480, 58.5291670", \
+					  "82.1715100, 82.2746170, 82.2801030, 82.2801095, 82.4090950, 82.7555060, 83.1950190", \
+					  "187.5941000, 187.7265400, 187.7265549, 187.7265702, 187.9584200, 187.9584275, 188.4463900", \
+					  "565.5955400, 565.9700300, 565.9700623, 565.9701233, 565.9701844, 566.0920100, 566.6565800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1386815, 0.1589119, 0.2002555, 0.2840771, 0.4548312, 0.8025699, 1.4997412", \
+					  "0.1396229, 0.1601819, 0.2026080, 0.2860384, 0.4576300, 0.8011217, 1.4994250", \
+					  "0.1398499, 0.1600271, 0.2017482, 0.2863201, 0.4578997, 0.8013858, 1.4981991", \
+					  "0.1392020, 0.1600908, 0.2019525, 0.2863172, 0.4564276, 0.8013903, 1.4980832", \
+					  "0.1392087, 0.1605605, 0.2019157, 0.2863564, 0.4571320, 0.8013849, 1.4976781", \
+					  "0.1399797, 0.1605659, 0.2019693, 0.2863545, 0.4571173, 0.8013820, 1.4976332", \
+					  "0.1393548, 0.1605036, 0.2019083, 0.2872687, 0.4571955, 0.8010202, 1.4988192", \
+					  "0.1402773, 0.1600328, 0.2018042, 0.2865808, 0.4579999, 0.8004943, 1.4988676", \
+					  "0.1396036, 0.1599786, 0.2025141, 0.2872529, 0.4579240, 0.8013616, 1.4996482", \
+					  "0.1397625, 0.1600168, 0.2017498, 0.2872375, 0.4576020, 0.8004959, 1.4995925", \
+					  "0.1396003, 0.1599786, 0.2026033, 0.2863488, 0.4573081, 0.8007378, 1.5002515", \
+					  "0.1397832, 0.1599251, 0.2018096, 0.2872875, 0.4579646, 0.8004512, 1.4997524");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("2.3580646, 2.3708377, 2.3969171, 2.4428497, 2.5258815, 2.6635951, 2.8836804", \
+					  "5.3044822, 5.3181091, 5.3438267, 5.3903433, 5.4729656, 5.6103366, 5.8289258", \
+					  "8.0281177, 8.0281187, 8.0520168, 8.0991622, 8.1794731, 8.3190157, 8.5281480", \
+					  "8.6140934, 8.6280130, 8.6536414, 8.7013303, 8.7799998, 8.9213446, 9.1264960", \
+					  "12.1566750, 12.1566759, 12.1927770, 12.2406950, 12.2966190, 12.4587960, 12.6817510", \
+					  "15.0187450, 15.0230140, 15.0591860, 15.1129260, 15.1980400, 15.3309670, 15.5569800", \
+					  "17.1851210, 17.1994990, 17.2255860, 17.2695830, 17.3398660, 17.4895530, 17.7017530", \
+					  "20.1785420, 20.2112780, 20.2112799, 20.2589070, 20.3188030, 20.4817430, 20.6731400", \
+					  "59.2247820, 59.2247830, 59.2490930, 59.2995060, 59.3954360, 59.5329190, 59.7391930", \
+					  "82.6979940, 82.7111820, 82.7463470, 82.7723450, 82.8609360, 82.9965780, 83.2226310", \
+					  "177.6548700, 177.6548844, 177.7326900, 177.7543200, 177.7959000, 177.9520200, 178.1602900", \
+					  "484.0951500, 484.1300600, 484.1373900, 484.2265800, 484.2266083, 484.4984000, 484.5850900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0202089, 0.0408398, 0.0825325, 0.166789, 0.337061, 0.681162");
+					values("0.1207172, 0.1307149, 0.1514868, 0.1921159, 0.2642620, 0.3835136, 0.5884186", \
+					  "0.1225172, 0.1320003, 0.1525892, 0.1932378, 0.2660008, 0.3854695, 0.5908589", \
+					  "0.1214421, 0.1326179, 0.1524224, 0.1932451, 0.2671570, 0.3861113, 0.5913175", \
+					  "0.1220256, 0.1327283, 0.1536009, 0.1929156, 0.2670359, 0.3860580, 0.5912681", \
+					  "0.1207527, 0.1327153, 0.1535951, 0.1928217, 0.2669988, 0.3867603, 0.5912818", \
+					  "0.1212368, 0.1326201, 0.1523653, 0.1927945, 0.2667100, 0.3867553, 0.5922063", \
+					  "0.1218025, 0.1334584, 0.1538809, 0.1928617, 0.2659427, 0.3859928, 0.5909632", \
+					  "0.1210274, 0.1326385, 0.1523383, 0.1927612, 0.2668819, 0.3860145, 0.5911078", \
+					  "0.1210542, 0.1315997, 0.1522236, 0.1938447, 0.2678565, 0.3860707, 0.5922262", \
+					  "0.1225066, 0.1318587, 0.1528801, 0.1936820, 0.2657919, 0.3860602, 0.5918305", \
+					  "0.1216780, 0.1318278, 0.1524516, 0.1935275, 0.2670152, 0.3859639, 0.5913105", \
+					  "0.1215631, 0.1321812, 0.1526301, 0.1945292, 0.2664702, 0.3866517, 0.5919623");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2531689, 4.2719490, 4.3026582, 4.3673554, 4.4995798, 4.7560675, 5.2790088", \
+					  "6.8029786, 6.8165145, 6.8492110, 6.9143478, 7.0438215, 7.3144077, 7.8231785", \
+					  "8.9190841, 8.9384979, 8.9707557, 9.0360848, 9.1660848, 9.4251345, 9.9453459", \
+					  "9.3950803, 9.4153920, 9.4430224, 9.5132435, 9.6428208, 9.9001056, 10.4223110", \
+					  "12.2628820, 12.2795460, 12.3155300, 12.3763220, 12.5090080, 12.7588620, 13.2822460", \
+					  "14.6371240, 14.6530210, 14.6880150, 14.7528030, 14.8827900, 15.1370310, 15.6553240", \
+					  "16.4366350, 16.4528800, 16.4858900, 16.5410120, 16.6703110, 16.9393650, 17.4607390", \
+					  "18.9810920, 19.0004580, 19.0347610, 19.0805580, 19.2125850, 19.4838870, 20.0093450", \
+					  "53.7803150, 54.1346880, 54.2754820, 54.2834330, 54.3275220, 54.5791040, 55.2067580", \
+					  "76.6029230, 76.6089910, 76.7110390, 76.7847940, 76.9161520, 77.1481790, 77.4408450", \
+					  "171.8614400, 171.8614426, 171.8614579, 172.0884200, 172.2177700, 172.3520000, 172.9971800", \
+					  "509.1110300, 509.3563100, 509.3563386, 509.3563691, 509.8671600, 509.8671723, 510.4539600");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1376396, 0.1572643, 0.1985125, 0.2814957, 0.4500355, 0.7916477, 1.4801095", \
+					  "0.1382594, 0.1587162, 0.2000052, 0.2834929, 0.4546684, 0.7925402, 1.4832018", \
+					  "0.1384689, 0.1581112, 0.1988658, 0.2832482, 0.4546514, 0.7939327, 1.4818323", \
+					  "0.1383698, 0.1583023, 0.2012446, 0.2834565, 0.4545356, 0.7925295, 1.4807174", \
+					  "0.1382974, 0.1582145, 0.1998807, 0.2834932, 0.4544820, 0.7927151, 1.4822592", \
+					  "0.1383916, 0.1583962, 0.1999190, 0.2838588, 0.4545980, 0.7916290, 1.4845232", \
+					  "0.1385740, 0.1591145, 0.1997858, 0.2837872, 0.4546555, 0.7929237, 1.4823468", \
+					  "0.1382094, 0.1583892, 0.1997405, 0.2835155, 0.4545292, 0.7931170, 1.4819055", \
+					  "0.1383472, 0.1586341, 0.2001315, 0.2838756, 0.4545064, 0.7930043, 1.4822734", \
+					  "0.1385932, 0.1588582, 0.1996984, 0.2835950, 0.4545059, 0.7936514, 1.4820137", \
+					  "0.1384571, 0.1587907, 0.1997147, 0.2834606, 0.4544087, 0.7917039, 1.4819780", \
+					  "0.1386835, 0.1588422, 0.1997599, 0.2837557, 0.4544899, 0.7918107, 1.4819497");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.8705062, 4.8815822, 4.9063917, 4.9512616, 5.0279372, 5.1563691, 5.3677693", \
+					  "7.6084170, 7.6214448, 7.6460758, 7.6892777, 7.7661602, 7.8941689, 8.1034708", \
+					  "10.7899560, 10.8028790, 10.8259410, 10.8690460, 10.9465510, 11.0752150, 11.2903880", \
+					  "11.5134580, 11.5265650, 11.5509260, 11.5962160, 11.6689720, 11.7973980, 12.0127230", \
+					  "15.7160260, 15.7292900, 15.7466130, 15.7944430, 15.8545120, 16.0012660, 16.2104980", \
+					  "19.0792570, 19.0877430, 19.1041800, 19.1582570, 19.2385750, 19.3620230, 19.5613530", \
+					  "21.5786610, 21.6040360, 21.6270770, 21.6767260, 21.7476030, 21.8764240, 22.0868140", \
+					  "25.0534690, 25.0785790, 25.1029220, 25.1573320, 25.2270880, 25.3500390, 25.5692760", \
+					  "69.6638910, 69.6875380, 69.6875420, 69.7367210, 69.7916960, 69.9444770, 70.1565100", \
+					  "96.4651010, 96.4651070, 96.4759790, 96.5304420, 96.5785110, 96.7288540, 96.9601220", \
+					  "204.7047300, 204.7525700, 204.7630800, 204.8029300, 204.8735300, 205.0122100, 205.2022600", \
+					  "561.5658000, 561.5781300, 561.7035900, 561.7220600, 561.7901600, 561.8462600, 562.1180900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1162635, 0.1255679, 0.1444844, 0.1814018, 0.2484505, 0.3624281, 0.5663413", \
+					  "0.1179360, 0.1277874, 0.1466143, 0.1828558, 0.2505059, 0.3659450, 0.5696028", \
+					  "0.1172952, 0.1266687, 0.1475922, 0.1838997, 0.2503299, 0.3657057, 0.5686674", \
+					  "0.1171797, 0.1261893, 0.1469185, 0.1835033, 0.2510064, 0.3667798, 0.5694142", \
+					  "0.1172144, 0.1274245, 0.1466232, 0.1833425, 0.2510182, 0.3667360, 0.5697942", \
+					  "0.1168016, 0.1270220, 0.1459823, 0.1832671, 0.2505376, 0.3657802, 0.5684017", \
+					  "0.1171714, 0.1263574, 0.1470956, 0.1843920, 0.2505373, 0.3657134, 0.5682537", \
+					  "0.1173073, 0.1271209, 0.1467398, 0.1832705, 0.2505532, 0.3664121, 0.5697944", \
+					  "0.1172929, 0.1264910, 0.1466276, 0.1824062, 0.2508920, 0.3665328, 0.5684366", \
+					  "0.1181395, 0.1277495, 0.1467955, 0.1828551, 0.2498669, 0.3663395, 0.5693960", \
+					  "0.1172728, 0.1263953, 0.1468267, 0.1835163, 0.2505540, 0.3665819, 0.5691030", \
+					  "0.1174358, 0.1276869, 0.1459466, 0.1836819, 0.2498721, 0.3666889, 0.5681879");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("4.2356514, 4.2520121, 4.2834425, 4.3488249, 4.4767190, 4.7383168, 5.2588480", \
+					  "6.4933765, 6.5097150, 6.5423782, 6.6075461, 6.7366877, 6.9959532, 7.5164008", \
+					  "8.1678104, 8.1842577, 8.2168725, 8.2820995, 8.4114573, 8.6707646, 9.1910071", \
+					  "8.5313028, 8.5478158, 8.5804599, 8.6455483, 8.7750056, 9.0343102, 9.5544284", \
+					  "10.6385430, 10.6552710, 10.6833340, 10.7531710, 10.8826940, 11.1415020, 11.6613370", \
+					  "12.3089940, 12.3271460, 12.3592530, 12.4243860, 12.5536180, 12.8184210, 13.3392190", \
+					  "13.5594740, 13.5796770, 13.6122450, 13.6750560, 13.8046130, 14.0630850, 14.5830320", \
+					  "15.3000780, 15.3198400, 15.3494140, 15.4120750, 15.5423180, 15.8031450, 16.3231460", \
+					  "37.8865050, 37.9208700, 37.9509370, 38.0289540, 38.1326610, 38.3877710, 38.8898260", \
+					  "51.7686020, 51.7686024, 51.8179240, 51.8495150, 52.0134690, 52.2435390, 52.7919650", \
+					  "109.1092000, 109.1322400, 109.1765600, 109.2331600, 109.4751400, 109.6138700, 110.1309300", \
+					  "307.7236700, 307.7488500, 307.8271200, 307.8533800, 307.8534089, 307.8674200, 308.4075800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1374130, 0.1572340, 0.1982147, 0.2814156, 0.4503929, 0.7917279, 1.4797809", \
+					  "0.1382261, 0.1587792, 0.2009984, 0.2839066, 0.4545441, 0.7929482, 1.4812880", \
+					  "0.1384854, 0.1585405, 0.2000858, 0.2838477, 0.4544226, 0.7914107, 1.4813888", \
+					  "0.1385700, 0.1588180, 0.1996452, 0.2836245, 0.4545162, 0.7923974, 1.4800222", \
+					  "0.1383242, 0.1584574, 0.1999770, 0.2833917, 0.4545002, 0.7928677, 1.4827378", \
+					  "0.1383125, 0.1592555, 0.2010976, 0.2838433, 0.4554416, 0.7925599, 1.4816468", \
+					  "0.1383719, 0.1587234, 0.1999030, 0.2835429, 0.4544733, 0.7925181, 1.4814128", \
+					  "0.1382247, 0.1587806, 0.1999618, 0.2835952, 0.4545134, 0.7926167, 1.4833142", \
+					  "0.1382699, 0.1587091, 0.1998329, 0.2834994, 0.4546674, 0.7934992, 1.4812072", \
+					  "0.1386469, 0.1586740, 0.1999031, 0.2836117, 0.4544953, 0.7934914, 1.4822805", \
+					  "0.1383923, 0.1586938, 0.1996988, 0.2837076, 0.4544670, 0.7924799, 1.4830890", \
+					  "0.1382581, 0.1581824, 0.1999832, 0.2835486, 0.4545797, 0.7926368, 1.4819690");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("7.1102715, 7.1228330, 7.1447004, 7.1911548, 7.2688899, 7.3974196, 7.6067632", \
+					  "9.4443866, 9.4574251, 9.4819788, 9.5252943, 9.6013988, 9.7283426, 9.9386703", \
+					  "12.5835800, 12.6002860, 12.6212760, 12.6645010, 12.7395070, 12.8676540, 13.0781610", \
+					  "13.3351970, 13.3494610, 13.3789880, 13.4184330, 13.4956520, 13.6218900, 13.8338750", \
+					  "17.9649340, 17.9776210, 17.9989210, 18.0370950, 18.1211170, 18.2490880, 18.4412910", \
+					  "21.6744860, 21.6744872, 21.7098820, 21.7218440, 21.8292480, 21.9567420, 22.1670200", \
+					  "24.4123830, 24.4407130, 24.4640250, 24.4856860, 24.5857590, 24.7070420, 24.9198210", \
+					  "28.2363200, 28.2551800, 28.2702540, 28.3152170, 28.3872050, 28.5187520, 28.7277790", \
+					  "76.0655110, 76.0913750, 76.0913811, 76.1406230, 76.2084510, 76.3609760, 76.5314210", \
+					  "104.5619300, 104.5619317, 104.5886700, 104.6373400, 104.7075300, 104.8392000, 105.0395800", \
+					  "219.2238400, 219.2460200, 219.2527300, 219.2833600, 219.4069100, 219.5089100, 219.7190300", \
+					  "596.6686000, 596.7045200, 596.7481800, 596.7481995, 596.8429900, 596.9404200, 597.1718300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1158933, 0.1257108, 0.1443264, 0.1813256, 0.2484507, 0.3624084, 0.5663046", \
+					  "0.1183798, 0.1272546, 0.1462182, 0.1823519, 0.2502411, 0.3667171, 0.5687876", \
+					  "0.1173391, 0.1277712, 0.1455357, 0.1834016, 0.2509281, 0.3666661, 0.5681536", \
+					  "0.1175568, 0.1265284, 0.1458407, 0.1834461, 0.2507020, 0.3658934, 0.5684475", \
+					  "0.1175445, 0.1263464, 0.1458254, 0.1824152, 0.2502076, 0.3662423, 0.5687668", \
+					  "0.1189683, 0.1267444, 0.1468097, 0.1826428, 0.2504751, 0.3664901, 0.5693485", \
+					  "0.1175741, 0.1275268, 0.1458252, 0.1826300, 0.2502364, 0.3657257, 0.5692573", \
+					  "0.1173744, 0.1269971, 0.1466332, 0.1834062, 0.2505153, 0.3657433, 0.5683947", \
+					  "0.1181370, 0.1277867, 0.1474522, 0.1832261, 0.2505556, 0.3662719, 0.5691883", \
+					  "0.1171742, 0.1265690, 0.1471225, 0.1833388, 0.2508187, 0.3657494, 0.5689429", \
+					  "0.1171058, 0.1268908, 0.1466947, 0.1844952, 0.2505772, 0.3659632, 0.5691499", \
+					  "0.1174904, 0.1271821, 0.1468685, 0.1839186, 0.2499116, 0.3667333, 0.5686056");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("21.5350160, 21.5525040, 21.5846930, 21.6493670, 21.7795030, 22.0388670, 22.5613930", \
+					  "21.6311320, 21.6493490, 21.6781060, 21.7454040, 21.8727650, 22.1348880, 22.6548100", \
+					  "21.7278300, 21.7442690, 21.7772450, 21.8420410, 21.9719070, 22.2316480, 22.7539520", \
+					  "21.8337900, 21.8529160, 21.8824190, 21.9506870, 22.0770810, 22.3376110, 22.8591240", \
+					  "21.9318130, 21.9472900, 21.9817550, 22.0450610, 22.1764170, 22.4356370, 22.9584620", \
+					  "22.0389910, 22.0553000, 22.0890670, 22.1530860, 22.2836970, 22.5427530, 23.0657680", \
+					  "22.1391690, 22.1578860, 22.1904680, 22.2556340, 22.3851300, 22.6429840, 23.1671750", \
+					  "22.2436660, 22.2624920, 22.2919670, 22.3602720, 22.4866280, 22.7474890, 23.2686720", \
+					  "22.3432260, 22.3590500, 22.3930240, 22.4568260, 22.5876830, 22.8470420, 23.3697290", \
+					  "22.4461050, 22.4642100, 22.4952960, 22.5620070, 22.6899580, 22.9500880, 23.4720050", \
+					  "22.5407040, 22.5599410, 22.5911610, 22.6579020, 22.7858180, 23.0445210, 23.5678610", \
+					  "22.6372560, 22.6584560, 22.6863200, 22.7515820, 22.8809790, 23.1410680, 23.6630220");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1386605, 0.1587379, 0.2001442, 0.2837853, 0.4546486, 0.7983536, 1.4978744", \
+					  "0.1386606, 0.1587384, 0.2001455, 0.2837865, 0.4546473, 0.7983588, 1.4978694", \
+					  "0.1386604, 0.1587391, 0.2001442, 0.2837874, 0.4546490, 0.7983609, 1.4978780", \
+					  "0.1386583, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7984410, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001442, 0.2838156, 0.4546490, 0.7984408, 1.4978782", \
+					  "0.1386578, 0.1587391, 0.2001455, 0.2837839, 0.4546491, 0.7983875, 1.4978693", \
+					  "0.1386606, 0.1587391, 0.2001442, 0.2837873, 0.4546490, 0.7983582, 1.4978778", \
+					  "0.1386583, 0.1587359, 0.2001458, 0.2838149, 0.4546484, 0.7984412, 1.4978796", \
+					  "0.1386605, 0.1587393, 0.2001442, 0.2837856, 0.4546490, 0.7983527, 1.4978790", \
+					  "0.1386597, 0.1587375, 0.2001440, 0.2837877, 0.4546494, 0.7983671, 1.4978836", \
+					  "0.1386606, 0.1587488, 0.2001458, 0.2835736, 0.4546481, 0.7983456, 1.4978747", \
+					  "0.1386603, 0.1587372, 0.2001444, 0.2837883, 0.4546492, 0.7983644, 1.4978819");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("14.9028260, 14.9139830, 14.9381950, 14.9810010, 15.0582850, 15.1841790, 15.3914230", \
+					  "14.9904600, 15.0034690, 15.0276700, 15.0633670, 15.1447940, 15.2731160, 15.4745190", \
+					  "15.0557470, 15.0687440, 15.0929690, 15.1369890, 15.2106220, 15.3382040, 15.5467750", \
+					  "15.1214630, 15.1344860, 15.1586710, 15.2027530, 15.2788720, 15.4043760, 15.6138440", \
+					  "15.1843620, 15.1973210, 15.2214760, 15.2593810, 15.3355070, 15.4655060, 15.6705300", \
+					  "15.2388660, 15.2520730, 15.2761380, 15.3198570, 15.3937200, 15.5205640, 15.7299760", \
+					  "15.2781240, 15.2911250, 15.3153720, 15.3580300, 15.4340030, 15.5611120, 15.7689560", \
+					  "15.3325900, 15.3455970, 15.3698560, 15.4057760, 15.4887680, 15.6130470, 15.8165210", \
+					  "15.3652810, 15.3784400, 15.4025200, 15.4533060, 15.5212640, 15.6471140, 15.8631400", \
+					  "15.4154590, 15.4285670, 15.4527470, 15.4875630, 15.5699380, 15.6969640, 15.8985200", \
+					  "15.4585250, 15.4716990, 15.4959030, 15.5417760, 15.6144960, 15.7403650, 15.9515940", \
+					  "15.4938620, 15.5070200, 15.5311000, 15.5767670, 15.6528830, 15.7756940, 15.9878560");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0201633, 0.040656, 0.081976, 0.165291, 0.333282, 0.672007");
+					values("0.1159085, 0.1255768, 0.1447270, 0.1809250, 0.2480104, 0.3630184, 0.5663965", \
+					  "0.1158124, 0.1256810, 0.1452476, 0.1810095, 0.2489983, 0.3627330, 0.5663726", \
+					  "0.1158854, 0.1257243, 0.1452266, 0.1805826, 0.2474456, 0.3624151, 0.5669380", \
+					  "0.1163781, 0.1255762, 0.1444503, 0.1809337, 0.2477774, 0.3627393, 0.5663887", \
+					  "0.1175072, 0.1260286, 0.1442827, 0.1809976, 0.2477801, 0.3633141, 0.5663658", \
+					  "0.1160718, 0.1253969, 0.1440108, 0.1816857, 0.2486090, 0.3631893, 0.5668572", \
+					  "0.1164697, 0.1255783, 0.1449660, 0.1809734, 0.2478307, 0.3626987, 0.5667155", \
+					  "0.1164801, 0.1263675, 0.1450277, 0.1809824, 0.2477925, 0.3630187, 0.5668076", \
+					  "0.1159052, 0.1254795, 0.1441581, 0.1805014, 0.2474492, 0.3630453, 0.5669510", \
+					  "0.1156340, 0.1251579, 0.1450615, 0.1815192, 0.2485884, 0.3631700, 0.5667557", \
+					  "0.1158939, 0.1255759, 0.1447629, 0.1805275, 0.2476408, 0.3630359, 0.5669473", \
+					  "0.1159033, 0.1254804, 0.1441599, 0.1809335, 0.2477776, 0.3630444, 0.5663892");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.269730;
+			max_transition : 3.753621;
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8621691, 0.8633481, 0.8658625, 0.8686618, 0.8721933, 0.8735460, 0.8764354", \
+					  "-0.1145392, -0.1112146, -0.1098834, -0.1073944, -0.1038753, -0.1036655, -0.1085014", \
+					  "-0.0453887, -0.0433508, -0.0410149, -0.0375365, -0.0319422, -0.0360801, -0.0458073", \
+					  "0.0350019, 0.0331303, 0.0278132, 0.0210977, 0.0207153, 0.0180354, 0.0055296", \
+					  "0.1350445, 0.1376471, 0.1389392, 0.1371992, 0.1141766, 0.0774131, 0.0420544", \
+					  "0.1798185, 0.1894635, 0.1909543, 0.1920059, 0.1955422, 0.1669435, 0.1121089", \
+					  "0.2251633, 0.2351252, 0.2378119, 0.2403611, 0.2413163, 0.2435412, 0.1544157");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02247602, 0.05051717, 0.1135425, 0.2551984, 0.5735845, 1.28919");
+					values("0.8600709, 0.8630368, 0.8699072, 0.8824406, 0.8975953, 0.9081171, 0.9126347", \
+					  "1.8746617, 1.8883647, 1.8851552, 1.8957362, 1.9162456, 1.9213916, 1.9313761", \
+					  "1.8692209, 1.8850978, 1.8803824, 1.8907243, 1.9171292, 1.9165240, 1.9325908", \
+					  "1.8634202, 1.8828600, 1.8755099, 1.8868724, 1.9139835, 1.9140412, 1.9311347", \
+					  "1.8119402, 1.8267410, 1.8283042, 1.8432499, 1.8819018, 1.9037332, 1.9301563", \
+					  "1.8443699, 1.8573691, 1.8559674, 1.8486801, 1.8639923, 1.8569059, 1.9141340", \
+					  "1.8468159, 1.8588985, 1.8573979, 1.8506386, 1.8646189, 1.8542307, 1.8761765");
+				}
+			}*/
+
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.9775958, 0.9824053, 0.9892748, 1.0033059, 1.0222016, 1.0367533, 1.0439450", \
+					  "1.9944543, 2.0000093, 2.0059132, 2.0207562, 2.0420625, 2.0541849, 2.0616317", \
+					  "1.9867317, 2.0030635, 2.0032929, 2.0133428, 2.0453669, 2.0472311, 2.0549972", \
+					  "1.9842914, 2.0032602, 2.0085854, 2.0129308, 2.0407907, 2.0442153, 2.0546106", \
+					  "1.9803120, 1.9983826, 2.0033400, 2.0090813, 2.0395862, 2.0432905, 2.0596779", \
+					  "1.9746151, 1.9926758, 1.9986291, 2.0127279, 2.0369715, 2.0394842, 2.0580872", \
+					  "1.9390975, 1.9571196, 1.9685087, 1.9865687, 2.0170246, 2.0435973, 2.0557911");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.7816796, 0.7831884, 0.7846140, 0.7868220, 0.7886683, 0.7898475, 0.7937747", \
+					  "-0.2725442, -0.2711102, -0.2696947, -0.2668318, -0.2645205, -0.2651968, -0.2710517", \
+					  "-0.2557752, -0.2547015, -0.2531830, -0.2508655, -0.2490924, -0.2451033, -0.2513796", \
+					  "-0.1650174, -0.1660685, -0.1639803, -0.1633643, -0.1892793, -0.2304942, -0.2413083", \
+					  "-0.1518482, -0.1524116, -0.1503292, -0.1487443, -0.1450485, -0.1504047, -0.2260569", \
+					  "-0.1394160, -0.1473626, -0.1432080, -0.1417528, -0.1345531, -0.1289820, -0.1918134", \
+					  "-0.1238265, -0.1331743, -0.1326800, -0.1304831, -0.1190560, -0.1121293, -0.1251196");
+				}
+			}*/
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("0.8398649, 0.8414281, 0.8428542, 0.8450968, 0.8475089, 0.8479262, 0.8406724", \
+					  "-0.0259023, -0.0246497, -0.0226298, -0.0187588, -0.0176221, -0.0177190, -0.0370652", \
+					  "0.1745119, 0.1755734, 0.1775564, 0.1802013, 0.1788936, 0.1798898, 0.1768402", \
+					  "0.4121564, 0.4102253, 0.4045979, 0.3909827, 0.3626632, 0.3560604, 0.3621693", \
+					  "0.5973888, 0.5979758, 0.5962665, 0.5998600, 0.5892618, 0.5419915, 0.5155428", \
+					  "0.7523925, 0.7535196, 0.7513079, 0.7545370, 0.7504548, 0.7597354, 0.6833437", \
+					  "0.9007112, 0.9006900, 0.8928470, 0.9032447, 0.8972046, 0.9028748, 0.8544818");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0260633, 1.0293521, 1.0362834, 1.0487062, 1.0667343, 1.0792436, 1.0854227", \
+					  "2.0276083, 2.0317977, 2.0384935, 2.0515954, 2.0672498, 2.0807457, 2.0880739", \
+					  "2.0263320, 2.0306461, 2.0381967, 2.0502842, 2.0658000, 2.0800113, 2.0862602", \
+					  "2.0194831, 2.0237143, 2.0301560, 2.0442498, 2.0619309, 2.0744480, 2.0810773", \
+					  "1.9957850, 1.9969712, 2.0107104, 2.0303566, 2.0660576, 2.0698413, 2.0898181", \
+					  "1.9571816, 1.9727724, 1.9675147, 1.9881670, 2.0255076, 2.0501986, 2.0854627", \
+					  "1.9926814, 2.0016308, 1.9937663, 1.9942537, 2.0075306, 2.0147035, 2.0659511");
+				}
+			}*/
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.1633199, 1.1753314, 1.2042299, 1.2508779, 1.5327675, 1.5342545, 1.5325688", \
+					  "1.1604377, 1.1709821, 1.1996931, 1.2456099, 1.5277282, 1.5305480, 1.5375488", \
+					  "1.1544929, 1.1649846, 1.1936620, 1.2408844, 1.5213471, 1.5253719, 1.5205182", \
+					  "1.1558718, 1.1663882, 1.1940649, 1.2414241, 1.5226887, 1.5243313, 1.5225425", \
+					  "1.1559876, 1.1665263, 1.1942496, 1.2405337, 1.5222754, 1.5250656, 1.5321827", \
+					  "1.1525785, 1.1675075, 1.1953897, 1.2414672, 1.5232929, 1.5267319, 1.5234386", \
+					  "1.1542470, 1.1663812, 1.1943778, 1.2414297, 1.5236935, 1.5240195, 1.5226932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02241912, 0.0502617, 0.1126823, 0.2526238, 0.5663603, 1.26973");
+					values("1.0384333, 1.0398685, 1.0463638, 1.0579181, 1.0752513, 1.0949284, 1.1095916", \
+					  "1.0339646, 1.0370218, 1.0420632, 1.0535591, 1.0711960, 1.0913954, 1.1038314", \
+					  "1.0301979, 1.0323923, 1.0378180, 1.0493748, 1.0672794, 1.0869292, 1.1016310", \
+					  "1.0308302, 1.0320766, 1.0387633, 1.0492418, 1.0667437, 1.0873520, 1.0986396", \
+					  "1.0293494, 1.0325841, 1.0370686, 1.0479616, 1.0675350, 1.0863053, 1.1016267", \
+					  "1.0298705, 1.0320902, 1.0388141, 1.0461664, 1.0661461, 1.0872648, 1.0994856", \
+					  "1.0302960, 1.0319112, 1.0384407, 1.0465599, 1.0670273, 1.0869125, 1.1008002");
+				}
+			}*/
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.0763576, 5.1130993, 5.1896660, 5.3447771, 5.6550307, 6.3007026, 7.7127114", \
+					  "7.8166025, 7.8531510, 7.9296951, 8.0849185, 8.3950855, 9.0423731, 10.4523150", \
+					  "10.2078320, 10.2443320, 10.3195690, 10.4712720, 10.7888390, 11.4358970, 12.8444180", \
+					  "10.7421670, 10.7787410, 10.8511160, 11.0070500, 11.3241110, 11.9714160, 13.3769920", \
+					  "13.9306250, 13.9534610, 14.0453490, 14.2003250, 14.5116400, 15.1589390, 16.5664390", \
+					  "16.5969500, 16.6212860, 16.7134400, 16.8643500, 17.1752440, 17.8219480, 19.2365500", \
+					  "18.6199750, 18.6574450, 18.7316790, 18.8774470, 19.1952300, 19.8440540, 21.2548910", \
+					  "21.4715610, 21.5082030, 21.5647430, 21.7116550, 22.0366550, 22.6899580, 24.1083540", \
+					  "60.2987950, 60.3164170, 60.3164197, 60.5726740, 60.8711870, 61.5202110, 62.9010870", \
+					  "84.9363740, 84.9721860, 85.0019150, 85.0019188, 85.5115450, 86.1626010, 87.5577730", \
+					  "190.1562600, 190.3607900, 190.4441800, 190.6370000, 190.9918000, 191.4202700, 192.9376500", \
+					  "568.3576800, 568.3576966, 568.4674400, 568.6061400, 569.0966400, 569.7299300, 571.2885200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.4212255, 0.4564317, 0.5280314, 0.6827377, 1.0393275, 1.8520833, 3.7561594", \
+					  "0.4232254, 0.4562903, 0.5279098, 0.6825938, 1.0334066, 1.8596173, 3.7131389", \
+					  "0.4229929, 0.4561951, 0.5280896, 0.6831706, 1.0327872, 1.8452493, 3.7748884", \
+					  "0.4249673, 0.4572304, 0.5306064, 0.6839773, 1.0325909, 1.8494084, 3.7119661", \
+					  "0.4232570, 0.4564206, 0.5279984, 0.6825467, 1.0344569, 1.8597093, 3.7151782", \
+					  "0.4231310, 0.4564182, 0.5280348, 0.6827450, 1.0339195, 1.8583275, 3.7287915", \
+					  "0.4231377, 0.4563513, 0.5280341, 0.6843007, 1.0364587, 1.8590649, 3.7182435", \
+					  "0.4218282, 0.4564184, 0.5283467, 0.6854980, 1.0368122, 1.8590358, 3.7286076", \
+					  "0.4232563, 0.4564214, 0.5280474, 0.6828913, 1.0351573, 1.8596846, 3.7125503", \
+					  "0.4230819, 0.4564153, 0.5280257, 0.6828055, 1.0336970, 1.8584754, 3.7291588", \
+					  "0.4231742, 0.4563997, 0.5280889, 0.6830423, 1.0341386, 1.8532551, 3.7282919", \
+					  "0.4230540, 0.4564110, 0.5280378, 0.6829202, 1.0345573, 1.8577214, 3.7282346");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("5.2367750, 5.2616143, 5.3136433, 5.4179523, 5.6162768, 5.9745768, 6.6194348", \
+					  "8.1817175, 8.2073299, 8.2602369, 8.3632141, 8.5652134, 8.9233190, 9.5685904", \
+					  "10.8913380, 10.9118090, 10.9675380, 11.0706270, 11.2739460, 11.6289800, 12.2762140", \
+					  "11.4917820, 11.4951330, 11.5713300, 11.6748430, 11.8759460, 12.2324980, 12.8783060", \
+					  "15.0311850, 15.0611290, 15.1096950, 15.2144960, 15.4147720, 15.7551200, 16.4198430", \
+					  "17.8982000, 17.9366390, 17.9761910, 18.0880680, 18.2870310, 18.6294460, 19.2713600", \
+					  "20.0373660, 20.0525000, 20.1392380, 20.2209180, 20.4445240, 20.8055530, 21.4441940", \
+					  "23.0742870, 23.0742884, 23.1206790, 23.2570050, 23.4329690, 23.8164600, 24.4414800", \
+					  "62.0915300, 62.1089380, 62.1300130, 62.2370070, 62.4912100, 62.8282710, 63.4394150", \
+					  "85.5748470, 85.5944720, 85.6642330, 85.7387120, 85.9661280, 86.3098460, 86.9561920", \
+					  "180.5238000, 180.5560700, 180.6049400, 180.6897700, 180.9404200, 181.3096900, 181.9155800", \
+					  "486.8611500, 487.0836400, 487.0836640, 487.1653800, 487.3596700, 487.6607700, 488.3019100");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224477, 0.0503898, 0.113113, 0.253913, 0.569975, 1.27946");
+					values("0.3234174, 0.3419862, 0.3834112, 0.4728988, 0.6517988, 0.9742871, 1.6631450", \
+					  "0.3241913, 0.3443534, 0.3860392, 0.4741796, 0.6511540, 0.9771847, 1.6651824", \
+					  "0.3234163, 0.3429322, 0.3865708, 0.4750623, 0.6508727, 0.9735117, 1.6653756", \
+					  "0.3235462, 0.3421738, 0.3838525, 0.4731801, 0.6514313, 0.9775781, 1.6567582", \
+					  "0.3231995, 0.3407662, 0.3843201, 0.4747426, 0.6524494, 0.9744922, 1.6576601", \
+					  "0.3230327, 0.3417478, 0.3857009, 0.4750570, 0.6511837, 0.9739960, 1.6633375", \
+					  "0.3232425, 0.3422611, 0.3830326, 0.4752044, 0.6506353, 0.9744252, 1.6632119", \
+					  "0.3230271, 0.3428512, 0.3833827, 0.4743040, 0.6524367, 0.9742418, 1.6639766", \
+					  "0.3232657, 0.3438666, 0.3852832, 0.4750085, 0.6527191, 0.9769764, 1.6655929", \
+					  "0.3235833, 0.3406809, 0.3863638, 0.4769810, 0.6513056, 0.9753407, 1.6638179", \
+					  "0.3225292, 0.3415117, 0.3855025, 0.4751700, 0.6515005, 0.9734351, 1.6624851", \
+					  "0.3233550, 0.3407443, 0.3837642, 0.4751684, 0.6523417, 0.9778389, 1.6642533");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9188471, 4.9549804, 5.0312767, 5.1854383, 5.4965064, 6.1444734, 7.5617610", \
+					  "7.1788748, 7.2153390, 7.2913235, 7.4456734, 7.7565749, 8.4039158, 9.8190291", \
+					  "8.8537480, 8.8900087, 8.9658121, 9.1196819, 9.4304564, 10.0770690, 11.4966210", \
+					  "9.2173051, 9.2534895, 9.3292307, 9.4838537, 9.7943387, 10.4413330, 11.8553080", \
+					  "11.3250780, 11.3611170, 11.4370330, 11.5915270, 11.9006540, 12.5492950, 13.9713760", \
+					  "12.9974640, 13.0347530, 13.1079050, 13.2683750, 13.5763050, 14.2242080, 15.6442560", \
+					  "14.2513840, 14.2841160, 14.3587600, 14.5182510, 14.8230190, 15.4775070, 16.8876930", \
+					  "15.9860040, 16.0248210, 16.1009460, 16.2534160, 16.5630410, 17.2111550, 18.6268300", \
+					  "38.5769030, 38.6143530, 38.6947470, 38.8488350, 39.1312800, 39.7556050, 41.1786270", \
+					  "52.4547660, 52.4594950, 52.5636070, 52.7195000, 53.0320290, 53.6802890, 55.0697800", \
+					  "109.8232800, 109.8383300, 109.9221300, 110.0791900, 110.3735800, 111.0470700, 112.4556800", \
+					  "308.0465100, 308.4513200, 308.4513398, 308.4513703, 308.6426800, 309.3431600, 310.7063000");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4176675, 0.4500745, 0.5213447, 0.6784371, 1.0391777, 1.8624734, 3.7353343", \
+					  "0.4167368, 0.4488195, 0.5214111, 0.6781328, 1.0364011, 1.8654382, 3.7850905", \
+					  "0.4133870, 0.4457932, 0.5202133, 0.6804082, 1.0379603, 1.8531261, 3.7249404", \
+					  "0.4150573, 0.4479499, 0.5224920, 0.6806012, 1.0328302, 1.8564483, 3.7270307", \
+					  "0.4167454, 0.4482493, 0.5216980, 0.6796349, 1.0375995, 1.8635604, 3.7664223", \
+					  "0.4166891, 0.4489861, 0.5214033, 0.6804408, 1.0378653, 1.8498351, 3.7713384", \
+					  "0.4162299, 0.4471662, 0.5202256, 0.6795590, 1.0375955, 1.8625005, 3.7627372", \
+					  "0.4167897, 0.4472880, 0.5223354, 0.6801998, 1.0373636, 1.8450304, 3.7700594", \
+					  "0.4164858, 0.4493818, 0.5210405, 0.6803730, 1.0365884, 1.8607614, 3.7268726", \
+					  "0.4145144, 0.4500650, 0.5215092, 0.6801497, 1.0349263, 1.8598396, 3.7501562", \
+					  "0.4151456, 0.4483284, 0.5207619, 0.6808783, 1.0363581, 1.8511788, 3.7338928", \
+					  "0.4167653, 0.4481938, 0.5217778, 0.6785240, 1.0365443, 1.8594359, 3.7454568");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("7.7040747, 7.7292359, 7.7837301, 7.8916589, 8.1050553, 8.4759302, 9.1405639", \
+					  "10.0395620, 10.0620530, 10.1212220, 10.2280440, 10.4410440, 10.8136100, 11.4747630", \
+					  "13.1789080, 13.2050510, 13.2598070, 13.3673620, 13.5920430, 13.9526040, 14.6053130", \
+					  "13.9314490, 13.9603480, 14.0162420, 14.1260350, 14.3352320, 14.7081720, 15.3673750", \
+					  "18.5590420, 18.5769640, 18.6389450, 18.7460820, 18.9588010, 19.3310360, 19.9932570", \
+					  "22.2662330, 22.2873760, 22.3486830, 22.4547900, 22.6648120, 23.0393840, 23.7020430", \
+					  "25.0253900, 25.0269210, 25.1005300, 25.2093440, 25.4186400, 25.7859010, 26.4555790", \
+					  "28.8336410, 28.8582370, 28.9071720, 29.0153930, 29.2263390, 29.5933680, 30.2594470", \
+					  "76.6634830, 76.6995140, 76.7515760, 76.8453180, 77.0456840, 77.4480640, 78.0796800", \
+					  "105.1464600, 105.1705600, 105.2327000, 105.3388300, 105.5515900, 105.9286300, 106.5888100", \
+					  "219.8125800, 219.8215600, 219.8952000, 220.0133600, 220.2415900, 220.5948800, 221.2662100", \
+					  "597.2787600, 597.3038200, 597.4268300, 597.4268494, 597.7467500, 598.0644500, 598.7299700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3237757, 0.3445484, 0.3890809, 0.4870551, 0.6734222, 1.0063631, 1.6915965", \
+					  "0.3245671, 0.3428980, 0.3897500, 0.4861551, 0.6742714, 1.0068871, 1.6913755", \
+					  "0.3247838, 0.3426799, 0.3899411, 0.4858479, 0.6728305, 1.0064132, 1.6914918", \
+					  "0.3246847, 0.3433630, 0.3900386, 0.4871178, 0.6744959, 1.0070221, 1.6914634", \
+					  "0.3245179, 0.3438653, 0.3898971, 0.4863983, 0.6746235, 1.0064382, 1.6912858", \
+					  "0.3245852, 0.3436288, 0.3872779, 0.4858026, 0.6727694, 1.0064193, 1.6927509", \
+					  "0.3245873, 0.3433801, 0.3897806, 0.4854627, 0.6744260, 1.0051214, 1.6881957", \
+					  "0.3238911, 0.3428703, 0.3896718, 0.4864669, 0.6733699, 1.0060003, 1.6912503", \
+					  "0.3247886, 0.3439568, 0.3899587, 0.4870989, 0.6727933, 1.0063234, 1.6879610", \
+					  "0.3246196, 0.3437770, 0.3897766, 0.4859244, 0.6745408, 1.0065652, 1.6913595", \
+					  "0.3251108, 0.3437435, 0.3903413, 0.4862947, 0.6748602, 1.0069152, 1.6935356", \
+					  "0.3253773, 0.3433078, 0.3905752, 0.4866865, 0.6755877, 1.0079090, 1.6920955");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("4.9399884, 4.9763216, 5.0526006, 5.2048130, 5.5181890, 6.1652066, 7.5823312", \
+					  "7.4858688, 7.5219244, 7.5979578, 7.7524600, 8.0620701, 8.7115053, 10.1257360", \
+					  "9.6081560, 9.6442294, 9.7169757, 9.8746593, 10.1849680, 10.8337730, 12.2398520", \
+					  "10.0848280, 10.1209040, 10.1915930, 10.3518700, 10.6633280, 11.3085350, 12.7234300", \
+					  "12.9492610, 12.9869050, 13.0606560, 13.2197510, 13.5308990, 14.1735610, 15.5859750", \
+					  "15.3215980, 15.3589500, 15.4359090, 15.5917390, 15.9000230, 16.5456360, 17.9693720", \
+					  "17.1216680, 17.1593440, 17.2352140, 17.3940840, 17.7047760, 18.3462350, 19.7650750", \
+					  "19.6699460, 19.7083470, 19.7838440, 19.9419810, 20.2500810, 20.8956550, 22.3141310", \
+					  "54.5022020, 54.8216260, 55.0166810, 55.0560980, 55.4927130, 55.7266300, 57.5381340", \
+					  "77.3369560, 77.3369561, 77.3567520, 77.6505900, 77.9415930, 78.5090890, 79.6493540", \
+					  "172.6628100, 172.6628190, 172.6628342, 172.7176900, 173.0030900, 173.8866000, 175.2690800", \
+					  "509.8124200, 509.8398800, 509.9231000, 510.5415000, 510.5477500, 511.0444500, 512.4030100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4178342, 0.4501365, 0.5211704, 0.6812558, 1.0381715, 1.8632880, 3.7554857", \
+					  "0.4141850, 0.4499696, 0.5211576, 0.6797060, 1.0329311, 1.8616284, 3.7246688", \
+					  "0.4157033, 0.4500337, 0.5208746, 0.6801459, 1.0325580, 1.8456502, 3.7463899", \
+					  "0.4152904, 0.4469074, 0.5222290, 0.6796518, 1.0375337, 1.8574137, 3.7259777", \
+					  "0.4148546, 0.4493207, 0.5220610, 0.6773406, 1.0373533, 1.8514729, 3.7182921", \
+					  "0.4164727, 0.4469331, 0.5220521, 0.6808007, 1.0374851, 1.8609798, 3.7586254", \
+					  "0.4145389, 0.4492618, 0.5219274, 0.6798082, 1.0319896, 1.8633155, 3.7330712", \
+					  "0.4167737, 0.4476843, 0.5223396, 0.6796320, 1.0355371, 1.8596975, 3.7322176", \
+					  "0.4171282, 0.4473725, 0.5224990, 0.6804168, 1.0356999, 1.8595805, 3.7341024", \
+					  "0.4163526, 0.4486855, 0.5220099, 0.6786950, 1.0374478, 1.8533236, 3.7550136", \
+					  "0.4155688, 0.4471999, 0.5224836, 0.6796118, 1.0373368, 1.8548020, 3.7323020", \
+					  "0.4161519, 0.4484460, 0.5224390, 0.6808456, 1.0372750, 1.8526092, 3.7362473");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("5.4644445, 5.4902265, 5.5438778, 5.6539031, 5.8642455, 6.2364198, 6.8983188", \
+					  "8.2033473, 8.2290024, 8.2828464, 8.3914840, 8.6025427, 8.9748768, 9.6369073", \
+					  "11.3839280, 11.4107670, 11.4640160, 11.5832640, 11.7839420, 12.1564230, 12.8182600", \
+					  "12.1073230, 12.1356590, 12.1874870, 12.2997910, 12.5071380, 12.8850730, 13.5405980", \
+					  "16.3113450, 16.3378950, 16.3906580, 16.4985200, 16.7075310, 17.0803530, 17.7437050", \
+					  "19.6554450, 19.7026150, 19.7516690, 19.8472580, 20.0585380, 20.4441420, 21.1072370", \
+					  "22.1809630, 22.2127790, 22.2567230, 22.3765610, 22.5848670, 22.9599200, 23.6055350", \
+					  "25.6707010, 25.6904120, 25.7388240, 25.8741830, 26.0595780, 26.4369180, 27.0825090", \
+					  "70.2649030, 70.2976950, 70.3211280, 70.4485000, 70.6724420, 71.0129560, 71.7014640", \
+					  "97.0437740, 97.0800120, 97.0800133, 97.2176220, 97.4380680, 97.8200280, 98.4965710", \
+					  "205.3192500, 205.3472500, 205.3721300, 205.4906600, 205.7225400, 206.0842900, 206.7427000", \
+					  "562.2481500, 562.3323900, 562.3324280, 562.4281700, 562.6402000, 562.9667000, 563.6364900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.3232919, 0.3429214, 0.3877421, 0.4867428, 0.6729060, 1.0060572, 1.6878283", \
+					  "0.3226394, 0.3436849, 0.3894012, 0.4867604, 0.6715449, 1.0042813, 1.6904720", \
+					  "0.3228427, 0.3438175, 0.3897621, 0.4849431, 0.6732195, 1.0059178, 1.6928802", \
+					  "0.3245905, 0.3439901, 0.3897882, 0.4854345, 0.6743621, 1.0063270, 1.6913201", \
+					  "0.3239623, 0.3425449, 0.3876817, 0.4851123, 0.6745773, 1.0064838, 1.6907570", \
+					  "0.3243832, 0.3440225, 0.3897926, 0.4855360, 0.6742106, 1.0049280, 1.6911792", \
+					  "0.3243142, 0.3424449, 0.3895762, 0.4863619, 0.6745630, 1.0066484, 1.6912138", \
+					  "0.3239039, 0.3440947, 0.3898049, 0.4865140, 0.6743930, 1.0066562, 1.6910403", \
+					  "0.3228856, 0.3428709, 0.3898460, 0.4864677, 0.6729202, 1.0055301, 1.6904329", \
+					  "0.3239400, 0.3443651, 0.3899835, 0.4867270, 0.6746098, 1.0068156, 1.6913302", \
+					  "0.3228415, 0.3442987, 0.3894013, 0.4871550, 0.6749701, 1.0068537, 1.6916564", \
+					  "0.3253298, 0.3451120, 0.3904914, 0.4873430, 0.6738542, 1.0078026, 1.6922076");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("19.7117020, 19.7479900, 19.8242740, 19.9792380, 20.2891590, 20.9349960, 22.3499870", \
+					  "19.8100170, 19.8467200, 19.9229770, 20.0747380, 20.3845140, 21.0328790, 22.4491340", \
+					  "19.9050070, 19.9405670, 20.0168130, 20.1717540, 20.4818850, 21.1276120, 22.5426680", \
+					  "20.0111540, 20.0491160, 20.1253130, 20.2802040, 20.5901380, 21.2360260, 22.6505890", \
+					  "20.1083150, 20.1440230, 20.2202570, 20.3751770, 20.6851120, 21.3310450, 22.7461040", \
+					  "20.2157260, 20.2512320, 20.3274360, 20.4824730, 20.7924020, 21.4383110, 22.8529210", \
+					  "20.3186800, 20.3540740, 20.4302780, 20.5851690, 20.8951350, 21.5409880, 22.9555520", \
+					  "20.4217850, 20.4591210, 20.5353490, 20.6902690, 21.0002080, 21.6460700, 23.0611870", \
+					  "20.5221000, 20.5554650, 20.6317420, 20.7867090, 21.0966360, 21.7425530, 23.1576960", \
+					  "20.6256750, 20.6629260, 20.7391860, 20.8914890, 21.2039610, 21.8496010, 23.2626980", \
+					  "20.7205100, 20.7566460, 20.8328470, 20.9872390, 21.2943440, 21.9431070, 23.3582170", \
+					  "20.8174600, 20.8548750, 20.9329600, 21.0813390, 21.3913310, 22.0420220, 23.4571780");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4200498, 0.4529484, 0.5251278, 0.6790626, 1.0291470, 1.8421012, 3.7293959", \
+					  "0.4172782, 0.4529352, 0.5251082, 0.6788649, 1.0291471, 1.8422085, 3.7295620", \
+					  "0.4200567, 0.4530295, 0.5250550, 0.6789032, 1.0291835, 1.8421187, 3.7323957", \
+					  "0.4200578, 0.4532228, 0.5248732, 0.6785916, 1.0296308, 1.8423063, 3.7199408", \
+					  "0.4200643, 0.4531083, 0.5249829, 0.6787820, 1.0291997, 1.8423019, 3.7308836", \
+					  "0.4201170, 0.4529675, 0.5250096, 0.6790792, 1.0290975, 1.8421029, 3.7264343", \
+					  "0.4200601, 0.4532210, 0.5248729, 0.6785919, 1.0296335, 1.8423069, 3.7199068", \
+					  "0.4200642, 0.4531712, 0.5249249, 0.6786732, 1.0293307, 1.8423101, 3.7313450", \
+					  "0.4201116, 0.4529477, 0.5251275, 0.6790622, 1.0291471, 1.8421009, 3.7294825", \
+					  "0.4201669, 0.4531636, 0.5246720, 0.6773505, 1.0298278, 1.8420981, 3.7291099", \
+					  "0.4201238, 0.4492913, 0.5218485, 0.6780007, 1.0290975, 1.8418246, 3.7312722", \
+					  "0.4201419, 0.4531530, 0.5250170, 0.6788443, 1.0291896, 1.8420975, 3.7322434");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("18.6871980, 18.7212290, 18.7894940, 18.9244850, 19.1919960, 19.6751010, 20.4743640", \
+					  "18.7751660, 18.8044980, 18.8762430, 19.0071000, 19.2791400, 19.7573390, 20.5617460", \
+					  "18.8424510, 18.8736690, 18.9452100, 19.0779910, 19.3469080, 19.8290100, 20.6307540", \
+					  "18.9062950, 18.9410780, 19.0091040, 19.1461820, 19.4106580, 19.8960630, 20.6947150", \
+					  "18.9680680, 18.9997650, 19.0701960, 19.2030960, 19.4725500, 19.9533170, 20.7544430", \
+					  "19.0262810, 19.0591130, 19.1291750, 19.2628310, 19.5307770, 20.0131360, 20.8147140", \
+					  "19.0634440, 19.0978580, 19.1651530, 19.3016220, 19.5676350, 20.0515070, 20.8500110", \
+					  "19.1166580, 19.1482440, 19.2190990, 19.3492480, 19.6205580, 20.0990890, 20.9044850", \
+					  "19.1502070, 19.1841140, 19.2532030, 19.3953210, 19.6546480, 20.1456150, 20.9386840", \
+					  "19.2004330, 19.2288900, 19.3023800, 19.4339600, 19.7048010, 20.1837320, 20.9868210", \
+					  "19.2434580, 19.2741400, 19.3464590, 19.4837760, 19.7478940, 20.2341130, 21.0319250", \
+					  "19.2787870, 19.3121360, 19.3817850, 19.5201950, 19.7832290, 20.2700720, 21.0672650");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 0.15, 0.28, 0.42, 0.55, 0.69, 0.82, 0.96, 1.09, 1.23, 1.36, 1.5");
+					index_2("0.01, 0.0224544, 0.0504198, 0.113214, 0.254216, 0.570825, 1.28175");
+					values("0.4804170, 0.5019549, 0.5508025, 0.6621381, 0.9006913, 1.3049970, 2.0055955", \
+					  "0.4768005, 0.5021137, 0.5490564, 0.6646866, 0.9023517, 1.3035829, 2.0115358", \
+					  "0.4804821, 0.5025371, 0.5511553, 0.6647458, 0.9022900, 1.3030903, 2.0013031", \
+					  "0.4803619, 0.5017618, 0.5497886, 0.6628396, 0.9010752, 1.3050586, 2.0045097", \
+					  "0.4766956, 0.5024637, 0.5515417, 0.6646403, 0.9036484, 1.3038127, 2.0097393", \
+					  "0.4805230, 0.5012646, 0.5510536, 0.6646296, 0.9016126, 1.3031647, 2.0021187", \
+					  "0.4783475, 0.5020228, 0.5505798, 0.6626954, 0.9030012, 1.3050325, 2.0115445", \
+					  "0.4781941, 0.5025282, 0.5507863, 0.6623032, 0.9007059, 1.3049186, 2.0094526", \
+					  "0.4805151, 0.5024395, 0.5506948, 0.6646216, 0.9008241, 1.3032648, 2.0038264", \
+					  "0.4765897, 0.5025304, 0.5516747, 0.6642417, 0.9034085, 1.3050283, 2.0111992", \
+					  "0.4805217, 0.5021517, 0.5506903, 0.6645453, 0.9008329, 1.3019003, 2.0038242", \
+					  "0.4805165, 0.5025293, 0.5506927, 0.6628431, 0.9008312, 1.3050446, 2.0038287");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.195242;
+			max_capacitance : 551.195000;
+			max_transition : 25.0;
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7908557, 3.8055553, 3.8265571, 3.9238135, 4.2527895, 5.1919857, 5.6055924", \
+					  "3.7458461, 3.7477877, 3.7680883, 3.8671523, 4.1975330, 5.1341258, 5.5446139", \
+					  "3.6813604, 3.6851005, 3.7064302, 3.8133967, 4.1438837, 5.0941019, 5.4768894", \
+					  "3.6774125, 3.6813746, 3.6994291, 3.8107750, 4.1367993, 5.0962506, 5.4944403", \
+					  "3.6823236, 3.6824441, 3.7048446, 3.8011075, 4.1349173, 5.0681321, 5.4893486", \
+					  "3.6671658, 3.6699665, 3.6909614, 3.8042406, 4.1288616, 5.0678930, 5.4842505", \
+					  "3.6617251, 3.6650263, 3.6886133, 3.7935172, 4.1264831, 5.0736374, 5.4762181");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0440090, 8.0598479, 8.0371551, 8.0764050, 7.9649507, 7.0091159, -9.3686023", \
+					  "8.0269995, 8.0265103, 8.0067813, 8.0418201, 7.9433173, 6.9497102, -9.6718594", \
+					  "7.9975608, 7.9999010, 8.0012428, 8.0139999, 7.9102461, 6.8649655, -9.7428785", \
+					  "7.9453395, 7.9656999, 7.9475816, 7.9844905, 7.8725323, 6.9031848, -9.5309500", \
+					  "7.9158617, 7.9343616, 7.9166493, 7.9506811, 7.8402876, 6.8494985, -9.6773621", \
+					  "7.9012570, 7.9009179, 7.9079782, 7.9239724, 7.8177960, 6.8422782, -9.5703001", \
+					  "7.8567578, 7.8726496, 7.8559694, 7.8897063, 7.7787698, 6.8342797, -9.5074495");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7486342, 3.7496642, 3.7592729, 3.7961626, 3.8334943, 3.8394349, 3.8467662", \
+					  "3.6934922, 3.6940044, 3.7032144, 3.7387542, 3.7790093, 3.8551819, 3.7791437", \
+					  "3.6384681, 3.6473911, 3.6497577, 3.6978486, 3.7323015, 3.7076159, 3.7309740", \
+					  "3.6361065, 3.6437107, 3.6451770, 3.6752224, 3.7185306, 3.7219450, 3.7283205", \
+					  "3.6295615, 3.6336576, 3.6397045, 3.6821727, 3.7111777, 3.7142746, 3.7265590", \
+					  "3.6248910, 3.6265282, 3.6341766, 3.6677240, 3.7059421, 3.3366737, 3.7151886", \
+					  "3.6181571, 3.6223313, 3.6322639, 3.6649209, 3.6986383, 3.7758773, 3.7290922");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7747092, 6.7804390, 6.8424250, 7.1812052, 7.9359982, 7.6229552, 1.7193375", \
+					  "6.7398807, 6.7470251, 6.8080987, 7.1487264, 7.9044725, 7.6111768, 1.6798341", \
+					  "6.7071378, 6.7179893, 6.7762414, 7.1207214, 7.8701471, 7.5787006, 1.6457121", \
+					  "6.6765783, 6.6849015, 6.7459599, 7.0932199, 7.8220050, 7.5300137, 1.3322755", \
+					  "6.6464591, 6.6557087, 6.7166309, 7.0637629, 7.7926902, 7.5005333, 1.6853718", \
+					  "6.6176189, 6.6250539, 6.6813227, 7.0333090, 7.7789729, 7.4572953, 1.4968293", \
+					  "6.5865109, 6.5946563, 6.6559271, 7.0052298, 7.7287612, 7.4421415, 1.2443958");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090391, 0.1090384, 0.1090148, 0.1090645, 0.1090420, 0.1090469, 0.1090112", \
+					  "0.1556652, 0.1556249, 0.1556246, 0.1556673, 0.1556635, 0.1557068, 0.1556630", \
+					  "0.2086185, 0.2086213, 0.2086190, 0.2086103, 0.2085931, 0.2085914, 0.2085856", \
+					  "0.2740147, 0.2740200, 0.2740307, 0.2740695, 0.2741065, 0.2741208, 0.2741176", \
+					  "0.3371048, 0.3371108, 0.3371266, 0.3372027, 0.3373484, 0.3374200, 0.3374318", \
+					  "0.4045220, 0.4045324, 0.4045676, 0.4047355, 0.4050917, 0.4052977, 0.4053360", \
+					  "0.4670853, 0.4670876, 0.4670850, 0.4671757, 0.4676691, 0.4680556, 0.4681451");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5502460, 5.5510539, 5.5543941, 5.5581238, 5.5412076, 5.3106577, -0.9040364", \
+					  "5.5492186, 5.5487819, 5.5526863, 5.5556211, 5.5560187, 5.3085414, -0.9014348", \
+					  "5.5471967, 5.5491769, 5.5503266, 5.5535068, 5.5554805, 5.2938157, -0.7820001", \
+					  "5.5472260, 5.5485942, 5.5498879, 5.5580649, 5.5639101, 5.3393285, -0.9045418", \
+					  "5.5470600, 5.5468991, 5.5494990, 5.5557249, 5.5731871, 5.3582002, -0.9057112", \
+					  "5.5467006, 5.5470302, 5.5475576, 5.5551964, 5.5734075, 5.3094863, -0.9051064", \
+					  "5.5460497, 5.5466022, 5.5492650, 5.5557785, 5.5540923, 5.3182627, -0.9037996");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090802, 0.1090672, 0.1090829, 0.1089932, 0.1090660, 0.1090650, 0.1090702", \
+					  "0.1553375, 0.1553354, 0.1553762, 0.1553790, 0.1553765, 0.1553391, 0.1553336", \
+					  "0.2046526, 0.2045443, 0.2046496, 0.2046528, 0.2046503, 0.2045474, 0.2045433", \
+					  "0.2517335, 0.2516268, 0.2517306, 0.2517347, 0.2517324, 0.2517359, 0.2516279", \
+					  "0.2935009, 0.2934986, 0.2934980, 0.2935018, 0.2934998, 0.2935012, 0.2933955", \
+					  "0.3569398, 0.3569355, 0.3569341, 0.3569353, 0.3569287, 0.3569321, 0.3568051", \
+					  "0.4490565, 0.4490536, 0.4490501, 0.4490437, 0.4490338, 0.4490346, 0.4489665");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4201051, 2.4214842, 2.4221905, 2.4193841, 2.3745862, 2.4373159, 2.4217605", \
+					  "2.4188544, 2.4189912, 2.4217130, 2.4200377, 2.4292424, 2.4345354, 2.4259670", \
+					  "2.4177413, 2.4164506, 2.4194363, 2.4187238, 2.4350987, 2.4235700, 2.4290246", \
+					  "2.4174556, 2.4167958, 2.4187964, 2.4175617, 2.3754979, 2.4271543, 2.4205369", \
+					  "2.4173042, 2.4178368, 2.4187579, 2.4170613, 2.4226127, 2.4262031, 2.4273790", \
+					  "2.4171777, 2.4160621, 2.4183218, 2.4051613, 2.3548315, 2.4250395, 2.4325402", \
+					  "2.4165910, 2.4170750, 2.4179887, 2.4184831, 2.4243571, 2.4337814, 2.4283296");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.1443784, 3.1439851, 3.1521201, 3.1897816, 3.4044087, 4.7753455, 5.0553598", \
+					  "3.0878872, 3.0896408, 3.0970246, 3.1410122, 3.3516111, 4.7206731, 5.0037822", \
+					  "3.0335306, 3.0362235, 3.0471670, 3.0840132, 3.2948855, 4.6640570, 4.9456885", \
+					  "3.0289750, 3.0313856, 3.0367721, 3.0774293, 3.2885759, 4.6618581, 4.9375325", \
+					  "3.0242580, 3.0260363, 3.0334722, 3.0697914, 3.2936965, 4.6565035, 4.9360039", \
+					  "3.0200983, 3.0210158, 3.0286301, 3.0636510, 3.2815561, 4.6507809, 4.9337238", \
+					  "3.0144902, 3.0174319, 3.0232269, 3.0672818, 3.2750954, 4.6453575, 4.9278512");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429609, 0.1429645, 0.1429488, 0.1429644, 0.1429652, 0.1429606, 0.1429691", \
+					  "0.1621682, 0.1621688, 0.1621688, 0.1621743, 0.1621513, 0.1621707, 0.1621524", \
+					  "0.1870055, 0.1870042, 0.1869906, 0.1869965, 0.1869502, 0.1869903, 0.1869518", \
+					  "0.2366253, 0.2366256, 0.2366232, 0.2366304, 0.2366325, 0.2366268, 0.2366316", \
+					  "0.3234518, 0.3234520, 0.3234527, 0.3234617, 0.3232096, 0.3234797, 0.3232151", \
+					  "0.5343773, 0.5343775, 0.5343765, 0.5343781, 0.5350147, 0.5343995, 0.5350381", \
+					  "0.6921904, 0.6921875, 0.6921691, 0.6921407, 0.6922556, 0.6918403, 0.6920585");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4567640, 3.4614195, 3.4755838, 3.5371823, 3.6110824, 3.8777141, 5.4765385", \
+					  "3.4014422, 3.4084968, 3.4199599, 3.4852660, 3.5558610, 3.8188229, 5.4214624", \
+					  "3.3516916, 3.3505784, 3.3663212, 3.4267629, 3.5014384, 3.7658063, 5.3672575", \
+					  "3.3485863, 3.3503518, 3.3610741, 3.4166189, 3.4969752, 3.7617326, 5.3610050", \
+					  "3.3378320, 3.3448867, 3.3570512, 3.4145625, 3.4917620, 3.7567315, 5.3562289", \
+					  "3.3379565, 3.3360672, 3.3524675, 3.4085034, 3.4870304, 3.7526968, 5.3529506", \
+					  "3.3332975, 3.3339044, 3.3467284, 3.4029816, 3.4820229, 3.7458375, 5.3480168");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1429576, 0.1429585, 0.1429610, 0.1429646, 0.1429631, 0.1429584, 0.1429656", \
+					  "0.1621426, 0.1621661, 0.1621640, 0.1621521, 0.1621771, 0.1621663, 0.1621696", \
+					  "0.1869791, 0.1869808, 0.1869783, 0.1869846, 0.1869854, 0.1869764, 0.1869791", \
+					  "0.2364494, 0.2364504, 0.2364510, 0.2364553, 0.2364530, 0.2364418, 0.2364431", \
+					  "0.3233119, 0.3233127, 0.3233187, 0.3233269, 0.3233725, 0.3233838, 0.3233841", \
+					  "0.5399994, 0.5400901, 0.5399959, 0.5400801, 0.5400904, 0.5401441, 0.5401635", \
+					  "0.7076633, 0.7076610, 0.7076309, 0.7075149, 0.7069778, 0.7065232, 0.7064253");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090789, 0.1090657, 0.1090740, 0.1090657, 0.1090493, 0.1090403, 0.1090661", \
+					  "0.1553736, 0.1553742, 0.1553736, 0.1553741, 0.1553772, 0.1553309, 0.1553336", \
+					  "0.2046481, 0.2046496, 0.2046483, 0.2046498, 0.2046531, 0.2045390, 0.2045445", \
+					  "0.2517301, 0.2517317, 0.2517304, 0.2517329, 0.2517371, 0.2516246, 0.2516301", \
+					  "0.2934989, 0.2935020, 0.2935002, 0.2935011, 0.2935059, 0.2933930, 0.2933986", \
+					  "0.3569306, 0.3569325, 0.3569298, 0.3569287, 0.3569301, 0.3567980, 0.3568047", \
+					  "0.4490862, 0.4490870, 0.4490825, 0.4490746, 0.4490693, 0.4489962, 0.4489998");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4218834, 2.4250243, 2.4233432, 2.4256304, 2.4065998, 2.4208200, 2.4371091", \
+					  "2.4204529, 2.4182210, 2.4198870, 2.4228263, 2.3874854, 2.4381463, 2.4476742", \
+					  "2.4199433, 2.4107167, 2.4169292, 2.4165458, 2.3668868, 2.4246372, 2.4340819", \
+					  "2.4183802, 2.4205443, 2.4186780, 2.4178048, 2.4277664, 2.4273340, 2.4253343", \
+					  "2.4192549, 2.4216378, 2.4173888, 2.4179495, 2.4243517, 2.4353839, 2.4303552", \
+					  "2.4187192, 2.4117554, 2.4170316, 2.4183922, 2.3828861, 2.4190699, 2.4237807", \
+					  "2.4175997, 2.4212021, 2.4174857, 2.4203443, 2.4269445, 2.4313359, 2.4295004");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.3969988, 5.3981363, 5.3954280, 5.3653123, 5.2080913, 4.1184460, -12.3346124", \
+					  "5.3965226, 5.3977799, 5.3925688, 5.3622965, 5.2152276, 4.1088070, -12.1238287", \
+					  "5.3950138, 5.3924416, 5.3883134, 5.3625519, 5.2017556, 4.1032845, -12.3418151", \
+					  "5.3933013, 5.3943361, 5.3909681, 5.3625269, 5.1988245, 4.1407408, -12.4674050", \
+					  "5.3947365, 5.3927355, 5.3908841, 5.3600646, 5.2149545, 4.0346834, -12.3997035", \
+					  "5.3941859, 5.3944396, 5.3857833, 5.3591813, 5.1987025, 4.1075558, -12.6231334", \
+					  "5.3949995, 5.3949210, 5.3897962, 5.3588774, 5.2038282, 4.0816599, -12.2373214");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090476, 0.1092098, 0.1090385, 0.1090300, 0.1090377, 0.1090393, 0.1090407", \
+					  "0.1556646, 0.1556631, 0.1556234, 0.1556657, 0.1556278, 0.1556666, 0.1556272", \
+					  "0.2086308, 0.2086299, 0.2086339, 0.2086317, 0.2085182, 0.2086311, 0.2085169", \
+					  "0.2739956, 0.2739953, 0.2740010, 0.2740045, 0.2740128, 0.2740106, 0.2739617", \
+					  "0.3370549, 0.3370563, 0.3370626, 0.3370684, 0.3370782, 0.3370782, 0.3369785", \
+					  "0.4046090, 0.4046099, 0.4046202, 0.4046342, 0.4046541, 0.4046540, 0.4046143", \
+					  "0.4673188, 0.4673181, 0.4673247, 0.4673316, 0.4673427, 0.4673410, 0.4672751");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7351892, 7.7251642, 7.7297959, 7.7140646, 7.5479067, 6.4254738, -10.1363559", \
+					  "7.7024111, 7.7012210, 7.6951166, 7.6765949, 7.5077109, 6.4107303, -10.4081199", \
+					  "7.6579306, 7.6642702, 7.6667534, 7.6503880, 7.4696932, 6.3721540, -9.9584049", \
+					  "7.6419433, 7.6432218, 7.6330684, 7.6178046, 7.4559263, 6.2916540, -9.9708785", \
+					  "7.6105660, 7.6143164, 7.6049836, 7.5849849, 7.4216563, 6.2613080, -10.0142965", \
+					  "7.5835667, 7.5758246, 7.5765090, 7.5610942, 7.3757078, 6.2536761, -10.1509988", \
+					  "7.5497629, 7.5503050, 7.5439507, 7.5326395, 7.3634996, 6.2551609, -10.2832968");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0003955, 3.0006379, 2.9988705, 3.0013351, 3.0118917, 3.0152768, 2.9985006", \
+					  "2.9434687, 2.9461987, 2.9442792, 2.9530704, 3.0038557, 2.9656395, 2.9119286", \
+					  "2.8956046, 2.8914415, 2.8884847, 2.8949277, 2.8968981, 2.8949389, 2.9031006", \
+					  "2.8847262, 2.8836435, 2.8889195, 2.8880474, 2.8922380, 2.8890498, 2.9118883", \
+					  "2.8824625, 2.8839134, 2.8828494, 2.8912669, 2.8826683, 2.8926933, 2.9125001", \
+					  "2.8748623, 2.8749679, 2.8783094, 2.8769253, 2.8822574, 2.8948520, 2.8953017", \
+					  "2.8711309, 2.8701690, 2.8740711, 2.8705268, 2.8778114, 2.8884491, 2.8751846");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090325, 0.1090328, 0.1090274, 0.1090338, 0.1090374, 0.1090060, 0.1090854", \
+					  "0.1556638, 0.1556641, 0.1556215, 0.1556615, 0.1556651, 0.1556611, 0.1554304", \
+					  "0.2086191, 0.2086189, 0.2086171, 0.2086053, 0.2085962, 0.2085861, 0.2084120", \
+					  "0.2740162, 0.2740182, 0.2740297, 0.2740652, 0.2741105, 0.2741159, 0.2740789", \
+					  "0.3371110, 0.3371149, 0.3371296, 0.3372027, 0.3373547, 0.3374196, 0.3372680", \
+					  "0.4045367, 0.4045432, 0.4045795, 0.4047429, 0.4051103, 0.4053048, 0.4053399", \
+					  "0.4671173, 0.4671130, 0.4671116, 0.4671995, 0.4677015, 0.4680805, 0.4678995");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7160201, 6.7217039, 6.7474233, 6.8652692, 6.7728383, 5.5612372, -0.1968575", \
+					  "6.7135858, 6.7195342, 6.7441820, 6.8626554, 6.7606519, 5.5438306, -0.1102560", \
+					  "6.7122080, 6.7182986, 6.7427500, 6.8526534, 6.7691754, 5.5469322, -0.1680864", \
+					  "6.7121496, 6.7181704, 6.7427132, 6.8610652, 6.7688915, 5.5525919, -0.1047361", \
+					  "6.7123800, 6.7179814, 6.7430000, 6.8521566, 6.7536998, 5.5456622, -0.2675021", \
+					  "6.7115149, 6.7176741, 6.7424004, 6.8629151, 6.7689716, 5.5404773, -0.2059874", \
+					  "6.7110666, 6.7170735, 6.7426555, 6.8601218, 6.7591666, 5.5608907, -0.1111942");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.7455550, 7.7205672, 7.7172461, 7.7073425, 7.5536460, 6.4349389, -9.9459373", \
+					  "7.6897849, 7.7009522, 7.6779289, 7.6828512, 7.5151685, 6.3519948, -10.3245269", \
+					  "7.6686018, 7.6645477, 7.6653665, 7.6499769, 7.4819924, 6.3659060, -10.2782818", \
+					  "7.6432660, 7.6430986, 7.6347789, 7.6204639, 7.4559948, 6.3285390, -10.1243755", \
+					  "7.6128298, 7.6070453, 7.6051866, 7.5850491, 7.4218133, 6.3079135, -10.0649392", \
+					  "7.5834488, 7.5820610, 7.5787286, 7.5590768, 7.3736331, 6.2129734, -10.3541270", \
+					  "7.5497100, 7.5504941, 7.5438943, 7.5322223, 7.3636410, 6.2899113, -10.1115704");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0004296, 3.0013793, 3.0012820, 3.0057343, 3.0416689, 3.0132453, 3.0255366", \
+					  "2.9453478, 2.9461269, 2.9447068, 2.9527683, 2.9947918, 2.9737788, 2.9291516", \
+					  "2.8932591, 2.8914378, 2.8885831, 2.8900646, 2.8969462, 2.9098129, 2.9048787", \
+					  "2.8836711, 2.8838485, 2.8886569, 2.8878609, 2.8922521, 2.9089044, 2.9030130", \
+					  "2.8816999, 2.8812504, 2.8831529, 2.8852071, 2.8876127, 2.8840001, 2.8941446", \
+					  "2.8763958, 2.8742209, 2.8784135, 2.8809380, 2.8855872, 2.8825872, 2.8930075", \
+					  "2.8710015, 2.8701500, 2.8629496, 2.8710403, 2.8780022, 2.8626534, 2.8838246");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7056356, 6.7183962, 6.7745722, 7.1168938, 7.8675278, 7.5663043, 1.7381046", \
+					  "6.6728405, 6.6847694, 6.7415529, 7.0817652, 7.8352198, 7.5333076, 1.6898053", \
+					  "6.6389892, 6.6479369, 6.7100174, 7.0482664, 7.8116896, 7.4940461, 1.5799486", \
+					  "6.6127006, 6.6170218, 6.6794428, 7.0226591, 7.7753227, 7.4932055, 1.5527721", \
+					  "6.5792633, 6.5887193, 6.6464388, 6.9939242, 7.7462795, 7.5081835, 1.5917002", \
+					  "6.5496603, 6.5602820, 6.6201121, 6.9592905, 7.7155028, 7.4916073, 1.4188481", \
+					  "6.5208368, 6.5267757, 6.5895440, 6.9328892, 7.6823626, 7.4220647, 1.3754577");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1759969, 0.1759917, 0.1759537, 0.1756265, 0.1752103, 0.1750264, 0.1749879", \
+					  "0.1916089, 0.1915863, 0.1915179, 0.1912994, 0.1906115, 0.1902455, 0.1901613", \
+					  "0.2015665, 0.2015632, 0.2015503, 0.2014927, 0.2008110, 0.2002938, 0.2001617", \
+					  "0.2415160, 0.2415386, 0.2416536, 0.2417386, 0.2414206, 0.2408805, 0.2407246", \
+					  "0.2736501, 0.2735871, 0.2736385, 0.2739279, 0.2740944, 0.2736845, 0.2734462", \
+					  "0.3101463, 0.3101673, 0.3102072, 0.3103941, 0.3110463, 0.3108479, 0.3107212", \
+					  "0.4072829, 0.4072198, 0.4068596, 0.4056876, 0.4046439, 0.4040420, 0.4038179");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.1970446, 8.2031083, 8.2520570, 8.4629291, 8.8072006, 8.4598777, 2.8446383", \
+					  "8.1635179, 8.1717787, 8.2216312, 8.4412168, 8.7850988, 8.4369858, 2.8077572", \
+					  "8.1316359, 8.1397639, 8.1898029, 8.4095820, 8.7365929, 8.4096600, 2.6364008", \
+					  "8.1005036, 8.1077955, 8.1578674, 8.3667472, 8.7355095, 8.3744632, 2.7547842", \
+					  "8.0780427, 8.0785371, 8.1288814, 8.3367247, 8.6934445, 8.3255817, 2.7254626", \
+					  "8.0412015, 8.0496210, 8.0993442, 8.3132088, 8.6466842, 8.2999494, 2.6175463", \
+					  "8.0145777, 8.0197117, 8.0693404, 8.2813479, 8.6151763, 8.2956741, 2.6672729");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("4.5443809, 4.5487642, 4.5854718, 4.7175328, 5.0820585, 6.0390005, 6.4522767", \
+					  "4.4863376, 4.4977933, 4.5308866, 4.6563643, 5.0255005, 5.9838832, 6.3872324", \
+					  "4.4339507, 4.4383448, 4.4760832, 4.6112919, 4.9733101, 5.9210151, 6.3339105", \
+					  "4.4315527, 4.4378302, 4.4701659, 4.6053551, 4.9695009, 5.9249124, 6.3327385", \
+					  "4.4246295, 4.4313741, 4.4649185, 4.5983339, 4.9621050, 5.9163013, 6.3237263", \
+					  "4.4191441, 4.4239224, 4.4664071, 4.5956887, 4.9572389, 5.9019485, 6.3133069", \
+					  "4.4140127, 4.4184700, 4.4527976, 4.5897371, 4.9516455, 5.9028478, 6.3205141");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090519, 0.1090103, 0.1090614, 0.1090730, 0.1090578, 0.1090748, 0.1090497", \
+					  "0.1553346, 0.1553738, 0.1553740, 0.1553334, 0.1553755, 0.1553744, 0.1553740", \
+					  "0.2045390, 0.2046431, 0.2046422, 0.2045398, 0.2046449, 0.2046432, 0.2046427", \
+					  "0.2517338, 0.2517315, 0.2517307, 0.2517345, 0.2517338, 0.2517325, 0.2517318", \
+					  "0.2935092, 0.2935083, 0.2935063, 0.2935101, 0.2935099, 0.2935066, 0.2935063", \
+					  "0.3568994, 0.3568965, 0.3568954, 0.3569005, 0.3568978, 0.3568946, 0.3568937", \
+					  "0.4488763, 0.4488741, 0.4488727, 0.4488722, 0.4488605, 0.4488516, 0.4488489");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0267468, 3.0377003, 3.0449093, 3.1042527, 3.1826262, 3.4491647, 5.0494946", \
+					  "3.0252031, 3.0359697, 3.0430042, 3.1060393, 3.1802020, 3.4479424, 5.0489257", \
+					  "3.0308687, 3.0283947, 3.0406811, 3.1047833, 3.1790242, 3.4463850, 5.0457866", \
+					  "3.0240310, 3.0291115, 3.0407732, 3.1025320, 3.1799835, 3.4462306, 5.0472049", \
+					  "3.0224427, 3.0338429, 3.0402135, 3.1042618, 3.1799600, 3.4453501, 5.0457813", \
+					  "3.0219971, 3.0287031, 3.0404096, 3.1044895, 3.1785958, 3.4432482, 5.0413115", \
+					  "3.0238422, 3.0283180, 3.0407692, 3.1019579, 3.1787010, 3.4448245, 5.0452190");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7167892, 2.7179673, 2.7250057, 2.7685397, 2.9776793, 4.3478992, 4.6302115", \
+					  "2.7141891, 2.7148203, 2.7227016, 2.7624205, 2.9817487, 4.3451171, 4.6326467", \
+					  "2.7140147, 2.7150410, 2.7222271, 2.7615062, 2.9697886, 4.3439735, 4.6246526", \
+					  "2.7118887, 2.7137748, 2.7214445, 2.7617033, 2.9778459, 4.3449954, 4.6272756", \
+					  "2.7129906, 2.7150261, 2.7217731, 2.7709638, 2.9761918, 4.3441403, 4.6259448", \
+					  "2.7117398, 2.7135773, 2.7212433, 2.7603776, 2.9765285, 4.3435203, 4.6275318", \
+					  "2.7117351, 2.7142194, 2.7206154, 2.7710707, 2.9768375, 4.3441791, 4.6263561");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090739, 0.1090733, 0.1090460, 0.1090676, 0.1090685, 0.1090720, 0.1090727", \
+					  "0.1553792, 0.1553775, 0.1553788, 0.1553758, 0.1553341, 0.1553763, 0.1553395", \
+					  "0.2046506, 0.2046490, 0.2046505, 0.2046466, 0.2045399, 0.2046476, 0.2045446", \
+					  "0.2517333, 0.2517313, 0.2517328, 0.2517288, 0.2516239, 0.2517300, 0.2516257", \
+					  "0.2935072, 0.2935059, 0.2935083, 0.2935030, 0.2933993, 0.2935062, 0.2934040", \
+					  "0.3569260, 0.3569235, 0.3569255, 0.3569202, 0.3567970, 0.3569206, 0.3568040", \
+					  "0.4492822, 0.4492805, 0.4492819, 0.4492770, 0.4492085, 0.4492733, 0.4492108");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090486, 0.1090948, 0.1090370, 0.1090655, 0.1089987, 0.1090186, 0.1090824", \
+					  "0.1553804, 0.1553463, 0.1553347, 0.1553321, 0.1553391, 0.1553749, 0.1553753", \
+					  "0.2046506, 0.2046581, 0.2045374, 0.2046447, 0.2045437, 0.2046441, 0.2047203", \
+					  "0.2517409, 0.2517491, 0.2516303, 0.2517342, 0.2516374, 0.2517346, 0.2517345", \
+					  "0.2935093, 0.2935145, 0.2933977, 0.2935033, 0.2934039, 0.2935036, 0.2935011", \
+					  "0.3571117, 0.3569203, 0.3567808, 0.3569021, 0.3567866, 0.3568983, 0.3568985", \
+					  "0.4486488, 0.4486551, 0.4485791, 0.4486378, 0.4485665, 0.4486139, 0.4486112");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4331717, 2.4312977, 2.4361780, 2.4565809, 2.5903279, 3.4544622, 4.2249164", \
+					  "2.4304584, 2.4301891, 2.4339708, 2.4551283, 2.5852458, 3.4308242, 4.2291383", \
+					  "2.4285608, 2.4291514, 2.4323761, 2.4539330, 2.5870488, 3.4603218, 4.2198310", \
+					  "2.4282489, 2.4285783, 2.4308408, 2.4542151, 2.5845097, 3.4465812, 4.2177972", \
+					  "2.4286831, 2.4286632, 2.4308702, 2.4535549, 2.5934753, 3.4465093, 4.2161411", \
+					  "2.4280447, 2.4285174, 2.4316306, 2.4537413, 2.5870030, 3.4415432, 4.2176097", \
+					  "2.4256662, 2.4276735, 2.4307006, 2.4520505, 2.5858209, 3.4462086, 4.2183821");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1089647, 0.1085551, 0.1090392, 0.1090381, 0.1090545, 0.1093760, 0.1089721", \
+					  "0.1556657, 0.1556594, 0.1556638, 0.1556635, 0.1556241, 0.1555483, 0.1555883", \
+					  "0.2086205, 0.2086191, 0.2086158, 0.2086069, 0.2084816, 0.2083966, 0.2083680", \
+					  "0.2740128, 0.2740893, 0.2740232, 0.2740620, 0.2740550, 0.2740256, 0.2739766", \
+					  "0.3371024, 0.3369995, 0.3371183, 0.3371961, 0.3372423, 0.3372523, 0.3372451", \
+					  "0.4045220, 0.4044741, 0.4045607, 0.4047284, 0.4050505, 0.4052251, 0.4052720", \
+					  "0.4670869, 0.4676916, 0.4670816, 0.4671710, 0.4676014, 0.4683085, 0.4680687");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522396, 5.5513454, 5.5540498, 5.5590987, 5.5687620, 5.2963692, -0.5292242", \
+					  "5.5486189, 5.5488131, 5.5513302, 5.5640102, 5.5635583, 5.2939224, -0.6871544", \
+					  "5.5461073, 5.5493951, 5.5505435, 5.5529794, 5.5427194, 5.2940078, -0.8553861", \
+					  "5.5475941, 5.5462653, 5.5486762, 5.5526366, 5.5442860, 5.3159652, -0.5024012", \
+					  "5.5470617, 5.5483896, 5.5505481, 5.5568321, 5.5462282, 5.3140580, -0.4959330", \
+					  "5.5472150, 5.5468242, 5.5497346, 5.5548967, 5.5478919, 5.2920935, -0.6288515", \
+					  "5.5472618, 5.5465354, 5.5493032, 5.5558075, 5.5623427, 5.2958202, -0.7191433");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8012743, 7.8094015, 7.8474180, 8.0380945, 8.3145018, 8.1401977, 2.1112345", \
+					  "7.7682568, 7.7768836, 7.8149504, 8.0107590, 8.2820481, 7.8171431, 2.1381428", \
+					  "7.7370782, 7.7454743, 7.7826954, 7.9785448, 8.2513691, 7.7734589, 2.0995943", \
+					  "7.7071212, 7.7142856, 7.7523489, 7.9484394, 8.2190979, 7.7723797, 2.0663946", \
+					  "7.6769703, 7.6825076, 7.7220653, 7.9071421, 8.1910400, 7.7149304, 1.9607436", \
+					  "7.6471114, 7.6549185, 7.6926132, 7.8875935, 8.1339234, 7.6956880, 1.9936790", \
+					  "7.6159678, 7.6242025, 7.6619163, 7.8578732, 8.1306611, 7.6679930, 1.9752243");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1760153, 0.1759922, 0.1759557, 0.1756347, 0.1752159, 0.1750212, 0.1748452", \
+					  "0.1916122, 0.1915880, 0.1914378, 0.1913082, 0.1906130, 0.1902216, 0.1902005", \
+					  "0.2015687, 0.2015617, 0.2016115, 0.2014940, 0.2008138, 0.2002905, 0.1999988", \
+					  "0.2415244, 0.2415445, 0.2416543, 0.2417444, 0.2414246, 0.2408800, 0.2406732", \
+					  "0.2735923, 0.2736012, 0.2736478, 0.2739419, 0.2741057, 0.2736928, 0.2732950", \
+					  "0.3101751, 0.3101958, 0.3102316, 0.3105035, 0.3110719, 0.3108716, 0.3106384", \
+					  "0.4072852, 0.4072212, 0.4070006, 0.4056915, 0.4046496, 0.4040168, 0.4039253");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090133, 0.1090171, 0.1090377, 0.1089893, 0.1090347, 0.1090386, 0.1090354", \
+					  "0.1557298, 0.1556695, 0.1556669, 0.1556636, 0.1556621, 0.1556648, 0.1556198", \
+					  "0.2086231, 0.2086247, 0.2086200, 0.2086079, 0.2085930, 0.2085903, 0.2085863", \
+					  "0.2740130, 0.2740171, 0.2740248, 0.2740607, 0.2741003, 0.2741140, 0.2741127", \
+					  "0.3371055, 0.3371071, 0.3371218, 0.3370258, 0.3373416, 0.3374164, 0.3374250", \
+					  "0.4045250, 0.4045340, 0.4045658, 0.4047281, 0.4050880, 0.4052755, 0.4053541", \
+					  "0.4670916, 0.4670892, 0.4670841, 0.4671708, 0.4676667, 0.4680523, 0.4681448");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.5522873, 5.5506428, 5.5535636, 5.5601270, 5.5426123, 5.3263253, -0.8296479", \
+					  "5.5493613, 5.5482567, 5.5513719, 5.5583251, 5.5450145, 5.2834443, -0.6087420", \
+					  "5.5470736, 5.5480589, 5.5491539, 5.5563335, 5.5667150, 5.3214481, -0.9072857", \
+					  "5.5482191, 5.5469532, 5.5483370, 5.5555578, 5.5643429, 5.2921344, -0.9114474", \
+					  "5.5485826, 5.5468869, 5.5503906, 5.5536307, 5.5428546, 5.3214397, -0.5376775", \
+					  "5.5477883, 5.5464309, 5.5492949, 5.5545953, 5.5454598, 5.3131570, -0.6927734", \
+					  "5.5454573, 5.5461516, 5.5480299, 5.5541027, 5.5567863, 5.3181209, -0.9191256");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090472, 0.1090711, 0.1090414, 0.1090677, 0.1090711, 0.1090683, 0.1090595", \
+					  "0.1553411, 0.1553777, 0.1553723, 0.1553373, 0.1553763, 0.1553737, 0.1553746", \
+					  "0.2045508, 0.2046530, 0.2046461, 0.2045478, 0.2046515, 0.2045666, 0.2046494", \
+					  "0.2516317, 0.2517347, 0.2517276, 0.2516323, 0.2517344, 0.2517312, 0.2517321", \
+					  "0.2934032, 0.2928083, 0.2934953, 0.2934003, 0.2935013, 0.2935015, 0.2935006", \
+					  "0.3568254, 0.3569410, 0.3569303, 0.3568159, 0.3569318, 0.3569270, 0.3569277", \
+					  "0.4489993, 0.4490600, 0.4490506, 0.4489833, 0.4490384, 0.4490334, 0.4490339");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.4199110, 2.4201688, 2.4229010, 2.4216195, 2.4292326, 2.4205310, 2.4366505", \
+					  "2.4187945, 2.4190274, 2.4219749, 2.4201483, 2.4249188, 2.4111563, 2.4562804", \
+					  "2.4176619, 2.4158962, 2.4174394, 2.4143664, 2.4237831, 2.4503554, 2.3941360", \
+					  "2.4173340, 2.4166759, 2.4183161, 2.4166364, 2.4238882, 2.4213568, 2.4345320", \
+					  "2.4180410, 2.4164700, 2.4185645, 2.4210696, 2.4244445, 2.4304877, 2.4359624", \
+					  "2.4172230, 2.4161410, 2.4181949, 2.4163333, 2.4233518, 2.4239963, 2.4267647", \
+					  "2.4190948, 2.4159155, 2.4178413, 2.4163594, 2.4279931, 2.4209490, 2.4437715");
+				}
+			}*/
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("6.7161892, 6.7205746, 6.7460395, 6.8645883, 6.7730443, 5.5729023, -0.1109154", \
+					  "6.7138278, 6.7198413, 6.7440281, 6.8511767, 6.7531401, 5.5462865, -0.0990544", \
+					  "6.7123537, 6.7126000, 6.7430943, 6.8613296, 6.7710086, 5.5530896, -0.2514641", \
+					  "6.7121023, 6.7179861, 6.7411659, 6.8614711, 6.7703895, 5.4974923, -0.1694732", \
+					  "6.7128037, 6.7182833, 6.7420598, 6.8600392, 6.7683391, 5.5707268, -0.3098450", \
+					  "6.7117097, 6.7176550, 6.7424485, 6.8604618, 6.7546220, 5.5567464, -0.2623019", \
+					  "6.7113485, 6.7169273, 6.7417009, 6.8606735, 6.7678454, 5.5420113, -0.1108244");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("0.1090093, 0.1090539, 0.1090361, 0.1090114, 0.1090143, 0.1090137, 0.1090371", \
+					  "0.1556662, 0.1556252, 0.1556638, 0.1556665, 0.1556189, 0.1556603, 0.1556222", \
+					  "0.2086239, 0.2086460, 0.2086193, 0.2086135, 0.2085953, 0.2085877, 0.2084744", \
+					  "0.2740112, 0.2740146, 0.2741425, 0.2740644, 0.2741005, 0.2741089, 0.2740629", \
+					  "0.3371031, 0.3371084, 0.3371201, 0.3372014, 0.3373448, 0.3374126, 0.3373267", \
+					  "0.4045374, 0.4045448, 0.4045754, 0.4047469, 0.4051024, 0.4053011, 0.4053063", \
+					  "0.4671195, 0.4671163, 0.4671103, 0.4672028, 0.4676969, 0.4680785, 0.4681054");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7517060, 3.7561850, 3.7573738, 3.7965616, 3.8314560, 3.8116988, 3.8491658", \
+					  "3.6957057, 3.6975744, 3.7051951, 3.7436838, 3.7752037, 3.8117197, 3.7974273", \
+					  "3.6422637, 3.6442508, 3.6513558, 3.6840133, 3.7340119, 3.9124338, 3.7348229", \
+					  "3.6362492, 3.6352149, 3.6460712, 3.6856940, 3.7210355, 3.7491168, 3.7386131", \
+					  "3.6326181, 3.6313192, 3.6432125, 3.6842149, 3.7152161, 3.7050107, 3.7326319", \
+					  "3.6276206, 3.6296121, 3.6380428, 3.6698963, 3.7102169, 3.7983118, 3.7239051", \
+					  "3.6240577, 3.6267652, 3.6311966, 3.6658407, 3.7031928, 3.7636436, 3.7184896");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.8694438, 7.8772032, 7.9141606, 8.1035369, 8.3803901, 7.9167089, 2.2269035", \
+					  "7.8363725, 7.8439090, 7.8814831, 8.0668418, 8.3491368, 7.9090704, 2.1888727", \
+					  "7.8043387, 7.8124043, 7.8496054, 8.0345521, 8.3169373, 7.8598828, 2.1706453", \
+					  "7.7742142, 7.7820361, 7.8170888, 7.9998523, 8.2864347, 7.8212443, 2.1105769", \
+					  "7.7450417, 7.7451788, 7.7877255, 7.9704005, 8.2572240, 7.8017446, 1.9853491", \
+					  "7.7075205, 7.7222952, 7.7591129, 7.9468256, 8.2249460, 8.0473737, 2.0709904", \
+					  "7.6839707, 7.6920163, 7.7286580, 7.9096313, 8.1962397, 8.0159039, 2.0186765");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("7.1009938, 7.1156934, 7.1779388, 7.5549297, 8.3639319, 8.2533259, 2.0451986", \
+					  "7.0722667, 7.0818840, 7.1439247, 7.5171816, 8.3374066, 8.1671990, 2.2167507", \
+					  "7.0351029, 7.0435371, 7.1107893, 7.4883536, 8.2926867, 8.1223152, 2.1836635", \
+					  "7.0045170, 7.0141859, 7.0831880, 7.4473342, 8.2771661, 8.0881480, 2.2181426", \
+					  "6.9791466, 6.9847875, 7.0534829, 7.4227943, 8.2476492, 8.0977596, 1.9100870", \
+					  "6.9449422, 6.9570570, 7.0227497, 7.3868890, 8.2099372, 8.0291461, 2.0918086", \
+					  "6.9128310, 6.9255543, 6.9913745, 7.3617423, 8.1843005, 8.0690940, 1.8696468");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.7618433, 3.7631062, 3.7744955, 3.8239737, 3.9923585, 4.8665070, 5.6360125", \
+					  "3.7047401, 3.7068513, 3.7187168, 3.7691500, 3.9369411, 4.8084714, 5.5830324", \
+					  "3.6512998, 3.6546531, 3.6645709, 3.7130113, 3.8818108, 4.7586940, 5.5132878", \
+					  "3.6505498, 3.6513565, 3.6603848, 3.7108484, 3.8767604, 4.7505796, 5.5407713", \
+					  "3.6433010, 3.6426032, 3.6549089, 3.7053833, 3.8713664, 4.7446877, 5.5120084", \
+					  "3.6367088, 3.6387320, 3.6495380, 3.6999386, 3.8670341, 4.7401643, 5.5100215", \
+					  "3.6328407, 3.6348655, 3.6448458, 3.6952191, 3.8620531, 4.7366786, 5.5109347");
+				}
+			}*/
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.0116743, 3.0124664, 3.0153371, 3.0353240, 3.1692227, 4.0304253, 4.7826331", \
+					  "2.9567794, 2.9562323, 2.9596870, 2.9824717, 3.1173643, 3.9572933, 4.6981994", \
+					  "2.9020168, 2.9028831, 2.9058157, 2.9275231, 3.0602772, 3.9273282, 4.6890791", \
+					  "2.8972702, 2.8975753, 2.9018005, 2.9238640, 3.0542727, 3.9191180, 4.6791485", \
+					  "2.8922707, 2.8926755, 2.8959847, 2.9185808, 3.0451581, 3.8931371, 4.6370998", \
+					  "2.8874898, 2.8880202, 2.8908554, 2.9110462, 3.0462643, 3.8998694, 4.6806927", \
+					  "2.8816154, 2.8826181, 2.8857009, 2.9058209, 3.0456268, 3.9003047, 4.6673096");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("8.0392749, 8.0550141, 8.0621811, 8.0807999, 7.9612714, 7.0032478, -9.1875275", \
+					  "8.0031792, 8.0206227, 8.0031618, 8.0412017, 7.9313885, 7.0047304, -9.5802738", \
+					  "7.9919256, 7.9978202, 7.9709025, 8.0118567, 7.8942797, 6.9006002, -9.4788007", \
+					  "7.9423584, 7.9585060, 7.9664710, 7.9809959, 7.8653375, 6.8664226, -9.6456673", \
+					  "7.9134764, 7.9303423, 7.9367799, 7.9509048, 7.8425930, 6.8582814, -9.4207574", \
+					  "7.9032737, 7.9067743, 7.9047404, 7.9217892, 7.8154914, 6.8372635, -9.6464415", \
+					  "7.8708151, 7.8782831, 7.8421089, 7.8941602, 7.7723756, 6.7673181, -9.7943025");
+				}
+			}*/
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.7807288, 2.7829407, 2.7897910, 2.8239007, 2.9415865, 3.4736542, 3.8978301", \
+					  "2.8253941, 2.8280379, 2.8348792, 2.8696082, 2.9873459, 3.5182498, 3.9487403", \
+					  "2.8781481, 2.8777360, 2.8857455, 2.9199476, 3.0318775, 3.5737418, 3.9908405", \
+					  "2.9423644, 2.9438657, 2.9499710, 2.9847871, 3.1034609, 3.6380450, 4.0590252", \
+					  "3.0059836, 3.0078295, 3.0142878, 3.0512835, 3.1759186, 3.7014160, 4.1245358", \
+					  "3.0728864, 3.0735321, 3.0816295, 3.1135274, 3.2303470, 3.7650116, 4.1912316", \
+					  "3.1346197, 3.1363226, 3.1430137, 3.1808888, 3.3014640, 3.8308664, 4.2544363");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9490117, 5.9496325, 5.9598815, 5.9823177, 5.8894777, 5.0082048, -5.0782523", \
+					  "5.9928990, 5.9943678, 6.0006722, 6.0248723, 5.9349432, 5.0459392, -4.9828927", \
+					  "6.0401896, 6.0413403, 6.0482382, 6.0713220, 5.9839402, 5.0980336, -5.0088822", \
+					  "6.0870493, 6.0888325, 6.0957005, 6.1203075, 6.0306691, 5.1417887, -4.9654083", \
+					  "6.1291199, 6.1303762, 6.1371990, 6.1616358, 6.0698884, 5.2002129, -4.8563066", \
+					  "6.1922250, 6.1932242, 6.1997402, 6.2258378, 6.1332503, 5.2477477, -4.9085676", \
+					  "6.2835583, 6.2844475, 6.2912519, 6.3161402, 6.2255157, 5.3417697, -4.7521956");
+				}
+			}*/
+				related_pin : "OUT";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("5.9271790, 5.9325245, 5.9497123, 6.0297771, 6.2634762, 7.1351136, 7.8463692", \
+					  "5.8407114, 5.8456468, 5.8624524, 5.9453835, 6.1864827, 7.0683428, 7.7393893", \
+					  "5.7544301, 5.7575716, 5.7746219, 5.8576400, 6.0862314, 6.9927314, 7.6666749", \
+					  "5.7596900, 5.7632291, 5.7802932, 5.8608664, 6.0882976, 6.9775380, 7.6848115", \
+					  "5.7630332, 5.7662248, 5.7845643, 5.8664151, 6.0906467, 6.9631415, 7.6778321", \
+					  "5.7654424, 5.7672361, 5.7880401, 5.8665750, 6.0956845, 6.9245829, 7.6872848", \
+					  "5.7894828, 5.7926955, 5.8093757, 5.8897109, 6.1183108, 7.0172243, 7.7108631");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.7165080, 12.7251263, 12.7734766, 13.0536884, 13.4972089, 12.5196775, -4.2778452", \
+					  "12.6666368, 12.6799818, 12.7198271, 13.0055475, 13.4525494, 12.4193976, -4.4898825", \
+					  "12.6240477, 12.6346050, 12.6828976, 12.9633705, 13.4015949, 12.3526093, -4.4614117", \
+					  "12.5869361, 12.6006381, 12.6484783, 12.9258488, 13.3730687, 12.3237206, -4.4606418", \
+					  "12.5674035, 12.5780449, 12.6275993, 12.9021929, 13.3502099, 12.3120997, -4.4453403", \
+					  "12.5923296, 12.6015455, 12.6529306, 12.9279179, 13.3612833, 12.3736324, -4.4928273", \
+					  "12.5935991, 12.6057495, 12.6470806, 12.9328496, 13.3691701, 12.4096508, -4.5245769");
+				}
+			}*/
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0925577, 8.0962197, 8.0962205, 8.1152685, 8.1152692, 8.1152702, 8.1152711", \
+					  "8.2217415, 8.2370253, 8.2591196, 8.2591205, 8.2591215, 8.2591224, 8.2615177", \
+					  "8.3688612, 8.3761993, 8.3762002, 8.3791125, 8.3791128, 8.3791137, 8.4079792", \
+					  "8.4639034, 8.4715275, 8.4715276, 8.4715286, 8.4715295, 8.4715305, 8.5601544", \
+					  "8.5244736, 8.5244737, 8.5244747, 8.5244756, 8.5244766, 8.5244775, 8.6124397", \
+					  "8.6152907, 8.6239558, 8.6239563, 8.6239572, 8.6239582, 8.6239591, 8.6562029", \
+					  "8.6549656, 8.6618916, 8.6618925, 8.6618934, 8.6618944, 8.6618953, 8.7217442");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}			
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9079419, 7.9137905, 7.9502049, 8.1784348, 9.8011208, 20.5281270, 89.3495620", \
+					  "8.0554994, 8.0613392, 8.0971683, 8.3252782, 9.9480264, 20.7085070, 89.4432330", \
+					  "8.1690219, 8.1749221, 8.2109679, 8.4394944, 10.0609120, 20.7887540, 89.6103030", \
+					  "8.2661895, 8.2720435, 8.3080050, 8.5364533, 10.1593810, 20.8844710, 89.7060200", \
+					  "8.3435632, 8.3494056, 8.3853173, 8.6131209, 10.2360230, 20.9637820, 89.7853450", \
+					  "8.4209022, 8.4274365, 8.4625986, 8.6908125, 10.3125850, 21.0391300, 89.8608970", \
+					  "8.4906659, 8.4965777, 8.5324841, 8.7612300, 10.3833160, 21.1107860, 89.9306230");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("1.0181908, 1.0332472, 1.1285961, 1.7191936, 5.7588627, 31.6356330, 191.7248500", \
+					  "1.0206945, 1.0356206, 1.1290250, 1.7198915, 5.7583787, 31.6323470, 191.4653000", \
+					  "1.0201110, 1.0346286, 1.1279758, 1.7193602, 5.7587228, 31.6433170, 191.7255200", \
+					  "1.0203463, 1.0348470, 1.1281910, 1.7193178, 5.7592593, 31.6144620, 191.4317400", \
+					  "1.0209459, 1.0357609, 1.1289090, 1.7200286, 5.7587761, 31.6434130, 191.7266400", \
+					  "1.0198550, 1.0355095, 1.1285566, 1.7193924, 5.7585106, 31.6394550, 191.7301900", \
+					  "1.0206074, 1.0355599, 1.1280243, 1.7199202, 5.7585541, 31.6433950, 191.7216000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("101.5694500, 101.5785100, 101.6482000, 102.0501900, 104.7021900, 122.5145100, 158.9042900", \
+					  "101.7508400, 101.7650000, 101.8318400, 102.2228800, 104.8880000, 122.7266500, 159.0878900", \
+					  "101.9379100, 101.9512200, 102.0178600, 102.4250100, 105.0686900, 122.8896900, 159.2727700", \
+					  "102.1320900, 102.1462900, 102.2136300, 102.6223000, 105.2574500, 123.0791800, 159.4609100", \
+					  "102.2990800, 102.3178600, 102.3845100, 102.7873500, 105.4408200, 123.1127300, 159.6230800", \
+					  "102.4832600, 102.4921700, 102.5587600, 102.9659300, 105.6148500, 123.3107100, 159.8186700", \
+					  "102.6338300, 102.6487400, 102.7144200, 103.1189700, 105.7708100, 123.5989200, 159.9243500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("124.4078300, 124.4022900, 124.3790300, 124.2830300, 123.8837200, 122.0896000, 109.8355200", \
+					  "124.4053600, 124.4025300, 124.3790100, 124.2539000, 123.8865500, 122.1344400, 109.8301100", \
+					  "124.4079500, 124.4090100, 124.3800500, 124.2976900, 123.8735600, 122.0826400, 109.8866300", \
+					  "124.4064000, 124.4007100, 124.3786600, 124.2812300, 123.8639700, 122.0857500, 109.8354000", \
+					  "124.3987200, 124.4039400, 124.3797100, 124.2613200, 123.8874500, 122.1511100, 109.8274300", \
+					  "124.3834300, 124.4088600, 124.3848800, 124.2794200, 123.8951100, 122.1378900, 109.8513300", \
+					  "124.4082000, 124.4049900, 124.3792600, 124.2893400, 123.8861500, 122.1461500, 109.8742200");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("7.9379072, 7.9438101, 7.9803275, 8.2118570, 9.8565796, 20.5414920, 87.0414420", \
+					  "8.0839611, 8.0905176, 8.1260296, 8.3583540, 10.0029780, 20.6437660, 87.2063740", \
+					  "8.1986649, 8.2061762, 8.2406828, 8.4729441, 10.1172000, 20.7818600, 87.2903170", \
+					  "8.2959515, 8.3019831, 8.3379481, 8.5702556, 10.2145260, 20.8848010, 87.3878820", \
+					  "8.3756815, 8.3820701, 8.4176222, 8.6500522, 10.2941680, 20.9515180, 87.4595760", \
+					  "8.4503204, 8.4550207, 8.4923508, 8.7245811, 10.3692170, 21.0079260, 87.5594850", \
+					  "8.5204297, 8.5251441, 8.5622140, 8.7949896, 10.4390820, 21.0779730, 87.6271360");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("0.9954327, 1.0096064, 1.1022014, 1.6988921, 5.7546106, 31.6319660, 191.6341700", \
+					  "0.9979745, 1.0096396, 1.1042934, 1.7015437, 5.7548655, 31.6340480, 191.6163200", \
+					  "0.9950911, 1.0094163, 1.1028294, 1.6987881, 5.7546186, 31.6344250, 191.3898200", \
+					  "0.9950433, 1.0084350, 1.1028172, 1.6988817, 5.7546199, 31.6321400, 191.3922000", \
+					  "0.9939440, 1.0106732, 1.1035132, 1.6985962, 5.7546217, 31.6347370, 191.3688800", \
+					  "0.9954326, 1.0109933, 1.1027443, 1.7000500, 5.7544893, 31.6380560, 191.4026200", \
+					  "0.9959619, 1.0098938, 1.1052451, 1.7005950, 5.7543250, 31.6368160, 191.4000800");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("150.7920300, 150.7920304, 150.8362900, 151.1471500, 152.9510900, 161.5741200, 198.1854600", \
+					  "150.9450000, 150.9638000, 150.9920200, 151.3208200, 153.1320900, 161.7590000, 198.4129500", \
+					  "151.1545500, 151.1545640, 151.2059700, 151.5106800, 153.3206100, 161.9237900, 198.6011900", \
+					  "151.3476200, 151.3476334, 151.3990900, 151.7040000, 153.5161700, 162.1381900, 198.7943800", \
+					  "151.4938900, 151.5104500, 151.5701600, 151.8750700, 153.6873100, 162.3106300, 198.9190700", \
+					  "151.6849200, 151.6849289, 151.7358200, 151.9914300, 153.8595300, 162.4421500, 199.1050600", \
+					  "151.8490500, 151.8494600, 151.8749700, 152.2049900, 154.0183000, 162.6425600, 199.2955800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20212, 1.25379, 1.57241, 3.53733, 15.6546, 90.3793, 551.192");
+					values("59.3431930, 59.2763530, 59.2765160, 59.0028290, 57.0317770, 46.9042230, 92.3394910", \
+					  "59.3060820, 59.2646680, 59.2474550, 59.0051420, 57.0359230, 46.9049550, 92.2188480", \
+					  "59.4159890, 59.3147720, 59.2791200, 59.0065600, 57.0591720, 47.1100950, 92.2172990", \
+					  "59.4157900, 59.3184590, 59.2748430, 59.0037140, 57.0312220, 46.9072460, 92.2184850", \
+					  "59.3070060, 59.3532440, 59.2538750, 59.0044170, 57.0359830, 46.9062940, 92.3186580", \
+					  "59.3032250, 59.4000760, 59.2595020, 58.9590420, 57.0411700, 47.0466760, 92.3466250", \
+					  "59.4155200, 59.3238490, 59.2471640, 59.0027760, 57.0313650, 46.9030970, 92.2174910");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9476080, 17.1382800, 18.3359160, 25.7328790, 71.1964030, 350.6396200, 2072.1095000", \
+					  "17.0729390, 17.2808150, 18.4741950, 25.8711290, 71.3424630, 350.5399800, 2071.7835000", \
+					  "17.1849040, 17.3797300, 18.5909300, 25.9823270, 71.4710420, 350.7236700, 2072.0686000", \
+					  "17.2882810, 17.4751930, 18.6840130, 26.0778710, 71.5243970, 350.8154400, 2072.4196000", \
+					  "17.3652490, 17.5588890, 18.7613040, 26.1520320, 71.6181460, 350.8924900, 2072.4010000", \
+					  "17.4297710, 17.6272240, 18.8347190, 26.2275450, 71.7141260, 350.9668700, 2072.0479000", \
+					  "17.5073180, 17.6964060, 18.9030800, 26.2947570, 71.7600700, 351.0343400, 2072.6661000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5857150, 14.9682760, 17.3156810, 31.8598590, 122.1668400, 678.4834500, 4111.2144000", \
+					  "14.5832320, 14.9674740, 17.3155940, 31.8861130, 122.2615500, 678.8201300, 4108.6464000", \
+					  "14.5766470, 14.9583950, 17.3271760, 31.8601000, 122.2154400, 678.3771500, 4109.7383000", \
+					  "14.5859450, 14.9638240, 17.3145660, 31.8548740, 121.9243300, 679.1260600, 4110.0120000", \
+					  "14.5859500, 14.9682790, 17.3146970, 31.8908700, 122.1975100, 678.4747500, 4108.5032000", \
+					  "14.5787190, 14.9697660, 17.3230030, 31.8728790, 122.2174300, 679.1669900, 4110.5909000", \
+					  "14.5858450, 14.9683110, 17.3146790, 31.8925930, 122.1659900, 678.8238800, 4110.7769000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1636090, 13.2157530, 13.5250900, 15.3525140, 20.4470630, 39.6982000, 82.7076580", \
+					  "13.3470330, 13.3984260, 13.7064080, 15.5382050, 20.6327290, 39.8730790, 82.8694060", \
+					  "13.5388890, 13.5891370, 13.8989660, 15.7243230, 20.8235280, 40.0716470, 83.0821070", \
+					  "13.7272030, 13.7777160, 14.0863380, 15.9137720, 21.0145630, 40.2606910, 83.2657110", \
+					  "13.8990800, 13.9501250, 14.2594680, 16.0899650, 21.1857620, 40.4248120, 83.4249650", \
+					  "14.0660920, 14.1163460, 14.4262370, 16.2513450, 21.3510540, 40.6019570, 83.6116880", \
+					  "14.2283930, 14.2786160, 14.5884470, 16.4136700, 21.5107220, 40.7596410, 83.7714540");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0221783, 3.0915381, 3.5303624, 5.0937330, 6.9754611, 29.0312840, 48.3881980", \
+					  "3.0213958, 3.0915859, 3.5304102, 5.0864624, 6.9651427, 29.0355310, 48.2961720", \
+					  "3.0220688, 3.0904879, 3.5291692, 5.0940674, 6.9763173, 29.0321460, 48.3940180", \
+					  "3.0210521, 3.0924125, 3.5312577, 5.0922031, 6.9806210, 29.0329980, 48.3968490", \
+					  "3.0213871, 3.0915921, 3.5303989, 5.0863669, 6.9628920, 29.0355190, 48.2945590", \
+					  "3.0221998, 3.0904757, 3.5291834, 5.0931468, 6.9762636, 29.0311900, 48.3948210", \
+					  "3.0221967, 3.0906546, 3.5293704, 5.0931384, 6.9757591, 29.0331760, 48.3873000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7634184, 7.7978886, 7.7978890, 7.7978895, 7.7978900, 7.7978905, 7.7978910", \
+					  "7.9469045, 7.9469049, 7.9469054, 7.9469059, 7.9469064, 7.9469069, 7.9469073", \
+					  "8.0634086, 8.0634094, 8.0634103, 8.0634113, 8.0634122, 8.0634132, 8.0634141", \
+					  "8.1563698, 8.1574116, 8.1574121, 8.1574131, 8.1574140, 8.1574150, 8.1574159", \
+					  "8.2365377, 8.2373548, 8.2373558, 8.2373567, 8.2373577, 8.2373586, 8.2373596", \
+					  "8.3136760, 8.3136764, 8.3136774, 8.3136783, 8.3136793, 8.3136802, 8.3136812", \
+					  "8.3797627, 8.3797632, 8.3797641, 8.3797651, 8.3797660, 8.3797670, 8.3797679");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6127318, 7.6167703, 7.6167706, 7.6167710, 7.6167715, 7.6167720, 7.6167725", \
+					  "7.7485334, 7.7590645, 7.7590650, 7.7590654, 7.7590659, 7.7590664, 7.7590669", \
+					  "7.8615452, 7.8629609, 7.8629611, 7.8629616, 7.8629621, 7.8629625, 7.8629630", \
+					  "7.9559443, 7.9561575, 7.9561580, 7.9561584, 7.9561589, 7.9561594, 7.9561599", \
+					  "8.0337550, 8.0388274, 8.0388275, 8.0388284, 8.0388294, 8.0388303, 8.0388313", \
+					  "8.1067615, 8.1102201, 8.1102205, 8.1102214, 8.1102224, 8.1102233, 8.1102243", \
+					  "8.1676186, 8.1734299, 8.1734300, 8.1734310, 8.1734319, 8.1734329, 8.1734338");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4250290, 10.4754000, 10.7897060, 12.6864870, 24.3079490, 95.8222660, 416.3952400", \
+					  "10.5636570, 10.6200730, 10.9275950, 12.8255390, 24.4468750, 95.9755940, 416.5389100", \
+					  "10.6729690, 10.7276820, 11.0367480, 12.9343960, 24.5558060, 96.0849820, 416.6526800", \
+					  "10.7725260, 10.8215050, 11.1295310, 13.0273920, 24.6428350, 96.1739130, 416.7118000", \
+					  "10.8432760, 10.9010260, 11.2065600, 13.1044280, 24.7198480, 96.2509080, 416.8056500", \
+					  "10.9160250, 10.9708860, 11.2801800, 13.1778970, 24.7988390, 96.3025220, 416.9079900", \
+					  "10.9910050, 11.0389700, 11.3475510, 13.2457550, 24.8604960, 96.3919580, 416.9948500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0337293, 3.1106566, 3.5944427, 6.6031354, 25.0129070, 138.8857800, 350.6489200", \
+					  "3.0335967, 3.1109850, 3.5947927, 6.6031367, 25.0123600, 138.8357700, 350.6509400", \
+					  "3.0334570, 3.1103953, 3.5942433, 6.6030408, 25.0188670, 138.8360400, 350.6521900", \
+					  "3.0336464, 3.1109793, 3.5947902, 6.6019301, 25.0195460, 138.8696100, 350.5007600", \
+					  "3.0327389, 3.1109797, 3.5947909, 6.6019412, 25.0194590, 138.8691700, 350.5844600", \
+					  "3.0336726, 3.1109781, 3.5947496, 6.6018822, 25.0184000, 138.8486500, 350.5360000", \
+					  "3.0337057, 3.1110010, 3.5943020, 6.6019785, 25.0180460, 138.8655700, 350.5272200");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7697730, 20.9653180, 22.1437090, 29.3389030, 73.4514170, 345.0460600, 2022.4725000", \
+					  "20.9587100, 21.1532750, 22.3299120, 29.5300830, 73.6487070, 345.0664400, 2021.6862000", \
+					  "21.1423430, 21.3221670, 22.5117830, 29.7539860, 73.8376760, 345.1174800, 2018.8595000", \
+					  "21.3336190, 21.5160440, 22.6956180, 29.9164820, 73.9661840, 345.4546800, 2019.1701000", \
+					  "21.5050560, 21.6995080, 22.8757140, 30.0797430, 74.1497670, 345.4990700, 2022.9861000", \
+					  "21.6812810, 21.8555980, 23.0524350, 30.2507640, 74.3053620, 345.7957400, 2020.2936000", \
+					  "21.8343410, 22.0340690, 23.2040860, 30.4426100, 74.5326720, 345.8743800, 2021.6050000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5272170, 13.8966780, 16.1986070, 30.4016080, 118.4781300, 660.6617800, 4003.4952000", \
+					  "13.5284130, 13.8852380, 16.2015720, 30.4177310, 118.4869200, 660.5012600, 4005.9986000", \
+					  "13.5221860, 13.8829920, 16.2029680, 30.4222530, 118.4870900, 660.7393200, 4003.8012000", \
+					  "13.5299440, 13.8791040, 16.1898030, 30.4184590, 118.3982100, 660.3481800, 4004.5984000", \
+					  "13.5312280, 13.8987040, 16.2050980, 30.3822250, 118.3203600, 660.7566000, 4004.4442000", \
+					  "13.5211220, 13.8835600, 16.1944400, 30.4283890, 118.4098800, 660.4356600, 4005.7171000", \
+					  "13.5162130, 13.8953240, 16.1999780, 30.4230740, 118.4895400, 660.4988100, 4002.7321000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7490308, 7.7540410, 7.7540415, 7.7540420, 7.7540424, 7.7540429, 7.7540434", \
+					  "7.9323108, 7.9323109, 7.9323113, 7.9323118, 7.9323123, 7.9323128, 7.9323133", \
+					  "8.0488967, 8.0488973, 8.0488983, 8.0488992, 8.0489002, 8.0489011, 8.0489021", \
+					  "8.1419032, 8.1425053, 8.1425062, 8.1425071, 8.1425081, 8.1425090, 8.1425100", \
+					  "8.2218792, 8.2245499, 8.2245508, 8.2245517, 8.2245527, 8.2245536, 8.2245546", \
+					  "8.2989420, 8.2989421, 8.2989431, 8.2989440, 8.2989450, 8.2989460, 8.2989469", \
+					  "8.3649923, 8.3649927, 8.3649936, 8.3649946, 8.3649955, 8.3649965, 8.3649974");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943723, 8.0970413, 8.1126927, 8.1126934, 8.1126943, 8.1126953, 8.1332443", \
+					  "8.2403524, 8.2426626, 8.2426630, 8.2426639, 8.2426649, 8.2426658, 8.2426668", \
+					  "8.3469214, 8.3469215, 8.3469224, 8.3469234, 8.3469244, 8.3469253, 8.4030640", \
+					  "8.4399172, 8.4399181, 8.4399190, 8.4399200, 8.4399210, 8.4399219, 8.5127994", \
+					  "8.5234815, 8.5234819, 8.5234828, 8.5234838, 8.5234848, 8.5234857, 8.5566372", \
+					  "8.5935783, 8.5935789, 8.5935798, 8.5968068, 8.5968071, 8.5968080, 8.6464595", \
+					  "8.6676248, 8.6676250, 8.6676260, 8.6676269, 8.6676279, 8.6676288, 8.6858858");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0769850, 13.1280060, 13.4478430, 15.3365360, 26.7042100, 93.3974180, 184.9966100", \
+					  "13.2599500, 13.3107250, 13.6304580, 15.5168540, 26.8847750, 93.5786420, 185.1935400", \
+					  "13.4495180, 13.5032920, 13.8198710, 15.7077600, 27.0734030, 93.7682290, 185.3721900", \
+					  "13.6408670, 13.6930700, 14.0126010, 15.8993090, 27.2675200, 93.9606080, 185.5751200", \
+					  "13.8142960, 13.8658180, 14.1821950, 16.0723540, 27.4418270, 94.1311670, 185.7344800", \
+					  "13.9822630, 14.0332710, 14.3517830, 16.2404420, 27.6079200, 94.3036300, 185.9189400", \
+					  "14.1424770, 14.1928580, 14.5106480, 16.3998880, 27.7656030, 94.4621380, 186.0809000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0545064, 3.1235985, 3.5680094, 6.3949313, 24.2851530, 97.2303980, 63.6671660", \
+					  "3.0566758, 3.1255631, 3.5681273, 6.3949304, 24.2851800, 97.2313070, 63.7305670", \
+					  "3.0555296, 3.1255849, 3.5687675, 6.3952315, 24.2850970, 97.2288630, 63.6659650", \
+					  "3.0566492, 3.1259355, 3.5683279, 6.3950987, 24.2850200, 97.2313290, 63.7313280", \
+					  "3.0567646, 3.1250247, 3.5750456, 6.3949712, 24.2851250, 97.1715930, 63.6754720", \
+					  "3.0554457, 3.1293104, 3.5680696, 6.3947039, 24.2853700, 97.1849370, 63.6712440", \
+					  "3.0567562, 3.1252263, 3.5683090, 6.3949290, 24.2858410, 97.2305470, 63.6708750");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0979206, 8.1002742, 8.1002746, 8.1143039, 8.1143041, 8.1143051, 8.1143060", \
+					  "8.2422797, 8.2443892, 8.2443901, 8.2443910, 8.2443920, 8.2443929, 8.2443939", \
+					  "8.3483620, 8.3483625, 8.3483634, 8.3483644, 8.3483654, 8.3483663, 8.4208569", \
+					  "8.4413356, 8.4413362, 8.4413372, 8.4413381, 8.4413391, 8.4413400, 8.5138083", \
+					  "8.5249043, 8.5249048, 8.5249057, 8.5249067, 8.5249076, 8.5249086, 8.5548324", \
+					  "8.5948146, 8.5948148, 8.5948158, 8.5975236, 8.5975242, 8.5975252, 8.6359000", \
+					  "8.6424574, 8.6623713, 8.6623722, 8.6638821, 8.6638828, 8.6638837, 8.7101188");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.0420640, 13.0926750, 13.4023820, 15.2214700, 20.4060730, 39.7562840, 141.6925500", \
+					  "13.2237820, 13.2741380, 13.5847190, 15.4044070, 20.5904360, 39.9384530, 141.8722500", \
+					  "13.4129860, 13.4653310, 13.7736140, 15.5977380, 20.7733580, 40.1140560, 142.0577600", \
+					  "13.6048910, 13.6561380, 13.9648470, 15.7867390, 20.9683990, 40.3210760, 142.2748900", \
+					  "13.7780190, 13.8282350, 14.1390730, 15.9593740, 21.1418350, 40.4910630, 142.4533200", \
+					  "13.9452220, 13.9973580, 14.3044940, 16.1300480, 21.3067870, 40.6610820, 142.6101100", \
+					  "14.1056340, 14.1567660, 14.4688870, 16.2896240, 21.4697580, 40.8063910, 142.7567000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0302394, 3.1004490, 3.5363605, 5.1177027, 7.0435080, 32.0683430, 98.0612430", \
+					  "3.0320935, 3.0985938, 3.5363594, 5.1161635, 7.0437061, 32.0683680, 98.0571500", \
+					  "3.0244562, 3.1004694, 3.5363226, 5.1147264, 7.0437514, 32.0554610, 98.0563540", \
+					  "3.0307714, 3.0988716, 3.5363252, 5.1162097, 7.0416522, 32.0683850, 98.1106960", \
+					  "3.0320209, 3.1006169, 3.5363139, 5.1160649, 7.0431763, 32.0682610, 98.1215540", \
+					  "3.0256930, 3.1023502, 3.5353356, 5.1137161, 7.0348842, 32.0684420, 98.0354860", \
+					  "3.0307414, 3.0993514, 3.5363235, 5.1120499, 7.0470225, 32.0553890, 98.0603120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9790950, 17.1706700, 18.3875460, 25.7255730, 70.9524670, 349.9936800, 2071.7289000", \
+					  "17.1605180, 17.3590600, 18.5528620, 25.9070050, 71.1353110, 350.1439200, 2071.6363000", \
+					  "17.3519920, 17.5513840, 18.7335210, 26.0709390, 71.3271500, 350.3335200, 2071.9074000", \
+					  "17.5400580, 17.7324410, 18.9305300, 26.2596040, 71.5189970, 350.5088600, 2071.8721000", \
+					  "17.7180690, 17.9163720, 19.1005680, 26.4616470, 71.6961280, 350.6965000, 2072.4128000", \
+					  "17.8828470, 18.0723050, 19.2611630, 26.6234610, 71.8602560, 350.8338300, 2071.8862000", \
+					  "18.0351130, 18.2420890, 19.4282240, 26.7805760, 72.0094700, 351.0358200, 2072.6006000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5924380, 14.9667710, 17.3274340, 31.9044810, 122.1126100, 678.4184700, 4109.5559000", \
+					  "14.5796180, 14.9645810, 17.3311340, 31.9044240, 121.9133200, 678.6081000, 4110.8474000", \
+					  "14.5797150, 14.9735320, 17.3244530, 31.8788370, 122.0839600, 678.9918500, 4109.9024000", \
+					  "14.5923630, 14.9611030, 17.3313380, 31.8786810, 122.1238200, 678.9230200, 4110.5513000", \
+					  "14.5923620, 14.9701960, 17.3325250, 31.9055820, 122.0866900, 678.7289000, 4108.5659000", \
+					  "14.5796100, 14.9647780, 17.3226540, 31.9043350, 122.1236800, 678.4036400, 4108.2658000", \
+					  "14.5802670, 14.9629640, 17.3311160, 31.9055720, 122.1134800, 679.0702400, 4111.5841000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5561960, 14.6064430, 14.9167650, 16.7486610, 21.8594940, 41.1116230, 84.1244940", \
+					  "14.7430320, 14.7937840, 15.1062330, 16.9289260, 22.0461640, 41.2930380, 84.3088090", \
+					  "14.9343400, 14.9839890, 15.2930400, 17.1213570, 22.2366960, 41.4844980, 84.4997810", \
+					  "15.1217070, 15.1674550, 15.4767360, 17.3050270, 22.4264360, 41.6728800, 84.6856380", \
+					  "15.2953950, 15.3391420, 15.6546350, 17.4759600, 22.5964100, 41.8414460, 84.8642970", \
+					  "15.4625490, 15.5130630, 15.8209410, 17.6522960, 22.7595740, 42.0119330, 85.0311110", \
+					  "15.6123680, 15.6711960, 15.9726740, 17.8027050, 22.9141200, 42.1717880, 85.1808650");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0281384, 3.0978566, 3.5359904, 5.1077627, 6.9853474, 29.0350570, 48.3885860", \
+					  "3.0278835, 3.0980869, 3.5363674, 5.1071911, 6.9859398, 29.0350590, 48.3989280", \
+					  "3.0278766, 3.0981231, 3.5362913, 5.1077613, 6.9859327, 29.0350360, 48.3990910", \
+					  "3.0278837, 3.0978566, 3.5360845, 5.1074180, 6.9859203, 29.0350340, 48.3885610", \
+					  "3.0277796, 3.0978138, 3.5360792, 5.1074043, 6.9851054, 29.0367000, 48.3883760", \
+					  "3.0277885, 3.0981884, 3.5360297, 5.1077569, 6.9851844, 29.0350390, 48.4017480", \
+					  "3.0280405, 3.0981044, 3.5360783, 5.1075029, 6.9853416, 29.0350370, 48.3885890");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5174420, 16.7121710, 17.9063310, 25.2307560, 70.5534970, 349.5014800, 2070.7348000", \
+					  "16.6508260, 16.8466700, 18.0393410, 25.4031160, 70.6987820, 349.6944000, 2070.3671000", \
+					  "16.7723200, 16.9610580, 18.1476560, 25.4801250, 70.7843450, 349.8202000, 2071.1293000", \
+					  "16.8576420, 17.0504530, 18.2478510, 25.6005580, 70.8937760, 349.8420500, 2071.1655000", \
+					  "16.9354520, 17.1231470, 18.3252860, 25.6782930, 70.9849320, 349.9687300, 2071.5838000", \
+					  "17.0107250, 17.2000850, 18.3929740, 25.7253130, 71.0254750, 349.9877700, 2071.3444000", \
+					  "17.0726650, 17.2692810, 18.4973350, 25.8228280, 71.1373080, 350.0503900, 2071.5245000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5806020, 14.9705310, 17.3205400, 31.8512260, 122.0305800, 678.8785100, 4109.3567000", \
+					  "14.5828710, 14.9696680, 17.3244030, 31.9200520, 122.2118300, 678.6522100, 4108.3058000", \
+					  "14.5896830, 14.9705550, 17.3217560, 31.8921530, 122.1895600, 679.1251500, 4110.4413000", \
+					  "14.5816190, 14.9644920, 17.3207010, 31.9129650, 122.0404200, 678.8847600, 4110.3467000", \
+					  "14.5778170, 14.9570380, 17.3206530, 31.9162550, 122.0413700, 678.9333600, 4111.1648000", \
+					  "14.5841770, 14.9589050, 17.3280550, 31.8860140, 122.1843300, 678.9105100, 4109.0902000", \
+					  "14.5791370, 14.9648510, 17.3247780, 31.8624590, 122.2093900, 677.9888500, 4110.2548000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2816360, 20.4768150, 21.6329070, 28.8116480, 72.8245480, 344.0856600, 2019.8195000", \
+					  "20.4670930, 20.6553550, 21.8167970, 29.0026420, 73.0207500, 344.2729100, 2018.9607000", \
+					  "20.6587970, 20.8477840, 22.0121380, 29.1851020, 73.1322980, 344.5879000, 2020.1778000", \
+					  "20.8482010, 21.0358630, 22.2001160, 29.3746700, 73.3208050, 344.7542300, 2019.2208000", \
+					  "21.0062300, 21.2060560, 22.3676030, 29.5423170, 73.5638040, 345.2816800, 2019.4000000", \
+					  "21.1869170, 21.3754170, 22.5387230, 29.7161870, 73.6649990, 345.1809800, 2020.2237000", \
+					  "21.3457160, 21.5384050, 22.7006570, 29.8860600, 73.8388050, 345.1651800, 2020.3286000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5276430, 13.9057240, 16.2101160, 30.3804380, 118.5091300, 660.8522100, 4008.1040000", \
+					  "13.5228960, 13.8934010, 16.2067530, 30.4048060, 118.1378700, 660.3827400, 4009.3146000", \
+					  "13.5322700, 13.9094980, 16.2058080, 30.3828780, 118.4259400, 660.4607300, 4009.8612000", \
+					  "13.5316090, 13.9095140, 16.2038860, 30.3809100, 118.4246200, 660.5747000, 4008.4537000", \
+					  "13.5144860, 13.9093430, 16.2097410, 30.4191980, 118.5139300, 660.8272000, 4008.0581000", \
+					  "13.5355340, 13.9104040, 16.1987950, 30.3833440, 118.4100600, 660.3396500, 4008.3555000", \
+					  "13.5256090, 13.9045480, 16.1945320, 30.3937920, 118.2475500, 660.5925500, 4006.7457000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1409484, 8.1484277, 8.1484285, 8.1484294, 8.1484304, 8.1484314, 8.1568388", \
+					  "8.2736911, 8.2896898, 8.2896905, 8.2896915, 8.2896925, 8.2896934, 8.3078540", \
+					  "8.4020106, 8.4041574, 8.4043350, 8.4043355, 8.4043365, 8.4043375, 8.4185618", \
+					  "8.4942355, 8.4960883, 8.4960886, 8.4994021, 8.4994026, 8.4994035, 8.5068317", \
+					  "8.5773557, 8.5773559, 8.5773569, 8.5773578, 8.5773588, 8.5773597, 8.5773607", \
+					  "8.6740810, 8.6740814, 8.6740823, 8.6740833, 8.6740842, 8.6740852, 8.6740861", \
+					  "8.7218170, 8.7219183, 8.7219186, 8.7219196, 8.7219205, 8.7219215, 8.7346477");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6158289, 7.6158293, 7.6158298, 7.6158302, 7.6158307, 7.6158312, 7.6158317", \
+					  "7.7478095, 7.7581328, 7.7581332, 7.7581337, 7.7581342, 7.7581347, 7.7581351", \
+					  "7.8612441, 7.8622699, 7.8622702, 7.8622706, 7.8622711, 7.8622716, 7.8622721", \
+					  "7.9527744, 7.9552978, 7.9552982, 7.9552987, 7.9552992, 7.9552997, 7.9553001", \
+					  "8.0325711, 8.0376195, 8.0376201, 8.0376211, 8.0376220, 8.0376230, 8.0376239", \
+					  "8.1034344, 8.1066878, 8.1066881, 8.1066890, 8.1066900, 8.1066909, 8.1066919", \
+					  "8.1735569, 8.1735578, 8.1735588, 8.1735597, 8.1735607, 8.1735616, 8.1735626");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9425470, 10.9953360, 11.3013650, 13.2003780, 24.8129410, 96.3393060, 416.9920100", \
+					  "11.1276860, 11.1772020, 11.4892910, 13.3816020, 25.0002010, 96.5196630, 417.1744200", \
+					  "11.3195380, 11.3697220, 11.6739140, 13.5739410, 25.1929890, 96.7126250, 417.4168600", \
+					  "11.5090310, 11.5603440, 11.8677170, 13.7610630, 25.3771710, 96.9035200, 417.5548400", \
+					  "11.6834760, 11.7277330, 12.0351660, 13.9352760, 25.5644520, 97.0832940, 417.7286700", \
+					  "11.8440070, 11.9004660, 12.2056300, 14.0963770, 25.7201030, 97.2413400, 417.8930100", \
+					  "12.0034660, 12.0508180, 12.3592150, 14.2564970, 25.8692730, 97.3970630, 418.0478200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0348708, 3.1122305, 3.5949930, 6.6021011, 25.0090900, 138.9383200, 350.6021900", \
+					  "3.0351404, 3.1121903, 3.5950032, 6.6021038, 25.0205440, 138.8597000, 350.6027900", \
+					  "3.0352656, 3.1116854, 3.5949927, 6.6021033, 25.0262550, 138.9375800, 350.5408300", \
+					  "3.0353771, 3.1117457, 3.5955490, 6.6022379, 25.0115450, 138.9184100, 350.6022500", \
+					  "3.0353173, 3.1122096, 3.5957963, 6.6015637, 25.0190020, 138.9185100, 350.6029900", \
+					  "3.0348764, 3.1121987, 3.5955503, 6.6015633, 25.0174160, 138.9373800, 350.6022500", \
+					  "3.0340017, 3.1123155, 3.5956880, 6.6022081, 25.0186450, 138.9363600, 350.5973900");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3817710, 10.3817716, 10.3838350, 10.3838354, 10.3845660, 10.3845668, 10.3882570", \
+					  "10.4620840, 10.4620843, 10.5799300, 10.5799308, 10.5799318, 10.5799327, 10.5799337", \
+					  "10.6399820, 10.6783420, 10.6783424, 10.6783433, 10.6783443, 10.6783453, 10.6905100", \
+					  "10.6731800, 10.8556530, 10.8556533, 10.8556543, 10.8556552, 10.8556562, 10.8556572", \
+					  "10.9051970, 10.9053440, 10.9053445, 10.9053455, 10.9053464, 10.9053474, 10.9727630", \
+					  "10.9260440, 10.9260450, 10.9260459, 10.9260469, 10.9268250, 10.9488830, 11.0189650", \
+					  "11.0490200, 11.0491860, 11.0493250, 11.0502800, 11.0502801, 11.0502811, 11.0502821");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.5168310, 16.7119400, 17.9090290, 25.2597910, 70.5531780, 349.5354100, 2071.1432000", \
+					  "16.6593580, 16.8444850, 18.0393960, 25.3670400, 70.7015890, 349.6768400, 2071.2016000", \
+					  "16.7692940, 16.9610760, 18.1546300, 25.4745950, 70.8131720, 349.8253700, 2071.2453000", \
+					  "16.8579570, 17.0505010, 18.2478990, 25.6005920, 70.8938900, 349.8400600, 2071.3621000", \
+					  "16.9298970, 17.1231540, 18.3253320, 25.6783220, 70.9853580, 349.9692100, 2071.4676000", \
+					  "17.0126280, 17.2016410, 18.4320520, 25.7319590, 71.0237980, 349.9897900, 2070.8464000", \
+					  "17.0744010, 17.2693310, 18.4974080, 25.8228800, 71.1373360, 350.3223200, 2071.7168000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5816680, 14.9679340, 17.3107020, 31.9154520, 122.0358900, 679.1671000, 4109.9229000", \
+					  "14.5905150, 14.9693750, 17.3224400, 31.8585550, 122.2176800, 679.1116800, 4107.8843000", \
+					  "14.5881760, 14.9707680, 17.3258620, 31.8875200, 122.2268900, 679.0036800, 4107.5855000", \
+					  "14.5816630, 14.9645290, 17.3209360, 31.9137530, 122.0412900, 678.8776000, 4109.3344000", \
+					  "14.5837200, 14.9693880, 17.3209020, 31.9169370, 122.0420100, 678.9381400, 4109.0325000", \
+					  "14.5854490, 14.9635650, 17.3238240, 31.8967960, 122.1771800, 678.9252300, 4109.8078000", \
+					  "14.5790160, 14.9648100, 17.3250570, 31.9031410, 122.2094100, 679.3019900, 4111.2635000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.2817280, 20.4727280, 21.6335310, 28.8208050, 72.8394980, 344.0877700, 2020.2824000", \
+					  "20.4634020, 20.6552940, 21.8152310, 29.0025320, 73.0203880, 344.4533500, 2019.6183000", \
+					  "20.6603960, 20.8476220, 22.0106050, 29.1829210, 73.1308210, 344.5765100, 2021.3818000", \
+					  "20.8480810, 21.0356550, 22.2000520, 29.3744660, 73.3209400, 344.7515000, 2019.4464000", \
+					  "21.0137610, 21.2066900, 22.3533350, 29.5099270, 73.6344180, 344.9906700, 2019.9343000", \
+					  "21.1870470, 21.3749050, 22.5376930, 29.7063690, 73.7289620, 345.0834600, 2020.1333000", \
+					  "21.3483130, 21.5383780, 22.6979840, 29.8813210, 73.8371280, 345.1634400, 2019.8336000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5270560, 13.9096080, 16.2089680, 30.4057470, 118.1391800, 660.6910000, 4008.9967000", \
+					  "13.5387030, 13.8938960, 16.2067800, 30.4025850, 118.1396600, 660.3016800, 4008.8312000", \
+					  "13.5323950, 13.9093600, 16.2058030, 30.4191870, 118.4270100, 660.4360600, 4007.3628000", \
+					  "13.5311920, 13.9095040, 16.2037810, 30.3811800, 118.4247600, 660.4246900, 4006.7416000", \
+					  "13.5409280, 13.8937300, 16.1895140, 30.4002480, 118.5716700, 660.3829100, 4006.6252000", \
+					  "13.5299590, 13.9103510, 16.2085720, 30.4173040, 118.5091000, 660.6073800, 4008.4433000", \
+					  "13.5254580, 13.9056420, 16.1958290, 30.3839550, 118.2321200, 660.4045100, 4007.8121000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.3994000, 10.4524560, 10.7634680, 12.6622750, 24.2764900, 95.8089000, 416.4217800", \
+					  "10.5466120, 10.5893940, 10.9051890, 12.8056040, 24.4222490, 95.9436760, 416.5311200", \
+					  "10.6508970, 10.7083670, 11.0187670, 12.9158510, 24.5469320, 96.0484600, 416.6703000", \
+					  "10.7487980, 10.7976130, 11.1098050, 13.0022540, 24.6238830, 96.1531580, 416.7405300", \
+					  "10.8183630, 10.8723890, 11.1803620, 13.0786310, 24.7010000, 96.2256480, 416.8943800", \
+					  "10.8971510, 10.9420390, 11.2613200, 13.1587980, 24.7753800, 96.3046180, 416.9795000", \
+					  "10.9665440, 11.0165130, 11.3224380, 13.2243500, 24.8384020, 96.3872040, 416.9726500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0350817, 3.1143912, 3.5950607, 6.6020451, 25.0178690, 138.9207700, 350.4676400", \
+					  "3.0339702, 3.1129728, 3.5954271, 6.6035875, 25.0200480, 138.8930300, 350.6753500", \
+					  "3.0348331, 3.1123009, 3.5961203, 6.6035510, 25.0181870, 138.8881400, 350.6931700", \
+					  "3.0352463, 3.1123155, 3.5954462, 6.6033219, 25.0140120, 138.8432800, 350.7078900", \
+					  "3.0341694, 3.1121936, 3.5956638, 6.6020172, 25.0131470, 138.8802100, 350.4750100", \
+					  "3.0349279, 3.1117142, 3.5966332, 6.6035928, 25.0138030, 138.8769800, 350.7317100", \
+					  "3.0339666, 3.1123497, 3.5951044, 6.6020336, 25.0176240, 138.9065600, 350.5977900");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.1706776, 9.1710799, 9.1737240, 9.1737247, 9.1737257, 9.1737266, 9.1737276", \
+					  "9.3265324, 9.3323118, 9.3323122, 9.3323131, 9.3323141, 9.3323150, 9.3363983", \
+					  "9.4286525, 9.4495558, 9.4673860, 9.4673868, 9.4673877, 9.4673887, 9.4673896", \
+					  "9.5015844, 9.5015880, 9.5015884, 9.5015893, 9.5076995, 9.5077005, 9.5560786", \
+					  "9.5959889, 9.6397059, 9.6397062, 9.6397071, 9.6397081, 9.6397090, 9.6538306", \
+					  "9.6994732, 9.6994739, 9.6994749, 9.6994758, 9.6994768, 9.6994777, 9.6994787", \
+					  "9.7420658, 9.7424246, 9.7424691, 9.7424694, 9.7424703, 9.7424713, 9.7659294");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5717070, 10.6227590, 10.9366870, 12.9019660, 19.2503060, 39.3467130, 160.9738400", \
+					  "10.7116350, 10.7628340, 11.0775380, 13.0404500, 19.3940210, 39.4874000, 161.1135600", \
+					  "10.8206170, 10.8718460, 11.1866600, 13.1501940, 19.4979130, 39.5996980, 161.2217500", \
+					  "10.9126060, 10.9636500, 11.2778060, 13.2425510, 19.5914320, 39.6859220, 161.3041100", \
+					  "10.9895420, 11.0405620, 11.3547830, 13.3198390, 19.6730170, 39.7669420, 161.3810800", \
+					  "11.0644850, 11.1156920, 11.4303150, 13.3946360, 19.7412810, 39.8368700, 161.4650100", \
+					  "11.1338650, 11.1849520, 11.4985350, 13.4630400, 19.8092390, 39.9034900, 161.5249200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0905518, 3.1744141, 3.7005818, 5.6414984, 8.4199332, 33.4703320, 200.3601200", \
+					  "3.0900811, 3.1742495, 3.7003522, 5.6412546, 8.4192937, 33.4692340, 200.3598600", \
+					  "3.0899703, 3.1742138, 3.7002408, 5.6411129, 8.4183682, 33.4703750, 200.2559000", \
+					  "3.0904983, 3.1742686, 3.7002206, 5.6418958, 8.4138838, 33.4662360, 200.3647900", \
+					  "3.0894891, 3.1743623, 3.7004073, 5.6416161, 8.4115923, 33.4711810, 200.3648700", \
+					  "3.0899783, 3.1742036, 3.7002521, 5.6418030, 8.4193328, 33.4716790, 200.2809100", \
+					  "3.0906684, 3.1743774, 3.7002392, 5.6405538, 8.4181124, 33.4646300, 200.3641100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3430100, 13.3943620, 13.7098280, 15.5415790, 20.5202730, 39.7652860, 82.7232400", \
+					  "13.5260150, 13.5770950, 13.8887010, 15.7224120, 20.6999430, 39.9437210, 82.8675300", \
+					  "13.7154030, 13.7665030, 14.0822790, 15.9132120, 20.8887200, 40.1266220, 83.0950100", \
+					  "13.9062820, 13.9573900, 14.2688490, 16.1048900, 21.0857130, 40.3261210, 83.2894290", \
+					  "14.0775200, 14.1286640, 14.4402930, 16.2807810, 21.2522600, 40.4987870, 83.4658640", \
+					  "14.2471330, 14.2982190, 14.6097100, 16.4511760, 21.4242170, 40.6542920, 83.6230920", \
+					  "14.4107140, 14.4616200, 14.7733940, 16.6106060, 21.5838030, 40.8286520, 83.7672470");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("2.9764897, 3.0537348, 3.4969467, 4.9724012, 6.9018347, 29.0070510, 48.4102710", \
+					  "2.9787872, 3.0534055, 3.4958889, 4.9708722, 6.9017183, 29.0084880, 48.3743920", \
+					  "2.9790075, 3.0541882, 3.4968184, 4.9705213, 6.8866517, 29.0101880, 48.4177280", \
+					  "2.9791600, 3.0542364, 3.4965739, 4.9711071, 6.9043966, 29.0090560, 48.3971510", \
+					  "2.9785174, 3.0540837, 3.4952947, 4.9730380, 6.8920101, 29.0081520, 48.4698820", \
+					  "2.9790412, 3.0542064, 3.4964853, 4.9726632, 6.8878458, 29.0020520, 48.3808620", \
+					  "2.9797254, 3.0543783, 3.4955856, 4.9672186, 6.8941719, 29.0079760, 48.2852120");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("9.2285743, 9.2285753, 9.2366568, 9.2366577, 9.2366586, 9.2366596, 9.2930090", \
+					  "9.3539230, 9.3706021, 9.3727159, 9.3727165, 9.3993160, 9.3993164, 9.4387991", \
+					  "9.4948964, 9.4948965, 9.4948974, 9.5125925, 9.5125928, 9.5125938, 9.5125947", \
+					  "9.6028501, 9.6028505, 9.6028514, 9.6028524, 9.6028533, 9.6028543, 9.6596073", \
+					  "9.6737249, 9.6737257, 9.6737266, 9.6737276, 9.6737285, 9.6737295, 9.7344888", \
+					  "9.7434718, 9.7434726, 9.7434736, 9.7434745, 9.7493009, 9.7493015, 9.7657935", \
+					  "9.8425632, 9.8426023, 9.8426033, 9.8426042, 9.8426052, 9.8426061, 9.8788489");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0610567, 8.0726590, 8.1508889, 8.1508890, 8.1508899, 8.1508909, 8.1508918", \
+					  "8.2570839, 8.2985077, 8.2989180, 8.2989183, 8.2989193, 8.2989202, 8.3184437", \
+					  "8.4075680, 8.4143075, 8.4143081, 8.4143091, 8.4143100, 8.4143110, 8.4409750", \
+					  "8.5057632, 8.5072589, 8.5072599, 8.5072608, 8.5072618, 8.5072628, 8.5127398", \
+					  "8.5758742, 8.5758911, 8.5908960, 8.5908962, 8.5908971, 8.5908981, 8.5908990", \
+					  "8.5971674, 8.6608853, 8.6608854, 8.6608863, 8.6608873, 8.6608882, 8.6976524", \
+					  "8.7297876, 8.7299883, 8.7299886, 8.7299896, 8.7299905, 8.7299915, 8.7651237");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6162818, 7.6162823, 7.6162828, 7.6162832, 7.6162837, 7.6162842, 7.6162847", \
+					  "7.7477909, 7.7546245, 7.7546247, 7.7546251, 7.7546256, 7.7546261, 7.7546266", \
+					  "7.8611908, 7.8611911, 7.8611916, 7.8611920, 7.8611925, 7.8611930, 7.8611935", \
+					  "7.9527842, 7.9534299, 7.9534300, 7.9534305, 7.9534309, 7.9534314, 7.9534319", \
+					  "8.0325497, 8.0325504, 8.0325513, 8.0325523, 8.0325532, 8.0325542, 8.0325552", \
+					  "8.1067455, 8.1067462, 8.1067472, 8.1067482, 8.1067491, 8.1067501, 8.1067510", \
+					  "8.1732496, 8.1732498, 8.1732507, 8.1732517, 8.1732526, 8.1732536, 8.1732545");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9400520, 10.9906100, 11.3017310, 13.2403060, 19.7013690, 39.7910010, 161.4111200", \
+					  "11.1218960, 11.1729950, 11.4854270, 13.4247260, 19.8840110, 39.9736140, 161.5852300", \
+					  "11.3109370, 11.3637590, 11.6757220, 13.6151290, 20.0718060, 40.1632190, 161.7856200", \
+					  "11.5017880, 11.5524040, 11.8625540, 13.8064060, 20.2660270, 40.3515550, 161.9721100", \
+					  "11.6748700, 11.7250720, 12.0360320, 13.9722900, 20.4379320, 40.5291910, 162.1403100", \
+					  "11.8366120, 11.8940050, 12.2013890, 14.1411200, 20.6026060, 40.6981610, 162.3070000", \
+					  "11.9965830, 12.0456210, 12.3595170, 14.3049650, 20.7570600, 40.8496740, 162.4621700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866294, 3.1721887, 3.6959569, 5.7388174, 8.5390344, 33.4677640, 200.1974600", \
+					  "3.0869909, 3.1720815, 3.6959618, 5.7385581, 8.5397325, 33.4724780, 200.3644500", \
+					  "3.0871463, 3.1721850, 3.6959631, 5.7395098, 8.5345029, 33.4612480, 200.3558600", \
+					  "3.0866081, 3.1721936, 3.6959635, 5.7397260, 8.5381959, 33.4677670, 200.3415900", \
+					  "3.0869902, 3.1709624, 3.6959669, 5.7385567, 8.5395806, 33.4677420, 200.3646000", \
+					  "3.0867109, 3.1721834, 3.6958783, 5.7385585, 8.5373433, 33.4774660, 200.3608700", \
+					  "3.0870964, 3.1720286, 3.6959638, 5.7389991, 8.5395753, 33.4672380, 200.3645100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6276970, 21.8125070, 22.9758010, 30.1222800, 74.1162940, 345.7091100, 2021.4877000", \
+					  "21.8106870, 21.9991830, 23.1586980, 30.3026300, 74.3629020, 345.9092600, 2021.0598000", \
+					  "22.0003700, 22.1868090, 23.3486990, 30.4888170, 74.4877230, 346.0391700, 2020.3343000", \
+					  "22.1854040, 22.3762000, 23.5425300, 30.6791850, 74.7960480, 346.3116200, 2022.2035000", \
+					  "22.3641890, 22.5463750, 23.6981690, 30.8559900, 74.9741820, 346.4256200, 2022.2376000", \
+					  "22.5310650, 22.7184000, 23.8791530, 31.0234720, 75.0748800, 346.5998500, 2020.8133000", \
+					  "22.6816150, 22.8746040, 24.0295820, 31.1780080, 75.2960570, 346.7671700, 2020.9643000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5221110, 13.8822370, 16.1851400, 30.4195990, 118.1519300, 660.7911000, 4003.4311000", \
+					  "13.5191510, 13.8976570, 16.1808060, 30.3600420, 118.1450400, 660.7300500, 4006.8312000", \
+					  "13.5219020, 13.9000720, 16.1857510, 30.3798620, 118.1537600, 661.1900300, 4007.0167000", \
+					  "13.5333180, 13.8994930, 16.2118750, 30.3792010, 118.5083200, 660.7287400, 4006.1855000", \
+					  "13.5188700, 13.8829750, 16.1963990, 30.4120360, 118.4643700, 661.0084100, 4006.3937000", \
+					  "13.5213800, 13.9004190, 16.1866730, 30.4187820, 118.1466000, 660.6483700, 4006.4247000", \
+					  "13.5332450, 13.8831300, 16.1872120, 30.4172580, 118.4660100, 660.7762700, 4004.0582000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7497093, 7.7666984, 7.7893256, 7.7893260, 7.7893265, 7.7893270, 7.7893274", \
+					  "7.9324352, 7.9324353, 7.9324358, 7.9324363, 7.9324368, 7.9324372, 7.9324377", \
+					  "8.0478157, 8.0478158, 8.0478168, 8.0478178, 8.0478187, 8.0478197, 8.0478206", \
+					  "8.1420009, 8.1427212, 8.1427217, 8.1427227, 8.1427236, 8.1427246, 8.1427255", \
+					  "8.2220284, 8.2246565, 8.2246566, 8.2246576, 8.2246585, 8.2246595, 8.2246604", \
+					  "8.2992857, 8.2992864, 8.2992874, 8.2992883, 8.2992893, 8.2992902, 8.2992912", \
+					  "8.3650971, 8.3650976, 8.3650985, 8.3650995, 8.3651004, 8.3651014, 8.3651023");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0943670, 8.0943676, 8.0943685, 8.0943695, 8.0943704, 8.0943714, 8.0943723", \
+					  "8.2202165, 8.2202173, 8.2566913, 8.2566915, 8.2566925, 8.2566934, 8.2566944", \
+					  "8.3672296, 8.3672300, 8.3672309, 8.3811269, 8.3811279, 8.3811288, 8.4046068", \
+					  "8.4614573, 8.4614578, 8.4614587, 8.4614597, 8.4614606, 8.4614616, 8.4835898", \
+					  "8.5226839, 8.5226846, 8.5409209, 8.5607255, 8.5607257, 8.5607267, 8.6138936", \
+					  "8.6143643, 8.6143652, 8.6143661, 8.6143671, 8.6143680, 8.6143690, 8.6366692", \
+					  "8.6600682, 8.6600690, 8.6600700, 8.6600709, 8.6600719, 8.6600729, 8.7563095");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4934190, 14.5468340, 14.8652760, 16.7552820, 28.1429530, 94.8143040, 186.4180600", \
+					  "14.6756100, 14.7288840, 15.0522150, 16.9371680, 28.3272230, 95.0006150, 186.6052100", \
+					  "14.8683970, 14.9203160, 15.2377200, 17.1251830, 28.4917030, 95.1891010, 186.7849700", \
+					  "15.0571340, 15.1091180, 15.4261650, 17.3161200, 28.7048640, 95.3792070, 186.9675000", \
+					  "15.2325100, 15.2829890, 15.6027420, 17.4922650, 28.8744810, 95.5532540, 187.1656800", \
+					  "15.3982110, 15.4486610, 15.7701000, 17.6524270, 29.0225530, 95.7182210, 187.3302200", \
+					  "15.5520560, 15.6042060, 15.9217420, 17.8163670, 29.2100300, 95.8700000, 187.4989100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0563714, 3.1230392, 3.5683581, 6.3923418, 24.3046220, 97.2252890, 63.6743560", \
+					  "3.0561818, 3.1230871, 3.5683345, 6.3924515, 24.3041570, 97.3004360, 63.6266860", \
+					  "3.0582601, 3.1257226, 3.5681924, 6.3936274, 24.2865620, 97.2390670, 63.6678550", \
+					  "3.0564105, 3.1234002, 3.5679866, 6.3937815, 24.3047960, 97.1964340, 63.7853650", \
+					  "3.0563878, 3.1261287, 3.5679624, 6.3923311, 24.3055740, 97.2217080, 63.6733830", \
+					  "3.0528022, 3.1294234, 3.5683425, 6.3954451, 24.2827340, 97.2218640, 63.7259770", \
+					  "3.0561011, 3.1261440, 3.5683418, 6.3930017, 24.3057020, 97.1826850, 63.6976130");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1196569, 8.1212752, 8.1427745, 8.1427751, 8.1427761, 8.1427770, 8.1427780", \
+					  "8.2680858, 8.2681249, 8.2681251, 8.2681261, 8.2681270, 8.2681280, 8.2861904", \
+					  "8.3832212, 8.3848057, 8.3848062, 8.3848072, 8.3848081, 8.3848091, 8.3992715", \
+					  "8.4781204, 8.4781213, 8.4808933, 8.4811074, 8.4811082, 8.4811092, 8.4875245", \
+					  "8.5965288, 8.5965295, 8.5965305, 8.5965314, 8.5965324, 8.5965333, 8.6163148", \
+					  "8.6321608, 8.6321617, 8.6321626, 8.6321636, 8.6321645, 8.6321655, 8.6441707", \
+					  "8.6989234, 8.6989989, 8.6989990, 8.6989999, 8.6990009, 8.6990018, 8.7128331");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.0931297, 8.1239859, 8.1239868, 8.1239877, 8.1239887, 8.1239896, 8.1962668", \
+					  "8.2221847, 8.2255855, 8.2609510, 8.2609516, 8.2609525, 8.2609535, 8.2609544", \
+					  "8.3724361, 8.3779419, 8.3779426, 8.3848803, 8.3848806, 8.3848815, 8.4092733", \
+					  "8.4656042, 8.4744016, 8.4744020, 8.4744030, 8.4744039, 8.4744049, 8.4850180", \
+					  "8.5253955, 8.5253959, 8.5450766, 8.5665457, 8.5665460, 8.5665470, 8.6289518", \
+					  "8.6177874, 8.6217780, 8.6217781, 8.6217790, 8.6217800, 8.6217809, 8.6398586", \
+					  "8.6549541, 8.6629157, 8.6629158, 8.6629167, 8.6629177, 8.6629186, 8.7421546");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.7637345, 7.7980244, 7.7980245, 7.7980249, 7.7980254, 7.7980259, 7.7980264", \
+					  "7.9470892, 7.9470895, 7.9470900, 7.9470904, 7.9470909, 7.9470914, 7.9470919", \
+					  "8.0635836, 8.0635839, 8.0635849, 8.0635858, 8.0635868, 8.0635877, 8.0635887", \
+					  "8.1565315, 8.1575582, 8.1575590, 8.1575599, 8.1575609, 8.1575618, 8.1575628", \
+					  "8.2367011, 8.2374934, 8.2374940, 8.2374950, 8.2374959, 8.2374969, 8.2374979", \
+					  "8.3136378, 8.3136383, 8.3136392, 8.3136402, 8.3136411, 8.3136421, 8.3136430", \
+					  "8.3798106, 8.3798109, 8.3798118, 8.3798128, 8.3798137, 8.3798147, 8.3798156");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.6115549, 7.6167508, 7.6213693, 7.6213697, 7.6213701, 7.6213706, 7.6213711", \
+					  "7.7485911, 7.7593132, 7.7593134, 7.7593139, 7.7593143, 7.7593148, 7.7593153", \
+					  "7.8617894, 7.8629396, 7.8664044, 7.8664048, 7.8664053, 7.8664058, 7.8664063", \
+					  "7.9535374, 7.9561477, 7.9589215, 7.9589217, 7.9589222, 7.9589227, 7.9589232", \
+					  "8.0332221, 8.0389926, 8.0389934, 8.0389944, 8.0389953, 8.0389963, 8.0389972", \
+					  "8.1049878, 8.1102112, 8.1102119, 8.1102129, 8.1102138, 8.1102148, 8.1102157", \
+					  "8.1741929, 8.1775484, 8.1775489, 8.1775499, 8.1775508, 8.1775518, 8.1775528");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4026580, 10.4511630, 10.7644420, 12.7047740, 19.1656860, 39.2502420, 160.8687200", \
+					  "10.5405090, 10.5903710, 10.9029990, 12.8418420, 19.3047620, 39.3925250, 160.9979000", \
+					  "10.6516750, 10.7041250, 11.0125330, 12.9520310, 19.4153830, 39.5044600, 161.1211400", \
+					  "10.7454260, 10.7920970, 11.1049050, 13.0469440, 19.5040040, 39.5938250, 161.2160800", \
+					  "10.8198150, 10.8715210, 11.1854850, 13.1220890, 19.5834920, 39.6696680, 161.2870600", \
+					  "10.8933570, 10.9441090, 11.2543260, 13.1956130, 19.6522730, 39.7459170, 161.3594800", \
+					  "10.9615210, 11.0114530, 11.3214040, 13.2632650, 19.7235740, 39.8129960, 161.4335500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0868088, 3.1718670, 3.6958787, 5.7392211, 8.5382110, 33.4764110, 200.2080100", \
+					  "3.0869824, 3.1709835, 3.6958736, 5.7389018, 8.5403142, 33.4686630, 200.3670800", \
+					  "3.0867762, 3.1719636, 3.6958805, 5.7390133, 8.5403213, 33.4719990, 200.3610400", \
+					  "3.0869007, 3.1710901, 3.6958798, 5.7388121, 8.5416749, 33.4598200, 200.3663900", \
+					  "3.0868073, 3.1718372, 3.6960732, 5.7395551, 8.5403498, 33.4705030, 200.2438000", \
+					  "3.0866809, 3.1720764, 3.6959056, 5.7398157, 8.5378140, 33.4693130, 200.3613000", \
+					  "3.0867024, 3.1721055, 3.6959451, 5.7387943, 8.5402982, 33.4604630, 200.3665400");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5121930, 10.5476120, 10.5476127, 10.5476137, 10.5476146, 10.5476156, 10.5539540", \
+					  "10.6677630, 10.6677633, 10.6677642, 10.6677652, 10.6677661, 10.6677671, 10.7004390", \
+					  "10.7852370, 10.7852378, 10.7852388, 10.7852397, 10.7852407, 10.7852417, 10.8160990", \
+					  "10.8758530, 10.8758630, 10.8758636, 10.8758646, 10.8758655, 10.8758665, 10.9118800", \
+					  "10.9565140, 10.9565149, 10.9565158, 10.9565168, 10.9565177, 10.9565187, 10.9809010", \
+					  "11.0280140, 11.0291630, 11.0291639, 11.0299460, 11.0299469, 11.0299478, 11.0580710", \
+					  "11.0983640, 11.0995860, 11.0995861, 11.0995870, 11.0995880, 11.0995889, 11.1217060");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9391210, 10.9923010, 11.3016980, 13.2412820, 19.7003050, 39.7950790, 161.4114500", \
+					  "11.1212460, 11.1723420, 11.4842260, 13.4253960, 19.8804420, 39.9796890, 161.5924400", \
+					  "11.3129840, 11.3641570, 11.6748820, 13.6154260, 20.0751630, 40.1620000, 161.7750600", \
+					  "11.5042700, 11.5528950, 11.8624350, 13.8050730, 20.2624190, 40.3553740, 161.9692800", \
+					  "11.6791230, 11.7296440, 12.0381780, 13.9746560, 20.4356410, 40.5290170, 162.1348400", \
+					  "11.8405310, 11.8892640, 12.2028530, 14.1424040, 20.5979570, 40.7043430, 162.3107800", \
+					  "11.9961430, 12.0459030, 12.3585660, 14.2975400, 20.7579110, 40.8491520, 162.4671900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0871059, 3.1712413, 3.6961838, 5.7389333, 8.5405878, 33.4750290, 200.3624600", \
+					  "3.0871388, 3.1712282, 3.6962238, 5.7398672, 8.5377332, 33.4605090, 200.3618400", \
+					  "3.0871423, 3.1724576, 3.6960468, 5.7390057, 8.5405887, 33.4603070, 200.2490600", \
+					  "3.0871439, 3.1712442, 3.6962147, 5.7389324, 8.5405745, 33.4807520, 200.3614400", \
+					  "3.0871123, 3.1710672, 3.6961669, 5.7398521, 8.5405727, 33.4676070, 200.2552700", \
+					  "3.0871423, 3.1711638, 3.6960595, 5.7389329, 8.5405203, 33.4721480, 200.2507200", \
+					  "3.0871405, 3.1712299, 3.6962231, 5.7389311, 8.5405780, 33.4606870, 200.3617000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5555540, 14.6056850, 14.9158390, 16.7392930, 21.8591760, 41.0925730, 84.1162380", \
+					  "14.7425010, 14.7874460, 15.1017210, 16.9339900, 22.0461690, 41.2995540, 84.2430620", \
+					  "14.9322780, 14.9833110, 15.2927680, 17.1151950, 22.2319920, 41.4804580, 84.4337930", \
+					  "15.1252040, 15.1718460, 15.4755800, 17.3052270, 22.4180800, 41.6706350, 84.6793570", \
+					  "15.2914340, 15.3428910, 15.6504040, 17.4799460, 22.5979610, 41.8242760, 84.8412540", \
+					  "15.4624940, 15.5113660, 15.8239750, 17.6449240, 22.7590660, 41.9975450, 84.9822190", \
+					  "15.6132960, 15.6633750, 15.9706080, 17.8007560, 22.9232770, 42.1667180, 85.1671730");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0245531, 3.0991796, 3.5347092, 5.1070357, 6.9833139, 29.0487860, 48.3949630", \
+					  "3.0257670, 3.0984515, 3.5328078, 5.1051821, 6.9836257, 29.0287830, 48.3475800", \
+					  "3.0270038, 3.0964800, 3.5327361, 5.1070397, 6.9830093, 29.0347440, 48.3298340", \
+					  "3.0270035, 3.0965071, 3.5362526, 5.1067559, 6.9846511, 29.0347120, 48.3973890", \
+					  "3.0268701, 3.0986069, 3.5298271, 5.1080082, 6.9958288, 29.0396830, 48.2975620", \
+					  "3.0269416, 3.0963136, 3.5326777, 5.1078439, 6.9827220, 29.0287120, 48.4312000", \
+					  "3.0270497, 3.0991778, 3.5363883, 5.1077857, 6.9956418, 29.0342540, 48.3809470");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9447920, 10.9931510, 11.3007590, 13.1983030, 24.8146500, 96.3420700, 416.9865200", \
+					  "11.1269260, 11.1767450, 11.4825390, 13.3819200, 24.9966070, 96.5228820, 417.1386100", \
+					  "11.3189840, 11.3691860, 11.6740610, 13.5732810, 25.1925720, 96.7171370, 417.4043800", \
+					  "11.5059550, 11.5580640, 11.8619890, 13.7626950, 25.3749160, 96.9019070, 417.5709000", \
+					  "11.6801450, 11.7230000, 12.0446590, 13.9357320, 25.5483440, 97.0808780, 417.7050500", \
+					  "11.8495360, 11.8942110, 12.2014350, 14.0990450, 25.7187320, 97.2510820, 417.8938900", \
+					  "11.9952900, 12.0533140, 12.3571130, 14.2590330, 25.8758690, 97.3992510, 418.0496400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0354939, 3.1125207, 3.5952930, 6.6021451, 25.0096920, 138.9284000, 350.5505200", \
+					  "3.0354519, 3.1125058, 3.5952956, 6.6023391, 25.0156070, 138.6897600, 350.7287500", \
+					  "3.0356249, 3.1119938, 3.5958962, 6.6023329, 25.0176380, 138.9275300, 350.6285700", \
+					  "3.0342238, 3.1125222, 3.5958736, 6.6021415, 25.0136130, 138.9048900, 350.6136700", \
+					  "3.0354936, 3.1125176, 3.5963310, 6.6022192, 25.0147830, 138.9284800, 350.4924300", \
+					  "3.0354614, 3.1125684, 3.5960561, 6.6021473, 25.0170850, 138.8260800, 350.6442000", \
+					  "3.0353358, 3.1125746, 3.5958909, 6.6021459, 25.0210800, 138.9293900, 350.6186500");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5693570, 14.6271550, 14.9364360, 16.8188450, 28.2054400, 98.0702240, 525.1722500", \
+					  "14.7546680, 14.8088390, 15.1252630, 17.0100840, 28.3797950, 98.2470000, 525.3704700", \
+					  "14.9462910, 14.9993550, 15.3167910, 17.2008420, 28.5706610, 98.4429530, 525.5610600", \
+					  "15.1295850, 15.1825720, 15.4957290, 17.3842590, 28.7725740, 98.6373930, 525.7180100", \
+					  "15.3039020, 15.3529860, 15.6788360, 17.5612590, 28.9300650, 98.8083850, 525.9211700", \
+					  "15.4766480, 15.5305100, 15.8445080, 17.7343530, 29.1002480, 99.0373440, 526.1009600", \
+					  "15.6240760, 15.6788110, 15.9988540, 17.8815970, 29.2540020, 99.1343680, 526.2301700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0565137, 3.1299818, 3.5780330, 6.3920740, 24.3069260, 135.3929100, 504.1801500", \
+					  "3.0530989, 3.1299803, 3.5777652, 6.3795964, 24.3035260, 135.3893300, 504.2467100", \
+					  "3.0569052, 3.1299809, 3.5777692, 6.3795764, 24.3035250, 135.3930200, 504.2476800", \
+					  "3.0565797, 3.1298988, 3.5657852, 6.3826895, 24.2997710, 135.4024700, 504.1836200", \
+					  "3.0567098, 3.1222678, 3.5771006, 6.3884560, 24.2947170, 135.3984700, 504.2468800", \
+					  "3.0569836, 3.1299794, 3.5777650, 6.3780714, 24.3032630, 135.4421600, 504.1916300", \
+					  "3.0502258, 3.1298997, 3.5777015, 6.3814771, 24.3035970, 135.4024900, 504.1931700");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1402565, 8.1448963, 8.1448970, 8.1448980, 8.1448989, 8.1448999, 8.1591551", \
+					  "8.2921652, 8.2921653, 8.2921663, 8.2921672, 8.2921682, 8.2921691, 8.3095024", \
+					  "8.3935321, 8.3935323, 8.3935333, 8.3935342, 8.3935352, 8.3935361, 8.3935371", \
+					  "8.4859852, 8.4862677, 8.4862686, 8.4862695, 8.4862705, 8.4862714, 8.4862724", \
+					  "8.5658103, 8.5658107, 8.5658117, 8.5658126, 8.5658136, 8.5658145, 8.5658155", \
+					  "8.6337142, 8.6337152, 8.6337162, 8.6337171, 8.6337181, 8.6337190, 8.6672847", \
+					  "8.7022398, 8.7023109, 8.7023111, 8.7023120, 8.7023130, 8.7023139, 8.7373939");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("8.1039655, 8.1039663, 8.1039672, 8.1118827, 8.1118837, 8.1118846, 8.1670049", \
+					  "8.2428535, 8.2428537, 8.2428546, 8.2428556, 8.2428566, 8.2428575, 8.3639744", \
+					  "8.3486746, 8.3497618, 8.3497625, 8.3497634, 8.3497644, 8.3497654, 8.4155403", \
+					  "8.4416332, 8.4416338, 8.4416347, 8.4416357, 8.4416366, 8.4416376, 8.4959035", \
+					  "8.5256993, 8.5257001, 8.5257011, 8.5257020, 8.5257030, 8.5257040, 8.5606233", \
+					  "8.5960945, 8.6001650, 8.6001659, 8.6001668, 8.6001678, 8.6001688, 8.6421315", \
+					  "8.6436369, 8.6655216, 8.6655221, 8.6658716, 8.6658721, 8.6658731, 8.7181258");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1636221, 7.1642319, 7.1642321, 7.1739117, 7.1739119, 7.1739123, 7.1739128", \
+					  "7.3434552, 7.3449340, 7.3449343, 7.3449348, 7.3449352, 7.3449357, 7.3449362", \
+					  "7.5462010, 7.5462015, 7.5462020, 7.5462025, 7.5462029, 7.5462034, 7.6321918", \
+					  "7.7119671, 7.7469426, 7.7476603, 7.7676088, 7.7676089, 7.7676094, 7.7676099", \
+					  "7.8849043, 7.9044788, 7.9044793, 7.9063840, 7.9063843, 7.9063848, 7.9382838", \
+					  "8.0905087, 8.0905090, 8.0905099, 8.0905109, 8.0905118, 8.0905128, 8.1493940", \
+					  "8.2508373, 8.2548182, 8.2552933, 8.2552934, 8.2552944, 8.2552953, 8.2921430");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.4587000, 14.5099630, 14.8172200, 16.6435590, 21.8172130, 41.1738380, 143.1023300", \
+					  "14.6468720, 14.6938950, 14.9978680, 16.8238900, 21.9983460, 41.3474410, 143.2775000", \
+					  "14.8314510, 14.8856260, 15.1893250, 17.0140220, 22.1900150, 41.5334180, 143.4799700", \
+					  "15.0221760, 15.0712460, 15.3787630, 17.2061800, 22.3828940, 41.7366480, 143.6685900", \
+					  "15.1948550, 15.2462260, 15.5495970, 17.3846360, 22.5578950, 41.9118070, 143.8435500", \
+					  "15.3562780, 15.4124910, 15.7145640, 17.5474870, 22.7202860, 42.0673060, 144.0294100", \
+					  "15.5246290, 15.5662010, 15.8833200, 17.7119780, 22.8816650, 42.2339280, 144.1674200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0314196, 3.0991110, 3.5360792, 5.1164166, 7.0394566, 32.0686590, 98.0524250", \
+					  "3.0314129, 3.1005847, 3.5360770, 5.1161368, 7.0399819, 32.0563630, 98.0647530", \
+					  "3.0286631, 3.1011700, 3.5360779, 5.1166751, 7.0400015, 32.0597520, 98.0670550", \
+					  "3.0314729, 3.1025031, 3.5360788, 5.1164086, 7.0466672, 32.0680830, 98.0768530", \
+					  "3.0313889, 3.1008252, 3.5360648, 5.1160804, 7.0462916, 32.0694690, 98.0592600", \
+					  "3.0314062, 3.1024892, 3.5360810, 5.1127915, 7.0409314, 32.0625550, 98.1085720", \
+					  "3.0308551, 3.1021030, 3.5360668, 5.1099192, 7.0394965, 32.0684420, 98.0587770");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("7.1606121, 7.1606124, 7.1834978, 7.1834982, 7.1834987, 7.1834991, 7.1834996", \
+					  "7.3452195, 7.3452795, 7.3452800, 7.3452805, 7.3452809, 7.3452814, 7.3452819", \
+					  "7.5241608, 7.5372695, 7.5372699, 7.5372704, 7.5372708, 7.5372713, 7.6027202", \
+					  "7.7168218, 7.7168220, 7.7168224, 7.7399793, 7.7399796, 7.7399800, 7.7399805", \
+					  "7.8954114, 7.8954118, 7.8954123, 7.8954127, 7.8954132, 7.8954137, 7.9109688", \
+					  "8.0606570, 8.0606571, 8.0606580, 8.0606590, 8.0606599, 8.0606609, 8.1228801", \
+					  "8.2197573, 8.2197576, 8.2197586, 8.2197595, 8.2197605, 8.2197614, 8.2958396");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9765620, 17.1696990, 18.3973230, 25.6931450, 70.9294670, 349.9585300, 2071.8737000", \
+					  "17.1622220, 17.3578480, 18.5506220, 25.8785030, 71.1353390, 350.1410800, 2072.2771000", \
+					  "17.3540670, 17.5454210, 18.7413160, 26.0678550, 71.3521620, 350.3563200, 2072.4372000", \
+					  "17.5446700, 17.7305650, 18.9245950, 26.2576340, 71.5166020, 350.5227300, 2071.7907000", \
+					  "17.7191830, 17.9046640, 19.0982800, 26.4645110, 71.6630240, 350.7195700, 2072.3655000", \
+					  "17.8770860, 18.0777380, 19.2718610, 26.6278820, 71.8312450, 350.8609700, 2072.5381000", \
+					  "18.0354650, 18.2254990, 19.4454600, 26.7948380, 72.0129520, 351.0190800, 2072.3298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5824030, 14.9651540, 17.3119300, 31.8935880, 122.1295900, 678.8287100, 4111.4836000", \
+					  "14.5790210, 14.9649500, 17.3212820, 31.9009600, 122.0200800, 678.1102700, 4111.5773000", \
+					  "14.5873890, 14.9672700, 17.3216890, 31.8889630, 121.9722200, 679.1221400, 4111.4213000", \
+					  "14.5867990, 14.9559990, 17.3273320, 31.8955950, 122.0444800, 678.6596000, 4110.2330000", \
+					  "14.5790600, 14.9558450, 17.3273000, 31.8966120, 122.1559000, 679.0693900, 4110.7201000", \
+					  "14.5823720, 14.9644810, 17.3215450, 31.8741580, 121.9583800, 678.7818200, 4109.4963000", \
+					  "14.5819370, 14.9630350, 17.3213090, 31.9080620, 122.0464400, 678.2261200, 4110.7983000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6286470, 21.8226680, 22.9832860, 30.1682480, 74.1019970, 345.4591400, 2020.8936000", \
+					  "21.8112320, 22.0040770, 23.1677450, 30.3547870, 74.2824540, 345.6426600, 2020.6994000", \
+					  "22.0024350, 22.1952550, 23.3559380, 30.5464490, 74.4810510, 345.8319200, 2022.3667000", \
+					  "22.1843610, 22.3773460, 23.5395100, 30.7339220, 74.6658200, 346.0247600, 2020.8697000", \
+					  "22.3638190, 22.5409970, 23.7187030, 30.9077990, 74.8555420, 346.1933600, 2022.1437000", \
+					  "22.5279420, 22.7172630, 23.8854620, 31.0735970, 75.0021200, 346.3569600, 2020.8936000", \
+					  "22.6867380, 22.8596950, 24.0416660, 31.2259660, 75.1776530, 346.5339400, 2021.8697000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5301570, 13.9029910, 16.2085170, 30.3983970, 118.4831400, 660.4608400, 4008.2327000", \
+					  "13.5424930, 13.9029660, 16.2058810, 30.4009050, 118.4822400, 660.3754600, 4009.0526000", \
+					  "13.5423430, 13.9003360, 16.2086240, 30.4007340, 118.4486600, 660.4419700, 4008.3783000", \
+					  "13.5444820, 13.9031680, 16.2058530, 30.4005670, 118.4862500, 660.3880800, 4008.3205000", \
+					  "13.5423170, 13.8753100, 16.2078510, 30.4035020, 118.1456500, 660.3989400, 4008.9976000", \
+					  "13.5426110, 13.9126550, 16.2085350, 30.4007950, 118.4773200, 660.3887600, 4008.9553000", \
+					  "13.5412920, 13.8779230, 16.2085760, 30.3945100, 118.1451200, 660.5272300, 4008.8175000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.4283780, 10.4758920, 10.7875750, 12.7288530, 19.1779890, 39.2608750, 160.8766700", \
+					  "10.5653130, 10.6152520, 10.9282170, 12.8679080, 19.3146920, 39.4003760, 161.0194300", \
+					  "10.6746630, 10.7245630, 11.0358230, 12.9765270, 19.4226750, 39.5148730, 161.1173800", \
+					  "10.7691940, 10.8197860, 11.1301520, 13.0717720, 19.5185810, 39.6032080, 161.2168600", \
+					  "10.8444660, 10.8944880, 11.2071820, 13.1487870, 19.5955730, 39.6858330, 161.2933600", \
+					  "10.9178840, 10.9675680, 11.2788420, 13.2223240, 19.6637820, 39.7507410, 161.3704200", \
+					  "10.9864540, 11.0378330, 11.3483080, 13.2898340, 19.7364860, 39.8182430, 161.4349700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0866760, 3.1713148, 3.6961805, 5.7264860, 8.5275467, 33.4708150, 200.3597900", \
+					  "3.0868272, 3.1715275, 3.6966947, 5.7263776, 8.5278149, 33.4832780, 200.3653900", \
+					  "3.0882490, 3.1712917, 3.6961889, 5.7261542, 8.5278975, 33.4619730, 200.3652100", \
+					  "3.0867062, 3.1717844, 3.6964207, 5.7268932, 8.5228375, 33.4649710, 200.3555600", \
+					  "3.0873615, 3.1714160, 3.6964232, 5.7268927, 8.5227834, 33.4597270, 200.2722100", \
+					  "3.0877227, 3.1713061, 3.6961953, 5.7266001, 8.5206802, 33.4754700, 200.3596900", \
+					  "3.0868865, 3.1719463, 3.6965850, 5.7267231, 8.5225338, 33.4752630, 200.3553400");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("20.7723780, 20.9630480, 22.1461620, 29.3856810, 73.4337180, 344.9765100, 2018.2595000", \
+					  "20.9565750, 21.1471730, 22.3263200, 29.5287580, 73.7149790, 345.0805400, 2020.9109000", \
+					  "21.1435420, 21.3364900, 22.5199720, 29.7567200, 73.8379950, 345.4310600, 2020.8849000", \
+					  "21.3358100, 21.5272650, 22.7070580, 29.9515540, 74.1047400, 345.3418500, 2019.2806000", \
+					  "21.5082690, 21.7031480, 22.8802950, 30.1109000, 74.2046780, 345.5038800, 2019.3113000", \
+					  "21.6758980, 21.8678910, 23.0474630, 30.2496020, 74.3769700, 345.9287000, 2020.0675000", \
+					  "21.8390390, 22.0323240, 23.2126960, 30.4103090, 74.5186310, 345.8320100, 2022.1523000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5206610, 13.9043380, 16.1878070, 30.4247510, 118.4373800, 660.7176600, 4006.0113000", \
+					  "13.5200010, 13.9003550, 16.1963230, 30.4086040, 118.4421300, 660.4419100, 4002.3592000", \
+					  "13.5197380, 13.9023730, 16.2004530, 30.4285170, 118.4867500, 660.5880600, 4005.9035000", \
+					  "13.5192740, 13.9022130, 16.1939320, 30.4240610, 118.5180200, 660.6696300, 4004.6239000", \
+					  "13.5144280, 13.8916690, 16.2037990, 30.4237740, 118.5000600, 660.3701800, 4006.7221000", \
+					  "13.5222800, 13.9023390, 16.1940490, 30.4234360, 118.5219200, 660.7999100, 4006.8267000", \
+					  "13.5134520, 13.9045820, 16.1871000, 30.4285910, 118.4789200, 660.3743300, 4002.3606000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9360500, 10.9945460, 11.3004020, 13.1978710, 24.8115450, 96.3377000, 416.9481800", \
+					  "11.1244760, 11.1766780, 11.4838740, 13.3902260, 24.9917330, 96.5186260, 417.1805600", \
+					  "11.3115060, 11.3689690, 11.6782000, 13.5713050, 25.1880920, 96.7116950, 417.3455200", \
+					  "11.5065300, 11.5568790, 11.8617940, 13.7624990, 25.3753270, 96.9053320, 417.5367100", \
+					  "11.6788930, 11.7275080, 12.0430020, 13.9335090, 25.5463740, 97.0747540, 417.7188700", \
+					  "11.8479830, 11.8971150, 12.2103970, 14.1047230, 25.7129140, 97.2447150, 417.8428700", \
+					  "12.0117840, 12.0504750, 12.3586100, 14.2557600, 25.8676080, 97.3931260, 418.0203600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0346747, 3.1121958, 3.5950591, 6.6017014, 25.0102340, 138.9088000, 350.4544800", \
+					  "3.0344778, 3.1121872, 3.5949013, 6.6040156, 25.0111360, 138.9361700, 350.5910200", \
+					  "3.0342429, 3.1127310, 3.5955212, 6.6021135, 24.9976800, 138.9368300, 350.5694200", \
+					  "3.0348257, 3.1122056, 3.5957652, 6.6034023, 25.0182260, 138.9254600, 350.6227400", \
+					  "3.0348426, 3.1117096, 3.5955057, 6.6021477, 25.0163180, 138.9369400, 350.4819700", \
+					  "3.0348191, 3.1123368, 3.5961107, 6.6032846, 25.0200910, 138.9370800, 350.6883100", \
+					  "3.0348466, 3.1122651, 3.5950602, 6.6022050, 25.0100440, 138.9102900, 350.6065100");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6249120, 21.8092140, 22.9755240, 30.1613630, 74.2314940, 345.6964900, 2021.3854000", \
+					  "21.8014280, 21.9912550, 23.1571780, 30.3415750, 74.3650260, 345.9250000, 2020.9382000", \
+					  "21.9944680, 22.1821190, 23.3484250, 30.5329560, 74.4903300, 346.1187800, 2020.9263000", \
+					  "22.1828400, 22.3728130, 23.5370160, 30.7225000, 74.6737710, 346.2557700, 2021.4834000", \
+					  "22.3654520, 22.5565860, 23.7183360, 30.8547920, 74.9707280, 346.4981500, 2020.6185000", \
+					  "22.5319830, 22.7094130, 23.8770910, 31.0610560, 75.0110090, 346.6326500, 2021.4729000", \
+					  "22.6858990, 22.8651300, 24.0332980, 31.1829960, 75.1676690, 346.8206300, 2021.3074000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5449120, 13.8858190, 16.1844760, 30.3978820, 118.5018500, 660.8110500, 4007.7994000", \
+					  "13.5447100, 13.8846660, 16.1847660, 30.4031500, 118.1517500, 660.7179400, 4008.8385000", \
+					  "13.5426210, 13.8848230, 16.1852770, 30.4021410, 118.1481000, 660.7240800, 4007.4979000", \
+					  "13.5445110, 13.8850910, 16.1852420, 30.3962620, 118.4088300, 660.8107100, 4009.1695000", \
+					  "13.5365360, 13.9119860, 16.2119940, 30.4200260, 118.4696900, 660.6841200, 4008.8953000", \
+					  "13.5276560, 13.8837360, 16.2099740, 30.3997500, 118.3718500, 660.9744800, 4007.7725000", \
+					  "13.5300490, 13.8811310, 16.2016710, 30.4201500, 118.3994800, 660.7391500, 4007.2505000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9785960, 17.1713240, 18.3712460, 25.7259970, 70.9919950, 349.9366400, 2071.4322000", \
+					  "17.1625270, 17.3528250, 18.5589680, 25.9076480, 71.1346360, 350.1523600, 2071.4429000", \
+					  "17.3511750, 17.5437070, 18.7423450, 26.0979490, 71.3209470, 350.3382400, 2071.8635000", \
+					  "17.5377420, 17.7331910, 18.9290700, 26.2858610, 71.5147830, 350.5207100, 2072.4383000", \
+					  "17.7144380, 17.9064530, 19.0984540, 26.4584390, 71.6914810, 350.6952200, 2072.6661000", \
+					  "17.8835770, 18.0718850, 19.2718750, 26.5963710, 71.8601500, 350.8956200, 2072.1893000", \
+					  "18.0362160, 18.2251970, 19.4244270, 26.7840220, 72.0100890, 351.0170600, 2072.0831000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5795340, 14.9674640, 17.3311750, 31.9034580, 122.1113200, 678.8894800, 4109.8256000", \
+					  "14.5923970, 14.9662020, 17.3312670, 31.9031810, 122.1688100, 678.7361700, 4109.7337000", \
+					  "14.5803030, 14.9661030, 17.3317730, 31.9044280, 122.1550000, 678.6274300, 4110.6173000", \
+					  "14.5824790, 14.9620620, 17.3321390, 31.9027260, 122.0929800, 678.9793500, 4113.4963000", \
+					  "14.5796090, 14.9660140, 17.3276720, 31.9027970, 122.1075600, 678.5776900, 4111.4599000", \
+					  "14.5795240, 14.9613350, 17.3312670, 31.8639480, 122.1037700, 679.1638600, 4110.9956000", \
+					  "14.5794880, 14.9718740, 17.3319170, 31.9035320, 122.0936200, 678.6299900, 4109.3313000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5750980, 14.6235120, 14.9435400, 16.8291230, 28.2036690, 98.1769690, 525.1977800", \
+					  "14.7572070, 14.8085740, 15.1263340, 17.0163470, 28.3897350, 98.3661150, 525.3787700", \
+					  "14.9487690, 14.9996670, 15.3147240, 17.2070910, 28.5768170, 98.5567330, 525.5703900", \
+					  "15.1361660, 15.1832160, 15.4965480, 17.3973280, 28.7651310, 98.6904280, 525.7588200", \
+					  "15.3115900, 15.3610440, 15.6676540, 17.5700330, 28.9403930, 98.8607520, 525.9299200", \
+					  "15.4795470, 15.5289720, 15.8454390, 17.7350900, 29.1075200, 99.0908260, 526.1005600", \
+					  "15.6309920, 15.6788640, 15.9935580, 17.8850410, 29.2597200, 99.2409210, 526.2539800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0542375, 3.1257619, 3.5719359, 6.3933392, 24.2921330, 135.4170800, 504.3348800", \
+					  "3.0541962, 3.1266865, 3.5714753, 6.3944392, 24.3041430, 135.4225100, 504.3207800", \
+					  "3.0541047, 3.1266927, 3.5718795, 6.3944485, 24.2909660, 135.4206800, 504.3219700", \
+					  "3.0541651, 3.1264533, 3.5694803, 6.3944832, 24.3037790, 135.4223800, 504.3354500", \
+					  "3.0542544, 3.1297476, 3.5693037, 6.3921837, 24.2649720, 135.2395600, 504.2950300", \
+					  "3.0542551, 3.1266774, 3.5717254, 6.3944157, 24.2908860, 135.4219800, 504.3358400", \
+					  "3.0533718, 3.1264531, 3.5695054, 6.3933379, 24.2958150, 135.4200700, 504.2555800");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.9407150, 10.9891010, 11.3016330, 13.2456270, 19.7026680, 39.7902620, 161.4097200", \
+					  "11.1239470, 11.1735330, 11.4840160, 13.4250580, 19.8835790, 39.9731060, 161.5922700", \
+					  "11.3132940, 11.3640920, 11.6770610, 13.6158080, 20.0758720, 40.1646540, 161.7882600", \
+					  "11.5027150, 11.5559460, 11.8629240, 13.8054520, 20.2634640, 40.3539140, 161.9713900", \
+					  "11.6791110, 11.7294680, 12.0402000, 13.9789470, 20.4339960, 40.5278020, 162.1528600", \
+					  "11.8404490, 11.8900920, 12.2040580, 14.1440420, 20.6075570, 40.6993910, 162.3065100", \
+					  "12.0009050, 12.0484590, 12.3674980, 14.3017040, 20.7605040, 40.8480680, 162.4656200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0867393, 3.1708869, 3.6958618, 5.7388840, 8.5405585, 33.4652950, 200.3491800", \
+					  "3.0867393, 3.1719876, 3.6958623, 5.7389555, 8.5404048, 33.4603100, 200.3630500", \
+					  "3.0867393, 3.1721101, 3.6959371, 5.7392411, 8.5408196, 33.4603880, 200.1981600", \
+					  "3.0868170, 3.1720300, 3.6958623, 5.7388845, 8.5405487, 33.4639550, 200.3630000", \
+					  "3.0867982, 3.1721172, 3.6958785, 5.7392349, 8.5376115, 33.4605450, 200.2651800", \
+					  "3.0867382, 3.1720031, 3.6958054, 5.7390914, 8.5355456, 33.4702510, 200.2029500", \
+					  "3.0867324, 3.1721179, 3.6958101, 5.7388840, 8.5406198, 33.4675400, 200.3631000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9788810, 17.1762370, 18.3706310, 25.7250380, 70.9496750, 349.9598100, 2071.6602000", \
+					  "17.1610530, 17.3543810, 18.5462560, 25.8765060, 71.1376840, 350.1473900, 2071.9401000", \
+					  "17.3569390, 17.5435330, 18.7373690, 26.0989770, 71.3262270, 350.3159300, 2071.8414000", \
+					  "17.5443460, 17.7326940, 18.9647000, 26.2867540, 71.5177680, 350.5213000, 2072.3051000", \
+					  "17.7126220, 17.9094370, 19.0973280, 26.4286690, 71.6862660, 350.7051900, 2072.6873000", \
+					  "17.8790080, 18.0720400, 19.2982410, 26.5874430, 71.8609030, 350.8999400, 2072.6902000", \
+					  "18.0365390, 18.2421100, 19.4263180, 26.7831870, 72.0132580, 350.9993000, 2072.4128000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5796750, 14.9701090, 17.3307130, 31.9033550, 121.9342500, 679.0000400, 4111.1198000", \
+					  "14.5797090, 14.9659720, 17.3245080, 31.8692560, 121.9304700, 678.9227900, 4111.4440000", \
+					  "14.5885800, 14.9629780, 17.3242520, 31.9033580, 121.9307100, 679.0347100, 4110.9688000", \
+					  "14.5884400, 14.9649870, 17.3265900, 31.9032940, 122.0725100, 678.9609900, 4109.1389000", \
+					  "14.5814440, 14.9673570, 17.3243940, 31.8771140, 121.9344500, 678.3275200, 4113.1366000", \
+					  "14.5920210, 14.9629980, 17.3278320, 31.8892430, 122.0780200, 679.0783700, 4111.3972000", \
+					  "14.5884730, 14.9659400, 17.3325690, 31.9034080, 121.9304100, 678.0131800, 4111.0211000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("21.6287660, 21.8183520, 22.9780340, 30.1653140, 74.1192280, 345.6519300, 2020.3022000", \
+					  "21.8110790, 22.0000730, 23.1684790, 30.3543220, 74.3095680, 345.6283400, 2021.1062000", \
+					  "22.0016400, 22.1909830, 23.3532480, 30.5257860, 74.5001570, 346.0188500, 2020.8033000", \
+					  "22.1865990, 22.3772630, 23.5435690, 30.7167940, 74.6801730, 346.0339700, 2020.8965000", \
+					  "22.3500120, 22.5574970, 23.7190910, 30.9026690, 74.8571910, 346.2851100, 2022.0655000", \
+					  "22.5358100, 22.7217520, 23.8811620, 31.0715120, 75.0283750, 346.3540000, 2021.6453000", \
+					  "22.6891840, 22.8745890, 24.0428870, 31.2286050, 75.2325560, 346.5076200, 2021.9434000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.5307590, 13.9030960, 16.2081530, 30.3978890, 118.3613700, 660.4642500, 4007.1368000", \
+					  "13.5406270, 13.9127690, 16.2044010, 30.3990890, 118.2449300, 660.3828500, 4008.3446000", \
+					  "13.5308880, 13.9129080, 16.2034470, 30.4212120, 118.2452400, 660.4136000, 4007.7002000", \
+					  "13.5385050, 13.9019910, 16.2030740, 30.4212410, 118.3634000, 660.5229100, 4006.8044000", \
+					  "13.5275450, 13.8976130, 16.2030390, 30.4031000, 118.3374400, 660.4797700, 4008.3319000", \
+					  "13.5405250, 13.8983500, 16.2111230, 30.4023470, 118.2468200, 660.3454500, 4008.1036000", \
+					  "13.5301270, 13.9020240, 16.2098580, 30.3990330, 118.5145800, 660.3180500, 4008.4724000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("10.5713330, 10.6288200, 10.9372850, 12.8542580, 24.5170120, 96.1269320, 416.5605700", \
+					  "10.7124200, 10.7639240, 11.0771450, 12.9941810, 24.6585540, 96.2680390, 416.7018200", \
+					  "10.8242270, 10.8739200, 11.1845430, 13.1089730, 24.7652350, 96.3503270, 416.8136900", \
+					  "10.9126170, 10.9641420, 11.2804250, 13.1951530, 24.8628730, 96.4564040, 416.9162700", \
+					  "10.9895700, 11.0411200, 11.3576050, 13.2746250, 24.9485340, 96.5380170, 417.0373100", \
+					  "11.0645260, 11.1156000, 11.4354460, 13.3450760, 25.0141760, 96.6074440, 417.0531400", \
+					  "11.1376930, 11.1879290, 11.4966220, 13.4105140, 25.0793040, 96.6864700, 417.1508600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0274592, 3.1053149, 3.5896397, 6.6025110, 25.0147870, 138.8336800, 350.3350300", \
+					  "3.0291252, 3.1073026, 3.5900258, 6.6028805, 25.0130730, 138.8391400, 350.3712700", \
+					  "3.0283840, 3.1056460, 3.5895611, 6.6033206, 25.0219100, 138.9011700, 350.3721200", \
+					  "3.0264171, 3.1051481, 3.5901988, 6.6030896, 25.0165470, 138.8955300, 350.4800900", \
+					  "3.0274137, 3.1051959, 3.5902097, 6.6023675, 25.0177980, 138.9096400, 350.3512000", \
+					  "3.0263592, 3.1044234, 3.5911036, 6.6030106, 25.0142500, 138.8965700, 350.3726000", \
+					  "3.0284861, 3.1064984, 3.5896419, 6.6020136, 25.0127510, 138.8324800, 350.3186000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.3681590, 13.4144290, 13.7299100, 15.6254880, 27.0226790, 96.9178160, 523.9725700", \
+					  "13.5510790, 13.6035000, 13.9178780, 15.8137380, 27.2078380, 97.0956010, 524.1827800", \
+					  "13.7367660, 13.7894250, 14.1068320, 16.0029110, 27.3838370, 97.3245090, 524.3692300", \
+					  "13.9320260, 13.9844330, 14.2996650, 16.1942090, 27.5915820, 97.4623970, 524.5636900", \
+					  "14.0986750, 14.1494590, 14.4668160, 16.3621810, 27.7507100, 97.6657550, 524.7151200", \
+					  "14.2723150, 14.3247190, 14.6391690, 16.5345920, 27.9308720, 97.8038130, 524.9019100", \
+					  "14.4351540, 14.4875520, 14.8028060, 16.6972750, 28.0944280, 97.9644740, 525.0575400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0086824, 3.0837704, 3.5332786, 6.3783410, 24.3045900, 135.4116300, 503.9870500", \
+					  "3.0090983, 3.0839125, 3.5330678, 6.3787646, 24.3047700, 135.4007000, 504.0282600", \
+					  "3.0132634, 3.0846183, 3.5378167, 6.3759322, 24.3083780, 135.4074600, 504.0350900", \
+					  "3.0095042, 3.0841845, 3.5369159, 6.3791776, 24.3044710, 135.3895600, 504.0868100", \
+					  "3.0086658, 3.0848382, 3.5377814, 6.3773027, 24.3063930, 135.4181100, 503.9842100", \
+					  "3.0093916, 3.0840870, 3.5330718, 6.3790830, 24.3044610, 135.3899700, 504.0490700", \
+					  "3.0094782, 3.0841656, 3.5369703, 6.3792478, 24.3044540, 135.3886300, 504.0579400");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("16.9467090, 17.1352690, 18.3436180, 25.7355100, 71.2002400, 350.4758000, 2072.1729000", \
+					  "17.0775750, 17.2719400, 18.4828400, 25.8714330, 71.3304690, 350.6209500, 2071.7478000", \
+					  "17.1825580, 17.3772680, 18.5925300, 25.9798530, 71.4482410, 350.7185800, 2072.2434000", \
+					  "17.2862400, 17.4757290, 18.6833290, 26.0768560, 71.4967140, 350.8157200, 2071.7694000", \
+					  "17.3621560, 17.5507410, 18.7601770, 26.1538440, 71.6420700, 350.8935700, 2072.8457000", \
+					  "17.4410550, 17.6261990, 18.8338460, 26.2273740, 71.7151280, 350.9671600, 2072.0863000", \
+					  "17.4945520, 17.6890000, 18.8960440, 26.2922820, 71.7517140, 351.0368700, 2072.7473000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("14.5860110, 14.9619570, 17.3277040, 31.8599550, 122.1671800, 678.6043500, 4111.7428000", \
+					  "14.5868600, 14.9627120, 17.3152730, 31.8613190, 121.9582100, 678.3050700, 4109.0793000", \
+					  "14.5830410, 14.9612430, 17.3152510, 31.8596630, 122.1654500, 678.3676600, 4109.4845000", \
+					  "14.5862660, 14.9619680, 17.3173510, 31.8600400, 122.1874900, 678.7864800, 4109.7287000", \
+					  "14.5864130, 14.9611200, 17.3176800, 31.8602250, 122.2023900, 678.9274500, 4113.7287000", \
+					  "14.5811840, 14.9671620, 17.3197470, 31.8607040, 122.2035600, 678.7889800, 4110.0520000", \
+					  "14.5843220, 14.9588340, 17.3169370, 31.8597630, 122.1625200, 678.9543900, 4108.1448000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("13.1765820, 13.2331340, 13.5456800, 15.4349010, 26.8011570, 96.7341900, 523.7591300", \
+					  "13.3587330, 13.4121030, 13.7298940, 15.6154270, 26.9720830, 96.8977500, 523.9784900", \
+					  "13.5541920, 13.6058460, 13.9191170, 15.8113290, 27.1831570, 97.1573750, 524.1844300", \
+					  "13.7386090, 13.7920070, 14.1116730, 15.9953370, 27.3679210, 97.2701240, 524.3463800", \
+					  "13.9104470, 13.9638140, 14.2835100, 16.1671580, 27.5432760, 97.4505100, 524.5353200", \
+					  "14.0803800, 14.1317290, 14.4499520, 16.3388640, 27.7045690, 97.6379010, 524.6557700", \
+					  "14.2427870, 14.2941330, 14.6120040, 16.5011310, 27.8646260, 97.8025980, 524.8233500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.20524, 1.25691, 1.57554, 3.54045, 15.6577, 90.3824, 551.195");
+					values("3.0485356, 3.1208940, 3.5705832, 6.3929457, 24.2992080, 135.4199100, 504.2174400", \
+					  "3.0471377, 3.1228649, 3.5727366, 6.3857963, 24.3086690, 135.4153900, 504.2254500", \
+					  "3.0473926, 3.1208489, 3.5657468, 6.3910175, 24.2718910, 135.4149800, 504.2646200", \
+					  "3.0472715, 3.1229341, 3.5727459, 6.3857710, 24.3161690, 135.4171400, 504.2343200", \
+					  "3.0471419, 3.1228535, 3.5727317, 6.3857426, 24.2796360, 135.4157200, 504.2268800", \
+					  "3.0485123, 3.1195928, 3.5707077, 6.3929235, 24.3005970, 135.4200700, 504.2575100", \
+					  "3.0486031, 3.1198575, 3.5705963, 6.3929866, 24.2997710, 135.4192000, 504.2210200");
+				}
+			}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.0805558, -1.1118021, -1.1430484, -1.1457451, -1.1483338, -1.1510304, -1.1537271");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.0892479, 1.1354345, 1.1816210, 1.1824795, 1.1833036, 1.1841621, 1.1850206");
+				}
+			}*/
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				/* when : "( OE_N & !IB_MODE_SEL & !VTRIP_SEL )"; */
+				miller_cap_fall : "0.00156143";
+				miller_cap_rise : "0.00267412";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("18.6606, 0.376294, 0.263585, 0.262017, 0.260169, 0.259098, 0.257896, 0.256521, 0.254913, 0.252975, 0.250548, 0.247359, 0.24299, 0.236982, 0.228988, 0.218764, 0.206105, 0.190833, 0.172786, 0.15183, 0.127849, 0.100747, 0.0704471, 0.0368823, -8.13666e-07, -0.0825278, -0.175796, -0.536955, -5.00705", \
+					  "18.6505, 0.353491, 0.237934, 0.236313, 0.234452, 0.233402, 0.232246, 0.230959, 0.229501, 0.227813, 0.225805, 0.223324, 0.220101, 0.215694, 0.209552, 0.20125, 0.190528, 0.177203, 0.161116, 0.14213, 0.120123, 0.0949888, 0.0666386, 0.0349968, -7.08615e-08, -0.0789552, -0.16897, -0.52209, -5.00106", \
+					  "18.6287, 0.275968, 0.141657, 0.140405, 0.139044, 0.138313, 0.137541, 0.13672, 0.135842, 0.134893, 0.133857, 0.132709, 0.131416, 0.129921, 0.128134, 0.125886, 0.122826, 0.118243, 0.111227, 0.10121, 0.0879446, 0.0712905, 0.0511389, 0.0274007, -6.70305e-08, -0.0650417, -0.142871, -0.466799, -4.97942", \
+					  "18.6351, 0.272439, 0.104329, 0.103304, 0.102209, 0.101628, 0.101021, 0.100384, 0.0997118, 0.0989971, 0.0982313, 0.0974026, 0.0964944, 0.0954827, 0.0943312, 0.0929788, 0.0913154, 0.0891003, 0.0857422, 0.0801511, 0.0714241, 0.0591876, 0.0432744, 0.0235738, -7.52901e-08, -0.0582751, -0.130438, -0.441239, -4.96975", \
+					  "18.6487, 0.298786, 0.0691397, 0.0683588, 0.067536, 0.0671056, 0.0666601, 0.0661976, 0.0657153, 0.0652098, 0.0646771, 0.0641115, 0.0635057, 0.0628496, 0.062129, 0.0613215, 0.0603925, 0.0592783, 0.0578415, 0.0556927, 0.0517561, 0.0446792, 0.0338298, 0.0189785, -7.37197e-08, -0.0503288, -0.116043, -0.412193, -4.95899", \
+					  "18.6576, 0.325641, 0.0529106, 0.0522541, 0.0515688, 0.051212, 0.0508449, 0.0504658, 0.0500728, 0.0496638, 0.0492359, 0.0487857, 0.0483084, 0.0477979, 0.0472452, 0.0466383, 0.0459569, 0.0451686, 0.04421, 0.0429263, 0.0407848, 0.0363737, 0.0283779, 0.016317, -8.17893e-07, -0.0458127, -0.107956, -0.396108, -4.95313", \
+					  "18.6678, 0.362221, 0.0379347, 0.0374011, 0.0368527, 0.0365694, 0.0362792, 0.035981, 0.0356737, 0.0353561, 0.0350263, 0.0346824, 0.0343214, 0.0339397, 0.0335324, 0.0330927, 0.0326099, 0.032068, 0.0314381, 0.0306602, 0.0295549, 0.027367, 0.0223532, 0.0133532, -8.16093e-07, -0.0408613, -0.0991735, -0.378807, -4.94692", \
+					  "18.6794, 0.408655, 0.0245799, 0.0241515, 0.0237395, 0.0235285, 0.0233133, 0.0230937, 0.0228684, 0.0226373, 0.0223993, 0.0221532, 0.0218974, 0.0216305, 0.0213494, 0.0210505, 0.0207294, 0.0203783, 0.0199855, 0.0195269, 0.0189509, 0.018045, 0.0157367, 0.0100353, -1.22353e-08, -0.0354075, -0.0896014, -0.360124, -4.94029", \
+					  "18.6931, 0.465225, 0.0135274, 0.0130977, 0.0128206, 0.0126799, 0.0125372, 0.0123922, 0.0122448, 0.0120945, 0.011941, 0.0117837, 0.0116221, 0.0114552, 0.0112821, 0.0111012, 0.0109106, 0.0107074, 0.0104871, 0.0102422, 0.00995758, 0.00959111, 0.00889346, 0.00638079, -1.02698e-08, -0.0293561, -0.0791285, -0.339862, -4.93321", \
+					  "18.7093, 0.532591, 0.00627935, 0.00524889, 0.00509418, 0.00501808, 0.00494136, 0.00486395, 0.00478575, 0.00470666, 0.00462655, 0.00454526, 0.00446261, 0.00437833, 0.00429213, 0.00420358, 0.00411211, 0.00401692, 0.00391681, 0.00380991, 0.00369286, 0.00355826, 0.0033777, 0.00283189, -1.17129e-08, -0.0225977, -0.0676336, -0.317778, -4.9256", \
+					  "18.729, 0.611227, 0.00606297, 0.00137131, 0.00129172, 0.0012639, 0.00123607, 0.00120816, 0.00118013, 0.00115198, 0.00112371, 0.00109527, 0.00106659, 0.00103764, 0.00100838, 0.000978743, 0.000948637, 0.000917928, 0.000886422, 0.000853829, 0.000819648, 0.0007829, 0.000740789, 0.00067044, -4.36551e-08, -0.0150981, -0.0550182, -0.29361, -4.91739", \
+					  "18.7515, 0.699813, 0.0176415, 0.00037187, 0.000217265, 0.00021071, 0.000204749, 0.000198821, 0.000192896, 0.000186975, 0.000181091, 0.000175365, 0.000169736, 0.000163897, 0.000157924, 0.000151895, 0.000145819, 0.000139685, 0.000133469, 0.00012714, 0.000120639, 0.000113863, 0.000106529, 9.68722e-05, -1.1567e-08, -0.00757203, -0.0414321, -0.267194, -4.90848", \
+					  "18.7759, 0.796148, 0.0433759, 0.000891637, 2.80206e-05, 2.31358e-05, 2.19673e-05, 2.10187e-05, 2.01148e-05, 1.92377e-05, 1.84201e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.78843e-05, 1.73816e-05, 1.65415e-05, 1.56878e-05, 1.48161e-05, 1.39136e-05, 1.29537e-05, 1.17441e-05, -1.02081e-08, -0.00237736, -0.027799, -0.238766, -4.89894", \
+					  "18.8015, 0.898868, 0.08234, 0.00484491, 3.06935e-06, -2.23993e-05, -2.47105e-05, -2.56479e-05, -2.63069e-05, -2.68439e-05, -2.72649e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -2.73415e-05, -0.000448247, -0.0156838, -0.20908, -4.88898", \
+					  "18.8281, 1.00706, 0.132368, 0.018162, 3.1441e-07, -0.000159613, -0.000173819, -0.000179169, -0.000182754, -0.000185602, -0.000187992, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00018981, -0.00667122, -0.178991, -4.87888", \
+					  "18.8555, 1.11977, 0.190616, 0.0433502, 3.4002e-08, -0.00103108, -0.00112943, -0.0011638, -0.00118605, -0.00120349, -0.00121823, -0.00123097, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00124066, -0.00186527, -0.149149, -4.86886", \
+					  "18.8836, 1.23585, 0.253561, 0.0763004, 6.28787e-09, -0.00568845, -0.00644807, -0.00665907, -0.00677979, -0.00686869, -0.00694129, -0.00700362, -0.00705749, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.00709646, -0.120057, -4.85906", \
+					  "18.9121, 1.35393, 0.317834, 0.111716, 3.56603e-09, -0.0182666, -0.0228864, -0.0239843, -0.0244812, -0.0248063, -0.0250546, -0.0252589, -0.0254338, -0.0255819, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0256726, -0.0922394, -4.84955", \
+					  "18.941, 1.47251, 0.381256, 0.146892, 3.28489e-09, -0.0359937, -0.0513353, -0.05591, -0.0575739, -0.0584972, -0.0591406, -0.0596416, -0.0600559, -0.0604085, -0.0606943, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.060788, -0.0665097, -4.84039", \
+					  "18.9699, 1.59019, 0.442802, 0.180929, 3.24131e-09, -0.0547606, -0.0861108, -0.0995073, -0.104405, -0.106701, -0.108126, -0.109162, -0.109982, -0.110664, -0.111237, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -0.11165, -4.83159", \
+					  "18.9988, 1.70581, 0.502081, 0.213572, 3.22152e-09, -0.0731217, -0.12223, -0.149619, -0.161788, -0.167116, -0.170039, -0.171992, -0.173456, -0.174632, -0.175611, -0.176406, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -0.176769, -4.84068", \
+					  "19.0273, 1.81861, 0.558978, 0.244773, 3.23586e-09, -0.0907304, -0.157644, -0.201559, -0.225698, -0.237129, -0.242904, -0.246419, -0.248891, -0.250792, -0.252336, -0.253612, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -0.25453, -4.89423", \
+					  "19.0554, 1.92813, 0.613504, 0.274557, 3.20306e-09, -0.10752, -0.191722, -0.252773, -0.292006, -0.313573, -0.324578, -0.330779, -0.334836, -0.337802, -0.34013, -0.342032, -0.343543, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -0.344141, -4.95985", \
+					  "19.0829, 2.03416, 0.665722, 0.302978, 3.18004e-09, -0.123499, -0.224299, -0.302262, -0.357819, -0.392913, -0.412493, -0.423259, -0.429847, -0.434394, -0.437819, -0.440548, -0.44276, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -0.444247, -5.03441", \
+					  "19.1097, 2.13663, 0.715716, 0.330097, 3.16213e-09, -0.1387, -0.255363, -0.349699, -0.421715, -0.472158, -0.503664, -0.521724, -0.532354, -0.539284, -0.544267, -0.548109, -0.551193, -0.553553, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -0.55404, -5.11721", \
+					  "19.1614, 2.33099, 0.809423, 0.380698, 3.13353e-09, -0.166926, -0.313145, -0.438258, -0.541952, -0.624103, -0.685061, -0.726452, -0.752324, -0.76829, -0.778778, -0.786251, -0.79194, -0.796424, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -0.799631, -5.30615", \
+					  "19.2103, 2.51171, 0.895399, 0.426869, 3.10951e-09, -0.192522, -0.365597, -0.518814, -0.651781, -0.764156, -0.855708, -0.926493, -0.97743, -1.0114, -1.03332, -1.04793, -1.05831, -1.06613, -1.07217, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -1.07594, -5.52292", \
+					  "19.3413, 2.98054, 1.11396, 0.543209, 3.05073e-09, -0.256345, -0.496446, -0.719966, -0.926551, -1.11583, -1.28742, -1.44092, -1.5759, -1.69186, -1.78829, -1.8649, -1.92236, -1.96339, -1.99227, -2.01303, -2.02824, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -2.03776, -6.28748", \
+					  "19.5136, 3.56627, 1.37919, 0.682546, 2.9811e-09, -0.331603, -0.650707, -0.957101, -1.25056, -1.53085, -1.7977, -2.05086, -2.29003, -2.51489, -2.7251, -2.92027, -3.0999, -3.26341, -3.40998, -3.53856, -3.64793, -3.73723, -3.80684, -3.85844, -3.89243, -3.91046, -3.91623, -3.94163, -7.78207");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("1.2969402, 1.8007240, 2.2448743, 2.6823170, 3.2330454");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("1.3128940, 1.8171784, 2.2611158, 2.6995782, 3.2469292");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3498552, 0.4307575, 0.4998847, 0.5675126, 0.6797961");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.4010063, 0.4819254, 0.5511181, 0.6187031, 0.7308792");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("167.437");
+						index_3("0.001");
+						index_4("84.9891916, 90.0856939, 117.1829710, 133.4508480, 134.3635660");
+						values("0.658628, 1.05381, 1.31726, 1.05381, 0.658628");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("101.773");
+						index_3("0.001");
+						index_4("51.9287824, 55.3809852, 73.9131895, 83.6135479, 84.2607697");
+						values("0.694152, 1.11064, 1.3883, 1.11064, 0.694152");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("67.3446");
+						index_3("0.001");
+						index_4("34.5618827, 37.1265826, 49.8425710, 56.2755748, 56.8152975");
+						values("0.700814, 1.1213, 1.40163, 1.1213, 0.700814");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32519");
+						index_2("83.7184");
+						index_3("0.001");
+						index_4("43.3282041, 47.3404862, 61.5202579, 64.1058897, 65.1987969");
+						values("0.329732, 0.527571, 0.659464, 0.527571, 0.329732");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("50.8867");
+						index_3("0.001");
+						index_4("26.5873120, 29.4920502, 38.4303879, 40.0384743, 40.7620981");
+						values("0.344651, 0.551441, 0.689302, 0.551441, 0.344651");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.4231");
+						index_2("33.6723");
+						index_3("0.001");
+						index_4("17.8013105, 20.0419899, 26.0733221, 27.0959395, 27.6158247");
+						values("0.344445, 0.551112, 0.688889, 0.551112, 0.344445");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37622");
+						index_2("152.66");
+						index_3("0.001");
+						index_4("76.3846300, 79.3311250, 108.5192620, 129.6101020, 130.4118930");
+						values("0.869565, 1.3913, 1.65, 1.3913, 0.869565");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("26.9413");
+						index_3("0.001");
+						index_4("13.0052023, 13.6620678, 14.1807576, 22.8134401, 23.7309617");
+						values("1.54969, 1.4895, 1.44937, 1.4895, 1.54969");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("15.9372");
+						index_3("0.001");
+						index_4("8.7551514, 9.4844043, 13.2813828, 15.2521911, 16.0935257");
+						values("0.879448, 0.417116, 0.108895, 0.417116, 0.879448");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("10.8892");
+						index_3("0.001");
+						index_4("5.9388946, 6.4623427, 9.2872431, 10.8313268, 11.6362179");
+						values("0.758647, 0.223836, 0, 0.223836, 0.758647");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.23613");
+						index_2("13.4706");
+						index_3("0.001");
+						index_4("6.6116503, 6.9189190, 7.2186060, 7.6797579, 12.2560230");
+						values("1.58278, 1.54245, 1.51557, 1.54245, 1.58278");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("7.96858");
+						index_3("0.001");
+						index_4("4.1071573, 4.2679030, 4.5530906, 6.9278077, 7.6346701");
+						values("1.5567, 1.50072, 1.46341, 1.50072, 1.5567");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36758");
+						index_2("5.44458");
+						index_3("0.001");
+						index_4("2.8996829, 3.0311361, 3.3210057, 5.1768619, 5.5238425");
+						values("1.52532, 1.45051, 1.40063, 1.45051, 1.52532");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30663");
+						index_2("23.9057");
+						index_3("0.001");
+						index_4("12.4512854, 12.9200046, 19.7535468, 22.4157468, 23.6352621");
+						values("0.741386, 0.196217, 0, 0.196217, 0.741386");
+					}
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.157976;
+			capacitance : 0.157476;
+			fall_capacitance : 0.156975;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0487250, -0.0473064, -0.0458878, -0.0462409, -0.0465798, -0.0469328, -0.0472858");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439035, 0.0449932, 0.0460829, 0.0463960, 0.0466966, 0.0470098, 0.0473229");
+				}
+			}*/
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.150650;
+			capacitance : 0.150117;
+			fall_capacitance : 0.149584;
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0490589, -0.0476052, -0.0461516, -0.0464106, -0.0466593, -0.0469183, -0.0471773");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0441369, 0.0448927, 0.0456485, 0.0460515, 0.0464383, 0.0468412, 0.0472441");
+				}
+			}*/
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_tt_tt_025C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_tt_tt_025C_1v80_3v30.lib
new file mode 100644
index 0000000..4be997e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_tt_tt_025C_1v80_3v30.lib
@@ -0,0 +1,4753 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_gpiov2_tt_tt_025C_1v80_3v30") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 25.000000;
+	nom_voltage : 1.800000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.800000);
+	voltage_map("VCCHIB",1.800000);
+	voltage_map("VDDA",3.300000);
+	voltage_map("VDDIO",3.300000);
+	voltage_map("VDDIO_Q",3.300000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",3.300000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.330; 
+			 voh : 2.970; 
+			 vomax : 3.465; 
+			 vomin : -0.165; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.180; 
+			 voh : 1.620; 
+			 vomax : 1.890; 
+			 vomin : -0.090; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.825; 
+			 vih : 2.475; 
+			 vimax : 3.465; 
+			 vimin : -0.165; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.540; 
+			 vih : 1.260; 
+			 vimax : 1.890; 
+			 vimin : -0.090; 
+		}
+	 operating_conditions ("tt_tt_1p80v_x_3p30v_025C") { 
+		process : 1.000000;
+		temperature : 25.000000;
+		voltage : 1.800000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("vio_7_7_1") {
+		variable_1 : "related_pin_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "constrained_pin_transition";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_12_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	 type (sky130_fd_io__top_gpiov2_dm_bus) {
+		 base_type : array; 
+		 data_type : bit; 
+		 bit_width : 3 ; 
+		 bit_from  : 2; 
+		 bit_to    : 0; 
+		 downto    : true; 
+	 } 
+	cell ("sky130_fd_io__top_gpiov2") {
+			is_macro_cell : true
+dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 8.809100e+00;
+		leakage_power (lkgGroup1) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7326300";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!ENABLE_H & !INP_DIS & !OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & !ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "7.0009600";
+		}
+		leakage_power (lkgGroup3) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6322500";
+		}
+		leakage_power (lkgGroup4) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7192200";
+		}
+		leakage_power (lkgGroup5) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7894900";
+		}
+		leakage_power (lkgGroup6) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5619700";
+		}
+		leakage_power (lkgGroup7) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5622300";
+		}
+		leakage_power (lkgGroup8) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5753800";
+		}
+		leakage_power (lkgGroup9) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7194800";
+		}
+		leakage_power (lkgGroup10) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.2505300";
+		}
+		leakage_power (lkgGroup11) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.4727600";
+		}
+		leakage_power (lkgGroup12) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.5585400";
+		}
+		leakage_power (lkgGroup13) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6518600";
+		}
+		leakage_power (lkgGroup14) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.4879500";
+		}
+		leakage_power (lkgGroup15) {
+			when : "ENABLE_H & INP_DIS & OE_N & !DM[2] & !DM[1] & !DM[0] & !PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.2347200";
+		}
+		leakage_power (lkgGroup16) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & !DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.6451900";
+		}
+		leakage_power (lkgGroup17) {
+			when : "ENABLE_H & !INP_DIS & OE_N & DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.8091000";
+		}
+		leakage_power (lkgGroup18) {
+			when : "ENABLE_H & !INP_DIS & OE_N & !DM[2] & DM[1] & DM[0] & PAD & !OUT & !SLOW & !HLD_OVR & HLD_H_N & !VTRIP_SEL & ENABLE_VDDA_H & !ENABLE_INP_H & !IB_MODE_SEL & !ANALOG_EN & !ANALOG_POL & !ANALOG_SEL & ENABLE_VDDIO & ENABLE_VSWITCH_H";
+			value : "8.7157900";
+		}
+	bus(DM) { 
+		 bus_type           : sky130_fd_io__top_gpiov2_dm_bus;
+		 direction          : input; 
+		 related_power_pin  : VCCD; 
+		 related_ground_pin : VSSD; 
+		pin ("DM[2]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006624;
+			capacitance : 0.006439;
+			fall_capacitance : 0.006255;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6794944, 0.5726626, 0.5047490, 0.4737636, 0.4245998, 0.3934920, 0.3700116", \
+					  "0.8195869, 0.7153796, 0.6459349, 0.6185472, 0.5760139, 0.5538333, 0.5270450", \
+					  "0.8854419, 0.7871931, 0.6865370, 0.6745692, 0.6369177, 0.6039684, 0.5767744", \
+					  "0.9338041, 0.8255869, 0.7414902, 0.7079572, 0.6873086, 0.6703315, 0.6310783", \
+					  "0.9661726, 0.8564642, 0.7894618, 0.7210472, 0.7196608, 0.7038117, 0.7081846", \
+					  "0.9909053, 0.8839295, 0.7745959, 0.7709138, 0.7528288, 0.7369797, 0.6697884", \
+					  "1.0095226, 0.8964433, 0.8254713, 0.7944794, 0.7554697, 0.7126741, 0.6778880");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5574401, 0.4176499, 0.2723786, 0.1573455, 0.0675442, -0.0190848, -0.0957284", \
+					  "0.7005846, 0.5601700, 0.4237927, 0.3101241, 0.2092325, 0.1221823, 0.0403461", \
+					  "0.7456019, 0.6162898, 0.4771234, 0.3648158, 0.2772805, 0.1824131, 0.1025863", \
+					  "0.8132756, 0.6465573, 0.5062108, 0.4217140, 0.3244048, 0.2398219, 0.1274609", \
+					  "0.8425926, 0.6961363, 0.5535490, 0.4525008, 0.3817697, 0.3008364, 0.1904101", \
+					  "0.8688509, 0.7157845, 0.5775618, 0.4773522, 0.3995488, 0.3339850, 0.2324704", \
+					  "0.8874682, 0.7268005, 0.5824461, 0.4947960, 0.4142110, 0.3503169, 0.2624428");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4817082, -0.3666611, -0.3086751, -0.2601569, -0.2268131, -0.2002829, -0.1737507", \
+					  "-0.6187440, -0.5133075, -0.4560712, -0.4100453, -0.3817586, -0.3529925, -0.3262841", \
+					  "-0.6897964, -0.5668988, -0.5000012, -0.4791628, -0.4473885, -0.4123310, -0.3986687", \
+					  "-0.7329875, -0.6001172, -0.5543514, -0.5220487, -0.4819347, -0.4492588, -0.4158860", \
+					  "-0.7621705, -0.6480866, -0.5900163, -0.5627652, -0.5190682, -0.4807737, -0.4607663", \
+					  "-0.7946444, -0.6755044, -0.6079580, -0.5744895, -0.5304239, -0.5408579, -0.5236174", \
+					  "-0.8132617, -0.6935649, -0.6340791, -0.6062778, -0.5479688, -0.5596721, -0.5439046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5397034, -0.4017310, -0.2622750, -0.1441901, -0.0513370, 0.0374395, 0.1098708", \
+					  "-0.6843478, -0.5435443, -0.4136892, -0.2905307, -0.2007868, -0.1136469, -0.0286345", \
+					  "-0.7365312, -0.6032460, -0.4641456, -0.3547123, -0.2662783, -0.1782149, -0.0918037", \
+					  "-0.7955604, -0.6288350, -0.4945658, -0.4057606, -0.3097124, -0.2343122, -0.1282320", \
+					  "-0.8277957, -0.6839147, -0.5387703, -0.4376342, -0.3686019, -0.2606680, -0.1738085", \
+					  "-0.8526395, -0.6980748, -0.5673610, -0.4671367, -0.3888695, -0.3206811, -0.2066277", \
+					  "-0.8712569, -0.7170947, -0.5920815, -0.4830849, -0.4059100, -0.3173139, -0.2498362");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375519, 0.0370222, 0.0364925, 0.0363356, 0.0361850, 0.0360281, 0.0358713");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468398, 0.0461588, 0.0454779, 0.0456219, 0.0457602, 0.0459042, 0.0460482");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[1]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.004835;
+			capacitance : 0.004674;
+			fall_capacitance : 0.004514;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4405008, -0.3295483, -0.2653267, -0.2082770, -0.1673038, -0.1438254, -0.1203450", \
+					  "-0.5836400, -0.4666625, -0.4167410, -0.3613802, -0.3203981, -0.2911589, -0.2643793", \
+					  "-0.6577513, -0.5265750, -0.4714891, -0.4371334, -0.3837096, -0.3519201, -0.3281175", \
+					  "-0.6963556, -0.5532205, -0.4960433, -0.4848867, -0.4223833, -0.4027178, -0.3754244", \
+					  "-0.7005467, -0.5893497, -0.5566590, -0.5230305, -0.4696999, -0.4297312, -0.4294044", \
+					  "-0.7564887, -0.6285070, -0.5852939, -0.5239402, -0.5089877, -0.4677288, -0.4165306", \
+					  "-0.7598472, -0.6471243, -0.6053245, -0.5247344, -0.5202738, -0.5028669, -0.4896010");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5137437, -0.3712388, -0.2241280, -0.1029914, -0.0070865, 0.0926859, 0.1721973", \
+					  "-0.6553571, -0.5093184, -0.3755423, -0.2540338, -0.1468520, -0.0565056, 0.0357212", \
+					  "-0.7264094, -0.5645595, -0.4359201, -0.3089359, -0.2237710, -0.1062674, -0.0372219", \
+					  "-0.7711265, -0.5947262, -0.4698850, -0.3689584, -0.2653162, -0.1523245, -0.0759208", \
+					  "-0.7972577, -0.6442215, -0.5077064, -0.4010860, -0.2855835, -0.2035559, -0.0996732", \
+					  "-0.8282057, -0.6728704, -0.5348100, -0.4246393, -0.3391945, -0.2493378, -0.1508720", \
+					  "-0.8468230, -0.6916818, -0.5580392, -0.4437541, -0.3593817, -0.2749942, -0.1779532");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6581203, 0.5469117, 0.4837391, 0.4367674, 0.3880927, 0.3507674, 0.3242352", \
+					  "0.8027894, 0.6923317, 0.6190033, 0.5803660, 0.5423838, 0.5102635, 0.4775151", \
+					  "0.8577623, 0.7627534, 0.6637569, 0.6412921, 0.6107079, 0.5750224, 0.5465375", \
+					  "0.9154839, 0.8009793, 0.7219877, 0.6715266, 0.6478875, 0.6308922, 0.5762628", \
+					  "0.9447814, 0.8305259, 0.7603895, 0.6870028, 0.6799924, 0.6609679, 0.6452361", \
+					  "0.9695311, 0.8546875, 0.7503333, 0.7347394, 0.7043450, 0.6964662, 0.6504996", \
+					  "0.9866225, 0.8686379, 0.8030644, 0.7539391, 0.7396987, 0.6822114, 0.6453404");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5360460, 0.3913472, 0.2449648, 0.1191998, 0.0202431, -0.0734257, -0.1581470", \
+					  "0.6731816, 0.5250470, 0.3917493, 0.2752364, 0.1616604, 0.0684385, -0.0179936", \
+					  "0.7426487, 0.5816807, 0.4567633, 0.3266688, 0.2380887, 0.1313673, 0.0434839", \
+					  "0.7950978, 0.6157313, 0.4840615, 0.3860112, 0.2742362, 0.1949603, 0.1001835", \
+					  "0.8280243, 0.6703213, 0.5288106, 0.4274857, 0.3038271, 0.2244724, 0.1007554", \
+					  "0.8550888, 0.6867047, 0.5528539, 0.4429228, 0.3594605, 0.2674304, 0.1683230", \
+					  "0.8660767, 0.7053221, 0.5653897, 0.4594785, 0.3686326, 0.2967176, 0.1962648");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0442266, 0.0440402, 0.0438538, 0.0437961, 0.0437408, 0.0436831, 0.0436254");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0538664, 0.0535166, 0.0531668, 0.0533150, 0.0534573, 0.0536056, 0.0537538");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("DM[0]") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006515;
+			capacitance : 0.006331;
+			fall_capacitance : 0.006147;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6886498, 0.5834835, 0.5196045, 0.4780232, 0.4322292, 0.4056990, 0.3791668", \
+					  "0.8317933, 0.7259014, 0.6596678, 0.6246899, 0.5897469, 0.5652071, 0.5411765", \
+					  "0.8996062, 0.7985187, 0.6987409, 0.6819049, 0.6534325, 0.6146952, 0.5849870", \
+					  "0.9460125, 0.8346462, 0.7534392, 0.7125781, 0.6965573, 0.6897701, 0.6418756", \
+					  "0.9768424, 0.8688466, 0.8015348, 0.7291682, 0.7303419, 0.7083893, 0.7173780", \
+					  "1.0015864, 0.8961312, 0.7818057, 0.7800691, 0.7616715, 0.7461350, 0.7432944", \
+					  "1.0186779, 0.9086450, 0.8344215, 0.8038087, 0.7568057, 0.7686055, 0.7135913");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589883, 0.4178066, 0.2693299, 0.1558226, 0.0583919, -0.0220440, -0.1048321", \
+					  "0.6992849, 0.5559191, 0.4237927, 0.2985830, 0.2066608, 0.1223379, 0.0310212", \
+					  "0.7582921, 0.6061652, 0.4767247, 0.3632899, 0.2714890, 0.1945180, 0.1021312", \
+					  "0.8152960, 0.6407428, 0.5040662, 0.4186952, 0.3233317, 0.2352745, 0.1437715", \
+					  "0.8540197, 0.7029311, 0.5496681, 0.4533520, 0.3809434, 0.2824134, 0.1936377", \
+					  "0.8769979, 0.7178217, 0.5721549, 0.4850011, 0.3931638, 0.3004077, 0.2362052", \
+					  "0.8920720, 0.7348801, 0.5892464, 0.4948367, 0.4196780, 0.3448725, 0.2621390");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4801825, -0.3746609, -0.3099014, -0.2693122, -0.2329167, -0.1972312, -0.1783284", \
+					  "-0.6217958, -0.5107410, -0.4559990, -0.4115711, -0.3847866, -0.3530042, -0.3311894", \
+					  "-0.6898048, -0.5668931, -0.5000129, -0.4806336, -0.4474069, -0.4123310, -0.3986786", \
+					  "-0.7329963, -0.5985999, -0.5528040, -0.5223150, -0.4819082, -0.4370518, -0.4174180", \
+					  "-0.7637723, -0.6509778, -0.5870472, -0.5613923, -0.5205835, -0.4837722, -0.4607619", \
+					  "-0.7931185, -0.6779709, -0.6079367, -0.5759325, -0.5303865, -0.5446107, -0.5236207", \
+					  "-0.8117358, -0.6862991, -0.6130526, -0.6061086, -0.5494886, -0.5627374, -0.5536213");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5335999, -0.3928806, -0.2531197, -0.1396125, -0.0452335, 0.0359977, 0.1127809", \
+					  "-0.6813572, -0.5419254, -0.4045339, -0.2905306, -0.1932607, -0.1072858, -0.0224935", \
+					  "-0.7365321, -0.5940909, -0.4612749, -0.3455570, -0.2612953, -0.1644657, -0.0889998", \
+					  "-0.7879313, -0.6242576, -0.4871393, -0.3966206, -0.3049005, -0.2343121, -0.1282320", \
+					  "-0.8201660, -0.6743784, -0.5326673, -0.4330173, -0.3639156, -0.2595324, -0.1706906", \
+					  "-0.8465364, -0.6936438, -0.5566800, -0.4610256, -0.3842013, -0.3111774, -0.2066277", \
+					  "-0.8651534, -0.7124678, -0.5798750, -0.4769818, -0.4013699, -0.3126670, -0.2440203");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375796, 0.0370404, 0.0365011, 0.0363476, 0.0362003, 0.0360468, 0.0358933");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467406, 0.0460464, 0.0453523, 0.0455007, 0.0456433, 0.0457917, 0.0459402");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+	}
+		pin ("PAD_A_NOESD_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_1_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pin ("PAD_A_ESD_0_H") {
+			 signal_voltage_type : "analog";
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+		}
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		pin ("ENABLE_VSWITCH_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VSWITCH";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.028245;
+			capacitance : 0.028241;
+			fall_capacitance : 0.028236;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0160412, -0.0138001, -0.0115590, -0.0016902, 0.0077839, 0.0176527, 0.0275215");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1161986, 0.1200552, 0.1239118, 0.1342717, 0.1442172, 0.1545771, 0.1649370");
+				}
+			}
+		}
+		pin ("ANALOG_EN") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.033150;
+			capacitance : 0.032784;
+			fall_capacitance : 0.032418;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1073470, 0.1115002, 0.1156534, 0.1163079, 0.1169362, 0.1175907, 0.1182452");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1236924, 0.1244588, 0.1252251, 0.1261162, 0.1269716, 0.1278627, 0.1287539");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.052525;
+			capacitance : 0.051969;
+			fall_capacitance : 0.051413;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0167277, -0.0169717, -0.0172157, -0.0172099, -0.0172043, -0.0171985, -0.0171927");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0173449, 0.0173256, 0.0173064, 0.0172998, 0.0172935, 0.0172869, 0.0172803");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ANALOG_SEL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.016840;
+			capacitance : 0.016761;
+			fall_capacitance : 0.016681;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0224745, 0.0221643, 0.0218541, 0.0223954, 0.0229151, 0.0234564, 0.0239977");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0265850, 0.0263451, 0.0261051, 0.0267782, 0.0274244, 0.0280975, 0.0287706");
+				}
+			}
+		}
+		pin (OUT) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.037418;
+			capacitance : 0.036793;
+			fall_capacitance : 0.036167;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7990881, -0.6962510, -0.6444013, -0.6004620, -0.5686069, -0.5466574, -0.5277546", \
+					  "-0.9422274, -0.8424558, -0.7812798, -0.7359719, -0.7169724, -0.6904422, -0.6718288", \
+					  "-1.0106752, -0.9089500, -0.8326101, -0.7863700, -0.7806580, -0.7602313, -0.7352251", \
+					  "-1.0534192, -0.9506853, -0.8811476, -0.8524556, -0.8236895, -0.7971592, -0.7965670", \
+					  "-1.0826022, -0.9742406, -0.9209523, -0.8837863, -0.8488285, -0.8341356, -0.8289192", \
+					  "-1.1120242, -1.0076612, -0.9578413, -0.9074467, -0.8570042, -0.8626835, -0.8424134", \
+					  "-1.1291156, -1.0232268, -0.9570992, -0.9276737, -0.8911274, -0.8708978, -0.8639199");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5686806, -0.4606777, -0.5660139, -0.4661505, -0.3855045, -0.3025168, -0.2256306", \
+					  "-0.7102932, -0.8350673, -0.5386340, -0.6145499, -0.5337533, -0.4524284, -0.3707113", \
+					  "-0.7595851, -0.8939970, -0.5712598, -0.6690433, -0.5929750, -0.5160907, -0.4361528", \
+					  "-0.8230244, -0.9431437, -0.6314757, -0.7052825, -0.6439062, -0.5499669, -0.4714156", \
+					  "-0.8552066, -0.9718541, -0.6770805, -0.6162764, -0.6793725, -0.5993741, -0.5039070", \
+					  "-0.8739871, -0.7635316, -0.8683833, -0.7653879, -0.6958257, -0.6233941, -0.5556823", \
+					  "-0.8941303, -0.7852918, -0.8858225, -0.7850139, -0.6059269, -0.6433981, -0.5684532");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9572019, 0.8545504, 0.7973147, 0.7557660, 0.7267210, 0.7093489, 0.6889202", \
+					  "1.0942396, 0.9974766, 0.9359848, 0.8886028, 0.8638981, 0.8446030, 0.8311791", \
+					  "1.1703952, 1.0540479, 0.9876077, 0.9507523, 0.9340661, 0.9183451, 0.8943834", \
+					  "1.2061440, 1.1041855, 1.0379771, 0.9998882, 0.9597922, 0.9537471, 0.9348444", \
+					  "1.2392444, 1.1321500, 1.0792078, 1.0372798, 0.9749218, 0.9861074, 0.9736298", \
+					  "1.2655607, 1.1667746, 1.1187967, 1.0537489, 1.0290513, 1.0066635, 1.0079940", \
+					  "1.2857038, 1.1725683, 1.1480214, 1.0693457, 1.0491560, 1.0119932, 1.0311890");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8458125, 0.7032994, 0.5748779, 0.4747297, 0.3913293, 0.3080442, 0.2326839", \
+					  "0.9844838, 0.8379120, 0.7117073, 0.6188420, 0.5408295, 0.4589611, 0.3730665", \
+					  "1.0647857, 0.9167715, 0.7677323, 0.6807950, 0.6000267, 0.5261942, 0.4432045", \
+					  "1.1014251, 0.9577013, 0.8310902, 0.7166446, 0.6511556, 0.5615963, 0.4781845", \
+					  "1.1393168, 0.9878783, 0.8545271, 0.7298549, 0.6834632, 0.6035449, 0.5079070", \
+					  "1.1618037, 0.9951064, 0.8277749, 0.7770173, 0.7033890, 0.6314352, 0.5604273", \
+					  "1.1712658, 1.0242706, 0.8989773, 0.7947596, 0.7191657, 0.6477693, 0.5714476");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1815255, 0.2242796, 0.2670336, 0.3021521, 0.3358659, 0.3709843, 0.4061028");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3118948, 0.3859556, 0.4600165, 0.4611906, 0.4623178, 0.4634919, 0.4646660");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_INP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.012603;
+			capacitance : 0.012304;
+			fall_capacitance : 0.012006;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2809469, 3.0245966, 5.7682461, 6.5034695, 7.2092839, 7.9445072, 8.6797305");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3681365, 3.0158359, 5.6635352, 6.0719794, 6.4640859, 6.8725302, 7.2809744");
+				}
+			}
+		}
+		pin ("VTRIP_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.006908;
+			capacitance : 0.006725;
+			fall_capacitance : 0.006543;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7374779, 0.6339137, 0.5733451, 0.5282645, 0.4871609, 0.4621565, 0.4402020", \
+					  "0.8806220, 0.7767422, 0.7044054, 0.6672506, 0.6350674, 0.6131812, 0.5867717", \
+					  "0.9538006, 0.8504741, 0.7567262, 0.7362172, 0.7053124, 0.6635233, 0.6444321", \
+					  "0.9948395, 0.8863901, 0.8147873, 0.7653486, 0.7607647, 0.7401241, 0.6907037", \
+					  "1.0256796, 0.9167822, 0.8537102, 0.8241922, 0.7809062, 0.7694245, 0.7340938", \
+					  "1.0504146, 0.9445806, 0.8745977, 0.8273713, 0.7782512, 0.7894200, 0.7719753", \
+					  "1.0675060, 0.9527470, 0.8961907, 0.8506397, 0.8040867, 0.8127887, 0.8020654");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5589749, 0.4179180, 0.2739049, 0.1512424, 0.0583893, -0.0234173, -0.1001950", \
+					  "0.6991010, 0.5601012, 0.4192151, 0.2970647, 0.2063756, 0.1216267, 0.0372436", \
+					  "0.7456225, 0.6083793, 0.4729522, 0.3617641, 0.2713983, 0.1830737, 0.1007710", \
+					  "0.8133095, 0.6404728, 0.4992971, 0.4175361, 0.3169232, 0.2398626, 0.1205119", \
+					  "0.8429720, 0.6951339, 0.5476892, 0.4497311, 0.3800155, 0.2763946, 0.1888242", \
+					  "0.8749647, 0.7157558, 0.5701758, 0.4746739, 0.3921187, 0.3303798, 0.2396619", \
+					  "0.8326801, 0.6723509, 0.5781120, 0.4874360, 0.4143097, 0.3437902, 0.2584870");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4817082, -0.3747839, -0.3086751, -0.2632087, -0.2237613, -0.2033347, -0.1798542", \
+					  "-0.6263734, -0.5153499, -0.4574186, -0.4161488, -0.3847871, -0.3547159, -0.3328812", \
+					  "-0.6974258, -0.5714761, -0.5014384, -0.4836717, -0.4483166, -0.4123310, -0.4027664", \
+					  "-0.7390911, -0.5985910, -0.5558886, -0.5253644, -0.4819347, -0.4492588, -0.4308805", \
+					  "-0.7652223, -0.6496121, -0.5912569, -0.5659228, -0.5206000, -0.4822494, -0.4624894", \
+					  "-0.7946444, -0.6755052, -0.6093151, -0.5787981, -0.5304234, -0.5408578, -0.5250917", \
+					  "-0.8132617, -0.6935663, -0.6340786, -0.6077277, -0.5510143, -0.5673204, -0.5439046");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5320740, -0.3921054, -0.2546456, -0.1396125, -0.0467594, 0.0432052, 0.1127811", \
+					  "-0.6843478, -0.5435450, -0.4060598, -0.2887863, -0.1919490, -0.1012835, -0.0192862", \
+					  "-0.7365315, -0.5895124, -0.4627107, -0.3455570, -0.2629678, -0.1644644, -0.0889998", \
+					  "-0.7909827, -0.6257827, -0.4886236, -0.3981480, -0.3018151, -0.2343137, -0.1272697", \
+					  "-0.8171138, -0.6743788, -0.5326665, -0.4330170, -0.3639154, -0.2572830, -0.1692452", \
+					  "-0.8450105, -0.6906861, -0.5582051, -0.4594979, -0.3812572, -0.3032438, -0.2066278", \
+					  "-0.8621016, -0.7028834, -0.5646151, -0.4754547, -0.3988600, -0.3228574, -0.2423436");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0376904, 0.0371341, 0.0365778, 0.0364236, 0.0362757, 0.0361216, 0.0359674");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467795, 0.0460860, 0.0453925, 0.0455459, 0.0456932, 0.0458466, 0.0459999");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ANALOG_POL") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.017763;
+			capacitance : 0.017677;
+			fall_capacitance : 0.017592;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1136737, 0.1580135, 0.2023533, 0.2380142, 0.2722488, 0.3079097, 0.3435707");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2188847, 0.2933303, 0.3677759, 0.3689977, 0.3701705, 0.3713923, 0.3726140");
+				}
+			}
+		}
+		pin ("IB_MODE_SEL") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.005502;
+			capacitance : 0.005341;
+			fall_capacitance : 0.005179;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4511931, -0.3427205, -0.2775338, -0.2250617, -0.1810367, -0.1575583, -0.1310261", \
+					  "-0.5943324, -0.4829500, -0.4304739, -0.3810536, -0.3420028, -0.3147777, -0.2849853", \
+					  "-0.6653848, -0.5363581, -0.4804926, -0.4493905, -0.3957261, -0.3779467, -0.3465274", \
+					  "-0.7101018, -0.5632584, -0.5045721, -0.4918698, -0.4300405, -0.4019566, -0.3907072", \
+					  "-0.7377589, -0.6158054, -0.5647504, -0.5330423, -0.4833796, -0.4327829, -0.4419409", \
+					  "-0.7580258, -0.6415967, -0.5826596, -0.5420892, -0.5004706, -0.4818896, -0.4848000", \
+					  "-0.7827466, -0.6556363, -0.6073805, -0.5426175, -0.5373303, -0.5083702, -0.4880842");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4954398, -0.3561192, -0.2119210, -0.0968879, 0.0126373, 0.0962490, 0.1683501", \
+					  "-0.6370531, -0.4925304, -0.3602835, -0.2498156, -0.1349438, -0.0455500, 0.0414296", \
+					  "-0.7143181, -0.5502214, -0.4177541, -0.3013065, -0.2106130, -0.1148996, -0.0165231", \
+					  "-0.7528172, -0.5803994, -0.4609896, -0.3571184, -0.2536329, -0.1468607, -0.0731889", \
+					  "-0.7850117, -0.6359982, -0.4870089, -0.3924952, -0.2784595, -0.1991709, -0.0987814", \
+					  "-0.8099018, -0.6495824, -0.5190582, -0.4185111, -0.3280108, -0.2378006, -0.1411598", \
+					  "-0.8285191, -0.6632101, -0.5300462, -0.4315002, -0.3444134, -0.2741615, -0.1971656");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6978119, 0.5909546, 0.5226562, 0.4674444, 0.4322292, 0.3965437, 0.3715375", \
+					  "0.8424821, 0.7338102, 0.6596679, 0.6218330, 0.5821175, 0.5502423, 0.5205745", \
+					  "0.9124308, 0.8061002, 0.7078988, 0.6745692, 0.6396996, 0.6055360, 0.5751562", \
+					  "0.9536197, 0.8433658, 0.7610683, 0.7079621, 0.6997125, 0.6718152, 0.6264620", \
+					  "0.9860182, 0.8701504, 0.7953775, 0.7210503, 0.7257643, 0.6931305, 0.6864348", \
+					  "1.0107486, 0.9002721, 0.7818238, 0.7724397, 0.7528288, 0.7285070, 0.7101374", \
+					  "1.0278401, 0.9145207, 0.8404773, 0.7929271, 0.7554137, 0.7639465, 0.7426776");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5192800, 0.3757662, 0.2296549, 0.1130959, 0.0065099, -0.0792872, -0.1555881", \
+					  "0.6640212, 0.5144511, 0.3795423, 0.2640380, 0.1555201, 0.0590588, -0.0256044", \
+					  "0.7308363, 0.5673720, 0.4393511, 0.3205653, 0.2320778, 0.1267298, 0.0410396", \
+					  "0.7736931, 0.5981624, 0.4717761, 0.3728392, 0.2728887, 0.1647964, 0.0839851", \
+					  "0.8127366, 0.6588450, 0.5085862, 0.4163640, 0.2920785, 0.2185318, 0.0964212", \
+					  "0.8383211, 0.6706506, 0.5402540, 0.4293380, 0.3489903, 0.2616251, 0.1612322", \
+					  "0.8447329, 0.6907939, 0.5527678, 0.4521910, 0.3673647, 0.2938071, 0.1675130");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0439212, 0.0438236, 0.0437259, 0.0435497, 0.0433805, 0.0432042, 0.0430280");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0521624, 0.0517178, 0.0512733, 0.0513624, 0.0514479, 0.0515370, 0.0516261");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("HLD_H_N") {
+			min_pulse_width_high : 15.5 ;
+			min_pulse_width_low : 15.5 ;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.005650;
+			capacitance : 0.005352;
+			fall_capacitance : 0.005053;
+			max_transition : 3.750000;
+			timing() { /* As per CDT 150283 */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_setup_rising;
+				fall_constraint (scalar) { 
+					 values ("2");
+				} 
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0353275, -0.0349824, -0.0346373, -0.0346118, -0.0345873, -0.0345618, -0.0345363");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0366676, 0.0366498, 0.0366320, 0.0365777, 0.0365256, 0.0364713, 0.0364170");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6794944, 0.8195869, 0.8854419, 0.9338041, 0.9661726, 0.9909053, 1.0095226", \
+					  "0.5726626, 0.7153796, 0.7871931, 0.8255869, 0.8564642, 0.8839295, 0.8964433", \
+					  "0.5047490, 0.6459349, 0.6865370, 0.7414902, 0.7894618, 0.7745959, 0.8254713", \
+					  "0.4737636, 0.6185472, 0.6745692, 0.7079572, 0.7210472, 0.7709138, 0.7944794", \
+					  "0.4245998, 0.5760139, 0.6369177, 0.6873086, 0.7196608, 0.7528288, 0.7554697", \
+					  "0.3934920, 0.5538333, 0.6039684, 0.6703315, 0.7038117, 0.7369797, 0.7126741", \
+					  "0.3700116, 0.5270450, 0.5767744, 0.6310783, 0.7081846, 0.6697884, 0.6778880");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[2] group */
+			timing () {
+				related_pin : "DM[2]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4817082, -0.6187440, -0.6897964, -0.7329875, -0.7621705, -0.7946444, -0.8132617", \
+					  "-0.3666611, -0.5133075, -0.5668988, -0.6001172, -0.6480866, -0.6755044, -0.6935649", \
+					  "-0.3086751, -0.4560712, -0.5000012, -0.5543514, -0.5900163, -0.6079580, -0.6340791", \
+					  "-0.2601569, -0.4100453, -0.4791628, -0.5220487, -0.5627652, -0.5744895, -0.6062778", \
+					  "-0.2268131, -0.3817586, -0.4473885, -0.4819347, -0.5190682, -0.5304239, -0.5479688", \
+					  "-0.2002829, -0.3529925, -0.4123310, -0.4492588, -0.4807737, -0.5408579, -0.5596721", \
+					  "-0.1737507, -0.3262841, -0.3986687, -0.4158860, -0.4607663, -0.5236174, -0.5439046");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7990881, -0.9422274, -1.0106752, -1.0534192, -1.0826022, -1.1120242, -1.1291156", \
+					  "-0.6962510, -0.8424558, -0.9089500, -0.9506853, -0.9742406, -1.0076612, -1.0232268", \
+					  "-0.6444013, -0.7812798, -0.8326101, -0.8811476, -0.9209523, -0.9578413, -0.9570992", \
+					  "-0.6004620, -0.7359719, -0.7863700, -0.8524556, -0.8837863, -0.9074467, -0.9276737", \
+					  "-0.5686069, -0.7169724, -0.7806580, -0.8236895, -0.8488285, -0.8570042, -0.8911274", \
+					  "-0.5466574, -0.6904422, -0.7602313, -0.7971592, -0.8341356, -0.8626835, -0.8708978", \
+					  "-0.5277546, -0.6718288, -0.7352251, -0.7965670, -0.8289192, -0.8424134, -0.8639199");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin out group */
+			timing () {
+				related_pin : "OUT";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9572019, 1.0942396, 1.1703952, 1.2061440, 1.2392444, 1.2655607, 1.2857038", \
+					  "0.8545504, 0.9974766, 1.0540479, 1.1041855, 1.1321500, 1.1667746, 1.1725683", \
+					  "0.7973147, 0.9359848, 0.9876077, 1.0379771, 1.0792078, 1.1187967, 1.1480214", \
+					  "0.7557660, 0.8886028, 0.9507523, 0.9998882, 1.0372798, 1.0537489, 1.0693457", \
+					  "0.7267210, 0.8638981, 0.9340661, 0.9597922, 0.9749218, 1.0290513, 1.0491560", \
+					  "0.7093489, 0.8446030, 0.9183451, 0.9537471, 0.9861074, 1.0066635, 1.0119932", \
+					  "0.6889202, 0.8311791, 0.8943834, 0.9348444, 0.9736298, 1.0079940, 1.0311890");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7374779, 0.8806220, 0.9538006, 0.9948395, 1.0256796, 1.0504146, 1.0675060", \
+					  "0.6339137, 0.7767422, 0.8504741, 0.8863901, 0.9167822, 0.9445806, 0.9527470", \
+					  "0.5733451, 0.7044054, 0.7567262, 0.8147873, 0.8537102, 0.8745977, 0.8961907", \
+					  "0.5282645, 0.6672506, 0.7362172, 0.7653486, 0.8241922, 0.8273713, 0.8506397", \
+					  "0.4871609, 0.6350674, 0.7053124, 0.7607647, 0.7809062, 0.7782512, 0.8040867", \
+					  "0.4621565, 0.6131812, 0.6635233, 0.7401241, 0.7694245, 0.7894200, 0.8127887", \
+					  "0.4402020, 0.5867717, 0.6444321, 0.6907037, 0.7340938, 0.7719753, 0.8020654");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin vtrip_sel group */
+			timing () {
+				related_pin : "VTRIP_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4817082, -0.6263734, -0.6974258, -0.7390911, -0.7652223, -0.7946444, -0.8132617", \
+					  "-0.3747839, -0.5153499, -0.5714761, -0.5985910, -0.6496121, -0.6755052, -0.6935663", \
+					  "-0.3086751, -0.4574186, -0.5014384, -0.5558886, -0.5912569, -0.6093151, -0.6340786", \
+					  "-0.2632087, -0.4161488, -0.4836717, -0.5253644, -0.5659228, -0.5787981, -0.6077277", \
+					  "-0.2237613, -0.3847871, -0.4483166, -0.4819347, -0.5206000, -0.5304234, -0.5510143", \
+					  "-0.2033347, -0.3547159, -0.4123310, -0.4492588, -0.4822494, -0.5408578, -0.5673204", \
+					  "-0.1798542, -0.3328812, -0.4027664, -0.4308805, -0.4624894, -0.5250917, -0.5439046");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4511931, -0.5943324, -0.6653848, -0.7101018, -0.7377589, -0.7580258, -0.7827466", \
+					  "-0.3427205, -0.4829500, -0.5363581, -0.5632584, -0.6158054, -0.6415967, -0.6556363", \
+					  "-0.2775338, -0.4304739, -0.4804926, -0.5045721, -0.5647504, -0.5826596, -0.6073805", \
+					  "-0.2250617, -0.3810536, -0.4493905, -0.4918698, -0.5330423, -0.5420892, -0.5426175", \
+					  "-0.1810367, -0.3420028, -0.3957261, -0.4300405, -0.4833796, -0.5004706, -0.5373303", \
+					  "-0.1575583, -0.3147777, -0.3779467, -0.4019566, -0.4327829, -0.4818896, -0.5083702", \
+					  "-0.1310261, -0.2849853, -0.3465274, -0.3907072, -0.4419409, -0.4848000, -0.4880842");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin ib_mode_sel group */
+			timing () {
+				related_pin : "IB_MODE_SEL";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6978119, 0.8424821, 0.9124308, 0.9536197, 0.9860182, 1.0107486, 1.0278401", \
+					  "0.5909546, 0.7338102, 0.8061002, 0.8433658, 0.8701504, 0.9002721, 0.9145207", \
+					  "0.5226562, 0.6596679, 0.7078988, 0.7610683, 0.7953775, 0.7818238, 0.8404773", \
+					  "0.4674444, 0.6218330, 0.6745692, 0.7079621, 0.7210503, 0.7724397, 0.7929271", \
+					  "0.4322292, 0.5821175, 0.6396996, 0.6997125, 0.7257643, 0.7528288, 0.7554137", \
+					  "0.3965437, 0.5502423, 0.6055360, 0.6718152, 0.6931305, 0.7285070, 0.7639465", \
+					  "0.3715375, 0.5205745, 0.5751562, 0.6264620, 0.6864348, 0.7101374, 0.7426776");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4405008, -0.5836400, -0.6577513, -0.6963556, -0.7005467, -0.7564887, -0.7598472", \
+					  "-0.3295483, -0.4666625, -0.5265750, -0.5532205, -0.5893497, -0.6285070, -0.6471243", \
+					  "-0.2653267, -0.4167410, -0.4714891, -0.4960433, -0.5566590, -0.5852939, -0.6053245", \
+					  "-0.2082770, -0.3613802, -0.4371334, -0.4848867, -0.5230305, -0.5239402, -0.5247344", \
+					  "-0.1673038, -0.3203981, -0.3837096, -0.4223833, -0.4696999, -0.5089877, -0.5202738", \
+					  "-0.1438254, -0.2911589, -0.3519201, -0.4027178, -0.4297312, -0.4677288, -0.5028669", \
+					  "-0.1203450, -0.2643793, -0.3281175, -0.3754244, -0.4294044, -0.4165306, -0.4896010");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[1] group */
+			timing () {
+				related_pin : "DM[1]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6581203, 0.8027894, 0.8577623, 0.9154839, 0.9447814, 0.9695311, 0.9866225", \
+					  "0.5469117, 0.6923317, 0.7627534, 0.8009793, 0.8305259, 0.8546875, 0.8686379", \
+					  "0.4837391, 0.6190033, 0.6637569, 0.7219877, 0.7603895, 0.7503333, 0.8030644", \
+					  "0.4367674, 0.5803660, 0.6412921, 0.6715266, 0.6870028, 0.7347394, 0.7539391", \
+					  "0.3880927, 0.5423838, 0.6107079, 0.6478875, 0.6799924, 0.7043450, 0.7396987", \
+					  "0.3507674, 0.5102635, 0.5750224, 0.6308922, 0.6609679, 0.6964662, 0.6822114", \
+					  "0.3242352, 0.4775151, 0.5465375, 0.5762628, 0.6452361, 0.6504996, 0.6453404");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.7975623, -0.9422275, -1.0091494, -1.0534192, -1.0810763, -1.1104984, -1.1275899", \
+					  "-0.6947251, -0.8409285, -0.9123036, -0.9476777, -0.9727147, -1.0077053, -1.0232710", \
+					  "-0.6365395, -0.7781423, -0.8260969, -0.8809866, -0.9160373, -0.9471679, -0.9496182", \
+					  "-0.5958844, -0.7344460, -0.7939994, -0.8433844, -0.8773395, -0.9013823, -0.9276421", \
+					  "-0.5701222, -0.7169724, -0.7760829, -0.8221636, -0.8412603, -0.8507997, -0.8825854", \
+					  "-0.5420798, -0.6889164, -0.7571796, -0.8032628, -0.8329706, -0.8598071, -0.8650751", \
+					  "-0.5231770, -0.6715850, -0.7459062, -0.7950483, -0.8076561, -0.8347578, -0.8669716");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin oe_n group */
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.9770380, 1.1156022, 1.1917707, 1.2290320, 1.2575657, 1.2869228, 1.3070661", \
+					  "0.8734995, 1.0185445, 1.0813709, 1.1224876, 1.1525469, 1.1859868, 1.1970235", \
+					  "0.8080791, 0.9634063, 1.0064141, 1.0621631, 1.0886773, 1.1278044, 1.1582648", \
+					  "0.7724016, 0.9156135, 0.9749829, 1.0232088, 1.0662286, 1.0823235, 1.0962328", \
+					  "0.7464826, 0.8803552, 0.9491314, 0.9716053, 0.9980658, 1.0580045, 1.0644148", \
+					  "0.7291732, 0.8645335, 0.9381859, 0.9766353, 1.0059357, 1.0294050, 1.0354017", \
+					  "0.7087566, 0.8418603, 0.9235273, 0.9562066, 0.9901125, 1.0018904, 1.0449219");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.4902844, 0.6319029, 0.7011998, 0.7461197, 0.7739149, 0.8032211, 0.8218384", \
+					  "0.3813558, 0.5198572, 0.5769423, 0.6087381, 0.6583462, 0.6833320, 0.6988702", \
+					  "0.3135773, 0.4639352, 0.5099609, 0.5621219, 0.5966005, 0.6161719, 0.6245475", \
+					  "0.2748382, 0.4201488, 0.4907365, 0.5307568, 0.5656378, 0.5857518, 0.6145369", \
+					  "0.2384426, 0.3905027, 0.4550682, 0.4859612, 0.5460141, 0.5390162, 0.5551252", \
+					  "0.2134383, 0.3649038, 0.4191532, 0.4636926, 0.4892656, 0.5517532, 0.5290966", \
+					  "0.1899579, 0.3368413, 0.4098867, 0.4378766, 0.4710864, 0.5320769, 0.5649801");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin inp_dis group */
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4633965, -0.6111134, -0.6791141, -0.7253570, -0.7530141, -0.7778586, -0.7949500", \
+					  "-0.3655790, -0.4982823, -0.5532247, -0.5833913, -0.6389902, -0.6597828, -0.6818728", \
+					  "-0.2977025, -0.4467850, -0.4926759, -0.5434705, -0.5875109, -0.6039828, -0.6314752", \
+					  "-0.2540534, -0.4039417, -0.4718155, -0.5224398, -0.5551922, -0.5701524, -0.5739699", \
+					  "-0.2130802, -0.3739731, -0.4278398, -0.4757085, -0.5144751, -0.5260123, -0.5342254", \
+					  "-0.1880759, -0.3385962, -0.3985980, -0.4309483, -0.4762794, -0.5318274, -0.5258814", \
+					  "-0.1615437, -0.3208078, -0.3813414, -0.4097693, -0.4607976, -0.5221615, -0.5408909");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.6886498, 0.8317933, 0.8996062, 0.9460125, 0.9768424, 1.0015864, 1.0186779", \
+					  "0.5834835, 0.7259014, 0.7985187, 0.8346462, 0.8688466, 0.8961312, 0.9086450", \
+					  "0.5196045, 0.6596678, 0.6987409, 0.7534392, 0.8015348, 0.7818057, 0.8344215", \
+					  "0.4780232, 0.6246899, 0.6819049, 0.7125781, 0.7291682, 0.7800691, 0.8038087", \
+					  "0.4322292, 0.5897469, 0.6534325, 0.6965573, 0.7303419, 0.7616715, 0.7568057", \
+					  "0.4056990, 0.5652071, 0.6146952, 0.6897701, 0.7083893, 0.7461350, 0.7686055", \
+					  "0.3791668, 0.5411765, 0.5849870, 0.6418756, 0.7173780, 0.7432944, 0.7135913");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin dm[0] group */
+			timing () {
+				related_pin : "DM[0]";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4801825, -0.6217958, -0.6898048, -0.7329963, -0.7637723, -0.7931185, -0.8117358", \
+					  "-0.3746609, -0.5107410, -0.5668931, -0.5985999, -0.6509778, -0.6779709, -0.6862991", \
+					  "-0.3099014, -0.4559990, -0.5000129, -0.5528040, -0.5870472, -0.6079367, -0.6130526", \
+					  "-0.2693122, -0.4115711, -0.4806336, -0.5223150, -0.5613923, -0.5759325, -0.6061086", \
+					  "-0.2329167, -0.3847866, -0.4474069, -0.4819082, -0.5205835, -0.5303865, -0.5494886", \
+					  "-0.1972312, -0.3530042, -0.4123310, -0.4370518, -0.4837722, -0.5446107, -0.5627374", \
+					  "-0.1783284, -0.3311894, -0.3986786, -0.4174180, -0.4607619, -0.5236207, -0.5536213");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.0148202, 0.1230534, 0.1869965, 0.2153444, 0.2220239, 0.2736467, 0.2877808", \
+					  "-0.1168045, 0.0246792, 0.0857350, 0.1237039, 0.1504375, 0.1607173, 0.1656017", \
+					  "-0.1787001, -0.0386149, 0.0266629, 0.0593340, 0.0886344, 0.1080695, 0.1221092", \
+					  "-0.2210725, -0.0710775, -0.0319127, 0.0330507, 0.0624474, 0.0834084, 0.0974481", \
+					  "-0.2559422, -0.1162866, -0.0367579, -0.0082880, 0.0248988, 0.0682624, 0.0650584", \
+					  "-0.2885759, -0.1407738, -0.0540751, -0.0450789, -0.0209808, 0.0182162, 0.0338966", \
+					  "-0.3075088, -0.1625632, -0.0811310, -0.0796121, -0.0398880, -0.0083514, -0.0175746");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin hld_ovr group */
+			timing () {
+				related_pin : "HLD_OVR";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.2385472, 0.1023864, 0.0448044, 0.0078765, -0.0136001, -0.0217206, -0.0145254", \
+					  "0.3490102, 0.2038106, 0.1502525, 0.1133246, 0.0888470, 0.0691667, 0.0581787", \
+					  "0.4106376, 0.2669659, 0.2211633, 0.1770980, 0.1519532, 0.1340440, 0.1215302", \
+					  "0.4554483, 0.3152580, 0.2480099, 0.2245895, 0.1572317, 0.1603629, 0.1478499", \
+					  "0.4781429, 0.3602837, 0.2754081, 0.2803515, 0.2161008, 0.2057812, 0.1599475", \
+					  "0.5092507, 0.3696773, 0.3160091, 0.2578685, 0.2416556, 0.2233640, 0.2336691", \
+					  "0.5098094, 0.3870991, 0.3285825, 0.3081693, 0.2718995, 0.3586159, 0.2432830");
+				}
+			}
+			/* Copied from non_seq_setup_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_hold_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("-0.4740781, -0.6202691, -0.6867439, -0.7314609, -0.7606438, -0.7870142, -0.8056315", \
+					  "-0.3652263, -0.5080322, -0.5638851, -0.5925258, -0.6450728, -0.6666611, -0.6879555", \
+					  "-0.3086751, -0.4560254, -0.4999076, -0.5527718, -0.5900195, -0.6093742, -0.6341346", \
+					  "-0.2586310, -0.4085194, -0.4776704, -0.5223029, -0.5613945, -0.5744593, -0.6062558", \
+					  "-0.2207096, -0.3802465, -0.4481999, -0.4803811, -0.5175406, -0.5304669, -0.5418424", \
+					  "-0.1911276, -0.3497162, -0.4108051, -0.4355259, -0.4792669, -0.5408717, -0.5566039", \
+					  "-0.1737507, -0.3262841, -0.3813619, -0.4158865, -0.4607059, -0.5236303, -0.5439027");
+				}
+			}
+			/* Copied from non_seq_hold_falling arc in pin slow group */
+			timing () {
+				related_pin : "SLOW";
+				timing_type : "non_seq_setup_rising";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					index_2("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					values("0.7283220, 0.8684119, 0.9430552, 0.9841640, 1.0149533, 1.0412585, 1.0583499", \
+					  "0.6229782, 0.7659775, 0.8410737, 0.8757515, 0.9032586, 0.9381278, 0.9494236", \
+					  "0.5598027, 0.6941766, 0.7414588, 0.8102819, 0.8481536, 0.8573398, 0.8917011", \
+					  "0.5205015, 0.6583399, 0.7170154, 0.7518099, 0.8130775, 0.8197419, 0.8460945", \
+					  "0.4764797, 0.6271251, 0.6946312, 0.7514475, 0.7763361, 0.7767790, 0.7898741", \
+					  "0.4514753, 0.6021551, 0.6543680, 0.7309688, 0.7253702, 0.7818156, 0.8022365", \
+					  "0.4310467, 0.5809258, 0.6349336, 0.6846002, 0.7310758, 0.7642967, 0.7945335");
+				}
+			}
+		}
+		pin ("OE_N") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.024019;
+			capacitance : 0.024898;
+			rise_capacitance : 0.025205;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.7975623, -0.6947251, -0.6365395, -0.5958844, -0.5701222, -0.5420798, -0.5231770", \
+					  "-0.9422275, -0.8409285, -0.7781423, -0.7344460, -0.7169724, -0.6889164, -0.6715850", \
+					  "-1.0091494, -0.9123036, -0.8260969, -0.7939994, -0.7760829, -0.7571796, -0.7459062", \
+					  "-1.0534192, -0.9476777, -0.8809866, -0.8433844, -0.8221636, -0.8032628, -0.7950483", \
+					  "-1.0810763, -0.9727147, -0.9160373, -0.8773395, -0.8412603, -0.8329706, -0.8076561", \
+					  "-1.1104984, -1.0077053, -0.9471679, -0.9013823, -0.8507997, -0.8598071, -0.8347578", \
+					  "-1.1275899, -1.0232710, -0.9496182, -0.9276421, -0.8825854, -0.8650751, -0.8669716");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5412164, -0.4341533, -0.5738867, -0.2799933, -0.2191837, -0.3116720, -0.2271565", \
+					  "-0.6706220, -0.8399169, -0.4790048, -0.6207799, -0.3673368, -0.4524581, -0.3722946", \
+					  "-0.7377485, -0.6094758, -0.5373163, -0.4761822, -0.4003214, -0.5237201, -0.4361528", \
+					  "-0.7864048, -0.6594421, -0.5795958, -0.7097413, -0.4392210, -0.4019566, -0.4729466", \
+					  "-0.8246868, -0.9767233, -0.6351559, -0.5575678, -0.4990199, -0.6010587, -0.5039070", \
+					  "-0.8404194, -0.7285940, -0.8716034, -0.5479654, -0.5049181, -0.6294996, -0.5573003", \
+					  "-0.8681920, -0.7456854, -0.6290288, -0.5635122, -0.4903117, -0.6516003, -0.5746115");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9770380, 0.8734995, 0.8080791, 0.7724016, 0.7464826, 0.7291732, 0.7087566", \
+					  "1.1156022, 1.0185445, 0.9634063, 0.9156135, 0.8803552, 0.8645335, 0.8418603", \
+					  "1.1917707, 1.0813709, 1.0064141, 0.9749829, 0.9491314, 0.9381859, 0.9235273", \
+					  "1.2290320, 1.1224876, 1.0621631, 1.0232088, 0.9716053, 0.9766353, 0.9562066", \
+					  "1.2575657, 1.1525469, 1.0886773, 1.0662286, 0.9980658, 1.0059357, 0.9901125", \
+					  "1.2869228, 1.1859868, 1.1278044, 1.0823235, 1.0580045, 1.0294050, 1.0018904", \
+					  "1.3070661, 1.1970235, 1.1582648, 1.0962328, 1.0644148, 1.0354017, 1.0449219");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8488659, 0.7102961, 0.5822968, 0.4854094, 0.4032375, 0.3141463, 0.2311566", \
+					  "0.9889575, 0.8438808, 0.7146639, 0.6260701, 0.5473206, 0.4626985, 0.3753168", \
+					  "1.0621195, 0.9174035, 0.7738193, 0.6906413, 0.6107079, 0.5338236, 0.4432045", \
+					  "1.1017552, 0.9609726, 0.8226857, 0.7185449, 0.6586544, 0.5631221, 0.4815164", \
+					  "1.1340042, 0.9871787, 0.8552473, 0.7291735, 0.6952467, 0.6097640, 0.5094329", \
+					  "1.1633284, 1.0119094, 0.8833139, 0.7785432, 0.7150328, 0.6366030, 0.5642610", \
+					  "1.1788939, 1.0274749, 0.9007305, 0.7979523, 0.7354300, 0.6569757, 0.5753662");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("INP_DIS") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			fall_capacitance : 0.006458;
+			capacitance : 0.006639;
+			rise_capacitance : 0.006821;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4902844, 0.3813558, 0.3135773, 0.2748382, 0.2384426, 0.2134383, 0.1899579", \
+					  "0.6319029, 0.5198572, 0.4639352, 0.4201488, 0.3905027, 0.3649038, 0.3368413", \
+					  "0.7011998, 0.5769423, 0.5099609, 0.4907365, 0.4550682, 0.4191532, 0.4098867", \
+					  "0.7461197, 0.6087381, 0.5621219, 0.5307568, 0.4859612, 0.4636926, 0.4378766", \
+					  "0.7739149, 0.6583462, 0.5966005, 0.5656378, 0.5460141, 0.4892656, 0.4710864", \
+					  "0.8032211, 0.6833320, 0.6161719, 0.5857518, 0.5390162, 0.5517532, 0.5320769", \
+					  "0.8218384, 0.6988702, 0.6245475, 0.6145369, 0.5551252, 0.5290966, 0.5649801");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7924335, 0.6524726, 0.5079262, 0.3960547, 0.3055822, 0.2134392, 0.1319754", \
+					  "0.9341229, 0.7931095, 0.6459770, 0.5487221, 0.4536699, 0.3535697, 0.2768940", \
+					  "1.0111331, 0.8682799, 0.6930865, 0.6109319, 0.5115818, 0.4193379, 0.3263936", \
+					  "1.0529513, 0.9106403, 0.7549787, 0.6504512, 0.5668723, 0.4608882, 0.3873489", \
+					  "1.0889410, 0.9424161, 0.7833782, 0.6657784, 0.6034974, 0.5159578, 0.4255095", \
+					  "1.1099487, 0.9469109, 0.7754460, 0.6687485, 0.6272637, 0.5312687, 0.4303321", \
+					  "1.1209379, 0.9774256, 0.8284565, 0.7170118, 0.6387923, 0.5557932, 0.4922673");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4633965, -0.3655790, -0.2977025, -0.2540534, -0.2130802, -0.1880759, -0.1615437", \
+					  "-0.6111134, -0.4982823, -0.4467850, -0.4039417, -0.3739731, -0.3385962, -0.3208078", \
+					  "-0.6791141, -0.5532247, -0.4926759, -0.4718155, -0.4278398, -0.3985980, -0.3813414", \
+					  "-0.7253570, -0.5833913, -0.5434705, -0.5224398, -0.4757085, -0.4309483, -0.4097693", \
+					  "-0.7530141, -0.6389902, -0.5875109, -0.5551922, -0.5144751, -0.4762794, -0.4607976", \
+					  "-0.7778586, -0.6597828, -0.6039828, -0.5701524, -0.5260123, -0.5318274, -0.5221615", \
+					  "-0.7949500, -0.6818728, -0.6314752, -0.5739699, -0.5342254, -0.5258814, -0.5408909");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5412302, -0.4051808, -0.2653267, -0.1472419, -0.0513370, 0.0331471, 0.1070271", \
+					  "-0.6889357, -0.5515261, -0.4167410, -0.3021603, -0.2007867, -0.1120582, -0.0286212", \
+					  "-0.7434586, -0.6063359, -0.4684780, -0.3577641, -0.2692355, -0.1783042, -0.0935769", \
+					  "-0.7970872, -0.6303990, -0.4961586, -0.4087775, -0.3097183, -0.2190379, -0.1282320", \
+					  "-0.8277964, -0.6839018, -0.5419260, -0.4422844, -0.3701873, -0.2609668, -0.1783445", \
+					  "-0.8541667, -0.6995478, -0.5705166, -0.4656371, -0.3903878, -0.3128034, -0.2066263", \
+					  "-0.8727837, -0.7136217, -0.5754011, -0.4832474, -0.4060679, -0.3323575, -0.2466693");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0374288, 0.0369051, 0.0363814, 0.0362370, 0.0360983, 0.0359539, 0.0358095");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468905, 0.0462022, 0.0455139, 0.0456500, 0.0457807, 0.0459168, 0.0460530");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.019112;
+			capacitance : 0.018189;
+			fall_capacitance : 0.017265;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0419947, 0.1490228, 0.2560510, 0.2680425, 0.2795543, 0.2915458, 0.3035373");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9296213, 7.4737199, 14.0178180, 14.2378060, 14.4489940, 14.6689820, 14.8889700");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-100.0");
+				} 
+			}
+		}
+		pin ("ENABLE_VDDA_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : true;
+			rise_capacitance : 0.033093;
+			capacitance : 0.033010;
+			fall_capacitance : 0.032927;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0177390, -0.0138055, -0.0098720, 0.0068172, 0.0228388, 0.0395279, 0.0562171");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4103800, 0.4184583, 0.4265366, 0.4440550, 0.4608725, 0.4783909, 0.4959092");
+				}
+			}
+		}
+		pin ("HLD_OVR") {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.007059;
+			capacitance : 0.006880;
+			fall_capacitance : 0.006701;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0148202, -0.1168045, -0.1787001, -0.2210725, -0.2559422, -0.2885759, -0.3075088", \
+					  "0.1230534, 0.0246792, -0.0386149, -0.0710775, -0.1162866, -0.1407738, -0.1625632", \
+					  "0.1869965, 0.0857350, 0.0266629, -0.0319127, -0.0367579, -0.0540751, -0.0811310", \
+					  "0.2153444, 0.1237039, 0.0593340, 0.0330507, -0.0082880, -0.0450789, -0.0796121", \
+					  "0.2220239, 0.1504375, 0.0886344, 0.0624474, 0.0248988, -0.0209808, -0.0398880", \
+					  "0.2736467, 0.1607173, 0.1080695, 0.0834084, 0.0682624, 0.0182162, -0.0083514", \
+					  "0.2877808, 0.1656017, 0.1221092, 0.0974481, 0.0650584, 0.0338966, -0.0175746");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1521551, -0.2972880, -0.4292861, -0.5439708, -0.6198860, -0.7148845, -0.8012249", \
+					  "-0.0159706, -0.1584394, -0.2964221, -0.4129811, -0.5062374, -0.5873405, -0.6715236", \
+					  "0.0365249, -0.0991807, -0.2276466, -0.3361117, -0.4308050, -0.5188632, -0.6102425", \
+					  "0.0770139, -0.0607275, -0.2031099, -0.2988911, -0.3706555, -0.4793967, -0.5548221", \
+					  "0.0927010, -0.0344783, -0.1757182, -0.2877284, -0.3747847, -0.4544432, -0.5366223", \
+					  "0.0946493, -0.0165691, -0.1562828, -0.2682935, -0.3542807, -0.4347608, -0.5159895", \
+					  "0.1306335, -0.0040557, -0.1422434, -0.2542536, -0.3431893, -0.4215943, -0.5157752");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2385472, 0.3490102, 0.4106376, 0.4554483, 0.4781429, 0.5092507, 0.5098094", \
+					  "0.1023864, 0.2038106, 0.2669659, 0.3152580, 0.3602837, 0.3696773, 0.3870991", \
+					  "0.0448044, 0.1502525, 0.2211633, 0.2480099, 0.2754081, 0.3160091, 0.3285825", \
+					  "0.0078765, 0.1133246, 0.1770980, 0.2245895, 0.2803515, 0.2578685, 0.3081693", \
+					  "-0.0136001, 0.0888470, 0.1519532, 0.1572317, 0.2161008, 0.2416556, 0.2718995", \
+					  "-0.0217206, 0.0691667, 0.1340440, 0.1603629, 0.2057812, 0.2233640, 0.3586159", \
+					  "-0.0145254, 0.0581787, 0.1215302, 0.1478499, 0.1599475, 0.2336691, 0.2432830");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1637813, 0.3073607, 0.4424887, 0.5588536, 0.6500351, 0.7251737, 0.8084420", \
+					  "0.0337076, 0.1652941, 0.3125504, 0.4233430, 0.5177276, 0.5987982, 0.6784187", \
+					  "-0.0211199, 0.1140346, 0.2397139, 0.3626521, 0.4450960, 0.5310796, 0.6155876", \
+					  "-0.0608019, 0.0739466, 0.2124083, 0.3103964, 0.4177863, 0.5010609, 0.5682692", \
+					  "-0.0780168, 0.0476974, 0.1904967, 0.3024871, 0.3897884, 0.4608727, 0.5312545", \
+					  "-0.0802252, 0.0313141, 0.1695354, 0.2830522, 0.3692522, 0.4457882, 0.5245806", \
+					  "-0.1128960, 0.0188003, 0.1554960, 0.2690125, 0.3596482, 0.4335121, 0.5124606");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375150, 0.0369295, 0.0363440, 0.0361929, 0.0360479, 0.0358969, 0.0357458");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0467630, 0.0459826, 0.0452022, 0.0453393, 0.0454709, 0.0456080, 0.0457452");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (SLOW) {
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCD";
+			related_ground_pin : "VSSD";
+			always_on : false;
+			rise_capacitance : 0.014241;
+			capacitance : 0.014116;
+			fall_capacitance : 0.013990;
+			max_transition : 1.500000;
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_setup_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.4740781, -0.3652263, -0.3086751, -0.2586310, -0.2207096, -0.1911276, -0.1737507", \
+					  "-0.6202691, -0.5080322, -0.4560254, -0.4085194, -0.3802465, -0.3497162, -0.3262841", \
+					  "-0.6867439, -0.5638851, -0.4999076, -0.4776704, -0.4481999, -0.4108051, -0.3813619", \
+					  "-0.7314609, -0.5925258, -0.5527718, -0.5223029, -0.4803811, -0.4355259, -0.4158865", \
+					  "-0.7606438, -0.6450728, -0.5900195, -0.5613945, -0.5175406, -0.4792669, -0.4607059", \
+					  "-0.7870142, -0.6666611, -0.6093742, -0.5744593, -0.5304669, -0.5408717, -0.5236303", \
+					  "-0.8056315, -0.6879555, -0.6341346, -0.6062558, -0.5418424, -0.5566039, -0.5439027");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.5366504, -0.3949176, -0.2470546, -0.1380866, -0.0452335, 0.0403392, 0.1215443", \
+					  "-0.6782374, -0.5387105, -0.3984304, -0.2905794, -0.1946188, -0.1027709, -0.0224581", \
+					  "-0.7365201, -0.5926319, -0.4597823, -0.3501346, -0.2643783, -0.1645846, -0.0889317", \
+					  "-0.7879546, -0.6136168, -0.4811867, -0.3981902, -0.3017078, -0.2311783, -0.1270964", \
+					  "-0.8217225, -0.6766218, -0.5357447, -0.4345367, -0.3670987, -0.2596163, -0.1708139", \
+					  "-0.8404312, -0.6935389, -0.5506025, -0.4594698, -0.3813697, -0.3112773, -0.2051440", \
+					  "-0.8666775, -0.7013550, -0.5553752, -0.4755292, -0.3988787, -0.3292605, -0.2408169");
+				}
+			}
+			timing () {
+				related_pin : "HLD_H_N";
+				timing_type : "non_seq_hold_falling";
+				when : "( ENABLE_H )";
+				sdf_cond : " ENABLE_H==1'b1 ";
+				rise_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7283220, 0.6229782, 0.5598027, 0.5205015, 0.4764797, 0.4514753, 0.4310467", \
+					  "0.8684119, 0.7659775, 0.6941766, 0.6583399, 0.6271251, 0.6021551, 0.5809258", \
+					  "0.9430552, 0.8410737, 0.7414588, 0.7170154, 0.6946312, 0.6543680, 0.6349336", \
+					  "0.9841640, 0.8757515, 0.8102819, 0.7518099, 0.7514475, 0.7309688, 0.6846002", \
+					  "1.0149533, 0.9032586, 0.8481536, 0.8130775, 0.7763361, 0.7253702, 0.7310758", \
+					  "1.0412585, 0.9381278, 0.8573398, 0.8197419, 0.7767790, 0.7818156, 0.7642967", \
+					  "1.0583499, 0.9494236, 0.8917011, 0.8460945, 0.7898741, 0.8022365, 0.7945335");
+				}
+				fall_constraint ("vio_7_7_1") {
+					index_1("0.0100000, 0.6300000, 1.2600000, 1.8799999, 2.5000000, 3.1300000, 3.7499999");
+					index_2("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.5498243, 0.4152222, 0.2693279, 0.1527689, 0.0583900, -0.0191361, -0.1018016", \
+					  "0.6991503, 0.5496723, 0.4237927, 0.2985852, 0.2064571, 0.1243868, 0.0403254", \
+					  "0.7575513, 0.6143040, 0.4732699, 0.3648158, 0.2729567, 0.1835846, 0.1006368", \
+					  "0.8118847, 0.6436091, 0.5007157, 0.4195571, 0.3232567, 0.2290293, 0.1283814", \
+					  "0.8479058, 0.7017846, 0.5540190, 0.4545296, 0.3798445, 0.2749884, 0.1920454", \
+					  "0.8673410, 0.7069343, 0.5765059, 0.4810307, 0.3924245, 0.3130168, 0.2349017", \
+					  "0.8798547, 0.7279372, 0.5874936, 0.4955642, 0.4180793, 0.3441652, 0.2572879");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0375815, 0.0370577, 0.0365338, 0.0363785, 0.0362294, 0.0360740, 0.0359187");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0468326, 0.0461289, 0.0454252, 0.0455667, 0.0457027, 0.0458442, 0.0459858");
+				}
+			}
+			timing() { /* This is for power up */ 
+				related_pin : "HLD_H_N";
+				timing_type : non_seq_setup_rising;
+				sdf_cond : "ENABLE_H==1'b1";
+				when     : "( ENABLE_H )";
+				rise_constraint (scalar) { 
+					 values ("5.0");
+				} 
+				fall_constraint (scalar) { 
+					 values ("5.0");
+				} 
+			}
+		}
+		pin (IN) {
+			 output_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 0.672007;
+			max_transition : 1.506935;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02278664, 0.05192309, 0.1183153, 0.2696007, 0.6143293, 1.39985");
+					values("1.0545718, 1.0933709, 1.1836003, 1.5587198, 2.6944199, 2.7284020, 2.7155149", \
+					  "0.7675460, 0.7681829, 0.7691789, 0.7702523, 0.9558704, 0.9288862, 0.9151115", \
+					  "1.1544864, 1.1640435, 1.1645704, 1.1672592, 1.1688347, 1.1690959, 1.0173793", \
+					  "1.2602337, 1.2572246, 1.2613510, 1.2643923, 1.2660216, 1.2662454, 1.2482619", \
+					  "1.3463035, 1.3396184, 1.3491053, 1.3472856, 1.3521607, 1.3544404, 1.3539187", \
+					  "1.4388151, 1.4582335, 1.4402929, 1.4359180, 1.4415566, 1.4446258, 1.4340791", \
+					  "1.5062829, 1.4779436, 1.5185263, 1.4905763, 1.5187503, 1.5517187, 1.4964577");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02278664, 0.05192309, 0.1183153, 0.2696007, 0.6143293, 1.39985");
+					values("1.3710187, 1.4775828, 1.7100364, 2.0562230, 2.2790448, 2.3334344, 2.3355443", \
+					  "4.1358475, 4.1349055, 4.1376303, 4.1400663, 4.1431841, 4.1914536, 4.2632759", \
+					  "4.1407810, 4.1417468, 4.1476839, 4.1474363, 4.1517115, 4.1554464, 4.1541588", \
+					  "4.1378467, 4.1448052, 4.1512922, 4.1541939, 4.1567964, 4.1581144, 4.1571234", \
+					  "4.1411883, 4.1540742, 4.1588378, 4.1622877, 4.1764244, 4.1714449, 4.1603132", \
+					  "4.1668357, 4.1268837, 4.1681463, 4.1707506, 4.1853834, 4.1880470, 4.2095268", \
+					  "4.1304273, 4.1417156, 4.1412004, 4.1439864, 4.1554633, 4.1365271, 4.1505120");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.2241442, 3.2698498, 3.3267027, 3.3752269, 3.3871520, 3.3748792, 3.3565287", \
+					  "7.3804192, 7.3817168, 7.3843379, 7.3838461, 7.3837308, 7.2786204, 7.0850699", \
+					  "14.0029080, 13.9977480, 14.0042110, 14.0057090, 14.0290180, 14.0000490, 13.9991360", \
+					  "20.2352960, 20.2397320, 20.2353980, 20.2398240, 20.2997640, 20.3100730, 20.2893670", \
+					  "26.4072320, 26.4059040, 26.4096110, 26.4141260, 26.4343560, 26.4402490, 26.4690560", \
+					  "32.4974280, 32.4988890, 32.4858790, 32.4962420, 32.4834990, 32.4325150, 32.4683300", \
+					  "38.5488520, 38.5380370, 38.5351020, 38.5335240, 38.5301580, 38.5354140, 38.4808710");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.5347636, 3.5509712, 3.5764751, 3.6126623, 3.6400293, 3.6541378, 3.6590522", \
+					  "8.2062412, 8.2068614, 8.2091486, 8.2108877, 8.2132955, 8.2137196, 8.4514756", \
+					  "9.4949491, 9.4956317, 9.4973664, 9.4983405, 9.5001888, 9.5038734, 9.5052317", \
+					  "10.8245440, 10.8232750, 10.8250810, 10.8259300, 10.8309230, 10.8308410, 10.8300640", \
+					  "12.1867560, 12.1827560, 12.1947880, 12.1913070, 12.1942320, 12.2079200, 12.1932220", \
+					  "13.5677540, 13.5713280, 13.5761250, 13.5744520, 13.5744380, 13.5745810, 13.5632300", \
+					  "14.9620330, 14.9616810, 14.9935380, 14.9704940, 14.9747680, 15.0273470, 14.9505690");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("2.7991268, 2.8434208, 2.9003969, 2.9480668, 2.9575002, 2.9542877, 2.9444964", \
+					  "0.6525104, 0.6490169, 0.6578726, 0.6585318, 0.6581877, 0.6561902, 0.3445039", \
+					  "2.3412706, 2.3360719, 2.3436726, 2.3330151, 2.3358531, 2.3353259, 2.3259914", \
+					  "3.9868130, 3.9950465, 3.9803868, 3.9880352, 3.9845401, 3.9825656, 3.9616036", \
+					  "5.5355638, 5.5371697, 5.5352190, 5.5379139, 5.5406790, 5.5196915, 5.5011737", \
+					  "6.9879697, 6.9919990, 6.9450071, 6.9890083, 7.0149802, 7.0110012, 6.9788736", \
+					  "8.4386135, 8.4423865, 8.4141730, 8.4488683, 8.4478579, 8.4467407, 8.4009969");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.4086276, 3.4286307, 3.4630415, 3.5135610, 3.5588000, 3.5836915, 3.5964652", \
+					  "7.8310032, 7.8311030, 7.8326200, 7.8355621, 7.8372456, 7.9847162, 8.1151535", \
+					  "8.6417019, 8.6425268, 8.6409213, 8.6467907, 8.6487024, 8.6467665, 8.6486495", \
+					  "9.4528083, 9.4481688, 9.4510363, 9.4547217, 9.4630614, 9.4704028, 9.4610110", \
+					  "10.2598590, 10.2642980, 10.2651150, 10.2710550, 10.2829690, 10.3195520, 10.2721860", \
+					  "11.0940590, 11.1019200, 11.0908320, 11.0967010, 11.1458060, 11.1442290, 11.1222220", \
+					  "11.9291560, 11.9311510, 11.9219020, 11.9241280, 11.9756930, 11.9777690, 11.9342040");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("6.5148910, 6.5185135, 6.5343182, 6.5545017, 6.5828640, 6.6042097, 6.6062836", \
+					  "6.5147462, 6.5163812, 6.5322804, 6.5523364, 6.5830917, 6.6019213, 6.6070773", \
+					  "6.5182855, 6.5244854, 6.5351349, 6.5519066, 6.5745187, 6.6103599, 6.6151460", \
+					  "6.5200745, 6.5261586, 6.5363514, 6.5521305, 6.5759153, 6.6082145, 6.6130999", \
+					  "6.5154999, 6.5209708, 6.5320982, 6.5540580, 6.5847531, 6.6020166, 6.6041759", \
+					  "6.5205519, 6.5259326, 6.5361926, 6.5519504, 6.5736362, 6.6070561, 6.6126176", \
+					  "6.5108739, 6.5207288, 6.5378709, 6.5487438, 6.5848590, 6.6121956, 6.6084846");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.02279905, 0.05197965, 0.1185086, 0.2701884, 0.6160038, 1.40443");
+					values("3.1794710, 3.2408098, 3.4162311, 3.8528196, 4.4921406, 4.8419294, 4.9294115", \
+					  "3.1784547, 3.2409362, 3.4020311, 3.8391923, 4.4811570, 4.8346087, 4.9373867", \
+					  "3.1813001, 3.2413595, 3.4049786, 3.8450758, 4.4858198, 4.8363103, 4.9299675", \
+					  "3.1930351, 3.2496361, 3.4106141, 3.8500055, 4.4868337, 4.8397610, 4.9459018", \
+					  "3.1873109, 3.2372115, 3.4034221, 3.8448134, 4.4830888, 4.8342085, 4.9249185", \
+					  "3.1892017, 3.2427843, 3.4029487, 3.8418574, 4.4868987, 4.8391902, 4.9315126", \
+					  "3.1965313, 3.2443095, 3.3993604, 3.8396798, 4.4811615, 4.8252010, 4.9301422");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("1.4202939, 1.4307932, 1.4542689, 1.5044389, 1.6158905, 1.8659627, 2.4333648", \
+					  "3.2693741, 3.2801324, 3.3032697, 3.3537026, 3.4647445, 3.7146903, 4.2820654", \
+					  "4.7066573, 4.7174225, 4.7406061, 4.7910644, 4.9020485, 5.1518518, 5.7192775", \
+					  "5.0218496, 5.0326023, 5.0557554, 5.1061755, 5.2172164, 5.4670197, 6.0343672", \
+					  "6.8701764, 6.8809798, 6.9041084, 6.9545343, 7.0684396, 7.3154611, 7.8828597", \
+					  "8.4061957, 8.4169631, 8.4401437, 8.4905780, 8.5911003, 8.8513543, 9.4187360", \
+					  "9.5567394, 9.5988000, 9.5988002, 9.6410560, 9.7372945, 10.0021200, 10.5693320", \
+					  "11.2631880, 11.2631889, 11.2968170, 11.3479960, 11.4241750, 11.7084210, 12.2757180", \
+					  "33.6470830, 33.6470852, 33.6789440, 33.6789456, 33.8120150, 34.0322220, 34.6609750", \
+					  "47.0308380, 47.1867560, 47.1867581, 47.1867619, 47.2269870, 47.4763230, 48.0433400", \
+					  "103.3164600, 103.3164635, 103.4328400, 103.4328423, 103.4328499, 103.7588900, 104.3192800", \
+					  "297.7971900, 297.7971955, 297.7972260, 297.8768200, 297.9828700, 297.9828949, 298.2349100");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("0.0761248, 0.0882461, 0.1166696, 0.1827091, 0.3361548, 0.6875857, 1.4924380", \
+					  "0.0762173, 0.0884730, 0.1172825, 0.1828831, 0.3358224, 0.6875556, 1.4945537", \
+					  "0.0761798, 0.0886300, 0.1172621, 0.1833004, 0.3360004, 0.6875424, 1.4995513", \
+					  "0.0761829, 0.0884983, 0.1172531, 0.1828612, 0.3358257, 0.6873339, 1.5004383", \
+					  "0.0762010, 0.0886230, 0.1172837, 0.1829677, 0.3365123, 0.6875189, 1.4991378", \
+					  "0.0761258, 0.0885717, 0.1171842, 0.1826738, 0.3363981, 0.6874785, 1.5005596", \
+					  "0.0764226, 0.0887049, 0.1172651, 0.1831202, 0.3356911, 0.6873806, 1.5005767", \
+					  "0.0764778, 0.0887562, 0.1172916, 0.1829535, 0.3355881, 0.6872785, 1.4999294", \
+					  "0.0761581, 0.0887055, 0.1171154, 0.1831031, 0.3362909, 0.6873303, 1.4936641", \
+					  "0.0764400, 0.0885462, 0.1173001, 0.1831123, 0.3357636, 0.6873688, 1.5005747", \
+					  "0.0764913, 0.0885897, 0.1171835, 0.1827640, 0.3365383, 0.6872174, 1.5007210", \
+					  "0.0760807, 0.0885621, 0.1171542, 0.1825539, 0.3362653, 0.6875074, 1.5010889");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("1.2468747, 1.2611234, 1.2895821, 1.3464940, 1.4473210, 1.6325840, 2.0079443", \
+					  "2.8938183, 2.9083287, 2.9377143, 2.9938285, 3.0945322, 3.2797964, 3.6550738", \
+					  "3.9823291, 3.9964583, 4.0259747, 4.0820622, 4.1827684, 4.3680322, 4.7434039", \
+					  "4.2062887, 4.2205022, 4.2499404, 4.3060093, 4.4067234, 4.5919868, 4.9673576", \
+					  "5.4840159, 5.4982410, 5.5274270, 5.5700768, 5.6543006, 5.8692482, 6.2446239", \
+					  "6.4400654, 6.4541541, 6.4834889, 6.5425994, 6.6384489, 6.8252906, 7.2020643", \
+					  "7.1278574, 7.1419124, 7.1713528, 7.2447297, 7.3455011, 7.5133766, 7.8797688", \
+					  "8.0551965, 8.0696676, 8.0969995, 8.1767206, 8.2770741, 8.4384135, 8.8182350", \
+					  "18.2143140, 18.2640440, 18.2640448, 18.3482240, 18.4501960, 18.5991700, 19.0022490", \
+					  "23.7300100, 23.7430220, 23.7734810, 23.8368280, 23.9326000, 24.1155220, 24.4841780", \
+					  "44.7563550, 44.7788760, 44.8008780, 44.8623290, 44.9498290, 45.1410640, 45.4997640", \
+					  "114.2567800, 114.2975100, 114.2975121, 114.3412500, 114.4748000, 114.5979900, 115.0075200");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0227891, 0.0519345, 0.118354, 0.269719, 0.614666, 1.40077");
+					values("0.0943671, 0.1057039, 0.1299191, 0.1757845, 0.2655118, 0.4611126, 0.9247306", \
+					  "0.0947689, 0.1067688, 0.1308661, 0.1774783, 0.2656871, 0.4629955, 0.9290763", \
+					  "0.0948376, 0.1067589, 0.1310911, 0.1776408, 0.2656583, 0.4630141, 0.9240194", \
+					  "0.0944743, 0.1067781, 0.1312035, 0.1776530, 0.2654578, 0.4631425, 0.9243972", \
+					  "0.0945535, 0.1065785, 0.1311251, 0.1776845, 0.2654179, 0.4629826, 0.9252440", \
+					  "0.0943422, 0.1067503, 0.1310907, 0.1764766, 0.2654221, 0.4624173, 0.9252037", \
+					  "0.0943444, 0.1067598, 0.1310863, 0.1768569, 0.2654126, 0.4632908, 0.9289218", \
+					  "0.0944030, 0.1071083, 0.1311502, 0.1768577, 0.2654300, 0.4635700, 0.9278292", \
+					  "0.0944561, 0.1065204, 0.1308768, 0.1765821, 0.2653761, 0.4628218, 0.9297841", \
+					  "0.0948640, 0.1065217, 0.1308898, 0.1772951, 0.2654739, 0.4631665, 0.9293881", \
+					  "0.0944561, 0.1070982, 0.1309355, 0.1773559, 0.2655092, 0.4630563, 0.9248641", \
+					  "0.0948169, 0.1066484, 0.1309796, 0.1769919, 0.2656168, 0.4628710, 0.9293984");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.1515571, 1.1620126, 1.1856297, 1.2352522, 1.3458963, 1.5964560, 2.1662361", \
+					  "2.1536735, 2.1641369, 2.1871458, 2.2373365, 2.3478530, 2.5980418, 3.1678014", \
+					  "2.8829670, 2.8936131, 2.9166680, 2.9664819, 3.0811160, 3.3278296, 3.8970716", \
+					  "3.0493725, 3.0598379, 3.0828429, 3.1330238, 3.2319332, 3.4940046, 4.0629788", \
+					  "4.0089212, 4.0196602, 4.0426764, 4.0926795, 4.2060444, 4.4566102, 5.0311852", \
+					  "4.8111319, 4.8215334, 4.8444417, 4.8950684, 5.0108100, 5.2489737, 5.8293668", \
+					  "5.4305862, 5.4407958, 5.4637903, 5.5139524, 5.6238147, 5.8750729, 6.4443046", \
+					  "6.2893473, 6.2997576, 6.3269119, 6.3727001, 6.4835235, 6.7338934, 7.3032540", \
+					  "18.2551470, 18.2656110, 18.2887430, 18.3388880, 18.4442360, 18.7004150, 19.2692990", \
+					  "26.0482480, 26.0587890, 26.0810380, 26.1324470, 26.2415440, 26.4904440, 27.0595740", \
+					  "60.3508780, 60.4211290, 60.4366550, 60.4647070, 60.5557200, 60.8290660, 61.3645740", \
+					  "191.8019300, 191.8125600, 191.8356200, 191.8859000, 191.9963600, 192.2465500, 192.8156300");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0748314, 0.0872028, 0.1157103, 0.1821626, 0.3356674, 0.6902054, 1.4987275", \
+					  "0.0749056, 0.0872287, 0.1159511, 0.1821333, 0.3382554, 0.6888696, 1.4969431", \
+					  "0.0751705, 0.0875236, 0.1160567, 0.1820873, 0.3350548, 0.6927324, 1.4999256", \
+					  "0.0748586, 0.0872582, 0.1159754, 0.1821245, 0.3354104, 0.6897287, 1.4991396", \
+					  "0.0752094, 0.0874679, 0.1159125, 0.1821211, 0.3349212, 0.6898977, 1.5018409", \
+					  "0.0748428, 0.0872985, 0.1159924, 0.1820965, 0.3354351, 0.6900126, 1.4971444", \
+					  "0.0752072, 0.0872708, 0.1159847, 0.1821414, 0.3353976, 0.6890597, 1.4988595", \
+					  "0.0751081, 0.0875186, 0.1158590, 0.1820807, 0.3354100, 0.6884903, 1.5005497", \
+					  "0.0748933, 0.0872397, 0.1159795, 0.1821085, 0.3354115, 0.6892095, 1.4992032", \
+					  "0.0750701, 0.0872561, 0.1157250, 0.1821618, 0.3353346, 0.6885867, 1.4991844", \
+					  "0.0749941, 0.0873258, 0.1160536, 0.1820819, 0.3354341, 0.6884572, 1.4973371", \
+					  "0.0750770, 0.0873998, 0.1160610, 0.1821367, 0.3350944, 0.6892625, 1.4989715");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.3097970, 1.3231630, 1.3502202, 1.4032512, 1.4995037, 1.6807113, 2.0537170", \
+					  "2.4753044, 2.4886790, 2.5161437, 2.5689928, 2.6653191, 2.8467504, 3.2202145", \
+					  "3.4318044, 3.4446612, 3.4720469, 3.5248535, 3.6213945, 3.8028465, 4.1761110", \
+					  "3.6487153, 3.6618004, 3.6892220, 3.7418832, 3.8382209, 4.0196251, 4.3926822", \
+					  "4.9625686, 4.9756412, 5.0030331, 5.0558411, 5.1523497, 5.3325491, 5.7066818", \
+					  "6.0614354, 6.0745267, 6.0942700, 6.1546634, 6.2425398, 6.4336132, 6.8052133", \
+					  "6.9047701, 6.9178538, 6.9494779, 6.9979444, 7.0784942, 7.2685671, 7.6487634", \
+					  "8.0844682, 8.0975431, 8.1439246, 8.1776692, 8.2923490, 8.4614165, 8.8284553", \
+					  "25.0467590, 25.0598240, 25.0944880, 25.1408760, 25.2382360, 25.4251960, 25.7877330", \
+					  "36.1725650, 36.1908510, 36.1946210, 36.2536700, 36.3329530, 36.5510470, 36.9163580", \
+					  "85.4813110, 85.5130720, 85.5383250, 85.5932290, 85.6760420, 85.8559300, 86.2313670", \
+					  "274.7980300, 274.8131200, 274.8389800, 274.9041000, 274.9701800, 275.1720800, 275.5436700");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0883807, 0.0999011, 0.1220579, 0.1667410, 0.2560106, 0.4539598, 0.9219290", \
+					  "0.0890420, 0.0999865, 0.1226529, 0.1683794, 0.2597903, 0.4582243, 0.9275906", \
+					  "0.0890437, 0.0997672, 0.1233390, 0.1689148, 0.2589365, 0.4575787, 0.9285910", \
+					  "0.0888163, 0.0996632, 0.1234003, 0.1687289, 0.2592249, 0.4571734, 0.9278978", \
+					  "0.0885427, 0.0997341, 0.1234437, 0.1689287, 0.2590548, 0.4572943, 0.9269245", \
+					  "0.0886261, 0.0995676, 0.1234662, 0.1680452, 0.2589257, 0.4572376, 0.9284615", \
+					  "0.0888681, 0.0996771, 0.1234063, 0.1687933, 0.2591813, 0.4572757, 0.9276822", \
+					  "0.0885046, 0.0997351, 0.1234094, 0.1689265, 0.2588787, 0.4573353, 0.9275923", \
+					  "0.0885470, 0.0997359, 0.1234451, 0.1689348, 0.2592201, 0.4577159, 0.9275188", \
+					  "0.0890162, 0.0997540, 0.1233973, 0.1689352, 0.2593194, 0.4577127, 0.9266430", \
+					  "0.0888322, 0.0997360, 0.1234605, 0.1689352, 0.2592775, 0.4571778, 0.9273645", \
+					  "0.0888773, 0.0996804, 0.1234155, 0.1689672, 0.2591984, 0.4577345, 0.9277695");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.1419207, 1.1524403, 1.1753797, 1.2256314, 1.3365987, 1.5864390, 2.1558946", \
+					  "1.2000076, 1.2106940, 1.2337409, 1.2835538, 1.3942153, 1.6448041, 2.2136650", \
+					  "0.9750831, 0.9857699, 1.0088097, 1.0586325, 1.1692795, 1.4198603, 1.9886612", \
+					  "0.9120639, 0.9228026, 0.9458324, 0.9956829, 1.1062701, 1.3568037, 1.9253705", \
+					  "0.4428294, 0.4533052, 0.4763502, 0.5264762, 0.6370613, 0.8874497, 1.4565973", \
+					  "-0.0427570, -0.0322699, -0.0123603, 0.0408853, 0.1513529, 0.4017483, 0.9710653", \
+					  "-0.4394340, -0.4290787, -0.4042416, -0.3557805, -0.2452153, 0.0051820, 0.5742847", \
+					  "-1.0430505, -1.0307950, -1.0109424, -0.9594048, -0.8492401, -0.5984518, -0.0299800", \
+					  "-11.1315450, -11.1311400, -11.1012330, -11.0480190, -10.9483440, -10.6866800, -10.1287000", \
+					  "-18.3338300, -18.3234140, -18.3234128, -18.2497250, -18.1556710, -17.8892900, -17.3515070", \
+					  "-51.7785210, -51.7785205, -51.7785167, -51.7492540, -51.6443850, -51.3549130, -50.8222620", \
+					  "-185.2189900, -185.2083100, -185.1855600, -185.1496300, -184.9921700, -184.8052200, -184.2372400");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0749688, 0.0875051, 0.1160005, 0.1815743, 0.3352628, 0.6902041, 1.4998242", \
+					  "0.0752698, 0.0875197, 0.1159254, 0.1821183, 0.3358060, 0.6916172, 1.4992043", \
+					  "0.0752677, 0.0875165, 0.1159072, 0.1821172, 0.3357078, 0.6914716, 1.5020842", \
+					  "0.0752322, 0.0874913, 0.1158715, 0.1821232, 0.3351357, 0.6905861, 1.5007421", \
+					  "0.0748636, 0.0874066, 0.1160785, 0.1819160, 0.3356473, 0.6894402, 1.4996002", \
+					  "0.0751147, 0.0873234, 0.1160134, 0.1821636, 0.3353869, 0.6886140, 1.5002112", \
+					  "0.0748552, 0.0874001, 0.1160780, 0.1819575, 0.3356373, 0.6895258, 1.4997160", \
+					  "0.0749186, 0.0874029, 0.1159207, 0.1821358, 0.3349213, 0.6895093, 1.5006193", \
+					  "0.0752647, 0.0872492, 0.1158452, 0.1821164, 0.3354096, 0.6917501, 1.4971860", \
+					  "0.0748765, 0.0873510, 0.1158386, 0.1819935, 0.3356385, 0.6894062, 1.4997116", \
+					  "0.0750725, 0.0873298, 0.1160809, 0.1821183, 0.3354473, 0.6897015, 1.4962449", \
+					  "0.0750944, 0.0871944, 0.1159736, 0.1821734, 0.3354367, 0.6895138, 1.4995748");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("1.5512929, 1.5646050, 1.5915104, 1.6448832, 1.7411669, 1.9222501, 2.2956239", \
+					  "3.0598781, 3.0727600, 3.1001475, 3.1529619, 3.2494705, 3.4309229, 3.8039412", \
+					  "4.3623234, 4.3753898, 4.4024540, 4.4556008, 4.5517852, 4.7320858, 5.1068758", \
+					  "4.6599054, 4.6729820, 4.7004023, 4.7530970, 4.8492228, 5.0304618, 5.4038507", \
+					  "6.4705015, 6.4835608, 6.5110286, 6.5637016, 6.6590373, 6.8416113, 7.2143749", \
+					  "7.9930720, 8.0054319, 8.0335063, 8.0863227, 8.1824103, 8.3646245, 8.7368726", \
+					  "9.1602823, 9.1711652, 9.2008916, 9.2535615, 9.3489971, 9.5306989, 9.9029531", \
+					  "10.8213670, 10.8346820, 10.8623780, 10.9135150, 11.0121520, 11.1929240, 11.5662990", \
+					  "34.4903480, 34.5002280, 34.5297050, 34.5815360, 34.6786420, 34.8598700, 35.2327060", \
+					  "50.0724440, 50.0787820, 50.1058820, 50.1656600, 50.2562150, 50.4414360, 50.8099730", \
+					  "119.1896400, 119.2026900, 119.2282600, 119.2835300, 119.3804500, 119.5610800, 119.9339000", \
+					  "384.6453500, 384.6585900, 384.6865300, 384.7392800, 384.8369400, 385.0173300, 385.3950300");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0882888, 0.0997088, 0.1220668, 0.1667535, 0.2560163, 0.4538326, 0.9218312", \
+					  "0.0889584, 0.0997525, 0.1233940, 0.1689322, 0.2589946, 0.4577070, 0.9266195", \
+					  "0.0890052, 0.0997513, 0.1234768, 0.1689303, 0.2591696, 0.4547143, 0.9280783", \
+					  "0.0888804, 0.0996736, 0.1234636, 0.1688395, 0.2591985, 0.4575360, 0.9246474", \
+					  "0.0888747, 0.0996780, 0.1234104, 0.1688050, 0.2590517, 0.4575981, 0.9271184", \
+					  "0.0889448, 0.0997548, 0.1233889, 0.1689305, 0.2589803, 0.4577289, 0.9266847", \
+					  "0.0883791, 0.0995185, 0.1234022, 0.1687597, 0.2592458, 0.4576587, 0.9276602", \
+					  "0.0885242, 0.0997554, 0.1234366, 0.1689299, 0.2591399, 0.4577265, 0.9271019", \
+					  "0.0889349, 0.0997355, 0.1234220, 0.1689358, 0.2591729, 0.4567137, 0.9262947", \
+					  "0.0885627, 0.0997217, 0.1228795, 0.1680268, 0.2591909, 0.4563906, 0.9258346", \
+					  "0.0888151, 0.0996660, 0.1234523, 0.1688493, 0.2586695, 0.4571475, 0.9229108", \
+					  "0.0885200, 0.0997552, 0.1234524, 0.1686297, 0.2594676, 0.4577050, 0.9255231");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("4.8736823, 4.8836015, 4.9066480, 4.9568833, 5.0690105, 5.3182529, 5.8917600", \
+					  "5.0200621, 5.0305515, 5.0535904, 5.1038360, 5.2148352, 5.4651599, 6.0388432", \
+					  "5.1575824, 5.1683022, 5.1913553, 5.2411631, 5.3528662, 5.6051079, 6.1752776", \
+					  "5.2706186, 5.2813327, 5.3043854, 5.3541922, 5.4658971, 5.7181628, 6.2883392", \
+					  "5.3642659, 5.3747482, 5.3977884, 5.4480331, 5.5590554, 5.8092247, 6.3829000", \
+					  "5.4562692, 5.4669753, 5.4900280, 5.5398348, 5.6515388, 5.9038059, 6.4739760", \
+					  "5.5363114, 5.5470881, 5.5701381, 5.6201932, 5.7316352, 5.9832641, 6.5541310");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0753134, 0.0873699, 0.1157887, 0.1825829, 0.3371114, 0.6936776, 1.5047690", \
+					  "0.0751619, 0.0873702, 0.1157930, 0.1825831, 0.3369628, 0.6936873, 1.5050428", \
+					  "0.0753494, 0.0876019, 0.1160968, 0.1823992, 0.3371122, 0.6939343, 1.5069347", \
+					  "0.0753494, 0.0876018, 0.1160985, 0.1823994, 0.3371144, 0.6939889, 1.5068833", \
+					  "0.0751699, 0.0873782, 0.1158018, 0.1825713, 0.3370317, 0.6938810, 1.5047764", \
+					  "0.0753494, 0.0876019, 0.1160975, 0.1823993, 0.3371123, 0.6939796, 1.5068949", \
+					  "0.0753465, 0.0875915, 0.1160898, 0.1824126, 0.3370010, 0.6913772, 1.5031150");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("3.5589898, 3.5725376, 3.5991117, 3.6515042, 3.7472492, 3.9251877, 4.2971204", \
+					  "3.6641066, 3.6771663, 3.7043164, 3.7560848, 3.8517474, 4.0323189, 4.4025694", \
+					  "3.7249757, 3.7379566, 3.7647729, 3.8172709, 3.9130823, 4.0927337, 4.4630468", \
+					  "3.7690211, 3.7820436, 3.8088523, 3.8613002, 3.9570929, 4.1368322, 4.5070898", \
+					  "3.8023873, 3.8153338, 3.8421799, 3.8946331, 3.9904280, 4.1701833, 4.5404369", \
+					  "3.8281707, 3.8411812, 3.8680166, 3.9204684, 4.0162669, 4.1960231, 4.5662700", \
+					  "3.8512136, 3.8642178, 3.8910515, 3.9435117, 4.0393084, 4.2190313, 4.5893152");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.022799, 0.0519797, 0.118509, 0.270188, 0.616004, 1.40443");
+					values("0.0882486, 0.0986230, 0.1220902, 0.1674125, 0.2572006, 0.4514644, 0.9137826", \
+					  "0.0881077, 0.0989034, 0.1220619, 0.1672298, 0.2569057, 0.4514617, 0.9137738", \
+					  "0.0885666, 0.0986111, 0.1220657, 0.1671635, 0.2568654, 0.4514613, 0.9136297", \
+					  "0.0883641, 0.0987706, 0.1220829, 0.1675336, 0.2569336, 0.4514604, 0.9137001", \
+					  "0.0885660, 0.0986229, 0.1220637, 0.1675378, 0.2569207, 0.4514759, 0.9138078", \
+					  "0.0885646, 0.0986074, 0.1220702, 0.1671634, 0.2568173, 0.4514547, 0.9137812", \
+					  "0.0885621, 0.0986061, 0.1220675, 0.1671635, 0.2568788, 0.4514727, 0.9137802");
+				}
+			}
+		}
+		pin ("IN_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD&!INP_DIS)";
+			max_capacitance : 1.28175;
+			max_transition : 3.754211;
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  IB_MODE_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02887865, 0.08339766, 0.2408412, 0.695517, 2.00856, 5.80045");
+					values("2.6546440, 2.6304882, 2.6081163, 2.5733959, 2.5163516, 2.4013979, 2.1093072", \
+					  "0.9301744, 0.9110126, 0.8703688, 0.7879044, 0.7329145, 0.6401422, 0.2933691", \
+					  "1.1510555, 1.1235981, 1.0962502, 1.0426006, 0.9936777, 0.7153812, 0.5885029", \
+					  "1.2495417, 1.2282962, 1.1836208, 1.1374624, 1.0929106, 1.0267215, 0.7002061", \
+					  "1.3346755, 1.3132349, 1.2766166, 1.2243688, 1.1760095, 1.1739799, 0.5928395", \
+					  "1.4200102, 1.3952214, 1.3720375, 1.3135116, 1.2664105, 1.2269132, 0.7309369", \
+					  "1.5166861, 1.4842728, 1.4438408, 1.4065399, 1.3354185, 1.3037406, 1.2445091");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02887865, 0.08339766, 0.2408412, 0.695517, 2.00856, 5.80045");
+					values("2.1248235, 2.1246899, 2.1555711, 2.2113580, 2.2332251, 2.2350856, 2.2361563", \
+					  "4.1264206, 4.1108818, 4.0846137, 4.0584359, 4.1015403, 4.1668127, 4.1695020", \
+					  "4.1313177, 4.1164238, 4.0939058, 4.0684795, 4.0563490, 4.0525586, 4.1666679", \
+					  "4.1470052, 4.1128576, 4.0995549, 4.0706861, 4.0646527, 4.0582320, 4.1144878", \
+					  "4.1194014, 4.1016170, 4.1233566, 4.0749947, 4.0752467, 4.0619105, 4.0599078", \
+					  "4.1623887, 4.1215495, 4.1026978, 4.0760416, 4.0660296, 4.0635733, 4.0644003", \
+					  "4.1234303, 4.1428909, 4.1097802, 4.0876877, 4.0769974, 4.0656727, 4.0679135");
+				}
+			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("2.8953816, 2.8786157, 2.8485902, 2.8024981, 2.7297377, 2.6118963, 2.2906967", \
+					  "0.6379025, 0.6142242, 0.5769451, 0.5215310, 0.4756884, 0.0208766, -0.2372472", \
+					  "2.3151576, 2.3011718, 2.2525014, 2.2076410, 2.1451098, 2.1102976, 1.5578600", \
+					  "3.9696961, 3.9544800, 3.9181978, 3.8611805, 3.7958304, 3.7481936, 3.4468442", \
+					  "5.5101449, 5.4913778, 5.4528704, 5.3669829, 5.3409898, 5.2906954, 5.2136372", \
+					  "6.9735923, 6.9625824, 6.9137491, 6.8329795, 6.7624348, 6.7528600, 6.8093816", \
+					  "8.4402719, 8.3998902, 8.3588874, 8.2585952, 8.2177832, 8.1922741, 8.1264049");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.0906085, 3.1135502, 3.1995682, 3.3619279, 3.4614447, 3.4807825, 3.4941746", \
+					  "7.8188072, 7.8020064, 7.7738770, 7.7471397, 7.7326080, 7.9940976, 8.0187862", \
+					  "8.6302233, 8.6126262, 8.5817213, 8.5596920, 8.5425885, 8.5357381, 8.7721939", \
+					  "9.4390468, 9.4223232, 9.3921346, 9.3657894, 9.3539638, 9.3447290, 9.4795369", \
+					  "10.2543890, 10.2352000, 10.2091820, 10.1774720, 10.1652640, 10.1542450, 10.1619990", \
+					  "11.0773870, 11.0602960, 11.0321990, 11.0064240, 11.0010720, 10.9817730, 11.0029880", \
+					  "11.9092020, 11.8897500, 11.8656990, 11.8382380, 11.8288560, 11.8167080, 11.8230120");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.3206530, 3.3039467, 3.2770448, 3.2355230, 3.1650286, 3.0430132, 2.7394457", \
+					  "7.3651710, 7.3422535, 7.3032821, 7.2466703, 7.1784119, 6.8197128, 6.4322857", \
+					  "13.9918790, 13.9642240, 13.8364080, 13.8732580, 13.8224920, 13.7877810, 13.3259710", \
+					  "20.2276080, 20.2702650, 20.2082900, 20.1049650, 20.0488880, 19.9991340, 19.3698890", \
+					  "26.3954640, 26.4339700, 26.3752150, 26.2695260, 26.2169040, 26.1590160, 25.9464850", \
+					  "32.5037150, 32.4504000, 32.4066050, 32.3726810, 32.3168920, 32.2543970, 32.2556880", \
+					  "38.4816410, 38.4845590, 38.4214600, 38.4072630, 38.3544410, 38.1757130, 38.2402690");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("3.2745469, 3.2906312, 3.3570707, 3.4779610, 3.5373692, 3.5447184, 3.5490465", \
+					  "8.1934546, 8.1767371, 8.1490179, 8.1237812, 8.1099624, 8.3372823, 8.3514237", \
+					  "9.4914025, 9.4700333, 9.4888099, 9.4114213, 9.3978480, 9.3928155, 9.6210895", \
+					  "10.8509600, 10.7952830, 10.8078900, 10.7400460, 10.7282040, 10.7142070, 10.7138530", \
+					  "12.2169530, 12.1594430, 12.1944590, 12.1047820, 12.0867910, 12.0791430, 12.0616350", \
+					  "13.6206050, 13.5405060, 13.5632520, 13.4850650, 13.4744970, 13.4932380, 13.4459030", \
+					  "15.0008960, 14.9284130, 14.9770110, 14.8775700, 14.8594960, 14.8780830, 14.8302690");
+				}
+			}
+			internal_power () {
+				related_pin : "INP_DIS";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("6.0624495, 6.0690679, 6.1339800, 6.3723032, 6.3726554, 6.3009901, 6.0094550", \
+					  "6.0626547, 6.0672348, 6.1272276, 6.3696982, 6.3700924, 6.2981395, 6.0076705", \
+					  "6.0622441, 6.0673559, 6.1278235, 6.3619488, 6.3668802, 6.2939949, 6.0259597", \
+					  "6.0626050, 6.0671963, 6.1292908, 6.3644429, 6.3667579, 6.2943848, 6.0265184", \
+					  "6.0635793, 6.0680922, 6.1264244, 6.3692107, 6.3708383, 6.3027803, 6.0223406", \
+					  "6.0618945, 6.0665314, 6.1282485, 6.3639519, 6.3666403, 6.2937962, 6.0257489", \
+					  "6.0652022, 6.0664108, 6.1310579, 6.3645395, 6.3673463, 6.2943873, 6.0134783");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.2409124, 0.6957912, 2.009549, 5.80388");
+					values("4.4862747, 4.4533457, 4.4179205, 4.4272902, 4.5145546, 4.4948451, 4.4703096", \
+					  "4.4845120, 4.4586811, 4.4096105, 4.4283894, 4.5100675, 4.4951732, 4.4676201", \
+					  "4.4941066, 4.4568564, 4.4199320, 4.4346572, 4.5275078, 4.4944327, 4.4789785", \
+					  "4.4918328, 4.4704180, 4.4244969, 4.4346853, 4.5243233, 4.5045418, 4.4728727", \
+					  "4.4815224, 4.4490158, 4.4164581, 4.4298861, 4.5197270, 4.5035763, 4.4819547", \
+					  "4.4881754, 4.4603262, 4.4237543, 4.4350148, 4.5151491, 4.4945943, 4.4688606", \
+					  "4.4919471, 4.4430735, 4.4120670, 4.4289212, 4.5174259, 4.5002504, 4.4669988");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  IB_MODE_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("2.0449109, 2.0581659, 2.0934345, 2.1833764, 2.3973479, 2.9620315, 4.5826543", \
+					  "3.8941548, 3.9074646, 3.9430876, 4.0323851, 4.2465547, 4.8113855, 6.4316215", \
+					  "5.3307994, 5.3450591, 5.3802696, 5.4695830, 5.6838139, 6.2482117, 7.8662650", \
+					  "5.6466773, 5.6602141, 5.6959686, 5.7850631, 5.9994414, 6.5646715, 8.1777518", \
+					  "7.4950863, 7.5085973, 7.5440401, 7.6333082, 7.8478397, 8.4126128, 10.0316730", \
+					  "9.0333767, 9.0448156, 9.0800318, 9.1694679, 9.3838270, 9.9486295, 11.5639790", \
+					  "10.2127620, 10.2127624, 10.2305440, 10.3197470, 10.5343890, 11.0990490, 12.7145950", \
+					  "11.8833440, 11.9017130, 11.9371820, 12.0268280, 12.1749690, 12.8055630, 14.4187790", \
+					  "34.3333290, 34.3333302, 34.3333340, 34.4072080, 34.4542490, 35.0828910, 36.8187760", \
+					  "47.6543780, 47.6693640, 47.7046950, 47.7939680, 47.7939702, 48.5731670, 50.1872500", \
+					  "103.7542500, 103.7542534, 103.7542611, 104.1316700, 104.1316720, 104.6442900, 106.4384100", \
+					  "298.4482700, 298.4482880, 298.4799300, 298.8018100, 298.9132200, 298.9132233, 300.4137200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("0.1215717, 0.1338241, 0.1697230, 0.2655937, 0.5318250, 1.3418756, 3.7403187", \
+					  "0.1216228, 0.1344108, 0.1706562, 0.2651789, 0.5304573, 1.3406226, 3.7362571", \
+					  "0.1227053, 0.1361973, 0.1701678, 0.2657682, 0.5306112, 1.3395913, 3.7510253", \
+					  "0.1215473, 0.1338168, 0.1701861, 0.2655102, 0.5316571, 1.3417945, 3.7457486", \
+					  "0.1214217, 0.1339525, 0.1711642, 0.2652873, 0.5319041, 1.3435102, 3.7346433", \
+					  "0.1213730, 0.1339493, 0.1712338, 0.2653203, 0.5318050, 1.3415080, 3.7539731", \
+					  "0.1212490, 0.1339531, 0.1711580, 0.2652834, 0.5318665, 1.3415186, 3.7494328", \
+					  "0.1211830, 0.1339537, 0.1700354, 0.2658593, 0.5305841, 1.3414058, 3.7500434", \
+					  "0.1215652, 0.1339370, 0.1711466, 0.2653020, 0.5318906, 1.3415369, 3.7513255", \
+					  "0.1215271, 0.1339364, 0.1710985, 0.2652896, 0.5318942, 1.3414915, 3.7508392", \
+					  "0.1213939, 0.1339089, 0.1711507, 0.2654500, 0.5318350, 1.3413419, 3.7499510", \
+					  "0.1214029, 0.1340279, 0.1711814, 0.2653355, 0.5313294, 1.3419406, 3.7514121");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("1.5215142, 1.5350466, 1.5695014, 1.6513007, 1.8386509, 2.2983999, 3.5888974", \
+					  "3.1697518, 3.1829457, 3.2175751, 3.2997731, 3.4867507, 3.9471253, 5.2320388", \
+					  "4.2581072, 4.2712962, 4.3059445, 4.3881836, 4.5750777, 5.0355995, 6.3227468", \
+					  "4.4820849, 4.4952775, 4.5299586, 4.6120179, 4.7992379, 5.2589866, 6.5454104", \
+					  "5.7597016, 5.7732286, 5.8075535, 5.8898593, 6.0766858, 6.5369665, 7.8267997", \
+					  "6.7156125, 6.7290848, 6.7635244, 6.8457520, 7.0327371, 7.4929059, 8.7793293", \
+					  "7.4033837, 7.4168449, 7.4506632, 7.5335445, 7.7206117, 8.1806597, 9.4688648", \
+					  "8.3306277, 8.3445526, 8.3771727, 8.4594500, 8.6462428, 9.1079730, 10.3961000", \
+					  "18.4917130, 18.5031870, 18.5630640, 18.6195340, 18.8068800, 19.2681210, 20.5812470", \
+					  "24.0056810, 24.0187960, 24.0565290, 24.1357320, 24.3224230, 24.7832440, 26.0731830", \
+					  "45.0172840, 45.0358790, 45.0620130, 45.1540460, 45.3368190, 45.8009950, 47.0785080", \
+					  "114.5321600, 114.5683100, 114.5891200, 114.6459000, 114.8381400, 115.3047700, 116.6194500");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288782, 0.0833949, 0.240829, 0.695471, 2.00839, 5.79987");
+					values("0.1042680, 0.1142800, 0.1432393, 0.2146985, 0.4080469, 0.9770187, 2.7024465", \
+					  "0.1040887, 0.1151962, 0.1433476, 0.2162484, 0.4085219, 0.9760821, 2.6844569", \
+					  "0.1041025, 0.1151566, 0.1435578, 0.2161062, 0.4084491, 0.9767336, 2.6974343", \
+					  "0.1041081, 0.1150976, 0.1434829, 0.2161155, 0.4087574, 0.9749817, 2.6963023", \
+					  "0.1042396, 0.1145852, 0.1432776, 0.2164827, 0.4079057, 0.9760017, 2.6989211", \
+					  "0.1042022, 0.1145611, 0.1433047, 0.2165096, 0.4083247, 0.9754572, 2.6993034", \
+					  "0.1042224, 0.1145614, 0.1433395, 0.2165282, 0.4081183, 0.9757196, 2.6995002", \
+					  "0.1042447, 0.1148757, 0.1433381, 0.2158186, 0.4082889, 0.9749459, 2.6959164", \
+					  "0.1040520, 0.1148827, 0.1433261, 0.2163144, 0.4084722, 0.9767466, 2.6977660", \
+					  "0.1040445, 0.1148785, 0.1433080, 0.2163200, 0.4085054, 0.9757851, 2.7002229", \
+					  "0.1041126, 0.1151505, 0.1432906, 0.2162789, 0.4077897, 0.9769181, 2.6989804", \
+					  "0.1043101, 0.1149547, 0.1433221, 0.2157086, 0.4079931, 0.9745601, 2.6994091");
+				}
+			}
+
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b1 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2067243, 1.2201776, 1.2557339, 1.3447755, 1.5592909, 2.1250950, 3.7394132", \
+					  "1.2644932, 1.2779672, 1.3135352, 1.4027353, 1.6168679, 2.1812705, 3.8030246", \
+					  "1.0395680, 1.0530429, 1.0886126, 1.1778095, 1.3919420, 1.9563253, 3.5818821", \
+					  "0.9763355, 0.9899167, 1.0253963, 1.1146793, 1.3290595, 1.8938149, 3.5130880", \
+					  "0.5074826, 0.5209043, 0.5564913, 0.6456409, 0.8597273, 1.4247469, 3.0434335", \
+					  "0.0218074, 0.0351784, 0.0708309, 0.1599244, 0.3742901, 0.9399317, 2.5605573", \
+					  "-0.3747863, -0.3613697, -0.3257781, -0.2366341, -0.0200120, 0.5424742, 2.1613000", \
+					  "-0.9785912, -0.9655140, -0.9295975, -0.8404629, -0.6273139, -0.0609423, 1.5579905", \
+					  "-11.0668890, -11.0641110, -11.0179640, -10.9400910, -10.7229960, -10.1606100, -8.5417948", \
+					  "-18.2693040, -18.2693033, -18.2199140, -18.1313510, -17.9481190, -17.3682650, -15.7489190", \
+					  "-51.7753840, -51.7599620, -51.7018210, -51.6352900, -51.4083990, -50.8554230, -49.2360000", \
+					  "-185.1551400, -185.1097000, -185.1096878, -185.0168400, -184.8352900, -184.2056600, -182.5857800");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1224711, 0.1349761, 0.1703215, 0.2656548, 0.5309188, 1.3427381, 3.7463015", \
+					  "0.1231186, 0.1352856, 0.1704845, 0.2662689, 0.5316333, 1.3437762, 3.7392249", \
+					  "0.1231210, 0.1352938, 0.1704931, 0.2662718, 0.5316373, 1.3390949, 3.7466483", \
+					  "0.1232577, 0.1355311, 0.1706348, 0.2662582, 0.5318529, 1.3428043, 3.7454813", \
+					  "0.1229684, 0.1350493, 0.1702643, 0.2661948, 0.5311679, 1.3400180, 3.7509360", \
+					  "0.1228596, 0.1352060, 0.1705251, 0.2662356, 0.5320210, 1.3436832, 3.7484806", \
+					  "0.1229645, 0.1350518, 0.1702620, 0.2661946, 0.5311670, 1.3400000, 3.7508170", \
+					  "0.1229532, 0.1350618, 0.1701374, 0.2661476, 0.5311786, 1.3430936, 3.7502130", \
+					  "0.1231232, 0.1350997, 0.1704823, 0.2661587, 0.5317761, 1.3404413, 3.7504995", \
+					  "0.1229693, 0.1351114, 0.1702645, 0.2661946, 0.5312478, 1.3400072, 3.7508139", \
+					  "0.1228889, 0.1351342, 0.1701985, 0.2661949, 0.5311609, 1.3434172, 3.7498449", \
+					  "0.1227966, 0.1350996, 0.1701749, 0.2661196, 0.5310934, 1.3399999, 3.7508072");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2700301, 1.2837821, 1.3196549, 1.4042786, 1.5957277, 2.0609217, 3.3512424", \
+					  "2.7783040, 2.7921676, 2.8278706, 2.9128429, 3.1042791, 3.5690877, 4.8558770", \
+					  "4.0802277, 4.0940540, 4.1297481, 4.2151638, 4.4061554, 4.8709401, 6.1591181", \
+					  "4.3781170, 4.3918846, 4.4278687, 4.5128647, 4.7039759, 5.1688120, 6.4568564", \
+					  "6.1887757, 6.2025856, 6.2373662, 6.3232166, 6.5146484, 6.9785377, 8.2671523", \
+					  "7.7111482, 7.7250348, 7.7570004, 7.8456983, 8.0371301, 8.5017744, 9.7886961", \
+					  "8.8784082, 8.8922327, 8.9278060, 9.0128927, 9.2042951, 9.6690114, 10.9569390", \
+					  "10.5383570, 10.5522440, 10.5901070, 10.6729070, 10.8643270, 11.3312240, 12.6157690", \
+					  "34.2051290, 34.2208790, 34.2553580, 34.3395610, 34.5310660, 34.9957290, 36.2833180", \
+					  "49.7841020, 49.7999470, 49.8338150, 49.9182530, 50.1094170, 50.5759310, 51.8619350", \
+					  "118.9067000, 118.9202700, 118.9551800, 119.0381400, 119.2377800, 119.6983800, 120.9836600", \
+					  "384.3601100, 384.3708200, 384.4072000, 384.4871500, 384.6930700, 385.1532700, 386.4352900");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1075552, 0.1188620, 0.1468785, 0.2208663, 0.4141188, 0.9808904, 2.7045779", \
+					  "0.1080003, 0.1190671, 0.1483240, 0.2212060, 0.4137979, 0.9802095, 2.6874811", \
+					  "0.1080880, 0.1191252, 0.1483347, 0.2212477, 0.4138100, 0.9806563, 2.6971065", \
+					  "0.1081168, 0.1191282, 0.1483885, 0.2214444, 0.4137947, 0.9782776, 2.6964304", \
+					  "0.1079872, 0.1190720, 0.1483164, 0.2210534, 0.4142143, 0.9802738, 2.6868869", \
+					  "0.1080189, 0.1190058, 0.1483271, 0.2211734, 0.4137029, 0.9802853, 2.6891247", \
+					  "0.1078716, 0.1190541, 0.1482917, 0.2210528, 0.4142035, 0.9806030, 2.6945781", \
+					  "0.1080291, 0.1189679, 0.1483606, 0.2212025, 0.4136861, 0.9804204, 2.6918447", \
+					  "0.1079320, 0.1190144, 0.1483422, 0.2211575, 0.4142216, 0.9792429, 2.6862803", \
+					  "0.1079585, 0.1189825, 0.1481585, 0.2213553, 0.4140049, 0.9786841, 2.6886415", \
+					  "0.1080669, 0.1191658, 0.1483138, 0.2216278, 0.4139432, 0.9789365, 2.6878459", \
+					  "0.1081435, 0.1189207, 0.1481395, 0.2212079, 0.4142297, 0.9807866, 2.6885925");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !IB_MODE_SEL & !VTRIP_SEL )";
+				sdf_cond : "  IB_MODE_SEL==1'b0 & VTRIP_SEL==1'b0 ";
+				cell_rise ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.2161663, 1.2296036, 1.2650468, 1.3541414, 1.5686041, 2.1342494, 3.7524464", \
+					  "2.2181341, 2.2315256, 2.2671192, 2.3562474, 2.5705111, 3.1365230, 4.7496815", \
+					  "2.9474831, 2.9609533, 2.9965146, 3.0857130, 3.2998138, 3.8643928, 5.4838578", \
+					  "3.1139182, 3.1273268, 3.1629181, 3.2520613, 3.4661483, 4.0311670, 5.6454610", \
+					  "4.0733648, 4.0869037, 4.1223678, 4.2115791, 4.4259463, 4.9914055, 6.6100312", \
+					  "4.8759432, 4.8893036, 4.9247952, 5.0136757, 5.2390261, 5.7928200, 7.4047772", \
+					  "5.4897575, 5.5082729, 5.5438809, 5.6330212, 5.8229475, 6.4121206, 8.0333846", \
+					  "6.3574856, 6.3675660, 6.4042687, 6.4934111, 6.7070354, 7.2726412, 8.8962979", \
+					  "18.3199270, 18.3338550, 18.3694230, 18.4585520, 18.6710590, 19.2371810, 20.8592750", \
+					  "26.1121470, 26.1121474, 26.1121493, 26.1851200, 26.4650450, 26.9656880, 28.6504860", \
+					  "60.4680390, 60.5061740, 60.5220050, 60.5648150, 60.8165610, 61.3626630, 63.0006200", \
+					  "191.8665900, 191.8666001, 191.8765700, 192.0048500, 192.2188300, 192.6649000, 194.3963200");
+				}
+				rise_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1228067, 0.1349256, 0.1697848, 0.2656423, 0.5316467, 1.3437027, 3.7484997", \
+					  "0.1229473, 0.1351281, 0.1701486, 0.2657920, 0.5317044, 1.3409004, 3.7338461", \
+					  "0.1230740, 0.1351409, 0.1704061, 0.2662491, 0.5315179, 1.3387462, 3.7468877", \
+					  "0.1229319, 0.1351060, 0.1701893, 0.2661743, 0.5311730, 1.3400356, 3.7431014", \
+					  "0.1229637, 0.1353070, 0.1706673, 0.2659919, 0.5314733, 1.3430996, 3.7459644", \
+					  "0.1229129, 0.1350862, 0.1702158, 0.2661808, 0.5312181, 1.3399810, 3.7507681", \
+					  "0.1229389, 0.1352184, 0.1701331, 0.2661790, 0.5314714, 1.3399982, 3.7400496", \
+					  "0.1228996, 0.1352189, 0.1700908, 0.2661005, 0.5316900, 1.3407445, 3.7448880", \
+					  "0.1229331, 0.1351890, 0.1700891, 0.2660687, 0.5311614, 1.3401736, 3.7409720", \
+					  "0.1229701, 0.1351336, 0.1700915, 0.2660556, 0.5315981, 1.3411329, 3.7430685", \
+					  "0.1229552, 0.1350583, 0.1702278, 0.2661175, 0.5319544, 1.3379327, 3.7560559", \
+					  "0.1228915, 0.1351366, 0.1703735, 0.2663951, 0.5318779, 1.3400249, 3.7540824");
+				}
+				cell_fall ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("1.0289914, 1.0428652, 1.0785041, 1.1634631, 1.3547781, 1.8197664, 3.1109531", \
+					  "2.1945243, 2.2083975, 2.2440916, 2.3291349, 2.5205118, 2.9855947, 4.2748116", \
+					  "3.1658596, 3.1658599, 3.1997520, 3.2846807, 3.4762118, 3.9412882, 5.2301634", \
+					  "3.3684544, 3.3812084, 3.4168994, 3.5018868, 3.6939096, 4.1584429, 5.4449720", \
+					  "4.6813891, 4.6948663, 4.7186095, 4.8155777, 4.9919935, 5.4718865, 6.7633636", \
+					  "5.7996683, 5.7996686, 5.8265073, 5.9146408, 6.1029217, 6.5708727, 7.8606570", \
+					  "6.6241248, 6.6370318, 6.6730657, 6.7577117, 6.9442514, 7.4142590, 8.7036653", \
+					  "7.8128757, 7.8195361, 7.8587989, 7.9408000, 8.1348928, 8.5938199, 9.9048352", \
+					  "24.7724080, 24.7797940, 24.8109530, 24.9024930, 25.0969730, 25.5559950, 26.8425760", \
+					  "35.8873390, 35.9084500, 35.9352230, 36.0284350, 36.2202360, 36.6815450, 37.9670200", \
+					  "85.2161220, 85.2247480, 85.2559840, 85.3413550, 85.5304240, 85.9977900, 87.2876600", \
+					  "274.4880300, 274.5295000, 274.5404700, 274.6170100, 274.8438100, 275.3265000, 276.5426400");
+				}
+				fall_transition ("del_1_12_7") {
+					index_1("0.01, 4.17, 8.34, 9.33, 15.5, 20.84, 25, 31, 120, 180, 450, 1500");
+					index_2("0.01, 0.0288824, 0.0834196, 0.240936, 0.695882, 2.00988, 5.80502");
+					values("0.1075244, 0.1187060, 0.1469039, 0.2205972, 0.4149760, 0.9798038, 2.7033407", \
+					  "0.1081653, 0.1188232, 0.1483104, 0.2209569, 0.4140217, 0.9805839, 2.6878535", \
+					  "0.1081492, 0.1191532, 0.1481023, 0.2209918, 0.4138826, 0.9793557, 2.6959122", \
+					  "0.1079256, 0.1191237, 0.1482822, 0.2210750, 0.4139631, 0.9810167, 2.6916427", \
+					  "0.1081476, 0.1191561, 0.1483739, 0.2212456, 0.4140564, 0.9809187, 2.7033635", \
+					  "0.1080898, 0.1187868, 0.1482802, 0.2213593, 0.4142132, 0.9807265, 2.7053531", \
+					  "0.1080075, 0.1191171, 0.1482762, 0.2210726, 0.4142618, 0.9810164, 2.7030018", \
+					  "0.1078983, 0.1190322, 0.1483756, 0.2215014, 0.4140243, 0.9804671, 2.7029792", \
+					  "0.1080273, 0.1190487, 0.1482992, 0.2212134, 0.4140074, 0.9803655, 2.7033491", \
+					  "0.1081328, 0.1190156, 0.1483321, 0.2212776, 0.4142715, 0.9803580, 2.7039646", \
+					  "0.1081348, 0.1191849, 0.1483726, 0.2214013, 0.4142811, 0.9810178, 2.7053448", \
+					  "0.1081095, 0.1190579, 0.1483922, 0.2213524, 0.4142986, 0.9803048, 2.7039111");
+				}
+			}
+			timing () {
+				related_pin : "INP_DIS";
+				timing_type : "combinational";
+				timing_sense : "negative_unate";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("4.3835611, 4.3970236, 4.4333381, 4.5218345, 4.7355586, 5.3007403, 6.9229196", \
+					  "4.5305368, 4.5439679, 4.5797859, 4.6693440, 4.8825033, 5.4476894, 7.0698780", \
+					  "4.6675024, 4.6809148, 4.7165947, 4.8058348, 5.0193361, 5.5841642, 7.2070172", \
+					  "4.7805342, 4.7939457, 4.8296296, 4.9197380, 5.1323705, 5.6971987, 7.3200486", \
+					  "4.8747206, 4.8881539, 4.9238857, 5.0136215, 5.2267026, 5.7918466, 7.4141524", \
+					  "4.9661790, 4.9795874, 5.0152762, 5.1055551, 5.3180145, 5.8828400, 7.5056938", \
+					  "5.0463460, 5.0597642, 5.0955733, 5.1840137, 5.3980469, 5.9632281, 7.5856192");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("0.1259430, 0.1376980, 0.1707845, 0.2660325, 0.5309844, 1.3417661, 3.7389127", \
+					  "0.1259890, 0.1376917, 0.1721736, 0.2660333, 0.5309840, 1.3417623, 3.7390477", \
+					  "0.1259479, 0.1375836, 0.1715203, 0.2671884, 0.5308879, 1.3403996, 3.7461376", \
+					  "0.1259506, 0.1375892, 0.1715192, 0.2671864, 0.5308841, 1.3404077, 3.7461443", \
+					  "0.1260082, 0.1377297, 0.1721470, 0.2660352, 0.5309932, 1.3416459, 3.7401304", \
+					  "0.1259495, 0.1375870, 0.1715197, 0.2671860, 0.5308857, 1.3404055, 3.7461412", \
+					  "0.1248431, 0.1374147, 0.1715347, 0.2663104, 0.5310342, 1.3425302, 3.7370245");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("3.9507593, 3.9686934, 4.0150736, 4.1207957, 4.3526476, 4.8657731, 6.1700285", \
+					  "4.0560884, 4.0739314, 4.1204262, 4.2263850, 4.4575708, 4.9709659, 6.2759877", \
+					  "4.1189736, 4.1357864, 4.1833679, 4.2880961, 4.5194133, 5.0326188, 6.3385861", \
+					  "4.1613806, 4.1797183, 4.2256549, 4.3320578, 4.5632627, 5.0765046, 6.3811090", \
+					  "4.1947970, 4.2118780, 4.2588000, 4.3643125, 4.5957571, 5.1088933, 6.4133894", \
+					  "4.2205994, 4.2376973, 4.2849502, 4.3901847, 4.6214956, 5.1346376, 6.4397696", \
+					  "4.2437440, 4.2612291, 4.3076800, 4.4137143, 4.6449902, 5.1585558, 6.4632846");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.0288815, 0.0834141, 0.240912, 0.695791, 2.00955, 5.80388");
+					values("0.1768339, 0.1903065, 0.2241685, 0.3043789, 0.5035153, 1.0497891, 2.7229423", \
+					  "0.1763433, 0.1903025, 0.2233752, 0.3049968, 0.5043301, 1.0497624, 2.7219766", \
+					  "0.1783205, 0.1908341, 0.2241741, 0.3049128, 0.5040915, 1.0497011, 2.7154854", \
+					  "0.1771481, 0.1900043, 0.2247530, 0.3049550, 0.5043215, 1.0500654, 2.7233953", \
+					  "0.1765122, 0.1903283, 0.2250424, 0.3052312, 0.5035305, 1.0497389, 2.7227585", \
+					  "0.1764207, 0.1905198, 0.2236611, 0.3050992, 0.5036522, 1.0496048, 2.7219835", \
+					  "0.1766175, 0.1905925, 0.2236876, 0.3050731, 0.5037887, 1.0516715, 2.7219773");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			function : "(OUT)";
+			is_pad : true;
+			three_state : "OE_N";
+			capacitance : 1.117215;
+			max_capacitance : 551.117000;
+			max_transition : 25.0;
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1446900, 2.1450506, 2.1435830, 2.1410134, 2.1391280, 2.1373926, 2.1375936", \
+					  "5.4656618, 5.4734260, 5.5226297, 5.7301382, 6.0328891, 6.1492300, 6.1733392", \
+					  "7.1336344, 7.1486345, 7.2381732, 7.6140418, 8.2082800, 8.4540113, 8.5038170", \
+					  "7.2570306, 7.2727655, 7.3629928, 7.7414643, 8.3406361, 8.5884578, 8.6377404", \
+					  "7.2604785, 7.2762705, 7.3670746, 7.7444438, 8.3430431, 8.5910886, 8.6424529", \
+					  "7.2532309, 7.2713292, 7.3649766, 7.7484198, 8.3493714, 8.5979434, 8.6455746", \
+					  "7.2653013, 7.2808565, 7.3706779, 7.7487713, 8.3482033, 8.5972532, 8.6477796");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("22.4878615, 22.5026045, 22.5361615, 22.8174175, 23.3786435, 21.5455685, -5.1819519", \
+					  "22.4876792, 22.5000542, 22.5354032, 22.8020922, 23.3628432, 21.9963102, -5.2004260", \
+					  "22.4940289, 22.5028159, 22.5348139, 22.8197369, 23.3045599, 22.0278119, -5.4294930", \
+					  "22.4856455, 22.4992395, 22.5335575, 22.8148855, 23.3751945, 22.0556685, -5.1817451", \
+					  "22.4886719, 22.5031479, 22.5426049, 22.8050609, 23.3475669, 21.3846959, -5.6703305", \
+					  "22.4865825, 22.5026825, 22.5346165, 22.8181625, 23.3751025, 22.0321005, -5.1808008", \
+					  "22.4933811, 22.5029591, 22.5478711, 22.8103291, 23.3550651, 20.8507331, -6.0112773");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.3043115, 25.2391075, 25.2304925, 25.5375995, 24.7977175, 16.1520605, -46.3006165", \
+					  "25.1997024, 25.2189394, 25.2199814, 25.4506844, 25.6827004, 21.0068504, -47.2751806", \
+					  "24.9933054, 25.0285044, 25.2518524, 25.3791734, 25.1446394, 11.2354024, -46.0176346", \
+					  "25.1062129, 25.1578589, 25.2123859, 25.1070439, 25.4708879, 19.5134449, -45.3890691", \
+					  "25.3059661, 25.0498641, 25.3249301, 25.3215491, 25.2097331, 27.5043381, -46.7965589", \
+					  "25.2029837, 25.0675197, 25.2264387, 25.0138937, 24.4377667, 23.1582187, -44.8657843", \
+					  "25.0852182, 24.8933072, 25.1006142, 24.8560352, 25.2492902, 20.4641752, -47.5301468");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("16.5451642, 16.6067522, 16.8871422, 18.4784222, 23.5627782, 34.9234932, 35.4032552", \
+					  "16.4665514, 16.5285004, 16.8249644, 18.3925944, 23.4459494, 34.8305274, 35.3021014", \
+					  "16.3988515, 16.4524495, 16.7483385, 18.3076155, 23.3350515, 34.7340085, 35.2706345", \
+					  "16.4031124, 16.4527414, 16.7331704, 18.2948824, 23.4342174, 34.7474374, 35.2318244", \
+					  "16.3868952, 16.4422572, 16.7385652, 18.3070622, 23.3601032, 34.7411402, 35.2292392", \
+					  "16.3892671, 16.4443411, 16.7412661, 18.2971161, 23.3536471, 34.7454511, 35.2381861", \
+					  "16.3862680, 16.4471950, 16.7383020, 18.3031910, 23.3393660, 34.7288450, 35.2586260");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6920020, 1.6920124, 1.6917005, 1.6918801, 1.6918867, 1.6919101, 1.6913150", \
+					  "4.2952196, 4.2952491, 4.2951714, 4.2951307, 4.2955882, 4.2957140, 4.2958371", \
+					  "4.8818176, 4.8817647, 4.8809650, 4.8755275, 4.8783273, 4.8776837, 4.8770445", \
+					  "4.9248190, 4.9247999, 4.9232078, 4.9188923, 4.9149271, 4.9140281, 4.9136907", \
+					  "4.9289021, 4.9279927, 4.9250662, 4.9188936, 4.9143903, 4.9144866, 4.9141240", \
+					  "4.9288020, 4.9280960, 4.9263851, 4.9210652, 4.9173455, 4.9134059, 4.9145834", \
+					  "4.9308462, 4.9305677, 4.9283993, 4.9210762, 4.9162671, 4.9156504, 4.9157103");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.6599778, 9.6709881, 9.7079195, 9.9943055, 9.5720829, 9.2073876, 9.7587348", \
+					  "9.7747596, 9.8692690, 9.8197748, 9.2552281, 9.3119041, 1.7241946, 9.6430481", \
+					  "9.7615768, 9.7046024, 9.7068671, 9.6876090, 10.0761969, 9.4859396, 9.6258937", \
+					  "9.7048919, 9.7019923, 9.8165353, 9.7466580, 9.8639860, 9.7696197, 9.6996687", \
+					  "9.7632904, 9.6598428, 9.7417816, 9.5171228, 10.2308509, 10.4368849, 9.4266786", \
+					  "9.7040044, 9.7167780, 9.6974506, 9.7459213, 9.6050115, 9.7824806, 9.6988999", \
+					  "9.5961243, 9.6212093, 9.6685759, 9.4482183, 9.6865164, 9.0493993, 9.8780481");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.0952435, 25.1030635, 25.1469135, 25.4240235, 25.8578435, 23.3765055, -3.3604334", \
+					  "25.0416264, 25.0379194, 25.1158094, 25.3808804, 25.8868384, 24.8404584, -2.7116430", \
+					  "25.0062184, 25.0039274, 25.0447904, 25.3496474, 25.8155864, 24.7701034, -3.1135041", \
+					  "24.9582719, 24.9763319, 25.0353799, 25.2704419, 25.7605899, 23.4495499, -2.8522097", \
+					  "24.9235471, 24.9375741, 24.9945401, 25.2612261, 25.8021891, 24.6742301, -3.1055616", \
+					  "24.8876827, 24.9027657, 24.9726007, 25.2222117, 25.7320577, 23.2129617, -3.4242700", \
+					  "24.8556742, 24.8669842, 24.9211042, 25.2055822, 25.6362492, 24.6242782, -3.0219923");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.6093322, 14.4455052, 14.6978782, 14.9168312, 15.2196432, 14.2515302, 15.3512552", \
+					  "14.4817004, 14.4989574, 14.5515884, 14.8988234, 14.7006604, 12.9394424, 15.9124864", \
+					  "14.4484535, 14.2629305, 14.4619745, 14.7371045, 14.7901215, 15.8180895, 15.0856975", \
+					  "14.5031084, 14.5346714, 14.5558634, 14.7095314, 15.2205364, 16.1634744, 15.7710854", \
+					  "14.4079942, 14.2886552, 14.4343652, 14.7115112, 15.1331742, 23.3953702, 15.1326922", \
+					  "14.3724951, 14.4001481, 14.4632501, 14.8428341, 14.8689781, 12.2213981, 15.6440941", \
+					  "14.3571720, 14.3854120, 14.4600330, 14.7727510, 15.2388940, 15.3056270, 15.6270850");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6919874, 1.6909774, 1.6919656, 1.6915834, 1.6921181, 1.6926102, 1.6904261", \
+					  "4.2878457, 4.2876553, 4.2879398, 4.2875846, 4.2881931, 4.2883423, 4.2885890", \
+					  "4.8759603, 4.8794059, 4.8789648, 4.8743275, 4.8759841, 4.8751372, 4.8746974", \
+					  "4.9242201, 4.9231372, 4.9228800, 4.9181776, 4.9134479, 4.9139076, 4.9131759", \
+					  "4.9263829, 4.9271219, 4.9280643, 4.9188373, 4.9154654, 4.9156866, 4.9149263", \
+					  "4.9291173, 4.9286433, 4.9260859, 4.9211107, 4.9152603, 4.9145955, 4.9143197", \
+					  "4.9300321, 4.9300859, 4.9277588, 4.9222276, 4.9170417, 4.9162289, 4.9154912");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7125235, 9.7104730, 9.7275861, 9.2772796, 9.6510024, 10.2427835, 9.6826680", \
+					  "9.7548631, 9.5935330, 9.5370816, 9.7709197, 10.2446712, 15.6658492, 9.7170835", \
+					  "9.7102342, 9.6459063, 9.5837433, 9.4398185, 9.6958767, 8.8055481, 9.6354364", \
+					  "9.5975481, 9.6132291, 9.7215912, 9.7353277, 9.9691075, 10.0831905, 9.8502297", \
+					  "9.6599382, 9.6694740, 9.5692174, 9.5448792, 9.9318359, 4.1801432, 9.9080819", \
+					  "9.6818172, 9.7075206, 9.7067383, 9.6904449, 9.4853399, 9.5026307, 10.0939795", \
+					  "9.7474850, 9.7537821, 9.7449480, 9.8097521, 9.7608285, 13.4804141, 9.8525052");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("18.8040532, 18.9623212, 19.8164612, 24.1742922, 28.8598432, 30.1899942, 31.5139562", \
+					  "18.7419594, 18.8837954, 19.7306124, 24.1297484, 28.7405514, 29.9310714, 31.6407664", \
+					  "18.6511315, 18.8070715, 19.6614855, 24.0437695, 28.6950475, 29.7986615, 31.4195315", \
+					  "18.6634644, 18.8103034, 19.6640284, 24.0443094, 28.6881834, 29.7992004, 31.6338024", \
+					  "18.6433092, 18.8086972, 19.6539502, 24.0464312, 28.6825912, 29.8083532, 31.7813522", \
+					  "18.6517731, 18.7813381, 19.6544471, 24.0188861, 28.6612701, 29.8331971, 31.6628861", \
+					  "18.6582330, 18.7885720, 19.6389840, 23.9873850, 28.6499610, 29.8775800, 31.6288940");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.2128352, 3.2131412, 3.2143664, 3.2144930, 3.2135584, 3.2131839, 3.2132305", \
+					  "4.5413822, 4.5427553, 4.5426069, 4.5419000, 4.5394268, 4.5390928, 4.5385464", \
+					  "6.5437319, 6.5435801, 6.5423020, 6.5363797, 6.5253204, 6.5137455, 6.5103450", \
+					  "6.8008922, 6.7978885, 6.7872052, 6.7540893, 6.7159337, 6.6970729, 6.6918934", \
+					  "6.8300378, 6.8302768, 6.8191117, 6.7837463, 6.7428988, 6.7227643, 6.7173094", \
+					  "6.8404412, 6.8379488, 6.8280388, 6.7913598, 6.7510101, 6.7313136, 6.7258250", \
+					  "6.8376388, 6.8345788, 6.8227727, 6.7892032, 6.7470850, 6.7260801, 6.7216097");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1428789, 2.1446698, 2.1413777, 2.1380562, 2.1382717, 2.1362278, 2.1373621", \
+					  "5.4677109, 5.4795001, 5.5234541, 5.7347139, 6.0383127, 6.1562079, 6.1788517", \
+					  "7.1508255, 7.1673505, 7.2527370, 7.6323986, 8.2261363, 8.4727507, 8.5219926", \
+					  "7.2697453, 7.2865582, 7.3779114, 7.7586251, 8.3546865, 8.6080211, 8.6529089", \
+					  "7.2738896, 7.2913383, 7.3766841, 7.7592982, 8.3596513, 8.6074947, 8.6578737", \
+					  "7.2673489, 7.2923263, 7.3790044, 7.7617546, 8.3624191, 8.6106771, 8.6606745", \
+					  "7.2809294, 7.2972245, 7.3861430, 7.7665097, 8.3656320, 8.6125604, 8.6654278");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.0917285, 29.1293515, 29.2657935, 29.6025415, 28.6995735, 24.4621975, -1.1170012", \
+					  "29.1190342, 29.1305562, 29.2613892, 29.6106792, 28.6907602, 24.4602852, -1.2829686", \
+					  "29.1156529, 29.1272369, 29.2904679, 29.6083759, 28.7014129, 24.4455079, -1.1045798", \
+					  "29.0876965, 29.1252285, 29.2623965, 29.5991725, 28.6843645, 23.8907125, -1.1225990", \
+					  "29.1143099, 29.1247629, 29.2860919, 29.6003369, 28.6728189, 23.8768549, -1.7246923", \
+					  "29.0909815, 29.1263215, 29.2664385, 29.5965425, 28.6872615, 24.4693085, -1.2270749", \
+					  "29.0973211, 29.1239871, 29.2856951, 29.6013311, 28.6570431, 23.8208421, -0.2955502");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9910722, 15.0332832, 15.2451612, 16.3413972, 21.9734902, 31.4414032, 33.9426072", \
+					  "14.9153044, 14.9588064, 15.1697854, 16.2726334, 21.9016534, 31.2970654, 33.9140694", \
+					  "14.8361155, 14.8746285, 15.0884855, 16.1871495, 21.8235615, 31.2776725, 33.9261715", \
+					  "14.8341614, 14.8736104, 15.0887054, 16.1850354, 21.8257944, 31.2828994, 33.9123894", \
+					  "14.8329352, 14.8713492, 15.0782602, 16.1825722, 21.7929062, 31.2025852, 33.8822612", \
+					  "14.8286021, 14.8660531, 15.0776441, 16.1779641, 21.7898461, 31.2337541, 33.8346241", \
+					  "14.8310740, 14.8600810, 15.0718610, 16.1707770, 21.8233470, 31.2270280, 33.7949670");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.3256254, 3.3262974, 3.3252375, 3.3251955, 3.3227161, 3.3222991, 3.3218216", \
+					  "4.7595914, 4.7580115, 4.7577179, 4.7569220, 4.7589877, 4.7580119, 4.7568323", \
+					  "6.7652661, 6.7650262, 6.7632282, 6.7578141, 6.7444910, 6.7340449, 6.7304454", \
+					  "7.0164563, 7.0150850, 7.0044537, 6.9691517, 6.9339095, 6.9144446, 6.9090457", \
+					  "7.0459983, 7.0442194, 7.0326973, 7.0012294, 6.9586073, 6.9387473, 6.9331086", \
+					  "7.0534986, 7.0512669, 7.0407553, 7.0053869, 6.9687634, 6.9451139, 6.9393902", \
+					  "7.0495383, 7.0464991, 7.0373996, 7.0000944, 6.9595227, 6.9423671, 6.9338930");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("21.5245885, 21.5055015, 21.5965885, 21.3136285, 20.4846345, 16.9521595, -58.2228995", \
+					  "21.5302362, 21.5110522, 21.6149652, 21.3445982, 20.5519492, 16.7552612, -50.1266388", \
+					  "21.5588399, 21.4659519, 21.5682819, 21.4551569, 20.5547229, 15.4985549, -56.7851551", \
+					  "21.5243645, 21.5157485, 21.5637395, 21.3123775, 20.5312905, 16.8883155, -47.9738035", \
+					  "21.6302229, 21.4687049, 21.5537689, 21.3033389, 20.5897829, 16.8392989, -52.6468681", \
+					  "21.5217795, 21.5004705, 21.5657235, 21.3020945, 20.5510355, 16.9264635, -51.3461335", \
+					  "21.6067241, 21.5857121, 21.6532391, 21.1667881, 20.4555691, 15.4157181, -57.9702229");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1413951, 2.1415857, 2.1415935, 2.1416338, 2.1407450, 2.1401309, 2.1404909", \
+					  "4.3422245, 4.3396528, 4.3433152, 4.3426383, 4.3429240, 4.3439263, 4.3439562", \
+					  "4.6029569, 4.6024503, 4.6032856, 4.6067902, 4.6135465, 4.6163147, 4.6161065", \
+					  "4.6072921, 4.6031136, 4.6084540, 4.6153786, 4.6203629, 4.6246684, 4.6242608", \
+					  "4.6034684, 4.6075064, 4.6068611, 4.6151618, 4.6225630, 4.6247799, 4.6253307", \
+					  "4.6078162, 4.6078834, 4.6113815, 4.6191062, 4.6270868, 4.6293463, 4.6300696", \
+					  "4.6081139, 4.6078020, 4.6117815, 4.6204924, 4.6284097, 4.6300841, 4.6309602");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.1057345, 24.1951925, 24.2244935, 24.0181545, 23.3735835, 19.1295795, -50.6116995", \
+					  "24.0625274, 24.0482404, 24.0752064, 24.1895684, 24.2250604, 18.8175444, -49.5235426", \
+					  "24.0846504, 24.0730694, 24.1094154, 23.8928664, 23.3280174, 19.9981154, -50.1632906", \
+					  "24.1498139, 24.0251589, 24.1925579, 23.7760149, 23.7448119, 12.8171729, -49.9658211", \
+					  "24.0468091, 23.9929521, 23.9930391, 23.7245921, 23.2351871, 19.5016671, -46.1389699", \
+					  "23.9916097, 23.9483957, 23.9048907, 23.7833397, 22.1193537, 15.9381937, -42.7452693", \
+					  "23.9224762, 24.0018002, 24.0404812, 23.4840732, 23.1707682, 19.9172162, -50.8202578");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7724602, 11.6454682, 11.6183072, 11.9451652, 12.2593482, 9.6740201, 12.0963372", \
+					  "11.5416114, 11.5206604, 11.5909674, 11.5680914, 12.4852294, 9.8407504, 11.4245254", \
+					  "11.4932305, 11.4457605, 11.4731235, 11.4517235, 12.0789005, 11.4890185, 11.1740285", \
+					  "11.4583834, 11.5083974, 11.5450044, 11.4399734, 11.4147934, 15.2540914, 11.7519254", \
+					  "11.4906932, 11.5113042, 11.5577352, 11.4869252, 12.0872942, 13.5546342, 11.6052942", \
+					  "11.6066261, 11.5749691, 11.5779841, 11.6805461, 11.9495661, 15.5600641, 11.7935851", \
+					  "11.4914520, 11.4747880, 11.4841870, 11.2817490, 11.5840450, 11.6651850, 11.4804800");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6919501, 1.6918408, 1.6920321, 1.6921656, 1.6918557, 1.6920605, 1.6919265", \
+					  "4.2272544, 4.2272995, 4.2261043, 4.2261481, 4.2230243, 4.2212562, 4.2214466", \
+					  "5.1140346, 5.1140849, 5.1123363, 5.1133793, 5.1102694, 5.1073156, 5.1072675", \
+					  "5.4123491, 5.4112389, 5.4048508, 5.3874086, 5.3623128, 5.3479458, 5.3437417", \
+					  "5.5345995, 5.5328713, 5.5204953, 5.4874727, 5.4484843, 5.4295229, 5.4261034", \
+					  "5.5532435, 5.5515552, 5.5427805, 5.5050121, 5.4689529, 5.4491935, 5.4421332", \
+					  "5.5613570, 5.5536813, 5.5440037, 5.5121958, 5.4726072, 5.4522299, 5.4472117");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("13.6067145, 13.6440165, 13.8517745, 14.9582665, 20.5661315, 30.0243055, 32.6067115", \
+					  "13.6036122, 13.6435762, 13.8510042, 14.9581652, 20.5637042, 30.0069242, 32.6676852", \
+					  "13.6063049, 13.6392479, 13.8531769, 14.9586109, 20.5918149, 30.0091079, 32.6028909", \
+					  "13.6022145, 13.6340745, 13.8540795, 14.9445725, 20.5944865, 29.9964865, 32.6019715", \
+					  "13.6033919, 13.6386939, 13.8684879, 14.9561969, 20.6084689, 30.0163659, 32.5876009", \
+					  "13.6106425, 13.6430045, 13.8612205, 14.9566195, 20.6002805, 29.9974785, 32.5786845", \
+					  "13.6045271, 13.6366041, 13.8522941, 14.9589051, 20.5997851, 29.9899541, 32.6477451");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.1650915, 24.1991735, 24.0641375, 24.0821365, 23.4515435, 19.4324375, -49.9023435", \
+					  "24.0698214, 24.0372874, 24.0925454, 23.9948244, 23.7919854, 24.2407494, -49.6291696", \
+					  "24.0643104, 24.0716364, 24.0600714, 23.9580054, 23.2746244, 18.0340664, -50.8612776", \
+					  "24.1416769, 24.0884049, 24.0227409, 23.6745679, 23.7365619, 18.1776349, -49.9476791", \
+					  "24.0471021, 23.9932661, 24.1651521, 23.6725261, 23.2892831, 16.9733231, -52.1244289", \
+					  "23.9872287, 23.9756217, 23.8913527, 23.7336737, 22.1497767, 20.5552367, -59.2525783", \
+					  "23.7826072, 23.9373242, 24.1596562, 24.0675442, 25.0407222, 27.0325632, -50.5979808");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("11.7602992, 11.8030332, 11.6828652, 11.9224732, 12.3138452, 10.5415792, 11.4499862", \
+					  "11.6034514, 11.6155504, 11.4849874, 11.5999494, 11.6307654, 15.2793764, 11.7845944", \
+					  "11.4910985, 11.4463325, 11.4758345, 11.4443655, 12.2069035, 11.8661845, 11.8952225", \
+					  "11.5753814, 11.4313944, 11.4858864, 11.5659774, 11.5268324, 7.7550539, 11.1925204", \
+					  "11.4934782, 11.4738522, 11.4791752, 11.5051252, 12.0404412, 13.3964642, 11.6064602", \
+					  "11.6070171, 11.5764641, 11.5800951, 11.6779521, 12.0617461, 10.1813291, 11.7943171", \
+					  "11.4840240, 11.4516730, 11.4801020, 11.3000280, 11.5812150, 12.3276260, 11.5246780");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("24.8927675, 24.9029335, 24.9730695, 25.2145695, 25.7686155, 23.1546855, -3.2982802", \
+					  "24.8419874, 24.8518764, 24.8943274, 25.1702614, 25.6411574, 24.8027754, -2.9130275", \
+					  "24.8095254, 24.8046924, 24.8511414, 25.1088124, 25.7063684, 24.0572414, -2.9407753", \
+					  "24.7513699, 24.7657469, 24.8146729, 25.0959729, 25.6322729, 23.4840139, -2.9531142", \
+					  "24.7303921, 24.7349421, 24.7933071, 25.0590351, 25.5105341, 24.3864191, -2.9526368", \
+					  "24.6841837, 24.6968737, 24.7587927, 25.0196427, 25.5145927, 24.7421097, -2.8685806", \
+					  "24.6539212, 24.6590712, 24.7238232, 24.9960792, 25.5516172, 22.9712282, -3.0978171");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4153334, 3.4200566, 3.4246532, 3.4457912, 3.4557693, 3.4517162, 3.4505847", \
+					  "6.0977902, 6.1111350, 6.1886772, 6.5259950, 7.0769636, 7.3078648, 7.3523180", \
+					  "8.0353251, 8.0509896, 8.1408171, 8.5176554, 9.1146874, 9.3606000, 9.4085556", \
+					  "8.6409590, 8.6586575, 8.7498164, 9.1365530, 9.7323674, 9.9796114, 10.0303394", \
+					  "8.6792178, 8.6951686, 8.7850082, 9.1645267, 9.7578332, 10.0058622, 10.0610952", \
+					  "8.6877382, 8.7034062, 8.7937376, 9.1726463, 9.7713011, 10.0184841, 10.0682231", \
+					  "8.6823600, 8.6987332, 8.7953122, 9.1747101, 9.7730760, 10.0205970, 10.0689380");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("32.8642035, 32.8997445, 33.0700875, 33.5849535, 33.3223165, 29.0324635, 5.2045407", \
+					  "32.8188164, 32.8465614, 33.0395214, 33.5501724, 33.2733764, 28.9812504, 3.5886153", \
+					  "32.7824324, 32.7959184, 33.0066174, 33.4979814, 33.2180664, 28.9523154, 5.2349915", \
+					  "32.7404929, 32.7739449, 32.9677489, 33.4639139, 33.1336509, 29.0182029, 4.8922653", \
+					  "32.7049541, 32.7528151, 32.9102341, 33.4342801, 33.0986531, 29.2890341, 3.4481723", \
+					  "32.6662967, 32.7126107, 32.8954537, 33.3949367, 33.1274727, 29.5531377, 3.5396666", \
+					  "32.6281882, 32.6775962, 32.8607382, 33.3642592, 33.0791392, 28.8255492, 3.3135078");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("19.4886412, 19.5559782, 19.9065832, 21.8058242, 27.4266702, 38.8998992, 39.4396022", \
+					  "19.4260164, 19.4960084, 19.8362414, 21.7029304, 27.3414794, 38.8356394, 39.3665614", \
+					  "19.3363915, 19.3932245, 19.7506445, 21.6349885, 27.2355815, 38.7431385, 39.2718745", \
+					  "19.3460814, 19.3952194, 19.7825744, 21.6280464, 27.1289284, 38.7431264, 39.2791624", \
+					  "19.3283272, 19.3876392, 19.7481062, 21.6366642, 27.2479692, 38.7377562, 39.2886072", \
+					  "19.3311131, 19.3819681, 19.7614251, 21.6148061, 27.2300341, 38.7349231, 39.2432181", \
+					  "19.3335210, 19.3961660, 19.7569220, 21.6213270, 27.2493020, 38.7341140, 39.2909040");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6921549, 1.6919741, 1.6909251, 1.6919883, 1.6920852, 1.6919998, 1.6920108", \
+					  "4.4835147, 4.4844012, 4.4826252, 4.4826183, 4.4778691, 4.4773196, 4.4768278", \
+					  "5.3943733, 5.3922053, 5.3882098, 5.3771479, 5.3561370, 5.3422969, 5.3359326", \
+					  "5.5290622, 5.5275005, 5.5139180, 5.4809600, 5.4411143, 5.4187095, 5.4118322", \
+					  "5.5322251, 5.5300914, 5.5187766, 5.4866274, 5.4448583, 5.4219526, 5.4156929", \
+					  "5.5345111, 5.5316384, 5.5211064, 5.4868535, 5.4451169, 5.4227173, 5.4159991", \
+					  "5.5341609, 5.5335012, 5.5221557, 5.4890260, 5.4468570, 5.4239253, 5.4169118");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1425515, 10.1528375, 10.2439815, 10.7961585, 13.1926815, 17.5060605, 22.3269175", \
+					  "10.1414532, 10.1620912, 10.2443652, 10.8022942, 13.1728552, 17.4795682, 22.4507292", \
+					  "10.1416629, 10.1530429, 10.2446609, 10.8032269, 13.1798309, 17.5303949, 22.3509909", \
+					  "10.1438355, 10.1528025, 10.2450145, 10.8001645, 13.1837735, 17.4796465, 22.0976265", \
+					  "10.1372869, 10.1596659, 10.2571999, 10.7878499, 13.2049199, 17.5080849, 22.3236839", \
+					  "10.1397305, 10.1750415, 10.2426555, 10.7708805, 13.1748155, 17.5031645, 22.3442625", \
+					  "10.1388101, 10.1580011, 10.2392231, 10.7985771, 13.1792451, 17.4705211, 22.4053811");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6920099, 1.6919861, 1.6926492, 1.6919084, 1.6918922, 1.6916199, 1.6918601", \
+					  "4.2879160, 4.2878574, 4.2882946, 4.2883358, 4.2886667, 4.2884876, 4.2880261", \
+					  "4.8792480, 4.8794722, 4.8753041, 4.8774352, 4.8763268, 4.8730694, 4.8719709", \
+					  "4.9243953, 4.9239616, 4.9228028, 4.9184690, 4.9148482, 4.9138121, 4.9136127", \
+					  "4.9283800, 4.9293540, 4.9241342, 4.9196678, 4.9145178, 4.9141600, 4.9130693", \
+					  "4.9284603, 4.9280990, 4.9284573, 4.9203578, 4.9159846, 4.9138405, 4.9152040", \
+					  "4.9306840, 4.9303587, 4.9282692, 4.9210831, 4.9164137, 4.9155403, 4.9149869");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.7089395, 9.7143172, 9.7389711, 9.7160216, 9.8106672, 9.1880671, 9.6531612", \
+					  "9.7063438, 9.7289264, 9.5422823, 9.0842598, 10.0296782, 10.6746412, 9.4785946", \
+					  "9.6371815, 9.6425123, 9.7564333, 9.4155428, 9.7469860, 8.9382935, 9.5986151", \
+					  "9.7063283, 9.7460456, 9.7395638, 9.7370676, 9.6836433, 9.7836067, 9.7341749", \
+					  "9.6351447, 9.6733728, 9.5673551, 9.7298779, 9.9385549, 7.9837061, 9.4523343", \
+					  "9.7205701, 9.7196819, 9.7075068, 9.9520605, 9.7577183, 9.9266155, 9.5554617", \
+					  "9.7362674, 9.6740724, 9.7385824, 9.8677257, 9.8710251, 10.4545891, 9.8061308");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("17.4344295, 17.5548805, 18.4214185, 22.8104855, 27.4387795, 28.5699005, 30.4208245", \
+					  "17.4332892, 17.5521752, 18.4216622, 22.8187562, 27.4446112, 28.5364152, 30.3881892", \
+					  "17.4343019, 17.5614329, 18.4228709, 22.7717059, 27.4377379, 28.6173379, 30.3996739", \
+					  "17.4274055, 17.5569845, 18.4215655, 22.8159645, 27.4353775, 28.6627985, 30.4241415", \
+					  "17.4195359, 17.5597189, 18.4245569, 22.8226059, 27.4270309, 28.7669019, 30.5169579", \
+					  "17.4341865, 17.5620525, 18.4145965, 22.7643395, 27.4326485, 28.6427745, 30.4197065", \
+					  "17.4323531, 17.5527001, 18.4303781, 22.8033101, 27.4379381, 28.6822221, 30.5143681");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6915674, 1.6921039, 1.6915601, 1.6917102, 1.6920708, 1.6915789, 1.6919086", \
+					  "4.0901332, 4.0905439, 4.0905435, 4.0902416, 4.0892056, 4.0895560, 4.0886682", \
+					  "4.8951095, 4.8973195, 4.8964977, 4.8958623, 4.8914258, 4.8901685, 4.8896633", \
+					  "5.1919625, 5.1921373, 5.1869183, 5.1695486, 5.1456579, 5.1310945, 5.1266844", \
+					  "5.3191459, 5.3184798, 5.3077852, 5.2741620, 5.2339424, 5.2157538, 5.2102166", \
+					  "5.3421589, 5.3387484, 5.3282620, 5.2943048, 5.2555667, 5.2346424, 5.2291095", \
+					  "5.3441982, 5.3431851, 5.3345254, 5.3007035, 5.2591482, 5.2408239, 5.2351769");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6918531, 1.6918852, 1.6925184, 1.6925536, 1.6918960, 1.6917416, 1.6917793", \
+					  "4.4886304, 4.4913704, 4.4900451, 4.4887388, 4.4850009, 4.4839219, 4.4828204", \
+					  "5.3958127, 5.3964047, 5.3910266, 5.3785444, 5.3588332, 5.3429036, 5.3365667", \
+					  "5.5270000, 5.5270404, 5.5147459, 5.4812432, 5.4416456, 5.4185850, 5.4118135", \
+					  "5.5302722, 5.5294721, 5.5185238, 5.4871387, 5.4471430, 5.4246171, 5.4166543", \
+					  "5.5370347, 5.5303248, 5.5233694, 5.4862233, 5.4447886, 5.4226769, 5.4158994", \
+					  "5.5337276, 5.5325224, 5.5206391, 5.4876026, 5.4461744, 5.4209433, 5.4165215");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("10.1426295, 10.1609565, 10.2435645, 10.7801975, 13.1827535, 17.4997665, 22.2722115", \
+					  "10.1592462, 10.1687522, 10.2434962, 10.8017842, 13.2668542, 17.6309302, 22.4212012", \
+					  "10.1511439, 10.1637639, 10.2342359, 10.7926309, 13.1678469, 17.5114819, 22.4320349", \
+					  "10.1540045, 10.1520845, 10.2425995, 10.7763305, 13.1960525, 17.4030155, 22.2697455", \
+					  "10.1474309, 10.1662209, 10.2274439, 10.7927549, 13.2454089, 17.4066189, 22.4718679", \
+					  "10.1346435, 10.1554745, 10.2339945, 10.7726505, 13.2693705, 17.4884385, 22.4270915", \
+					  "10.1458701, 10.1600891, 10.2336631, 10.8043941, 13.1931251, 17.5504131, 22.4370311");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("1.6922554, 1.6919341, 1.6919991, 1.6919580, 1.6915176, 1.6931370, 1.6919238", \
+					  "4.2950600, 4.2950023, 4.2951177, 4.2957240, 4.2955487, 4.2959386, 4.2956103", \
+					  "4.8823632, 4.8819108, 4.8794866, 4.8782341, 4.8779132, 4.8744164, 4.8769682", \
+					  "4.9253715, 4.9249434, 4.9229494, 4.9184495, 4.9153396, 4.9138499, 4.9136664", \
+					  "4.9301026, 4.9269818, 4.9245089, 4.9216761, 4.9154754, 4.9129279, 4.9146310", \
+					  "4.9284134, 4.9283883, 4.9289434, 4.9204780, 4.9151524, 4.9153592, 4.9131544", \
+					  "4.9305239, 4.9301440, 4.9272418, 4.9225889, 4.9176063, 4.9159757, 4.9155932");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("9.6703827, 9.7248270, 9.7295654, 9.7571475, 9.5833681, 5.4004715, 9.7609474", \
+					  "9.6969182, 9.7519627, 9.5855272, 9.3362076, 9.6598289, 8.8421913, 9.9880012", \
+					  "9.6822097, 9.6786657, 9.6540820, 9.9125239, 10.0013219, 9.0638554, 9.7011607", \
+					  "9.6364562, 9.7039135, 9.6886567, 9.6769074, 9.6723494, 2.4342827, 9.7480388", \
+					  "9.6910470, 9.6308799, 9.5666777, 9.9184849, 10.8495059, 10.5812639, 9.5069807", \
+					  "9.6398578, 9.7020979, 9.6466969, 9.7304355, 9.6700090, 15.9849605, 9.7412732", \
+					  "9.6347315, 9.6893805, 9.8112361, 9.4330395, 9.8500922, 8.5744138, 9.5519583");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("31.4998955, 31.5276065, 31.6781105, 31.9916275, 31.0841345, 26.2471445, 0.4082950", \
+					  "31.4579604, 31.4785104, 31.6214474, 31.9508264, 30.9899664, 26.3261904, 0.5206751", \
+					  "31.4065054, 31.4403254, 31.5753774, 31.8970014, 30.9477884, 26.0654874, 0.7476198", \
+					  "31.3683719, 31.4038049, 31.5430199, 31.8631009, 30.9442869, 26.2280479, 2.3145193", \
+					  "31.3398931, 31.3582601, 31.5052121, 31.8334881, 30.8967691, 26.6916411, 0.5172573", \
+					  "31.3056007, 31.3245057, 31.4634017, 31.8011837, 30.8384677, 25.9506397, 2.6479701", \
+					  "31.2651602, 31.2995492, 31.4528362, 31.7650342, 30.8256022, 26.1790182, 2.5714032");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("3.4175876, 3.4195652, 3.4257525, 3.4481071, 3.4548426, 3.4508566, 3.4493296", \
+					  "6.1070584, 6.1201790, 6.1951559, 6.5353144, 7.0888013, 7.3210097, 7.3663224", \
+					  "8.0503972, 8.0663385, 8.1554313, 8.5327067, 9.1300822, 9.3750017, 9.4240476", \
+					  "8.6617115, 8.6798593, 8.7685939, 9.1497716, 9.7480804, 9.9922004, 10.0425424", \
+					  "8.6893417, 8.7050669, 8.8013597, 9.1776360, 9.7784382, 10.0265812, 10.0754332", \
+					  "8.7049527, 8.7220701, 8.8124128, 9.1843540, 9.7873191, 10.0339821, 10.0836561", \
+					  "8.7030388, 8.7184405, 8.8058229, 9.1877223, 9.7881010, 10.0354090, 10.0859720");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("29.0924815, 29.1348815, 29.2663875, 29.6011645, 28.6878675, 24.4620515, -1.1655677", \
+					  "29.0961702, 29.1445452, 29.2666142, 29.5958232, 28.6902432, 24.4560162, -1.1460147", \
+					  "29.0989089, 29.1239759, 29.2690709, 29.6013059, 28.6676759, 24.4725679, -1.2231210", \
+					  "29.0952775, 29.1210795, 29.2640865, 29.5996935, 28.6846025, 24.4509405, -1.2128578", \
+					  "29.0788689, 29.1204889, 29.2913969, 29.6087609, 28.6935279, 23.8778319, -1.7291964", \
+					  "29.0990165, 29.1263875, 29.2664105, 29.6029085, 28.6879915, 24.4626475, -1.2148560", \
+					  "29.1307981, 29.1658391, 29.2911381, 29.5950791, 28.6476041, 23.8158741, -1.4457305");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("2.1450582, 2.1445130, 2.1442905, 2.1409133, 2.1368347, 2.1416061, 2.1390829", \
+					  "5.4715460, 5.4765160, 5.5281530, 5.7314080, 6.0370012, 6.1536974, 6.1774063", \
+					  "7.1505384, 7.1663595, 7.2552532, 7.6298338, 8.2268129, 8.4727941, 8.5219344", \
+					  "7.2756078, 7.2866224, 7.3732294, 7.7581923, 8.3594830, 8.6075979, 8.6520189", \
+					  "7.2723422, 7.2914780, 7.3813210, 7.7571732, 8.3590225, 8.6076508, 8.6581382", \
+					  "7.2699701, 7.2869470, 7.3785655, 7.7620313, 8.3621546, 8.6104360, 8.6607083", \
+					  "7.2810777, 7.2967856, 7.3866460, 7.7645677, 8.3653397, 8.6135578, 8.6646940");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("31.7016685, 31.7127495, 31.8725695, 32.1839185, 31.2319085, 27.0375995, 1.2668481", \
+					  "31.6502374, 31.6745024, 31.8008354, 32.1386104, 31.1593484, 26.8610634, 2.2410732", \
+					  "31.6000064, 31.6371614, 31.7620434, 32.0942084, 31.1767374, 26.3124754, 0.6642415", \
+					  "31.5655649, 31.5973029, 31.7208779, 32.0637459, 31.1385069, 26.9066079, 1.6622453", \
+					  "31.5370931, 31.5523481, 31.7016281, 32.0262611, 31.0460311, 26.8796281, 2.1648232", \
+					  "31.5007227, 31.4978257, 31.6611117, 31.9908057, 31.0498847, 26.1710937, 2.5422741", \
+					  "31.4661212, 31.4959062, 31.6175762, 31.9559202, 31.0306842, 26.3141862, 1.8753966");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.5611852, 14.5699162, 14.7047582, 14.8418462, 15.2326812, 15.8187332, 15.6519132", \
+					  "14.4074024, 14.4166774, 14.5794384, 14.9188644, 15.1641784, 16.7711104, 15.8848854", \
+					  "14.3602045, 14.3574485, 14.4112075, 14.6878735, 15.0128405, 12.1786755, 15.3620995", \
+					  "14.4605574, 14.2411164, 14.4918294, 14.5467174, 15.4233324, 14.8321764, 15.3486164", \
+					  "14.3318532, 14.3171412, 14.4497032, 14.7907572, 15.2211762, 15.6689332, 15.7331632", \
+					  "14.4754641, 14.2935611, 14.5208551, 14.9769071, 15.3295551, 13.7007001, 15.8004461", \
+					  "14.3943800, 14.4113300, 14.4758850, 14.7944560, 15.2501610, 16.0896610, 15.5427410");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.2219145, 26.1842005, 26.3220135, 26.7816805, 27.9719455, 26.0830065, -0.2753483", \
+					  "26.1474084, 26.1313914, 26.2798164, 26.7490784, 27.9981364, 25.9352774, -0.1200513", \
+					  "26.1008204, 26.1286494, 26.2120734, 26.7240874, 27.8544574, 26.2908614, -0.0259730", \
+					  "26.0629749, 26.0983549, 26.1998079, 26.6705859, 27.9081799, 25.9470399, -0.1562711", \
+					  "26.0515561, 26.0845521, 26.1492471, 26.6263531, 27.8477871, 26.0938691, -0.8216871", \
+					  "26.0038447, 26.0201357, 26.1202047, 26.5765467, 27.8032717, 25.8479887, -0.4632611", \
+					  "25.9722582, 25.9952782, 26.0922732, 26.5600972, 27.8046852, 25.9206402, 0.0349904");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.9799872, 15.0000362, 15.1710312, 16.0304462, 18.9416072, 23.5740952, 28.1686152", \
+					  "14.9105774, 14.9331654, 15.0974884, 15.9623474, 18.8361514, 23.3388464, 28.1961494", \
+					  "14.8394205, 14.8559735, 14.9986955, 15.8948835, 18.7424145, 23.1234985, 28.0427565", \
+					  "14.8554774, 14.8534374, 14.9917744, 15.9006204, 18.7744724, 23.2703764, 27.9903164", \
+					  "14.8202072, 14.8384862, 15.0166542, 15.8884552, 18.7876882, 23.1769242, 28.0980622", \
+					  "14.8183101, 14.8390971, 15.0034501, 15.8622091, 18.7642141, 23.2741471, 28.2272541", \
+					  "14.8267880, 14.8517870, 15.0001930, 15.8483510, 18.7449310, 23.3127550, 28.0871740");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.1823505, 25.2768525, 25.3697045, 25.4773665, 25.3841955, 23.6616085, -46.8072665", \
+					  "25.1911074, 25.3276424, 25.2496624, 25.0592304, 25.2077914, 19.2907524, -47.1944436", \
+					  "25.1800384, 25.1798624, 25.1992844, 25.2447914, 25.3229114, 19.8843784, -47.2016946", \
+					  "25.1334009, 25.1629289, 25.1513679, 25.2329169, 25.3034209, 22.2318749, -47.3276781", \
+					  "25.0187761, 25.1331531, 25.1555001, 25.1743581, 25.5751991, 18.8695681, -44.1989249", \
+					  "25.1638037, 25.0743077, 25.2526597, 25.3012037, 25.2182977, 19.9280057, -44.4808283", \
+					  "25.1581702, 25.1204452, 25.2182402, 25.2026932, 24.6820372, 37.7038252, -46.7440538");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("12.0817832, 12.0979172, 12.1895552, 12.7092372, 15.1723212, 19.4775372, 24.4256782", \
+					  "12.0010374, 12.0279934, 12.1111594, 12.6423994, 15.0852854, 19.4186694, 24.2723124", \
+					  "11.9404515, 11.9533505, 12.0271715, 12.6108715, 14.9079925, 19.2148195, 24.3188235", \
+					  "11.9457134, 11.9589904, 12.0391764, 12.5554054, 15.0045124, 19.1680674, 24.1932564", \
+					  "11.9210192, 11.9324792, 12.0166632, 12.5949582, 14.9963022, 19.2943162, 24.1377002", \
+					  "11.9447751, 11.9426831, 12.0330361, 12.5615611, 14.8989551, 19.2667101, 24.0408161", \
+					  "11.9270350, 11.9345790, 12.0284280, 12.5699470, 14.9725900, 19.2692450, 24.1195840");
+				}
+			}
+			internal_power () {
+				related_pin : "OE_N";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("14.0725973, 14.1092730, 14.2995151, 15.2542600, 17.7568916, 21.4936958, 23.4833607", \
+					  "16.9648699, 17.0004619, 17.1664797, 18.1626115, 21.0473690, 25.0767237, 26.8106942", \
+					  "18.0599166, 18.0870733, 18.3257526, 19.5002438, 22.4801253, 26.5112552, 28.2847084", \
+					  "18.1178039, 18.1665481, 18.4291169, 19.6231152, 22.5296679, 26.1595395, 28.3687237", \
+					  "18.1288197, 18.1613690, 18.3802490, 19.6083519, 22.7161775, 26.2876410, 28.3482125", \
+					  "18.1306037, 18.1813704, 18.4091241, 19.6436106, 22.4787766, 27.4870036, 28.4278624", \
+					  "18.1316362, 18.1733351, 18.4340552, 19.5993383, 22.5417857, 27.1322290, 28.4570921");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("25.7775497, 25.7848332, 25.8733636, 25.9421016, 25.5708094, 22.3591541, -22.8837105", \
+					  "28.4420401, 28.4437725, 28.5208717, 28.5980562, 28.2027652, 25.0160335, -18.9767528", \
+					  "29.2135692, 29.2010892, 29.2872047, 29.3754863, 28.9935932, 25.5900451, -18.7493482", \
+					  "29.3269311, 29.3431200, 29.4174286, 29.4698459, 29.0343169, 25.7577440, -18.8205743", \
+					  "29.3891834, 29.3580421, 29.4562456, 29.4933508, 29.0713672, 25.3727161, -17.1189745", \
+					  "29.3647138, 29.3730920, 29.4395277, 29.4949635, 29.0516296, 25.8697630, -18.1083017", \
+					  "29.3937650, 29.3824069, 29.4657990, 29.4979604, 29.1591887, 25.1034620, -18.2430121");
+				}
+			}
+			internal_power () {
+				related_pin : "OUT";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("46.2619403, 46.2966771, 46.4151993, 46.8059531, 46.4633464, 39.9737623, -31.1902087", \
+					  "46.6303614, 46.6589396, 46.7815796, 47.1554932, 47.1924626, 41.7333361, -30.6202193", \
+					  "47.2228018, 47.2453922, 47.3963662, 47.7401281, 47.5098347, 39.8080396, -30.0727511", \
+					  "47.2992499, 47.3104686, 47.4420365, 47.6569243, 47.7371689, 40.2308513, -29.3536456", \
+					  "47.2636875, 47.2440372, 47.4240998, 47.6531075, 47.5354787, 42.4208716, -29.3930162", \
+					  "47.2146495, 47.1849630, 47.3359502, 47.6056975, 46.9517859, 41.4556689, -29.2842410", \
+					  "47.1128287, 47.1380567, 47.3412526, 47.5411026, 47.6295671, 45.6035212, -30.0602413");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("0.01, 0.06166808, 0.3802952, 2.345208, 14.46245, 89.18714, 550");
+					values("26.0711498, 26.0933055, 26.4616915, 28.3433056, 32.9788067, 39.2824763, 42.3905200", \
+					  "26.7834101, 26.8519048, 27.2268443, 29.1916152, 33.9162782, 41.1852289, 43.7361821", \
+					  "27.3135119, 27.3277497, 27.7322016, 29.6751178, 34.5121974, 41.1632281, 44.0999072", \
+					  "27.5580186, 27.5663998, 27.9827372, 29.8594706, 34.6536751, 41.8312859, 44.3962968", \
+					  "27.5042119, 27.5453494, 27.9599243, 29.9154375, 34.8143196, 43.8348201, 44.4385601", \
+					  "27.5696890, 27.5876832, 28.0032672, 30.0112970, 34.7444054, 41.4673567, 44.5718844", \
+					  "27.5125576, 27.5697928, 27.9560053, 29.8353991, 34.6658315, 42.0989453, 44.4183405");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2796685, 2.2800877, 2.2810474, 2.2819358, 2.2819359, 2.2819361, 2.4067086", \
+					  "2.3767419, 2.3770654, 2.3770656, 2.3777524, 2.3777525, 2.3777527, 2.4548681", \
+					  "2.4327997, 2.4328000, 2.4328002, 2.4328005, 2.4328007, 2.4328009, 2.5279547", \
+					  "2.4716511, 2.4719988, 2.4719989, 2.4719991, 2.4719993, 2.4719996, 2.5866769", \
+					  "2.4948386, 2.4948389, 2.4948391, 2.4958888, 2.4958889, 2.4958891, 2.6081155", \
+					  "2.5233098, 2.5234097, 2.5234098, 2.5234100, 2.5234103, 2.5234105, 2.6024076", \
+					  "2.5335796, 2.5362767, 2.5362770, 2.5362772, 2.5362775, 2.5362777, 2.6210176");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3217283, 9.5178274, 10.7219630, 18.1531680, 63.6393200, 342.7242100, 2060.7160000", \
+					  "9.4216333, 9.6202770, 10.8289570, 18.2648010, 63.7880430, 342.6655200, 2060.1728000", \
+					  "9.4790886, 9.6741939, 10.8838040, 18.3190440, 63.8625930, 343.0025700, 2060.4195000", \
+					  "9.5180415, 9.7174597, 10.9265890, 18.3600740, 63.9355020, 342.6853800, 2060.3813000", \
+					  "9.5494297, 9.7428741, 10.9525810, 18.3871580, 63.9520930, 342.9747700, 2060.9464000", \
+					  "9.5695638, 9.7691188, 10.9749170, 18.4063360, 63.9581260, 342.7225300, 2060.4275000", \
+					  "9.5914841, 9.7843884, 10.9962310, 18.4324200, 64.0156090, 342.7695100, 2060.1944000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5016640, 13.8873210, 16.2582940, 30.7765970, 120.9411000, 677.8128100, 4111.6755000", \
+					  "13.4890740, 13.8913090, 16.2364170, 30.8116700, 121.1678600, 678.2326500, 4113.6350000", \
+					  "13.5060330, 13.8651710, 16.2363740, 30.8515170, 121.2412700, 678.4423500, 4112.2544000", \
+					  "13.4903880, 13.8913100, 16.2166030, 30.8188960, 121.3944100, 678.4451900, 4112.4690000", \
+					  "13.4999720, 13.8643290, 16.2505810, 30.8416010, 121.3044500, 678.5180600, 4111.5891000", \
+					  "13.4827860, 13.8763760, 16.2552640, 30.8013630, 121.1592300, 677.8324700, 4112.5086000", \
+					  "13.5069100, 13.8855370, 16.2541430, 30.8104900, 121.4484100, 677.4453700, 4113.0174000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.2702170, 3.2834611, 3.3660115, 3.7332186, 5.2291695, 9.6839221, 21.8233570", \
+					  "3.4182557, 3.4318737, 3.5152747, 3.8825680, 5.3781646, 9.8332631, 21.9725890", \
+					  "3.5387855, 3.5517174, 3.6349452, 4.0021311, 5.4977423, 9.9529327, 22.0923350", \
+					  "3.6381134, 3.6510059, 3.7353973, 4.1028443, 5.5985843, 10.0554440, 22.1925130", \
+					  "3.7230405, 3.7356149, 3.8200607, 4.1873478, 5.6829323, 10.1380260, 22.2773830", \
+					  "3.8039381, 3.8180161, 3.9009715, 4.2682786, 5.7639542, 10.2197050, 22.3586460", \
+					  "3.8802979, 3.8942027, 3.9773678, 4.3447326, 5.8404099, 10.2962940, 22.4349320");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.8962357, 0.9044015, 0.9322416, 1.0647666, 2.5415716, 5.2769527, 17.8759600", \
+					  "0.8985418, 0.9044070, 0.9312260, 1.0646843, 2.5378866, 5.2774634, 17.8762320", \
+					  "0.8985729, 0.9043165, 0.9309957, 1.0647536, 2.5379279, 5.2774549, 17.8765180", \
+					  "0.8981331, 0.9044284, 0.9312583, 1.0653148, 2.5392719, 5.2705991, 17.8807120", \
+					  "0.8985294, 0.9045013, 0.9312120, 1.0646639, 2.5378841, 5.2773732, 17.8760210", \
+					  "0.8984612, 0.9043284, 0.9313060, 1.0651939, 2.5436671, 5.2748574, 17.8687730", \
+					  "0.8983673, 0.9041035, 0.9314064, 1.0650993, 2.5435314, 5.2738689, 17.8761310");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7864210, 3.7864212, 3.7907752, 3.7907755, 3.7907757, 3.7907759, 3.7907762", \
+					  "3.8970258, 3.8970260, 3.8976520, 3.8976521, 3.8976523, 3.8976526, 3.8976528", \
+					  "3.9511425, 3.9511427, 3.9511430, 3.9511432, 3.9511434, 3.9511437, 3.9511439", \
+					  "4.0011385, 4.0018562, 4.0018566, 4.0018571, 4.0018576, 4.0018580, 4.0018585", \
+					  "4.0153800, 4.0153802, 4.0153807, 4.0153811, 4.0153816, 4.0153821, 4.0153826", \
+					  "4.0461106, 4.0461109, 4.0553680, 4.0553682, 4.0553687, 4.0553692, 4.0553697", \
+					  "4.0560142, 4.0560143, 4.0560148, 4.0560153, 4.0560158, 4.0560163, 4.0560167");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4593339, 3.4702674, 3.4702675, 3.4702677, 3.4702679, 3.4702682, 4264.0204000", \
+					  "3.5655592, 3.5655593, 3.5655596, 3.5655598, 3.5655600, 3.5655603, 3.5655605", \
+					  "3.6209586, 3.6269587, 3.6269588, 3.6269590, 3.6269593, 3.6269595, 3.6269597", \
+					  "3.6808243, 3.6829388, 3.6829390, 3.6829392, 3.6829395, 3.6829397, 3.6829399", \
+					  "3.6920853, 3.6988215, 3.6988217, 3.6988220, 3.6988222, 3.6988224, 3.6988227", \
+					  "3.7294434, 3.7294435, 3.7294437, 3.7294440, 3.7294442, 3.7294444, 3.7294447", \
+					  "3.7843164, 3.7905341, 3.7905342, 3.7905344, 3.7905347, 3.7905349, 3.7905351");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0206133, 3.0479350, 3.2158598, 4.2342898, 10.4233670, 40.6463450, 86.5244800", \
+					  "3.1215110, 3.1490504, 3.3177270, 4.3355359, 10.5244130, 40.8082710, 86.6256260", \
+					  "3.1796250, 3.2069636, 3.3751399, 4.3935948, 10.5820900, 40.8282190, 86.6858660", \
+					  "3.2186434, 3.2461867, 3.4143717, 4.4328270, 10.6210920, 40.8942390, 86.7207820", \
+					  "3.2477072, 3.2750997, 3.4429970, 4.4617909, 10.6495120, 40.9204440, 86.7488480", \
+					  "3.2704026, 3.2978307, 3.4662462, 4.4848631, 10.6744560, 40.9114480, 86.7778030", \
+					  "3.2900824, 3.3174841, 3.4846566, 4.5032618, 10.6900180, 40.9769430, 86.8298000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8250127, 1.8752349, 2.1877649, 4.1506105, 16.3419070, 38.1397560, 62.4822720", \
+					  "1.8248514, 1.8763036, 2.1869964, 4.1502011, 16.3422310, 38.2901960, 62.4411600", \
+					  "1.8252434, 1.8762878, 2.1869961, 4.1474841, 16.3479430, 38.2064760, 62.4392910", \
+					  "1.8250240, 1.8764925, 2.1878135, 4.1547192, 16.3438060, 38.1168870, 62.4642450", \
+					  "1.8250915, 1.8764239, 2.1877458, 4.1564645, 16.3474900, 38.2564450, 62.4666400", \
+					  "1.8251657, 1.8765152, 2.1874378, 4.1572070, 16.3462150, 38.1034790, 62.4931500", \
+					  "1.8251440, 1.8766357, 2.1873907, 4.1578399, 16.3481800, 38.3065560, 62.5107570");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.7371151, 9.9363078, 11.1577750, 18.6521610, 64.0700860, 342.4671600, 2060.1419000", \
+					  "9.8872235, 10.0871570, 11.2947870, 18.8008630, 64.2204160, 342.6847600, 2059.2388000", \
+					  "10.0057360, 10.2086530, 11.4450290, 18.9202220, 64.3385930, 342.7161100, 2060.4173000", \
+					  "10.1030690, 10.3054810, 11.5353140, 19.0190010, 64.4442220, 343.3318900, 2060.7440000", \
+					  "10.1915590, 10.3914640, 11.5992750, 19.1045670, 64.5237110, 342.9221600, 2060.6069000", \
+					  "10.2545310, 10.4637530, 11.7005140, 19.1863520, 64.6043930, 343.3133600, 2059.7140000", \
+					  "10.3434020, 10.5487840, 11.7880790, 19.2635470, 64.6807480, 343.5709500, 2060.3070000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2216560, 13.6027510, 15.9675210, 30.5526480, 121.0161100, 677.3430500, 4112.8233000", \
+					  "13.2214360, 13.6036190, 15.9641350, 30.5552810, 121.0116000, 676.7766100, 4109.2985000", \
+					  "13.2216390, 13.6042550, 15.9648150, 30.5532030, 121.0147200, 677.2546000, 4108.7101000", \
+					  "13.2059750, 13.6001510, 15.9735340, 30.5539490, 120.8830300, 677.6908200, 4110.3376000", \
+					  "13.2213520, 13.6035560, 15.9640640, 30.5525670, 121.0158600, 677.3437900, 4108.7687000", \
+					  "13.1989730, 13.6029130, 15.9673750, 30.5566030, 121.0156600, 677.6749600, 4113.8592000", \
+					  "13.1936920, 13.6002590, 15.9650980, 30.5516570, 121.0174900, 677.6774100, 4113.4590000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7879109, 3.7879111, 3.7879113, 3.7879116, 3.7879118, 3.7879121, 3.7879123", \
+					  "3.8987529, 3.8994901, 3.8994903, 3.8994905, 3.8994908, 3.8994910, 3.8994912", \
+					  "3.9587351, 3.9605457, 3.9605459, 3.9605462, 3.9605464, 3.9605467, 3.9605469", \
+					  "3.9999559, 3.9999561, 3.9999563, 3.9999565, 3.9999568, 3.9999570, 3.9999573", \
+					  "4.0057793, 4.0057796, 4.0057800, 4.0057805, 4.0057810, 4.0057815, 4.0057819", \
+					  "4.0456580, 4.0551917, 4.0551918, 4.0551923, 4.0551928, 4.0551932, 4.0551937", \
+					  "4.0534287, 4.0547228, 4.0547231, 4.0547236, 4.0547240, 4.0547245, 4.0547250");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2779447, 2.2779450, 2.2779452, 2.2779454, 2.2779457, 2.2779459, 2.3941995", \
+					  "2.3744395, 2.3746223, 2.3746225, 2.3746228, 2.3746230, 2.3746232, 2.4560975", \
+					  "2.4302058, 2.4303042, 2.4303045, 2.4311653, 2.4311654, 2.4311656, 2.5100244", \
+					  "2.4685087, 2.4685089, 2.4685091, 2.4685094, 2.4685096, 2.4685098, 2.5474871", \
+					  "2.4911400, 2.4912956, 2.4912957, 2.4957609, 2.4957611, 2.4957613, 2.5691032", \
+					  "2.5211853, 2.5211856, 2.5211858, 2.5211860, 2.5211863, 2.5211865, 2.6353000", \
+					  "2.5370677, 2.5371369, 2.5371370, 2.5371372, 2.5371374, 2.5371377, 2.6263371");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3533318, 3.3817289, 3.5589269, 4.6412487, 10.8188340, 34.9515550, 102.0864900", \
+					  "3.5029728, 3.5303847, 3.7098213, 4.7902953, 10.9691780, 35.1055010, 102.2597300", \
+					  "3.6230297, 3.6516379, 3.8274042, 4.9097535, 11.0888730, 35.2208110, 102.3527600", \
+					  "3.7232046, 3.7512762, 3.9306527, 5.0109232, 11.1885930, 35.3216980, 102.4686800", \
+					  "3.8073527, 3.8357619, 4.0151287, 5.0946993, 11.2747480, 35.4060350, 102.5740300", \
+					  "3.8887249, 3.9172030, 4.0948076, 5.1752744, 11.3537770, 35.4885330, 102.5932500", \
+					  "3.9654884, 3.9939931, 4.1724348, 5.2532876, 11.4316140, 35.5683270, 102.7349700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8841428, 1.9364073, 2.2655138, 4.3063455, 11.7833210, 41.1444460, 78.8594580", \
+					  "1.8823565, 1.9348800, 2.2620930, 4.3067594, 11.7824590, 41.1588310, 78.8176710", \
+					  "1.8841730, 1.9362607, 2.2654842, 4.3062731, 11.7833720, 41.1520450, 78.8576240", \
+					  "1.8812383, 1.9355884, 2.2621154, 4.3062025, 11.7836520, 41.1526630, 78.8026280", \
+					  "1.8841881, 1.9354685, 2.2615883, 4.3067754, 11.7827440, 41.1520630, 78.8001130", \
+					  "1.8824076, 1.9372381, 2.2649091, 4.3061030, 11.7821060, 41.1537260, 78.8211380", \
+					  "1.8797608, 1.9369606, 2.2636535, 4.3080894, 11.7815180, 41.1507560, 78.8396120");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2777107, 2.2780500, 2.2780501, 2.2780504, 2.2780506, 2.2780508, 2.3990994", \
+					  "2.3749973, 2.3752311, 2.3752312, 2.3752314, 2.3752317, 2.3752319, 2.4699232", \
+					  "2.4307818, 2.4308093, 2.4308094, 2.4317022, 2.4317023, 2.4317025, 2.5202760", \
+					  "2.4702376, 2.4702377, 2.4702379, 2.4702381, 2.4702384, 2.4702386, 2.5368319", \
+					  "2.4916116, 2.4917630, 2.4959212, 2.4959213, 2.4959215, 2.4959218, 2.5742577", \
+					  "2.5218525, 2.5218527, 2.5218529, 2.5218531, 2.5218534, 2.5218536, 2.6297644", \
+					  "2.5373934, 2.5376101, 2.5376102, 2.5376105, 2.5376107, 2.5376109, 2.6277309");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.2383847, 3.2530465, 3.3364107, 3.7090322, 5.2158948, 13.2986480, 46.4331080", \
+					  "3.3866268, 3.4013241, 3.4854843, 3.8578980, 5.3650191, 13.4478660, 46.5864640", \
+					  "3.5070071, 3.5229997, 3.6048660, 3.9786991, 5.4864726, 13.5685250, 46.7026880", \
+					  "3.6079786, 3.6220393, 3.7062473, 4.0787951, 5.5862981, 13.6684920, 46.8028530", \
+					  "3.6915015, 3.7073513, 3.7908854, 4.1628212, 5.6713749, 13.7527700, 46.8863490", \
+					  "3.7730419, 3.7882772, 3.8709818, 4.2445660, 5.7510041, 13.8337600, 46.9667290", \
+					  "3.8494292, 3.8646477, 3.9475894, 4.3206532, 5.8276379, 13.9096590, 47.0325080");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9041716, 0.9142986, 0.9413114, 1.0781620, 2.6513145, 12.9220950, 40.3767720", \
+					  "0.9040164, 0.9119375, 0.9398344, 1.0776231, 2.6513631, 12.9160060, 40.3707960", \
+					  "0.9041698, 0.9142834, 0.9410779, 1.0781067, 2.6512605, 12.9220890, 40.3731450", \
+					  "0.9041008, 0.9142956, 0.9413453, 1.0780389, 2.6512295, 12.9220980, 40.3731550", \
+					  "0.9051713, 0.9142944, 0.9382653, 1.0777387, 2.6500597, 12.9157430, 40.3541020", \
+					  "0.9023267, 0.9069886, 0.9395037, 1.0796674, 2.6506224, 12.9214810, 40.3671230", \
+					  "0.9072910, 0.9149420, 0.9400411, 1.0785534, 2.6517553, 12.9226380, 40.3566500");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948262, 9.2879073, 10.4737750, 17.8063910, 62.9902390, 341.6121800, 2059.0815000", \
+					  "9.2430827, 9.4362225, 10.6216840, 17.9575870, 63.1381100, 341.7581000, 2059.2061000", \
+					  "9.3653192, 9.5583772, 10.7426060, 18.0734430, 63.2143440, 341.7677000, 2059.3679000", \
+					  "9.4647712, 9.6578532, 10.8418470, 18.1753390, 63.3634660, 341.9799300, 2059.4662000", \
+					  "9.5479331, 9.7424087, 10.9253380, 18.2589300, 63.4633320, 342.0690000, 2059.4525000", \
+					  "9.6284847, 9.8241593, 11.0039140, 18.3424170, 63.5481780, 342.1418200, 2059.5876000", \
+					  "9.7026414, 9.8962349, 11.0823600, 18.4097680, 63.6593780, 342.1604600, 2059.7031000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4997170, 13.8879950, 16.2568040, 30.8206790, 121.2799400, 678.3507700, 4112.8319000", \
+					  "13.4996290, 13.8878950, 16.2559410, 30.8126500, 121.2845900, 678.3396300, 4112.8010000", \
+					  "13.5068580, 13.8892600, 16.2558710, 30.8428090, 121.4784900, 678.1707500, 4112.7655000", \
+					  "13.4998370, 13.8875300, 16.2560600, 30.8194110, 121.2577300, 678.3501500, 4112.7305000", \
+					  "13.5108270, 13.8894500, 16.2548800, 30.8125290, 121.1884600, 678.3733400, 4112.8205000", \
+					  "13.4999000, 13.8879920, 16.2563170, 30.8196880, 121.1266000, 678.3442400, 4112.7946000", \
+					  "13.4913170, 13.8899830, 16.2582270, 30.8201960, 121.4321200, 678.3141700, 4112.8010000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5941852, 3.6085452, 3.6918673, 4.0602912, 5.5564251, 10.0140480, 22.1525680", \
+					  "3.7420471, 3.7573398, 3.8413392, 4.2086366, 5.7047673, 10.1637300, 22.3007940", \
+					  "3.8639874, 3.8795065, 3.9628878, 4.3307455, 5.8271663, 10.2843350, 22.4230550", \
+					  "3.9651975, 3.9784465, 4.0612620, 4.4302548, 5.9240581, 10.3821980, 22.5228350", \
+					  "4.0475490, 4.0632973, 4.1454622, 4.5133075, 6.0071486, 10.4667900, 22.6050010", \
+					  "4.1303805, 4.1460924, 4.2275721, 4.5943227, 6.0894028, 10.5492890, 22.6887270", \
+					  "4.2071946, 4.2202100, 4.2999586, 4.6680486, 6.1642984, 10.6224980, 22.7639310");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9054315, 0.9098464, 0.9383339, 1.0687115, 2.5445017, 5.2720037, 17.8818830", \
+					  "0.9039742, 0.9096805, 0.9382312, 1.0689227, 2.5443037, 5.2720805, 17.8797920", \
+					  "0.9040085, 0.9098237, 0.9367942, 1.0684179, 2.5443174, 5.2745679, 17.8801700", \
+					  "0.9041262, 0.9103305, 0.9367931, 1.0686654, 2.5386448, 5.2780500, 17.8803120", \
+					  "0.9040995, 0.9108152, 0.9367846, 1.0687637, 2.5443008, 5.2768208, 17.8573940", \
+					  "0.9041660, 0.9113021, 0.9382817, 1.0688126, 2.5386488, 5.2741393, 17.8802150", \
+					  "0.9039572, 0.9098418, 0.9368153, 1.0688689, 2.5438547, 5.2733760, 17.8756960");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0333039, 9.2261088, 10.4146010, 17.7481270, 62.9959520, 341.5365800, 2059.1649000", \
+					  "9.1347863, 9.3256061, 10.5134910, 17.8476490, 62.9776270, 341.6213000, 2059.7463000", \
+					  "9.1924592, 9.3829406, 10.5696020, 17.9049970, 63.0499600, 341.8162200, 2059.2395000", \
+					  "9.2301180, 9.4231094, 10.6077020, 17.9396870, 63.1924240, 341.8653300, 2060.0619000", \
+					  "9.2606189, 9.4537986, 10.6418770, 17.9702990, 63.2215350, 341.6201900, 2059.1665000", \
+					  "9.2837507, 9.4771675, 10.6654230, 17.9965290, 63.1990100, 341.7670500, 2059.1715000", \
+					  "9.3002068, 9.4930428, 10.6786010, 18.0164880, 63.2635920, 341.7038100, 2059.2915000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5005130, 13.8906680, 16.2540330, 30.8075590, 121.4231800, 678.6159500, 4112.2962000", \
+					  "13.5073990, 13.8617780, 16.2421540, 30.8348370, 120.9096600, 678.6851800, 4117.6722000", \
+					  "13.5071290, 13.8858200, 16.2563580, 30.8152120, 120.9458800, 678.5743900, 4112.8146000", \
+					  "13.5046630, 13.8674150, 16.2587420, 30.8491260, 121.3752100, 678.1493800, 4112.1157000", \
+					  "13.5081020, 13.8911820, 16.2542000, 30.8332380, 121.3774800, 678.1520500, 4112.4972000", \
+					  "13.5040550, 13.8871260, 16.2486100, 30.8140360, 121.3422300, 678.4488800, 4112.3867000", \
+					  "13.4867880, 13.8589920, 16.2583690, 30.8473920, 121.4357200, 677.5186400, 4112.0184000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3297317, 9.5280308, 10.7184680, 18.0644650, 63.2070110, 341.5864600, 2059.2170000", \
+					  "9.4601296, 9.6641921, 10.8663720, 18.1929990, 63.3463420, 341.9235100, 2059.9687000", \
+					  "9.5933519, 9.7881090, 10.9872850, 18.3065260, 63.5039830, 342.0854000, 2058.5394000", \
+					  "9.6968904, 9.8946007, 11.0853930, 18.4287820, 63.5780850, 342.2561600, 2058.6372000", \
+					  "9.7652739, 9.9691517, 11.1578830, 18.4980830, 63.6517470, 342.4928000, 2060.3795000", \
+					  "9.8593533, 10.0541160, 11.2533460, 18.5725640, 63.7697040, 342.3885200, 2059.4468000", \
+					  "9.9268931, 10.1287570, 11.3115360, 18.6575220, 63.8153140, 342.7441600, 2060.0398000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2053630, 13.5950890, 15.9520270, 30.5449000, 121.0153600, 677.2502800, 4110.5500000", \
+					  "13.2087140, 13.6063620, 15.9496220, 30.5249050, 120.5883100, 677.4448600, 4107.3208000", \
+					  "13.2212860, 13.6012460, 15.9519310, 30.5250580, 121.0313600, 677.6359100, 4109.1953000", \
+					  "13.2107290, 13.5937900, 15.9498410, 30.5602050, 120.9846200, 677.9250700, 4109.1462000", \
+					  "13.2094750, 13.6063210, 15.9636270, 30.5251540, 120.5926200, 677.6668300, 4109.4813000", \
+					  "13.2208450, 13.6021070, 15.9519400, 30.5308490, 121.0277200, 677.7710300, 4111.0447000", \
+					  "13.2172730, 13.6047460, 15.9597100, 30.5300960, 120.6386900, 677.4564000, 4109.8447000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3194537, 2.3200915, 2.3200917, 2.3200919, 2.3200921, 2.3200924, 2.3869120", \
+					  "2.4079740, 2.4079742, 2.4081921, 2.4081923, 2.4081926, 2.4081928, 2.4852345", \
+					  "2.4767461, 2.4767463, 2.4767465, 2.4767467, 2.4767470, 2.4767472, 2.5375042", \
+					  "2.5029470, 2.5031395, 2.5031397, 2.5031399, 2.5031401, 2.5031404, 2.5713476", \
+					  "2.5354059, 2.5407894, 2.5407895, 2.5407898, 2.5407900, 2.5407903, 2.6053248", \
+					  "2.5494495, 2.5494496, 2.5494499, 2.5494501, 2.5494503, 2.5494506, 2.6504658", \
+					  "2.5782820, 2.5782823, 2.5782825, 2.5782828, 2.5782830, 2.5782832, 2.6698397");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4666106, 3.4684431, 3.4684433, 3.4684436, 3.4684438, 3.4684440, 7147.7630000", \
+					  "3.5658594, 3.5658595, 3.5658597, 3.5658600, 3.5658602, 3.5658604, 3.5658607", \
+					  "3.6249883, 3.6268113, 3.6268114, 3.6268117, 3.6268119, 3.6268122, 3.6268124", \
+					  "3.6805514, 3.6826757, 3.6826758, 3.6826760, 3.6826762, 3.6826765, 3.6826767", \
+					  "3.6933463, 3.7001611, 3.7001614, 3.7001616, 3.7001619, 3.7001621, 3.7001623", \
+					  "3.7287347, 3.7287349, 3.7287351, 3.7287354, 3.7287356, 3.7287359, 3.7287361", \
+					  "3.7799928, 3.7849270, 3.7849271, 3.7849273, 3.7849275, 3.7849278, 3.7849280");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1134026, 3.1405072, 3.3085814, 4.3274193, 10.5149480, 40.8216000, 86.6820290", \
+					  "3.2615761, 3.2887366, 3.4566969, 4.4753290, 10.6641900, 40.9800980, 86.8309800", \
+					  "3.3841807, 3.4112868, 3.5790462, 4.5974637, 10.7866970, 41.0887110, 86.9482410", \
+					  "3.4833565, 3.5106962, 3.6760053, 4.6970619, 10.8835450, 41.1870220, 87.0480790", \
+					  "3.5678975, 3.5952727, 3.7607926, 4.7814166, 10.9685660, 41.2936600, 87.1382540", \
+					  "3.6454992, 3.6748724, 3.8402308, 4.8619735, 11.0489010, 41.3522340, 87.2162500", \
+					  "3.7244368, 3.7518855, 3.9199870, 4.9387991, 11.1255930, 41.4040390, 87.2943460");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8291890, 1.8741322, 2.1916291, 4.1452108, 16.3457270, 38.3303100, 62.6392520", \
+					  "1.8291850, 1.8741702, 2.1916546, 4.1443928, 16.3099680, 38.3119920, 62.6253820", \
+					  "1.8291806, 1.8741675, 2.1916826, 4.1452135, 16.3310800, 38.3293010, 62.4613680", \
+					  "1.8291886, 1.8741129, 2.1916773, 4.1451953, 16.3461190, 38.3300180, 62.6434510", \
+					  "1.8286614, 1.8740454, 2.1956195, 4.1444808, 16.3273630, 38.2343860, 62.6283740", \
+					  "1.8291877, 1.8741855, 2.1916358, 4.1452055, 16.3460910, 38.3294570, 62.6414900", \
+					  "1.8219385, 1.8742254, 2.1900615, 4.1445087, 16.3401150, 38.1645150, 62.4856540");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.7718108, 2.7718109, 2.7718112, 2.7718114, 2.7718117, 2.7718119, 2.8221911", \
+					  "2.8653002, 2.8741842, 2.8741843, 2.8741845, 2.8741848, 2.8741850, 2.9265297", \
+					  "2.9332163, 2.9332165, 2.9332167, 2.9332170, 2.9332172, 2.9332175, 2.9608991", \
+					  "2.9712002, 2.9712004, 2.9721683, 2.9721684, 2.9721686, 2.9721689, 3.0055631", \
+					  "2.9925002, 2.9925005, 2.9925007, 2.9925010, 2.9925012, 2.9925014, 3.0438536", \
+					  "3.0129410, 3.0129411, 3.0138201, 3.0138203, 3.0138206, 3.0138208, 3.0638090", \
+					  "3.0415530, 3.0421883, 3.0436662, 3.0436663, 3.0436665, 3.0436668, 3.0739136");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0338208, 9.2261416, 10.4117250, 17.7402980, 62.9965980, 341.8497300, 2059.3445000", \
+					  "9.1344612, 9.3249435, 10.5132250, 17.8431760, 62.9991700, 341.8576900, 2059.7986000", \
+					  "9.1920880, 9.3852357, 10.5735340, 17.9057890, 63.1596540, 341.7123900, 2059.2802000", \
+					  "9.2298169, 9.4222683, 10.6074890, 17.9393460, 63.1940860, 341.8683100, 2060.3354000", \
+					  "9.2602601, 9.4534398, 10.6360560, 17.9708920, 63.2099240, 341.6657800, 2059.1558000", \
+					  "9.2833581, 9.4767820, 10.6646130, 17.9970330, 63.1981080, 341.7891600, 2059.2136000", \
+					  "9.3002557, 9.4925472, 10.6797730, 18.0161570, 63.1697220, 341.6952800, 2059.4878000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.5030630, 13.8910310, 16.2439110, 30.8495520, 121.4168700, 678.8134200, 4113.5063000", \
+					  "13.5072810, 13.8582550, 16.2414310, 30.8466390, 120.9753900, 678.6689300, 4110.5959000", \
+					  "13.5066910, 13.8910800, 16.2336900, 30.8253010, 121.4372700, 677.5673600, 4112.1052000", \
+					  "13.5050450, 13.8597680, 16.2585150, 30.8491290, 121.3859900, 678.1395400, 4112.4954000", \
+					  "13.5078810, 13.8909260, 16.2582610, 30.8400840, 121.4788200, 678.2024700, 4112.4922000", \
+					  "13.5048800, 13.8868400, 16.2500960, 30.8190450, 121.3430900, 678.4422900, 4112.3458000", \
+					  "13.4929930, 13.8600140, 16.2570060, 30.8101780, 120.9868700, 677.5096600, 4112.8328000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3298604, 9.5275023, 10.7171510, 18.0644070, 63.2069190, 341.5933600, 2060.0364000", \
+					  "9.4728190, 9.6641166, 10.8555180, 18.1869540, 63.3867000, 342.1984600, 2058.5817000", \
+					  "9.5931911, 9.7879855, 10.9872100, 18.3061970, 63.5028310, 342.0892100, 2058.4898000", \
+					  "9.6918340, 9.8945785, 11.0710440, 18.4060340, 63.6058810, 342.2766200, 2058.6276000", \
+					  "9.7641077, 9.9691135, 11.1598410, 18.4966890, 63.6509160, 342.4780200, 2059.4621000", \
+					  "9.8593160, 10.0539940, 11.2532440, 18.5724020, 63.7690580, 342.3984400, 2059.5164000", \
+					  "9.9262314, 10.1284320, 11.3119470, 18.6574050, 63.8153570, 342.7435300, 2060.3882000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2052430, 13.5962540, 15.9492930, 30.5443030, 121.0151900, 677.2443700, 4110.5245000", \
+					  "13.2214710, 13.6063170, 15.9641540, 30.5295380, 121.0265500, 677.6622900, 4109.1657000", \
+					  "13.2212930, 13.6010070, 15.9519780, 30.5247380, 121.0322600, 677.6463700, 4108.6132000", \
+					  "13.2214940, 13.5949960, 15.9659240, 30.5296090, 121.0261200, 677.7054900, 4109.1134000", \
+					  "13.2107670, 13.6062640, 15.9638810, 30.5254100, 120.5979300, 677.6759800, 4109.2153000", \
+					  "13.2208920, 13.6019220, 15.9522580, 30.5303590, 121.0284600, 677.7947900, 4110.5814000", \
+					  "13.2170070, 13.6047450, 15.9597490, 30.5325050, 120.6427500, 677.4524800, 4110.8528000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0146434, 3.0422691, 3.2098946, 4.2285113, 10.4200380, 40.7428330, 86.5987740", \
+					  "3.1160001, 3.1437370, 3.3117433, 4.3302895, 10.5194250, 40.8346460, 86.6771190", \
+					  "3.1740144, 3.2011596, 3.3692753, 4.3877164, 10.5756750, 40.9035540, 86.7344450", \
+					  "3.2133991, 3.2407266, 3.4087868, 4.4272452, 10.6141580, 40.9332870, 86.7717280", \
+					  "3.2420435, 3.2693404, 3.4371614, 4.4559192, 10.6453110, 40.9480170, 86.8031340", \
+					  "3.2649838, 3.2929273, 3.4605190, 4.4793111, 10.6670330, 40.9557720, 86.8346250", \
+					  "3.2836698, 3.3117440, 3.4795509, 4.4983102, 10.6844460, 40.9719330, 86.8536180");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8240175, 1.8739899, 2.1868498, 4.1509534, 16.3159280, 38.1298050, 62.4621280", \
+					  "1.8241434, 1.8754720, 2.1867677, 4.1482497, 16.3407600, 38.3568950, 62.4431640", \
+					  "1.8244736, 1.8755550, 2.1871551, 4.1535024, 16.3462770, 38.3166490, 62.4821940", \
+					  "1.8243456, 1.8756070, 2.1867530, 4.1442161, 16.3484000, 38.1361610, 62.4461830", \
+					  "1.8239895, 1.8757182, 2.1870628, 4.1562340, 16.3382850, 38.3053620, 62.4861580", \
+					  "1.8244040, 1.8757937, 2.1868751, 4.1565102, 16.3374010, 38.2386180, 62.5224670", \
+					  "1.8243508, 1.8758755, 2.1868136, 4.1505031, 16.3485010, 38.1507480, 62.5073330");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3584701, 2.3590010, 2.3590011, 2.3598297, 2.3598298, 2.3598301, 2.4307192", \
+					  "2.4563749, 2.4564093, 2.4605653, 2.4605655, 2.4605657, 2.4605660, 2.5194575", \
+					  "2.5159255, 2.5160387, 2.5161380, 2.5172626, 2.5172629, 2.5172631, 2.5776026", \
+					  "2.5551012, 2.5554387, 2.5554389, 2.5564602, 2.5564603, 2.5564605, 2.6207705", \
+					  "2.5822442, 2.5823896, 2.5823898, 2.5834253, 2.5834255, 2.5834257, 2.6483720", \
+					  "2.6095799, 2.6096059, 2.6096060, 2.6097093, 2.6097094, 2.6097097, 2.6686611", \
+					  "2.6244100, 2.6244729, 2.6245264, 2.6275035, 2.6275036, 2.6275038, 2.6939786");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0909335, 3.1201204, 3.2670386, 3.7187524, 4.8931601, 10.3417780, 40.3144040", \
+					  "3.1930827, 3.2216296, 3.3683603, 3.8206229, 4.9946909, 10.4385650, 40.4147790", \
+					  "3.2501168, 3.2793634, 3.4266416, 3.8779358, 5.0523372, 10.5017270, 40.4750220", \
+					  "3.2898515, 3.3189027, 3.4656498, 3.9173673, 5.0915951, 10.5408850, 40.5120310", \
+					  "3.3185419, 3.3475940, 3.4951617, 3.9461274, 5.1205262, 10.5699630, 40.5401190", \
+					  "3.3418299, 3.3706862, 3.5178458, 3.9694323, 5.1433791, 10.5934940, 40.5627740", \
+					  "3.3598413, 3.3891074, 3.5367109, 3.9876702, 5.1629816, 10.6107690, 40.5838070");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2040302, 1.2099465, 1.2463335, 1.3196082, 2.0317663, 9.8511492, 51.0139770", \
+					  "1.2038915, 1.2102841, 1.2461472, 1.3194198, 2.0296265, 9.8416475, 51.0127050", \
+					  "1.2038341, 1.2104376, 1.2458985, 1.3200194, 2.0318971, 9.8527018, 51.0151740", \
+					  "1.2038778, 1.2101981, 1.2460973, 1.3194021, 2.0328244, 9.8480983, 51.0156330", \
+					  "1.2031389, 1.2105785, 1.2463818, 1.3198759, 2.0328559, 9.8544284, 51.0120230", \
+					  "1.2030879, 1.2107089, 1.2457397, 1.3198390, 2.0308115, 9.8515338, 51.0115930", \
+					  "1.2039250, 1.2104727, 1.2458460, 1.3196960, 2.0270796, 9.8565387, 51.0119770");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3069394, 3.3200149, 3.4002923, 3.7651851, 5.2617501, 9.6998543, 21.8325090", \
+					  "3.4553933, 3.4701573, 3.5501297, 3.9143662, 5.4105733, 9.8485726, 21.9822100", \
+					  "3.5753929, 3.5893255, 3.6692858, 4.0337182, 5.5301110, 9.9665858, 22.1010370", \
+					  "3.6763073, 3.6900771, 3.7695251, 4.1343542, 5.6295253, 10.0675820, 22.2014690", \
+					  "3.7609538, 3.7747125, 3.8542072, 4.2197348, 5.7144192, 10.1512030, 22.2862080", \
+					  "3.8401451, 3.8545553, 3.9352015, 4.2999608, 5.7965002, 10.2331600, 22.3676240", \
+					  "3.9169517, 3.9314143, 4.0118744, 4.3766271, 5.8730882, 10.3099760, 22.4440220");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.8413479, 0.8496747, 0.8718067, 1.0226805, 2.5249645, 5.2637903, 17.8606620", \
+					  "0.8459969, 0.8507479, 0.8730613, 1.0233073, 2.5262548, 5.2597775, 17.8720510", \
+					  "0.8416343, 0.8450908, 0.8718306, 1.0231692, 2.5293769, 5.2660329, 17.8737100", \
+					  "0.8464587, 0.8483172, 0.8738565, 1.0233661, 2.5246696, 5.2527169, 17.8788130", \
+					  "0.8415231, 0.8454436, 0.8714774, 1.0222599, 2.5279467, 5.2639231, 17.8788580", \
+					  "0.8449879, 0.8493500, 0.8740963, 1.0232759, 2.5293487, 5.2651892, 17.8554380", \
+					  "0.8441322, 0.8495960, 0.8742503, 1.0232849, 2.5286286, 5.2634919, 17.8743880");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3929103, 2.3929104, 2.3929106, 2.3929109, 2.3929111, 2.3929114, 2.4661506", \
+					  "2.4940852, 2.4940855, 2.4940857, 2.4940860, 2.4940862, 2.4940864, 2.5643683", \
+					  "2.5520424, 2.5521261, 2.5521263, 2.5521266, 2.5521268, 2.5521271, 2.6200631", \
+					  "2.5916453, 2.5916454, 2.5917013, 2.5917014, 2.5917017, 2.5917019, 2.6612557", \
+					  "2.6195617, 2.6208227, 2.6208228, 2.6208231, 2.6208233, 2.6208236, 2.6896487", \
+					  "2.6404070, 2.6430316, 2.6430318, 2.6435081, 2.6435084, 2.6435086, 2.7153184", \
+					  "2.6632132, 2.6632134, 2.6632585, 2.6632587, 2.6632590, 2.6632592, 2.7267864");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3219870, 2.3220765, 2.3220767, 2.3220770, 2.3220772, 2.3220774, 2.4094544", \
+					  "2.4164006, 2.4164008, 2.4164011, 2.4164013, 2.4164016, 2.4164018, 2.4834834", \
+					  "2.4798961, 2.4798962, 2.4798965, 2.4798967, 2.4798970, 2.4798972, 2.5355047", \
+					  "2.5082718, 2.5082719, 2.5082721, 2.5082723, 2.5082726, 2.5082728, 2.5578764", \
+					  "2.5365008, 2.5377602, 2.5491633, 2.5491635, 2.5491637, 2.5491640, 2.6222073", \
+					  "2.5631133, 2.5631134, 2.5631136, 2.5631139, 2.5631141, 2.5631143, 2.6303202", \
+					  "2.5746549, 2.5746550, 2.5746552, 2.5746555, 2.5746557, 2.5746559, 2.6691123");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4773184, 3.4773185, 3.4773187, 3.4773189, 3.4773192, 3.4773194, 3.4773196", \
+					  "3.5768717, 3.5768718, 3.5768720, 3.5768723, 3.5768725, 3.5768728, 3.5768730", \
+					  "3.6386234, 3.6386236, 3.6386239, 3.6386241, 3.6386244, 3.6386246, 3.6386248", \
+					  "3.6805303, 3.6826691, 3.6826693, 3.6826696, 3.6826698, 3.6826700, 3.6826703", \
+					  "3.6933552, 3.7001124, 3.7001125, 3.7001128, 3.7001130, 3.7001132, 3.7001135", \
+					  "3.7287666, 3.7287668, 3.7287671, 3.7287673, 3.7287676, 3.7287678, 3.7287680", \
+					  "3.7800381, 3.7849226, 3.7849228, 3.7849230, 3.7849232, 3.7849235, 3.7849237");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1399769, 3.1686767, 3.3264858, 3.7946499, 4.9709086, 10.4076840, 40.3822860", \
+					  "3.2877334, 3.3174796, 3.4751129, 3.9435823, 5.1190647, 10.5614560, 40.5270950", \
+					  "3.4096423, 3.4393506, 3.5969723, 4.0655062, 5.2412266, 10.6770000, 40.6515750", \
+					  "3.5067877, 3.5391052, 3.6966852, 4.1623456, 5.3388729, 10.7742490, 40.7511930", \
+					  "3.5923680, 3.6216674, 3.7812606, 4.2484554, 5.4202740, 10.8657070, 40.8322340", \
+					  "3.6680829, 3.7041852, 3.8598986, 4.3271906, 5.5039355, 10.9390980, 40.9169410", \
+					  "3.7508943, 3.7803924, 3.9387857, 4.4062083, 5.5783262, 11.0259650, 40.9923150");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2353881, 1.2417413, 1.2814051, 1.3720682, 2.0431632, 9.8376454, 51.0166200", \
+					  "1.2353968, 1.2418910, 1.2814042, 1.3717739, 2.0432154, 9.8489732, 50.9334510", \
+					  "1.2354064, 1.2423250, 1.2812601, 1.3720671, 2.0432349, 9.8398161, 51.0158640", \
+					  "1.2353840, 1.2420963, 1.2815398, 1.3720688, 2.0431501, 9.8396189, 51.0164320", \
+					  "1.2356886, 1.2427799, 1.2814122, 1.3719842, 2.0433555, 9.8508535, 51.0041640", \
+					  "1.2354004, 1.2417766, 1.2814073, 1.3720679, 2.0431814, 9.8349702, 51.0163400", \
+					  "1.2353235, 1.2421345, 1.2813902, 1.3720793, 2.0433224, 9.8539328, 51.0164890");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6074242, 9.8019859, 10.9999720, 18.3216800, 63.5207800, 342.3413700, 2060.0683000", \
+					  "9.7566382, 9.9501323, 11.1483600, 18.4706970, 63.6705980, 342.4892200, 2060.1842000", \
+					  "9.8777075, 10.0723920, 11.2711420, 18.5921340, 63.7914110, 342.6505400, 2058.6742000", \
+					  "9.9771329, 10.1696060, 11.3708540, 18.6900750, 63.8641710, 342.7197700, 2059.3982000", \
+					  "10.0574060, 10.2550070, 11.4293500, 18.7867640, 63.9476380, 342.6738700, 2059.6465000", \
+					  "10.1282600, 10.3308710, 11.5346660, 18.8554860, 64.0535160, 342.8931400, 2059.4016000", \
+					  "10.2188230, 10.4136870, 11.6116150, 18.9317910, 64.1253590, 342.9510400, 2060.1528000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2137800, 13.5975640, 15.9533930, 30.5622050, 120.9838400, 677.7633000, 4112.6150000", \
+					  "13.2140500, 13.5882140, 15.9546310, 30.5610540, 120.9843700, 677.7816600, 4107.6987000", \
+					  "13.2048310, 13.5882160, 15.9539140, 30.5621770, 120.9456300, 677.3096300, 4109.3285000", \
+					  "13.2048100, 13.5882120, 15.9552690, 30.5598890, 120.7656800, 677.6678600, 4110.4540000", \
+					  "13.2235520, 13.5990470, 15.9507620, 30.5627310, 120.7684300, 677.7375500, 4108.7424000", \
+					  "13.2158000, 13.6003400, 15.9533220, 30.5589970, 120.9765100, 677.6358500, 4108.6009000", \
+					  "13.2134130, 13.5979440, 15.9544340, 30.5595940, 120.9851100, 677.7678400, 4110.5350000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7877528, 3.7877530, 3.7877533, 3.7877535, 3.7877538, 3.7877540, 3.7877542", \
+					  "3.8987240, 3.8990420, 3.8990421, 3.8990423, 3.8990425, 3.8990428, 3.8990430", \
+					  "3.9567305, 3.9567308, 3.9567310, 3.9567313, 3.9567315, 3.9567317, 3.9567320", \
+					  "3.9999080, 4.0003858, 4.0003860, 4.0003865, 4.0003870, 4.0003875, 4.0003880", \
+					  "4.0075263, 4.0075267, 4.0075272, 4.0075276, 4.0075281, 4.0075286, 4.0075291", \
+					  "4.0459693, 4.0486703, 4.0517381, 4.0517386, 4.0517390, 4.0517395, 4.0517400", \
+					  "4.0536223, 4.0540544, 4.0540545, 4.0540550, 4.0540555, 4.0540560, 4.0540565");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2790922, 2.2793092, 2.2794167, 2.2832405, 2.2832407, 2.2832410, 2.4076525", \
+					  "2.3761271, 2.3764635, 2.3777076, 2.3777077, 2.3777079, 2.3777081, 2.4676083", \
+					  "2.4284839, 2.4321420, 2.4321422, 2.4321424, 2.4338920, 2.4338922, 2.5283846", \
+					  "2.4710145, 2.4713649, 2.4713651, 2.4720821, 2.4720823, 2.4720825, 2.5862881", \
+					  "2.4942564, 2.4942567, 2.4983295, 2.4983296, 2.4983298, 2.4983300, 2.6076872", \
+					  "2.5227962, 2.5229310, 2.5229313, 2.5229315, 2.5229317, 2.5229320, 2.6015734", \
+					  "2.5329652, 2.5358178, 2.5358180, 2.5358183, 2.5358185, 2.5358187, 2.6204576");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.6831962, 3.7117616, 3.8896988, 4.9710387, 11.1484900, 35.2805290, 102.3838900", \
+					  "3.8319112, 3.8610732, 4.0383288, 5.1193672, 11.2974790, 35.4299350, 102.5307100", \
+					  "3.9535930, 3.9823984, 4.1605617, 5.2417684, 11.4209480, 35.5568520, 102.6541800", \
+					  "4.0509418, 4.0817065, 4.2595039, 5.3398495, 11.5169200, 35.6530950, 102.7542900", \
+					  "4.1354036, 4.1669139, 4.3434918, 5.4219611, 11.6021130, 35.7340470, 102.8715200", \
+					  "4.2164046, 4.2448396, 4.4243076, 5.5049227, 11.6818480, 35.8167720, 102.9171900", \
+					  "4.2947814, 4.3233794, 4.4970472, 5.5825629, 11.7596850, 35.8948500, 103.0248500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8818429, 1.9372097, 2.2644400, 4.3060853, 11.7825160, 41.1449400, 78.8352350", \
+					  "1.8821500, 1.9372282, 2.2645821, 4.3061759, 11.7825930, 41.1444070, 78.8355980", \
+					  "1.8820141, 1.9372153, 2.2645199, 4.3052228, 11.7825610, 41.1635600, 78.8355620", \
+					  "1.8828568, 1.9370887, 2.2635758, 4.3062087, 11.7824610, 41.1650090, 78.8203070", \
+					  "1.8835344, 1.9372455, 2.2644679, 4.3068762, 11.7864450, 41.1428650, 78.8598840", \
+					  "1.8797925, 1.9371889, 2.2638336, 4.3049906, 11.7821420, 41.1615770, 78.8352350", \
+					  "1.8817985, 1.9372288, 2.2633606, 4.3060120, 11.7824430, 41.1538710, 78.8480530");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3120172, 2.3120174, 2.3126965, 2.3126966, 2.3126969, 2.3126971, 2.3815017", \
+					  "2.4045137, 2.4045138, 2.4045140, 2.4045142, 2.4045145, 2.4045147, 2.4809785", \
+					  "2.4662186, 2.4662189, 2.4662191, 2.4662194, 2.4662196, 2.4662198, 2.5343379", \
+					  "2.4873437, 2.5081595, 2.5081596, 2.5081598, 2.5081600, 2.5081603, 2.5543827", \
+					  "2.5400502, 2.5400504, 2.5400507, 2.5400509, 2.5400512, 2.5400514, 2.6004054", \
+					  "2.5530424, 2.5530729, 2.5531248, 2.5531251, 2.5531253, 2.5531256, 2.6267470", \
+					  "2.5688622, 2.5689411, 2.5689413, 2.5693505, 2.5693507, 2.5693509, 2.6600697");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2802005, 2.2808584, 2.2810405, 2.2838949, 2.2838952, 2.2838954, 2.4058202", \
+					  "2.3774851, 2.3777906, 2.3777909, 2.3783280, 2.3783283, 2.3783285, 2.4467894", \
+					  "2.4306868, 2.4318212, 2.4318213, 2.4318215, 2.4318218, 2.4318220, 2.5129447", \
+					  "2.4724034, 2.4727929, 2.4727930, 2.4739228, 2.4739229, 2.4739231, 2.5323668", \
+					  "2.4930829, 2.4933058, 2.4933059, 2.4934976, 2.4934978, 2.4934980, 2.6103688", \
+					  "2.5235789, 2.5236451, 2.5236453, 2.5236456, 2.5236458, 2.5236460, 2.6025642", \
+					  "2.5370919, 2.5371956, 2.5371959, 2.5371961, 2.5371963, 2.5371966, 2.6214888");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7863686, 3.7863688, 3.7907504, 3.7907507, 3.7907509, 3.7907511, 3.7907514", \
+					  "3.8970338, 3.8970339, 3.9072665, 3.9072668, 3.9072670, 3.9072673, 3.9072675", \
+					  "3.9511470, 3.9511472, 3.9511475, 3.9511477, 3.9511480, 3.9511482, 3.9511484", \
+					  "4.0018291, 4.0021590, 4.0021594, 4.0021599, 4.0021604, 4.0021608, 4.0021613", \
+					  "4.0153241, 4.0153244, 4.0153249, 4.0153254, 4.0153258, 4.0153263, 4.0153268", \
+					  "4.0462345, 4.0462349, 4.0554724, 4.0554727, 4.0554731, 4.0554736, 4.0554741", \
+					  "4.0561452, 4.0561455, 4.0561460, 4.0561464, 4.0561469, 4.0561474, 4.0561479");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4694179, 3.4704968, 3.4704971, 3.4704973, 3.4704975, 3.4704978, 3.4704980", \
+					  "3.5657235, 3.5657236, 3.5657238, 3.5657241, 3.5657243, 3.5657245, 3.5657248", \
+					  "3.6364776, 3.6364779, 3.6364781, 3.6364784, 3.6364786, 3.6364788, 3.6370988", \
+					  "3.6808459, 3.6808461, 3.6808464, 3.6808466, 3.6808469, 3.6808471, 3.6808473", \
+					  "3.6920986, 3.6965977, 3.6965980, 3.6965982, 3.6965985, 3.6965987, 3.6965989", \
+					  "3.7294074, 3.7294075, 3.7294077, 3.7294080, 3.7294082, 3.7294084, 3.7294087", \
+					  "3.7841490, 3.7854444, 3.7854447, 3.7854449, 3.7854451, 3.7854454, 3.7854456");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0409000, 3.0702294, 3.2284606, 3.6963315, 4.8725179, 10.3141530, 40.2873930", \
+					  "3.1422078, 3.1722061, 3.3301759, 3.7976777, 4.9743023, 10.4170060, 40.3896370", \
+					  "3.2000276, 3.2295704, 3.3871490, 3.8557344, 5.0316444, 10.4732070, 40.4489600", \
+					  "3.2396055, 3.2689311, 3.4268437, 3.8949075, 5.0712541, 10.5074620, 40.4921000", \
+					  "3.2682992, 3.2976146, 3.4554553, 3.9239700, 5.1001194, 10.5410330, 40.5138540", \
+					  "3.2911183, 3.3207419, 3.4783807, 3.9467296, 5.1239404, 10.5648490, 40.5408470", \
+					  "3.3099312, 3.3390708, 3.4973802, 3.9654071, 5.1423470, 10.5824660, 40.5471210");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2357385, 1.2429510, 1.2812514, 1.3723793, 2.0432558, 9.8482893, 51.0156040", \
+					  "1.2350315, 1.2428970, 1.2811893, 1.3720375, 2.0430351, 9.8437294, 51.0121330", \
+					  "1.2353772, 1.2425366, 1.2816718, 1.3722063, 2.0446080, 9.8529558, 51.0144000", \
+					  "1.2354964, 1.2428114, 1.2812651, 1.3722725, 2.0406683, 9.8338901, 50.9828340", \
+					  "1.2345169, 1.2430398, 1.2812459, 1.3723844, 2.0393371, 9.8498285, 51.0109250", \
+					  "1.2357200, 1.2422644, 1.2816667, 1.3723719, 2.0429876, 9.8498978, 51.0225430", \
+					  "1.2360043, 1.2425558, 1.2812897, 1.3723216, 2.0386959, 9.8504849, 51.0003030");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable_rise";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.7943274, 2.7943277, 2.8020022, 2.8020024, 2.8020026, 2.8020028, 2.8472646", \
+					  "2.8963394, 2.9055502, 2.9055504, 2.9055506, 2.9055509, 2.9055511, 2.9494180", \
+					  "2.9645775, 2.9645776, 2.9645778, 2.9645781, 2.9645783, 2.9645786, 3.0147884", \
+					  "3.0030725, 3.0040046, 3.0040049, 3.0040051, 3.0040054, 3.0040056, 3.0576801", \
+					  "3.0246528, 3.0246529, 3.0246531, 3.0246534, 3.0246536, 3.0246538, 3.0842675", \
+					  "3.0460428, 3.0460431, 3.0462179, 3.0462181, 3.0462183, 3.0462186, 3.0985485", \
+					  "3.0646252, 3.0647560, 3.0647561, 3.0647563, 3.0647566, 3.0647568, 3.1207796");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1392813, 3.1694116, 3.3264580, 3.7948493, 4.9708428, 10.4078210, 40.3820300", \
+					  "3.2872118, 3.3169618, 3.4760679, 3.9430761, 5.1189604, 10.5614180, 40.5299010", \
+					  "3.4098189, 3.4389871, 3.5968921, 4.0646135, 5.2417914, 10.6779070, 40.6525980", \
+					  "3.5084222, 3.5382379, 3.6957712, 4.1624704, 5.3388765, 10.7778080, 40.7518610", \
+					  "3.5936851, 3.6228864, 3.7815107, 4.2493831, 5.4246860, 10.8641110, 40.8320060", \
+					  "3.6730343, 3.7016918, 3.8582315, 4.3294697, 5.5063780, 10.9380540, 40.9171080", \
+					  "3.7505488, 3.7802383, 3.9383576, 4.4061905, 5.5823355, 11.0224080, 40.9854440");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2354833, 1.2418579, 1.2814801, 1.3721083, 2.0430739, 9.8404298, 51.0164890", \
+					  "1.2354763, 1.2419972, 1.2814650, 1.3720065, 2.0431292, 9.8484678, 51.0164570", \
+					  "1.2354725, 1.2428875, 1.2816678, 1.3718642, 2.0400146, 9.8373736, 51.0161800", \
+					  "1.2354734, 1.2420072, 1.2814801, 1.3721101, 2.0430750, 9.8403019, 51.0165460", \
+					  "1.2354743, 1.2422181, 1.2814673, 1.3720344, 2.0430582, 9.8511279, 51.0036390", \
+					  "1.2354869, 1.2418444, 1.2814807, 1.3721084, 2.0430497, 9.8355697, 51.0166200", \
+					  "1.2345831, 1.2422783, 1.2811854, 1.3721250, 2.0444022, 9.8507531, 51.0027540");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5940158, 3.6083618, 3.6915251, 4.0603623, 5.5565170, 10.0131760, 22.1519050", \
+					  "3.7425552, 3.7570542, 3.8392707, 4.2078590, 5.7062786, 10.1634560, 22.2997320", \
+					  "3.8647539, 3.8791823, 3.9619312, 4.3312895, 5.8267484, 10.2841260, 22.4224510", \
+					  "3.9626302, 3.9779584, 4.0622745, 4.4295718, 5.9266130, 10.3811920, 22.5221650", \
+					  "4.0479455, 4.0630468, 4.1446278, 4.5138258, 6.0113834, 10.4675420, 22.6061250", \
+					  "4.1266941, 4.1442965, 4.2261856, 4.5923771, 6.0895995, 10.5476790, 22.6880630", \
+					  "4.2031725, 4.2178718, 4.3030011, 4.6716635, 6.1678649, 10.6249170, 22.7638160");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9041566, 0.9086957, 0.9368441, 1.0686731, 2.5424487, 5.2752727, 17.8819060", \
+					  "0.9040912, 0.9096117, 0.9368166, 1.0686859, 2.5423630, 5.2721472, 17.8817870", \
+					  "0.9041311, 0.9100161, 0.9368060, 1.0686515, 2.5443363, 5.2761226, 17.8724640", \
+					  "0.9042729, 0.9103200, 0.9368095, 1.0686042, 2.5386302, 5.2775393, 17.8761820", \
+					  "0.9041467, 0.9102005, 0.9364639, 1.0686546, 2.5386222, 5.2735420, 17.8817970", \
+					  "0.9043177, 0.9098138, 0.9364106, 1.0685666, 2.5441491, 5.2775002, 17.8821620", \
+					  "0.9041668, 0.9100323, 0.9383987, 1.0687368, 2.5433442, 5.2744649, 17.8807280");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1141403, 3.1404497, 3.3084422, 4.3272146, 10.5149430, 40.8185520, 86.6833430", \
+					  "3.2617593, 3.2896796, 3.4560399, 4.4744994, 10.6644100, 40.9668000, 86.8310150", \
+					  "3.3838385, 3.4113647, 3.5786563, 4.5974460, 10.7857140, 41.0887640, 86.9525960", \
+					  "3.4840424, 3.5095713, 3.6783239, 4.6971969, 10.8828930, 41.1873060, 87.0509850", \
+					  "3.5659906, 3.5951866, 3.7615084, 4.7781983, 10.9682390, 41.2934040, 87.1362220", \
+					  "3.6477663, 3.6754699, 3.8440899, 4.8618070, 11.0486710, 41.3538860, 87.2126980", \
+					  "3.7245138, 3.7519867, 3.9167687, 4.9347451, 11.1246190, 41.4550880, 87.2916890");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8293568, 1.8743116, 2.1918092, 4.1452708, 16.3457530, 38.3296100, 62.6447300", \
+					  "1.8287430, 1.8742978, 2.1917952, 4.1444825, 16.3094870, 38.3294500, 62.6397140", \
+					  "1.8293539, 1.8743682, 2.1918221, 4.1452894, 16.3461330, 38.3292440, 62.6444390", \
+					  "1.8293607, 1.8743711, 2.1919835, 4.1452735, 16.3432810, 38.3299370, 62.6399910", \
+					  "1.8287603, 1.8742354, 2.1901954, 4.1424899, 16.3462360, 38.2345110, 62.6184970", \
+					  "1.8293106, 1.8743820, 2.1918007, 4.1452739, 16.3459630, 38.3293470, 62.6388470", \
+					  "1.8221907, 1.8742101, 2.1906545, 4.1449351, 16.3390970, 38.3241920, 62.5247690");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7033328, 3.7332524, 3.9093857, 4.9879110, 11.5511020, 44.3964900, 79.6575110", \
+					  "3.8489776, 3.8806798, 4.0582133, 5.1325784, 11.7007490, 44.5530440, 79.7995480", \
+					  "3.9729819, 4.0035677, 4.1807970, 5.2577174, 11.8381400, 44.6617960, 79.9270270", \
+					  "4.0704751, 4.1020614, 4.2784976, 5.3574181, 11.9353660, 44.7564550, 80.0171090", \
+					  "4.1576547, 4.1877102, 4.3630770, 5.4391300, 12.0166970, 44.8448890, 80.1117110", \
+					  "4.2366812, 4.2671955, 4.4428630, 5.5203664, 12.0915460, 44.9340970, 80.1896150", \
+					  "4.3136734, 4.3444959, 4.5196762, 5.5968137, 12.1832380, 45.0106410, 80.2682370");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8738742, 1.9247945, 2.2542423, 4.3014059, 17.0869540, 38.7836390, 42.2344190", \
+					  "1.8740476, 1.9265092, 2.2550846, 4.2967798, 17.0876520, 38.7801120, 42.2368020", \
+					  "1.8740411, 1.9264770, 2.2541338, 4.3014290, 17.0778570, 38.7830390, 42.2571950", \
+					  "1.8740465, 1.9265494, 2.2540096, 4.3013815, 17.0768770, 38.7823430, 42.3432420", \
+					  "1.8740072, 1.9264819, 2.2540960, 4.3025565, 17.0863630, 38.8071260, 42.2314770", \
+					  "1.8739192, 1.9266977, 2.2554625, 4.3048809, 17.0495550, 38.7852990, 42.2387140", \
+					  "1.8740482, 1.9263178, 2.2551141, 4.2996535, 17.0835970, 38.7848190, 42.2368770");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_disable";
+				timing_sense : "positive_unate";
+				when : "(  DM[2] & DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.3006252, 2.3006253, 2.3006255, 2.3006257, 2.3006260, 2.3006262, 2.3663611", \
+					  "2.4018283, 2.4018285, 2.4018287, 2.4018289, 2.4018292, 2.4018294, 2.4785640", \
+					  "2.4583349, 2.4583351, 2.4583353, 2.4583356, 2.4583358, 2.4583360, 2.5338178", \
+					  "2.4991527, 2.4991558, 2.4991559, 2.4991562, 2.4991564, 2.4991566, 2.5711966", \
+					  "2.5368792, 2.5368795, 2.5368797, 2.5368799, 2.5368802, 2.5368804, 2.5871412", \
+					  "2.5514448, 2.5514449, 2.5514452, 2.5514454, 2.5514457, 2.5514459, 2.6427960", \
+					  "2.5713751, 2.5731501, 2.5731503, 2.5731506, 2.5731508, 2.5731510, 2.6587503");
+				}
+				rise_transition (scalar) {
+					values("0.0000000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.2784232, 2.2784235, 2.2784237, 2.2784240, 2.2784242, 2.2784244, 2.3881339", \
+					  "2.3747404, 2.3749180, 2.3749182, 2.3749184, 2.3749186, 2.3749189, 2.4702707", \
+					  "2.4312936, 2.4312937, 2.4312939, 2.4312941, 2.4312944, 2.4312946, 2.5092446", \
+					  "2.4699069, 2.4699070, 2.4699072, 2.4699075, 2.4699077, 2.4699079, 2.5474687", \
+					  "2.4907438, 2.4908824, 2.4908826, 2.4992199, 2.4992201, 2.4992203, 2.5713345", \
+					  "2.5211198, 2.5211200, 2.5211202, 2.5211205, 2.5211207, 2.5211210, 2.6362952", \
+					  "2.5372653, 2.5375888, 2.5375890, 2.5375892, 2.5375895, 2.5375897, 2.6273304");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.1119630, 2.1119632, 2.1119634, 2.1119637, 2.1119639, 2.1119642, 2.2448658", \
+					  "2.2055324, 2.2064299, 2.2064301, 2.2064304, 2.2064306, 2.2064308, 2.3265612", \
+					  "2.3160571, 2.3168742, 2.3168744, 2.3168747, 2.3168749, 2.3168752, 2.4535061", \
+					  "2.4108979, 2.4108981, 2.4108984, 2.4127362, 2.4127363, 2.4127366, 2.5565654", \
+					  "2.4940721, 2.4947135, 2.4947137, 2.4947140, 2.4947142, 2.4947144, 2.6418931", \
+					  "2.5736344, 2.5765459, 2.5765461, 2.5765464, 2.5765466, 2.5765468, 2.7111400", \
+					  "2.6508955, 2.6511044, 2.6511046, 2.6511049, 2.6511051, 2.6511053, 2.7840439");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_fall";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & !DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b0 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.5672827, 3.5824246, 3.6655425, 4.0399479, 5.5457394, 13.6279910, 46.7675290", \
+					  "3.7161672, 3.7323447, 3.8133705, 4.1879376, 5.6951150, 13.7768790, 46.9060770", \
+					  "3.8386778, 3.8529739, 3.9351353, 4.3086974, 5.8170575, 13.8989040, 47.0238390", \
+					  "3.9361652, 3.9519983, 4.0355776, 4.4079278, 5.9151470, 13.9973820, 47.1335220", \
+					  "4.0231773, 4.0345709, 4.1182178, 4.4937916, 6.0009944, 14.0831430, 47.2168760", \
+					  "4.0998516, 4.1169717, 4.1982937, 4.5711488, 6.0804775, 14.1613260, 47.2919770", \
+					  "4.1797996, 4.1938084, 4.2767176, 4.6465365, 6.1577863, 14.2396320, 47.3684200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("0.9023521, 0.9073739, 0.9404272, 1.0787787, 2.6507374, 12.9217650, 40.3775610", \
+					  "0.9032983, 0.9070431, 0.9408295, 1.0797280, 2.6507641, 12.9223290, 40.3406340", \
+					  "0.9031903, 0.9146602, 0.9409332, 1.0788279, 2.6516376, 12.9223400, 40.3234890", \
+					  "0.9032956, 0.9144674, 0.9411071, 1.0785700, 2.6516160, 12.9221280, 40.3736070", \
+					  "0.9034321, 0.9131306, 0.9414685, 1.0787049, 2.6503026, 12.9224620, 40.3733620", \
+					  "0.9079500, 0.9070738, 0.9412621, 1.0788499, 2.6512281, 12.9212510, 40.3253930", \
+					  "0.9041418, 0.9151666, 0.9404963, 1.0797265, 2.6512132, 12.9212850, 40.3692370");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "three_state_disable_fall";
+				timing_sense : "negative_unate";
+				when : "(  !OE_N & DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("2.1066948, 2.1066949, 2.1066951, 2.1066953, 2.1066956, 2.1066958, 2.2345772", \
+					  "2.2243016, 2.2258764, 2.2258765, 2.2258767, 2.2258770, 2.2258772, 2.3478577", \
+					  "2.3306863, 2.3328661, 2.3328664, 2.3328666, 2.3328668, 2.3328671, 2.4481883", \
+					  "2.4185833, 2.4185836, 2.4185838, 2.4185840, 2.4185843, 2.4185845, 2.5471709", \
+					  "2.5021003, 2.5029856, 2.5029859, 2.5029861, 2.5029864, 2.5029866, 2.6310363", \
+					  "2.5829727, 2.5855507, 2.5902607, 2.5902609, 2.5902612, 2.5902614, 2.7059905", \
+					  "2.6732669, 2.6732671, 2.6732673, 2.6732675, 2.6732678, 2.6732680, 2.7862581");
+				}
+				fall_transition (scalar) {
+					values("0.0000000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948129, 9.2878922, 10.4728540, 17.8064190, 62.9908640, 341.6108400, 2059.0805000", \
+					  "9.2434700, 9.4354551, 10.6203430, 17.9553510, 63.1008280, 341.7608000, 2059.2295000", \
+					  "9.3619086, 9.5583435, 10.7423330, 18.0791610, 63.2342250, 342.1290000, 2059.3682000", \
+					  "9.4648183, 9.6560218, 10.8405250, 18.1738060, 63.3821740, 341.9830000, 2059.4530000", \
+					  "9.5480504, 9.7406234, 10.9286430, 18.2614790, 63.4170900, 342.0738300, 2059.5455000", \
+					  "9.6289385, 9.8226351, 11.0073570, 18.3401420, 63.5209500, 342.1468500, 2059.6121000", \
+					  "9.7029593, 9.8979429, 11.0827080, 18.4188770, 63.5923950, 342.1539500, 2060.0664000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4996720, 13.8876550, 16.2574660, 30.8192760, 121.2766700, 678.3420200, 4112.8319000", \
+					  "13.4996050, 13.8876020, 16.2550490, 30.8191160, 120.9564000, 678.3484400, 4112.8319000", \
+					  "13.5032680, 13.8889990, 16.2541890, 30.8157450, 120.9579100, 678.6973500, 4112.7701000", \
+					  "13.4995740, 13.8877270, 16.2577330, 30.8175880, 121.1436600, 678.3522500, 4112.8314000", \
+					  "13.5106750, 13.8888800, 16.2562340, 30.8155420, 121.4961000, 678.3884000, 4112.7910000", \
+					  "13.4993480, 13.8869220, 16.2556690, 30.8215750, 121.2880000, 678.3413400, 4112.8283000", \
+					  "13.4884150, 13.8895400, 16.2572070, 30.8455660, 121.0844300, 678.3007500, 4114.4272000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.5997885, 9.7938804, 10.9918550, 18.3230800, 63.4782040, 342.3106400, 2058.7361000", \
+					  "9.7478630, 9.9502655, 11.1415920, 18.4706440, 63.6203840, 342.3625700, 2058.6781000", \
+					  "9.8707273, 10.0641760, 11.2629940, 18.5929480, 63.7432650, 342.4857200, 2061.8104000", \
+					  "9.9669242, 10.1648350, 11.3678040, 18.6938710, 63.8458180, 342.7240900, 2059.1128000", \
+					  "10.0662960, 10.2640890, 11.4411900, 18.8063700, 63.9427990, 342.3688500, 2059.9646000", \
+					  "10.1295000, 10.3290570, 11.5271960, 18.8603760, 64.0284840, 342.8910100, 2059.4703000", \
+					  "10.2061960, 10.4031480, 11.6044440, 18.9319830, 64.0835580, 343.0007200, 2060.0503000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2013560, 13.6044660, 15.9660730, 30.5234200, 120.6072300, 677.7347600, 4109.1625000", \
+					  "13.1991940, 13.6054460, 15.9645880, 30.5232500, 120.6336300, 677.7164000, 4107.1708000", \
+					  "13.1991300, 13.6062110, 15.9603100, 30.5233350, 120.6329300, 677.7181100, 4110.0875000", \
+					  "13.1914010, 13.6060350, 15.9547260, 30.5247810, 120.6054200, 677.7127100, 4111.7783000", \
+					  "13.2064950, 13.5983230, 15.9728210, 30.5359860, 121.0450600, 677.6647900, 4109.9802000", \
+					  "13.1931830, 13.6040200, 15.9666570, 30.5252180, 120.7022100, 677.7084400, 4112.6982000", \
+					  "13.1991240, 13.6041680, 15.9657090, 30.5223330, 120.6348500, 677.6636500, 4110.7041000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0468676, 3.0762208, 3.2322180, 3.6968748, 4.8730282, 10.3143340, 40.2966370", \
+					  "3.1488689, 3.1776581, 3.3335177, 3.7988954, 4.9742703, 10.4208600, 40.4090840", \
+					  "3.2061493, 3.2354268, 3.3916638, 3.8560026, 5.0320059, 10.4779830, 40.4654300", \
+					  "3.2454801, 3.2746597, 3.4307246, 3.8955026, 5.0715512, 10.5176160, 40.4837370", \
+					  "3.2743637, 3.3037970, 3.4594929, 3.9245198, 5.1003965, 10.5461600, 40.5343350", \
+					  "3.2974796, 3.3264724, 3.4819918, 3.9475920, 5.1234816, 10.5688570, 40.5442510", \
+					  "3.3159311, 3.3459475, 3.5016805, 3.9660031, 5.1421032, 10.5891110, 40.5598290");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2298378, 1.2374917, 1.2761904, 1.3667867, 2.0430211, 9.8464001, 51.0112730", \
+					  "1.2307337, 1.2381293, 1.2764021, 1.3668694, 2.0439153, 9.8634283, 51.0302310", \
+					  "1.2305276, 1.2369741, 1.2764199, 1.3666306, 2.0405544, 9.8559045, 51.0200700", \
+					  "1.2305708, 1.2373892, 1.2764145, 1.3667730, 2.0426243, 9.8564810, 51.0208620", \
+					  "1.2295133, 1.2380064, 1.2759804, 1.3666435, 2.0434039, 9.8571391, 51.0306140", \
+					  "1.2305744, 1.2372667, 1.2765010, 1.3667399, 2.0391548, 9.8614494, 50.9909950", \
+					  "1.2305417, 1.2377860, 1.2766400, 1.3668765, 2.0443651, 9.8515622, 50.9837080");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.7205231, 9.9319601, 11.1630420, 18.6596390, 64.0893560, 342.5188000, 2059.8332000", \
+					  "9.8788648, 10.0860010, 11.2968950, 18.7746580, 64.2380300, 343.1123600, 2059.0990000", \
+					  "10.0008770, 10.2078980, 11.4225340, 18.9010210, 64.3354240, 342.9736900, 2060.3325000", \
+					  "10.1068660, 10.3066040, 11.5163680, 19.0206530, 64.4370030, 343.3085600, 2060.8338000", \
+					  "10.1889570, 10.3956760, 11.6022670, 19.0966480, 64.5452050, 343.4665500, 2061.0444000", \
+					  "10.2727200, 10.4694190, 11.6915180, 19.1862540, 64.6089260, 343.4126900, 2059.5069000", \
+					  "10.3347660, 10.5442770, 11.7718320, 19.2341840, 64.7030450, 343.6348900, 2061.2022000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2010830, 13.5924330, 15.9663910, 30.5309520, 120.9873500, 677.3798900, 4110.4299000", \
+					  "13.2188120, 13.6061400, 15.9555660, 30.4899430, 120.9880200, 677.7428300, 4113.2230000", \
+					  "13.2216660, 13.6044190, 15.9608610, 30.4945940, 121.0140500, 677.6327800, 4109.4554000", \
+					  "13.2211880, 13.6035800, 15.9677890, 30.5571640, 121.0123900, 677.7667600, 4111.2912000", \
+					  "13.2228910, 13.6022360, 15.9577100, 30.5078270, 120.9628000, 677.7123600, 4109.9893000", \
+					  "13.2208930, 13.6024190, 15.9651030, 30.5552630, 120.8542400, 677.6339200, 4108.6814000", \
+					  "13.2069050, 13.6055920, 15.9650050, 30.4940040, 120.9683900, 677.5464400, 4113.5168000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1133847, 3.1404297, 3.3085590, 4.3272452, 10.5150790, 40.8199520, 86.6819720", \
+					  "3.2601524, 3.2883005, 3.4569070, 4.4756141, 10.6632240, 40.9667360, 86.8313780", \
+					  "3.3835861, 3.4113357, 3.5791423, 4.5973705, 10.7859850, 41.0892720, 86.9527380", \
+					  "3.4795986, 3.5080785, 3.6774630, 4.6959032, 10.8851660, 41.1870150, 87.0515180", \
+					  "3.5678944, 3.5935643, 3.7633021, 4.7820858, 10.9644320, 41.2699140, 87.1321010", \
+					  "3.6480787, 3.6750185, 3.8448094, 4.8619846, 11.0517830, 41.3586710, 87.2160090", \
+					  "3.7245074, 3.7519676, 3.9159511, 4.9386069, 11.1210070, 41.4007180, 87.2899050");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8292020, 1.8741326, 2.1916078, 4.1451869, 16.3460110, 38.3299830, 62.6385200", \
+					  "1.8291904, 1.8741431, 2.1898072, 4.1452006, 16.3460960, 38.3295850, 62.6215030", \
+					  "1.8291881, 1.8741950, 2.1916535, 4.1444483, 16.3461030, 38.3293250, 62.6410780", \
+					  "1.8291975, 1.8741417, 2.1916198, 4.1452082, 16.3457960, 38.3293330, 62.6397560", \
+					  "1.8291686, 1.8798789, 2.1922548, 4.1449209, 16.3429540, 38.3297230, 62.5219630", \
+					  "1.8291926, 1.8742163, 2.1916093, 4.1451886, 16.3460320, 38.3302810, 62.6397640", \
+					  "1.8219776, 1.8740380, 2.1918434, 4.1444985, 16.3441530, 38.1652260, 62.4554910");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6074286, 9.8020347, 10.9999490, 18.3209680, 63.5185770, 342.3824600, 2058.8507000", \
+					  "9.7550226, 9.9512221, 11.1477880, 18.4684550, 63.6696670, 342.4866600, 2059.7797000", \
+					  "9.8778301, 10.0727680, 11.2689510, 18.5915390, 63.7901680, 342.6548300, 2060.8504000", \
+					  "9.9769775, 10.1704600, 11.3700210, 18.6894250, 63.8868940, 342.7219600, 2060.3234000", \
+					  "10.0627500, 10.2556600, 11.4294720, 18.7864750, 63.9484410, 342.8342000, 2059.5257000", \
+					  "10.1419280, 10.3368500, 11.5352010, 18.8674320, 64.0287040, 342.8658900, 2060.6572000", \
+					  "10.2134100, 10.4129900, 11.6093950, 18.9325570, 64.1308380, 342.9529400, 2059.9018000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2194220, 13.5979160, 15.9547260, 30.5535650, 120.9849400, 677.3425400, 4111.1512000", \
+					  "13.2173050, 13.5977580, 15.9543680, 30.5618710, 120.9825800, 677.7746600, 4110.3017000", \
+					  "13.2048740, 13.5881950, 15.9556880, 30.5622230, 120.9365900, 677.3067300, 4109.4936000", \
+					  "13.2112380, 13.5919730, 15.9556460, 30.5604250, 120.9816000, 677.6766700, 4109.3399000", \
+					  "13.2048840, 13.5991340, 15.9507940, 30.5610260, 120.7777400, 677.2941700, 4111.1439000", \
+					  "13.2157300, 13.5990690, 15.9535830, 30.5606350, 120.7564500, 677.7756900, 4108.7901000", \
+					  "13.2130710, 13.5978770, 15.9545620, 30.5605820, 120.9837000, 677.7617000, 4113.1298000");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0948813, 9.2879064, 10.4730650, 17.8063840, 63.0013940, 341.6124300, 2059.0780000", \
+					  "9.2424770, 9.4364481, 10.6238680, 17.9548450, 63.1458900, 341.7585200, 2059.2267000", \
+					  "9.3651256, 9.5581507, 10.7444840, 18.0769780, 63.2159570, 341.7683600, 2060.0473000", \
+					  "9.4627053, 9.6583692, 10.8427330, 18.1759190, 63.3873610, 341.9355600, 2059.4496000", \
+					  "9.5463966, 9.7419397, 10.9264510, 18.2589640, 63.4032630, 341.9757800, 2059.5407000", \
+					  "9.6301020, 9.8218615, 11.0078640, 18.3400350, 63.5318860, 342.1456000, 2059.6162000", \
+					  "9.7015951, 9.8995301, 11.0821010, 18.4175910, 63.6621140, 342.5232400, 2059.5155000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4998390, 13.8879670, 16.2558070, 30.8191230, 121.2223400, 678.3506600, 4112.8301000", \
+					  "13.4996320, 13.8817080, 16.2485260, 30.8158580, 121.2447700, 678.3413900, 4112.8301000", \
+					  "13.5013720, 13.8825960, 16.2377630, 30.8174000, 121.4780000, 678.1725100, 4115.4258000", \
+					  "13.4998590, 13.8893260, 16.2582230, 30.8185650, 121.0950000, 678.2190700, 4112.8319000", \
+					  "13.5015650, 13.8825560, 16.2574200, 30.8126540, 121.4491600, 678.2375400, 4112.8096000", \
+					  "13.4996970, 13.8870710, 16.2558060, 30.8190380, 121.2558200, 678.3440700, 4112.8319000", \
+					  "13.4918090, 13.8805360, 16.2353170, 30.8106460, 121.4089500, 678.7261700, 4112.7105000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.7024315, 3.7332861, 3.9092289, 4.9852096, 11.5659340, 44.4043240, 79.6558340", \
+					  "3.8534842, 3.8820018, 4.0579784, 5.1357594, 11.7008730, 44.5453490, 79.8055030", \
+					  "3.9739736, 4.0037635, 4.1803281, 5.2583604, 11.8373860, 44.6584280, 79.9301180", \
+					  "4.0728136, 4.1019508, 4.2795461, 5.3577236, 11.9315930, 44.7647680, 80.0288830", \
+					  "4.1561066, 4.1885331, 4.3622750, 5.4364460, 12.0200720, 44.8467330, 80.1110290", \
+					  "4.2383292, 4.2652277, 4.4448369, 5.5172515, 12.0962090, 44.9253970, 80.1884430", \
+					  "4.3154396, 4.3447459, 4.5209405, 5.5989058, 12.1776380, 45.0151630, 80.2654090");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8736925, 1.9263111, 2.2547624, 4.2988080, 17.0764310, 38.7801290, 42.2702260", \
+					  "1.8717488, 1.9252338, 2.2538817, 4.3015964, 17.0864820, 38.7772520, 42.2640160", \
+					  "1.8737694, 1.9262936, 2.2537217, 4.3014858, 17.0772210, 38.7835150, 42.2746250", \
+					  "1.8708508, 1.9266717, 2.2528823, 4.3015675, 17.0723810, 38.7826340, 42.3558860", \
+					  "1.8738238, 1.9262076, 2.2553273, 4.3028177, 17.0766650, 38.8097270, 42.2461460", \
+					  "1.8737736, 1.9246365, 2.2519884, 4.3005701, 17.0680860, 38.7815010, 42.2184700", \
+					  "1.8738087, 1.9259081, 2.2544160, 4.3014365, 17.0774330, 38.7798910, 42.2157490");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable_rise";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & !DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b0 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.1392970, 3.1687521, 3.3266243, 3.7947068, 4.9716706, 10.4074370, 40.3820510", \
+					  "3.2883609, 3.3162895, 3.4764036, 3.9438803, 5.1200466, 10.5619190, 40.5232720", \
+					  "3.4101615, 3.4391809, 3.5976544, 4.0659542, 5.2418074, 10.6765390, 40.6520330", \
+					  "3.5072698, 3.5378984, 3.6957633, 4.1623354, 5.3388205, 10.7765360, 40.7497290", \
+					  "3.5927838, 3.6221772, 3.7810599, 4.2480974, 5.4244906, 10.8635700, 40.8243890", \
+					  "3.6750201, 3.7042236, 3.8601096, 4.3271422, 5.5040057, 10.9401320, 40.9141800", \
+					  "3.7486356, 3.7788501, 3.9389421, 4.4064379, 5.5832841, 11.0164190, 41.0006500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.2354038, 1.2418923, 1.2814122, 1.3720749, 2.0431645, 9.8355448, 51.0164710", \
+					  "1.2347620, 1.2417556, 1.2814394, 1.3717781, 2.0433006, 9.8484518, 50.9717000", \
+					  "1.2347384, 1.2417921, 1.2812787, 1.3721626, 2.0431825, 9.8397246, 51.0158390", \
+					  "1.2354098, 1.2419121, 1.2814083, 1.3720715, 2.0430966, 9.8371427, 51.0164780", \
+					  "1.2345456, 1.2421087, 1.2814075, 1.3719487, 2.0433137, 9.8510844, 50.9977270", \
+					  "1.2353988, 1.2417845, 1.2814102, 1.3720750, 2.0431314, 9.8373407, 51.0161340", \
+					  "1.2356454, 1.2420651, 1.2814017, 1.3722341, 2.0396633, 9.8506661, 50.9589600");
+				}
+			}
+			timing () {
+				related_pin : "OE_N";
+				timing_type : "three_state_enable";
+				timing_sense : "negative_unate";
+				when : "(  DM[2] & DM[1] & DM[0] & !SLOW )";
+				sdf_cond : "  DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b1 & SLOW==1'b0 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.0947951, 9.2878434, 10.4729160, 17.8062680, 63.0289420, 341.6127200, 2059.0801000", \
+					  "9.2450891, 9.4360031, 10.6210580, 17.9540400, 63.1371080, 341.7587500, 2059.2254000", \
+					  "9.3656434, 9.5583594, 10.7423630, 18.0798260, 63.2561030, 341.7677600, 2060.3502000", \
+					  "9.4640695, 9.6550865, 10.8425740, 18.1757470, 63.3627270, 341.9816000, 2059.4482000", \
+					  "9.5479171, 9.7429975, 10.9284600, 18.2589890, 63.4774440, 342.2784700, 2060.0464000", \
+					  "9.6282591, 9.8187964, 11.0041650, 18.3402410, 63.5242120, 342.1436400, 2059.6101000", \
+					  "9.7059711, 9.8998374, 11.0867490, 18.4170710, 63.5472620, 342.5291800, 2059.7843000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4996860, 13.8876530, 16.2576490, 30.8179010, 120.9705400, 678.3501500, 4112.8319000", \
+					  "13.5095930, 13.8876500, 16.2572020, 30.8222110, 121.2845900, 678.3398600, 4112.8287000", \
+					  "13.5067270, 13.8802300, 16.2551890, 30.8076840, 121.0842800, 678.1689300, 4113.4840000", \
+					  "13.5012610, 13.8877740, 16.2568610, 30.8188710, 121.2685800, 678.3473100, 4112.8314000", \
+					  "13.5106360, 13.8892160, 16.2562480, 30.8136410, 121.0358200, 678.2636300, 4111.7928000", \
+					  "13.4996620, 13.8877500, 16.2554270, 30.8223170, 121.2682900, 678.3461700, 4112.8296000", \
+					  "13.5044490, 13.8901100, 16.2388080, 30.8238840, 120.9670900, 678.8612800, 4112.6941000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.6213597, 9.7947250, 10.9992460, 18.3235330, 63.4760510, 342.2212800, 2058.2588000", \
+					  "9.7475015, 9.9415676, 11.1399430, 18.4726670, 63.6256770, 342.5506300, 2061.0198000", \
+					  "9.8689661, 10.0644790, 11.2622770, 18.5939960, 63.7397410, 342.4906400, 2059.2422000", \
+					  "9.9686295, 10.1650930, 11.3620670, 18.6924010, 63.8452060, 342.6077900, 2059.7654000", \
+					  "10.0722430, 10.2490470, 11.4503760, 18.8044900, 63.9409590, 342.3297100, 2060.5012000", \
+					  "10.1359800, 10.3286140, 11.5206450, 18.8583620, 64.0107200, 342.6993400, 2058.9246000", \
+					  "10.2105150, 10.4054410, 11.6036300, 18.9287910, 64.0812560, 343.0045900, 2060.1653000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.2144160, 13.6045960, 15.9538320, 30.5242160, 120.6166900, 677.7180500, 4112.6350000", \
+					  "13.1977390, 13.6045900, 15.9577860, 30.5240630, 120.6154800, 677.6482500, 4112.1943000", \
+					  "13.1964630, 13.6047080, 15.9571590, 30.5242020, 120.6455400, 677.7159500, 4106.8606000", \
+					  "13.1982190, 13.6062690, 15.9607920, 30.5255910, 120.6171200, 677.7162300, 4111.6346000", \
+					  "13.2146390, 13.6047260, 15.9577120, 30.5368850, 121.0372900, 677.6108400, 4107.3677000", \
+					  "13.2231000, 13.6044930, 15.9735190, 30.5236230, 120.6083900, 677.7253800, 4109.5986000", \
+					  "13.1983830, 13.6044820, 15.9652420, 30.5237650, 120.6445100, 677.6608600, 4112.0015000");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.0619747, 3.0896503, 3.2604537, 4.2927133, 10.5271430, 40.7787760, 86.6139090", \
+					  "3.1637699, 3.1910508, 3.3619723, 4.3946087, 10.6274340, 40.9149660, 86.7145150", \
+					  "3.2211125, 3.2487726, 3.4192587, 4.4518429, 10.6855380, 40.9718480, 86.7714720", \
+					  "3.2604406, 3.2882881, 3.4588643, 4.4912731, 10.7287830, 40.9738980, 86.8090670", \
+					  "3.2891496, 3.3169791, 3.4875443, 4.5201101, 10.7575940, 41.0051090, 86.8392010", \
+					  "3.3125147, 3.3401311, 3.5110626, 4.5436215, 10.7807820, 41.0338470, 86.8642900", \
+					  "3.3310315, 3.3596559, 3.5292376, 4.5617918, 10.7948190, 41.0758570, 86.8927190");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8232746, 1.8713022, 2.1859667, 4.1566550, 16.3078210, 38.0592800, 62.4739730", \
+					  "1.8232723, 1.8713655, 2.1862294, 4.1547192, 16.3440460, 38.0908570, 62.4347720", \
+					  "1.8232329, 1.8713906, 2.1865503, 4.1576320, 16.3458580, 38.0720310, 62.4759340", \
+					  "1.8206561, 1.8743118, 2.1856579, 4.1574570, 16.3409430, 38.0738780, 62.4167380", \
+					  "1.8207568, 1.8713664, 2.1862716, 4.1479580, 16.3368150, 38.0466110, 62.4417000", \
+					  "1.8240747, 1.8712301, 2.1860009, 4.1578336, 16.3213980, 38.0334630, 62.4662630", \
+					  "1.8235534, 1.8712913, 2.1865163, 4.1578843, 16.3430990, 38.0718210, 62.4392480");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.4528524, 3.4813903, 3.6653351, 4.7681836, 11.3725860, 44.1356390, 79.3408650", \
+					  "3.6030168, 3.6320127, 3.8133456, 4.9142139, 11.5102200, 44.2760640, 79.4901710", \
+					  "3.7213721, 3.7522363, 3.9337387, 5.0350009, 11.6238810, 44.4083060, 79.6111620", \
+					  "3.8240877, 3.8514258, 4.0362451, 5.1321041, 11.7240530, 44.4998780, 79.7126060", \
+					  "3.9055781, 3.9337422, 4.1201598, 5.2215334, 11.8282100, 44.5902660, 79.7962940", \
+					  "3.9889199, 4.0191832, 4.1989274, 5.2970015, 11.9087650, 44.6612310, 79.8754840", \
+					  "4.0645936, 4.0958752, 4.2781476, 5.3741260, 11.9894620, 44.7469900, 79.9518460");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8683852, 1.9218656, 2.2527049, 4.3044266, 17.0860730, 38.6645880, 42.2232200", \
+					  "1.8704083, 1.9236903, 2.2510662, 4.3022079, 17.0698890, 38.6616850, 42.2063810", \
+					  "1.8704609, 1.9232227, 2.2484981, 4.3032768, 17.0836180, 38.6594690, 42.2477910", \
+					  "1.8701969, 1.9232176, 2.2508466, 4.3032267, 17.0838990, 38.6856240, 42.2159160", \
+					  "1.8690269, 1.9219908, 2.2489242, 4.3043276, 17.0853930, 38.6624240, 42.2423840", \
+					  "1.8691177, 1.9227249, 2.2523603, 4.3031512, 17.0684910, 38.6651530, 42.3248810", \
+					  "1.8705961, 1.9233266, 2.2514861, 4.3029535, 17.0859860, 38.6962390, 42.2550170");
+				}
+			}
+			timing () {
+				related_pin : "OUT";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "(  !OE_N & !DM[2] & DM[1] & !DM[0] & SLOW )";
+				sdf_cond : "  OE_N==1'b0 & DM[2]==1'b0 & DM[1]==1'b1 & DM[0]==1'b0 & SLOW==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("9.3204440, 9.5183212, 10.7221530, 18.1529010, 63.7299780, 342.7524900, 2060.8677000", \
+					  "9.4230996, 9.6196713, 10.8297930, 18.2646950, 63.8460310, 342.9693700, 2061.4364000", \
+					  "9.4804902, 9.6768478, 10.8864590, 18.3209930, 63.8989590, 342.9167100, 2060.4575000", \
+					  "9.5209485, 9.7173567, 10.9259060, 18.3622170, 63.9052690, 342.7962300, 2060.5180000", \
+					  "9.5465991, 9.7455892, 10.9521800, 18.3888620, 63.9294130, 343.1818500, 2060.7072000", \
+					  "9.5692183, 9.7687751, 10.9744430, 18.4071820, 63.9888640, 342.9366300, 2060.4341000", \
+					  "9.5918748, 9.7853006, 10.9943170, 18.4263040, 64.0137050, 342.7687400, 2060.0412000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("13.4978240, 13.8912540, 16.2585450, 30.7804130, 121.4767600, 678.1763800, 4113.5513000", \
+					  "13.4956210, 13.8913780, 16.2518760, 30.8087640, 121.4346500, 678.7332200, 4117.9978000", \
+					  "13.5062600, 13.8897330, 16.2361720, 30.8469730, 121.4306500, 678.1922400, 4111.4986000", \
+					  "13.5083290, 13.8793110, 16.2538160, 30.8109480, 121.2619800, 678.1137900, 4112.0302000", \
+					  "13.4889960, 13.8910130, 16.2420030, 30.8163700, 121.2546200, 678.8620800, 4113.6186000", \
+					  "13.4826090, 13.8776780, 16.2576480, 30.8187890, 121.4682500, 678.4530300, 4112.4554000", \
+					  "13.5025480, 13.8636860, 16.2431930, 30.8477510, 121.4368100, 677.5025600, 4110.1016000");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("3.3833321, 3.4121139, 3.5869760, 4.6646895, 11.2478360, 44.0622580, 79.3205860", \
+					  "3.5299379, 3.5606729, 3.7383319, 4.8168798, 11.3842600, 44.2065090, 79.4708650", \
+					  "3.6521799, 3.6809074, 3.8586698, 4.9384603, 11.5192630, 44.3234390, 79.5920980", \
+					  "3.7510555, 3.7797236, 3.9564236, 5.0326823, 11.6031180, 44.4355810, 79.6959090", \
+					  "3.8332884, 3.8626342, 4.0432013, 5.1218385, 11.6879320, 44.5114840, 79.7755960", \
+					  "3.9181929, 3.9472603, 4.1240802, 5.1993512, 11.7613260, 44.5880670, 79.8555040", \
+					  "3.9929899, 4.0227661, 4.1991921, 5.2764180, 11.8571880, 44.6821820, 79.9365050");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 0.26, 0.51, 0.76, 1, 1.25, 1.5");
+					index_2("1.12721, 1.17888, 1.49751, 3.46242, 15.5797, 90.3044, 551.117");
+					values("1.8720119, 1.9244026, 2.2550555, 4.3035406, 17.0844760, 38.8027100, 42.2850840", \
+					  "1.8724138, 1.9261999, 2.2506781, 4.3035664, 17.0515760, 38.7719400, 42.2927040", \
+					  "1.8735966, 1.9267532, 2.2526478, 4.3051007, 17.0822910, 38.7822500, 42.2292420", \
+					  "1.8737694, 1.9260913, 2.2551896, 4.2979171, 17.0550740, 38.7826340, 42.2284290", \
+					  "1.8721897, 1.9268642, 2.2501132, 4.3037964, 17.0474190, 38.7723450, 42.2864870", \
+					  "1.8729529, 1.9269804, 2.2538731, 4.3050661, 17.0681480, 38.7828510, 42.3239680", \
+					  "1.8737849, 1.9257065, 2.2542386, 4.3014334, 17.0784450, 38.7765550, 42.2167720");
+				}
+			}
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.8540525, -3.9169035, -3.9797545, -3.9806407, -3.9814914, -3.9823776, -3.9832638");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.9666343, 4.0300597, 4.0934851, 4.0914259, 4.0894490, 4.0873898, 4.0853306");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.149251;
+			capacitance : 0.148539;
+			fall_capacitance : 0.147827;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1582647, -0.1580962, -0.1579278, -0.1569414, -0.1559944, -0.1550080, -0.1540216");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1566545, 0.1570026, 0.1573506, 0.1563425, 0.1553746, 0.1543664, 0.1533583");
+				}
+			}
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.142339;
+			capacitance : 0.141664;
+			fall_capacitance : 0.140989;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1592391, -0.1586047, -0.1579704, -0.1576334, -0.1573098, -0.1569728, -0.1566358");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1574531, 0.1575279, 0.1576028, 0.1564022, 0.1552495, 0.1540489, 0.1528482");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "tt_tt_1p80v_x_3p30v_025C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..ee8cde0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..935bd93
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 80.21;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..0b6f0c0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..7efc39c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..1d91d0a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..5dfe2f4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..1e284df
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("OGC_HVC", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("DRN_HVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..943c28a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..6ac9f9c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..2c74bda
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..a66b3fd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("OGC_HVC", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("DRN_HVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..2aa7528
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..2673fd4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..477b00d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..371949d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("OGC_HVC", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+	voltage_map("DRN_HVC", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..87c6764
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..6b7ff80
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..9788f26
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..981e02c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..1510f3c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..64587f2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..5f3b730
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..693f033
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..d7c31b3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..2842820
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..6fbd15c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..f2c53b5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_hvc_wpad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("OGC_HVC", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+	voltage_map("DRN_HVC", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 6;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50.lib
new file mode 100644
index 0000000..e92f997
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100 ;
+  nom_voltage                   : 1.95 ;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD,1.95);
+  voltage_map(VCCHIB,1.95);
+
+  voltage_map(VDDIO,5.50);
+  voltage_map(VDDIO_Q,5.50);
+  voltage_map(VDDA,5.50);
+  voltage_map(VSWITCH,5.50);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.95);
+  voltage_map(DRN_LVC2, 1.95);
+  voltage_map(OGC_LVC, 5.50);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions("min_ind") {
+    process	: 1 ;
+    temperature : 100 ;
+    voltage	: 1.95  ;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "min_ind";
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :  628.41 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..2d1417b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95v_5.50v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95v_5.50v_1.65v_100C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50.lib
new file mode 100644
index 0000000..790b6e2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.95	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.95);
+  voltage_map(VCCHIB, 1.95);
+
+  voltage_map(VDDIO, 5.50);
+  voltage_map(VDDIO_Q, 5.50);
+  voltage_map(VDDA, 5.50);
+  voltage_map(VSWITCH, 5.50);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.95);
+  voltage_map(DRN_LVC2, 1.95);
+  voltage_map(OGC_LVC, 5.50);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (Min) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.95	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Min"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :  0.38 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..d4800dc
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95v_5.50v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95v_5.50v_1.65v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;		
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..a104d8f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.65;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65v_1.65v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65v_1.65v_1.65v_100C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..f0b14d2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.65v_100C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..097c078
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("OGC_LVC", 1.95);
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.95v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.95v_100C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..a6f8bbc
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.65;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65v_1.65v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65v_1.65v_1.65v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..d081eca
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.65v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..3943e2b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.95;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("OGC_LVC", 1.95);
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95v_1.95v_1.95v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95v_1.95v_1.95v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v40_1v65.lib
new file mode 100644
index 0000000..8bf1c6c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v40_1v65.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v40_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.40	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.40);
+  voltage_map(VCCHIB, 1.40);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.40);
+  voltage_map(DRN_LVC2, 1.40);
+  voltage_map(OGC_LVC, 1.65);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (deep_sleep_max_100) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.40	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_max_100"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :   45;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v45_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v45_1v65.lib
new file mode 100644
index 0000000..b9242b4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v45_1v65.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v45_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.45	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.45);
+  voltage_map(VCCHIB, 1.45);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.45);
+  voltage_map(DRN_LVC2, 1.45);
+  voltage_map(OGC_LVC, 1.65);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (deep_sleep_lfclk_max_-40) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.45	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_lfclk_max_-40"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :   47.98 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_1v65.lib
new file mode 100644
index 0000000..8357ccc
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_1v65.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.60);
+  voltage_map(DRN_LVC2, 1.60);
+  voltage_map(OGC_LVC, 1.65);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (max_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "max_ind"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :  57.67;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_3v00.lib
new file mode 100644
index 0000000..6c0e633
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_3v00.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_3v00) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 3.00);
+  voltage_map(VDDIO_Q, 3.00);
+  voltage_map(VDDA, 3.00);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.60);
+  voltage_map(DRN_LVC2, 1.60);
+  voltage_map(OGC_LVC, 3.00);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (max_io_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "max_io_ind"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :   57.67 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..8fcbdbf
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.60);
+	voltage_map("DRN_LVC2", 1.60);
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60v_5.50v_1.65v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60v_5.50v_1.65v_100C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v95_1v95.lib
new file mode 100644
index 0000000..485c3a8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v95_1v95.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("G_CORE", 0.00);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("OGC_LVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.95_1.95_100";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..a975c3b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.40;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("DRN_LVC1", 1.40);
+	voltage_map("DRN_LVC2", 1.40);
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40v_5.50v_5.50v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40v_5.50v_5.50v_100C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}	
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..d02d455
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("DRN_LVC1", 1.60);
+	voltage_map("DRN_LVC2", 1.60);
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60v_5.50v_5.50v_100C")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60v_5.50v_5.50v_100C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..c30a07e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.35;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("DRN_LVC1", 1.35);
+	voltage_map("DRN_LVC2", 1.35);
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35v_5.50v_5.50v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35v_5.50v_5.50v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..7573176
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("DRN_LVC1", 1.60);
+	voltage_map("DRN_LVC2", 1.60);
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60v_5.50v_5.50v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60v_5.50v_5.50v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..96df70c
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.35;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.35);
+	voltage_map("DRN_LVC2", 1.35);
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35v_1.65v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35v_1.65v_1.65v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v40_1v65.lib
new file mode 100644
index 0000000..8be7753
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v40_1v65.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v40_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.40	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.40);
+  voltage_map(VCCHIB, 1.40);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.40);
+  voltage_map(DRN_LVC2, 1.40);
+  voltage_map(OGC_LVC, 1.65);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (deep_sleep_max_-40) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.40	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_max_-40"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :  0.01 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v45_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v45_1v65.lib
new file mode 100644
index 0000000..a544d7a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v45_1v65.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v45_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.45	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.45);
+  voltage_map(VCCHIB, 1.45);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.45);
+  voltage_map(DRN_LVC2, 1.45);
+  voltage_map(OGC_LVC, 1.65);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (deep_sleep_lfclk_max_-40) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.45	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "deep_sleep_lfclk_max_-40"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :   0.01;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_1v65.lib
new file mode 100644
index 0000000..34c688b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_1v65.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_1v65) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : -40	;
+  nom_voltage                   : 1.60	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.60);
+  voltage_map(VCCHIB, 1.60);
+
+  voltage_map(VDDIO, 1.65);
+  voltage_map(VDDIO_Q, 1.65);
+  voltage_map(VDDA, 1.65);
+  voltage_map(VSWITCH, 3.00);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.60);
+  voltage_map(DRN_LVC2, 1.60);
+  voltage_map(OGC_LVC, 1.65);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (Max) {
+    process	: 1 ;
+    temperature : -40	;
+    voltage	: 1.60	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Max"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :  0.03 ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..8ed8c9f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,226 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : -40;
+	nom_voltage : 1.60;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.000000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("DRN_LVC1", 1.60);
+	voltage_map("DRN_LVC2", 1.60);
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("G_CORE", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60v_5.50v_1.65v_-40C")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60v_5.50v_1.65v_-40C";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 14850;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+			capacitance : 0.070467;
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v95_1v95.lib
new file mode 100644
index 0000000..5bb4e1e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v95_1v95.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_ground_lvc_wpad_ss_n40C_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("G_CORE", 0.00);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("OGC_LVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.95_1.95_-40";
+
+	cell ("sky130_fd_io__top_ground_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (G_CORE) {
+			voltage_name : G_CORE ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("G_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_025C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_025C_1v80_3v30.lib
new file mode 100644
index 0000000..9a40eed
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_025C_1v80_3v30.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_tt_025C_1v80_3v30) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 025	;
+  nom_voltage                   : 1.80	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.80);
+  voltage_map(VCCHIB, 1.80);
+
+  voltage_map(VDDIO, 3.30);
+  voltage_map(VDDIO_Q, 3.30);
+  voltage_map(VDDA, 3.30);
+  voltage_map(VSWITCH, 3.30);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.80);
+  voltage_map(DRN_LVC2, 1.80);
+  voltage_map(OGC_LVC, 3.30);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (Typ) {
+    process	: 1 ;
+    temperature : 025	;
+    voltage	: 1.80	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "Typ"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power :   2.65;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_100C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_100C_1v80_3v30.lib
new file mode 100644
index 0000000..9796ac9
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_100C_1v80_3v30.lib
@@ -0,0 +1,217 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library (sky130_fd_io__top_ground_lvc_wpad_tt_100C_1v80_3v30) {
+  define(driver_model,library,string);
+  define(clk_width,library,string);
+  define(sim_opt,library,string);
+  define(simulator,library,string);
+  define(signal_voltage_type,pin,string);
+  technology ( cmos ) ;
+  delay_model : table_lookup;
+  revision : 1.0 ;
+  date : "Fri Oct 14 13:32:54 MST 2011";
+  voltage_unit  		: "1V"   ; 
+  current_unit  		: "1mA"  ;
+  leakage_power_unit		: "1nW"  ;
+  pulling_resistance_unit	: "1kohm" ;
+  time_unit			: "1ns"  ;
+  resistance_unit		: "1ohm" ;
+  capacitive_load_unit  	   (1,pf)  ;
+  
+  nom_process                   : 1.0 ;
+  nom_temperature               : 100	;
+  nom_voltage                   : 1.80	;
+
+  default_leakage_power_density : 0.0;
+  default_cell_leakage_power    : 0.0;
+  bus_naming_style              : "%s[%d]" ;
+  default_fanout_load	        : 0.0  ;  
+  default_inout_pin_cap 	: 0.0  ;  
+  default_input_pin_cap 	: 0.0  ;  
+  default_output_pin_cap	: 0.0  ;  
+  default_max_transition        : 1.00 ;
+  input_threshold_pct_rise      : 50.0 ;
+  input_threshold_pct_fall      : 50.0 ;
+  output_threshold_pct_rise     : 50.0 ;
+  output_threshold_pct_fall     : 50.0 ;
+  slew_lower_threshold_pct_fall : 20.0 ;
+  slew_lower_threshold_pct_rise : 20.0 ;
+  slew_upper_threshold_pct_fall : 80.0 ;
+  slew_upper_threshold_pct_rise : 80.0 ;
+  slew_derate_from_library 	:  1 ;
+  in_place_swap_mode            : match_footprint ;
+
+  library_features (report_delay_calculation);
+  define (always_on, pin, boolean) ;
+  
+  voltage_map(VCCD, 1.80);
+  voltage_map(VCCHIB, 1.80);
+
+  voltage_map(VDDIO, 3.30);
+  voltage_map(VDDIO_Q, 3.30);
+  voltage_map(VDDA, 3.30);
+  voltage_map(VSWITCH, 3.30);
+
+  voltage_map(VSSA, 0.0);      
+  voltage_map(VSSD, 0.0);
+  voltage_map(VSSIO, 0.0);
+  voltage_map(VSSIO_Q, 0.0);
+
+  voltage_map(DRN_LVC1, 1.80);
+  voltage_map(DRN_LVC2, 1.80);
+  voltage_map(OGC_LVC, 3.30);
+  
+  voltage_map(SRC_BDY_LVC1, 0.00);
+  voltage_map(SRC_BDY_LVC2, 0.00);
+  voltage_map(BDY2_B2B, 0.00);
+  voltage_map(G_CORE, 0.00);
+
+  operating_conditions (typ_ind) {
+    process	: 1 ;
+    temperature : 100	;
+    voltage	: 1.80	;
+    tree_type	: balanced_tree ;
+  }
+  default_operating_conditions : "typ_ind"	
+
+cell (sky130_fd_io__top_ground_lvc_wpad) {
+    cell_leakage_power : 145.39  ;  
+    area :   14850.0 ;
+    pad_cell : true;
+
+    dont_touch : true ;      /* don't optimize this cell */
+is_macro_cell : true;
+    dont_use : true ;	     /* don't infer this cell	 */
+    interface_timing : true; /* this is a black box - a complex cell*/
+
+    pg_pin(VCCD) {  
+    	  voltage_name : VCCD ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(VCCHIB) {
+    	  voltage_name : VCCHIB ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDA) { 
+    	  voltage_name : VDDA ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO) { 
+    	  voltage_name : VDDIO ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin(VDDIO_Q) { 
+    	  voltage_name : VDDIO_Q ;
+    	  pg_type : primary_power ;
+       }
+    
+    pg_pin (VSWITCH) {
+    	    voltage_name : "VSWITCH";
+    	    pg_type : "primary_power";
+    }
+
+    pg_pin(VSSA) { 
+    	  voltage_name : VSSA ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSD) { 
+    	  voltage_name : VSSD ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO) { 
+    	  voltage_name : VSSIO ;
+    	  pg_type : primary_ground ;
+       } 
+    pg_pin(VSSIO_Q) { 
+    	  voltage_name : VSSIO_Q ;
+    	  pg_type : primary_ground ;
+       } 
+
+    pg_pin(DRN_LVC1) {  
+    	  voltage_name : DRN_LVC1;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC1) {  
+    	  voltage_name : SRC_BDY_LVC1 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(DRN_LVC2) {  
+    	  voltage_name : DRN_LVC2;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(SRC_BDY_LVC2) {  
+    	  voltage_name : SRC_BDY_LVC2 ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(OGC_LVC) {  
+    	  voltage_name : OGC_LVC ;
+    	  pg_type : primary_power ;
+       }
+
+    pg_pin(BDY2_B2B) {  
+    	  voltage_name : BDY2_B2B ;
+    	  pg_type : primary_ground ;
+       }
+
+    pg_pin(G_CORE) {
+    	  voltage_name : G_CORE ;
+    	  pg_type : primary_ground ;
+    } /* pin  */
+
+    pin(G_PAD) {
+        direction : inout;
+	always_on : true;
+	is_pad : true ;
+	signal_voltage_type : "analog" ;
+    	related_power_pin : "VDDIO";
+    	related_ground_pin : "VSSD";
+    	always_on : true;
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    } /* pin  */
+    pin ("AMUXBUS_A") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+    pin ("AMUXBUS_B") {
+    	    direction : "inout";
+    	    related_power_pin : "VDDIO";
+    	    related_ground_pin : "VSSD";
+    	    always_on : true;
+    	    signal_voltage_type : "analog";
+    	    rise_capacitance : 0.069348;
+    	    capacitance : 0.070467;
+    	    fall_capacitance : 0.071586;
+    }
+  }
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..be510d4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..3c376c6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 80.21;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..87a4a7d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..26e7b11
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..ebb8272
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..1a630ad
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..9f2944a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("OGC_HVC", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("DRN_HVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.95);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..2df511d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..2714859
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..1c73664
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..677cc76
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("OGC_HVC", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("DRN_HVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.95);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..ed8970f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..18380fe
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..4223dbe
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..0995cca
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("OGC_HVC", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+	voltage_map("DRN_HVC", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 3.00);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..7b6d979
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 231.71;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..3adfb43
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..392de29
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..14cba54
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2640.75;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..eb21422
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2986.5;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..7098a58
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..e860238
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("OGC_HVC", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("DRN_HVC", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_ground_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 67.27;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..936e0c6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..0eb186d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..47b9145
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..db9bd12
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("OGC_HVC", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("DRN_HVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.01;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..8373532
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_hvc_wpad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,205 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_hvc_wpad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("VDDA", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("OGC_HVC", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+	voltage_map("DRN_HVC", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("VSSD", 0.0);
+	voltage_map("P_CORE", 3.30);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+	voltage_map("SRC_BDY_HVC", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_fd_io__top_power_hvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 6;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_HVC) {
+			voltage_name : OGC_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_HVC) {
+			voltage_name : DRN_HVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_HVC) {
+			voltage_name : SRC_BDY_HVC ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..bbb3594
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..e896e77
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_5v50.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_100C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 5.50);
+	voltage_map("VDDA", 5.50);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("DRN_LVC2", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..dbac16f
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_5v50.lib
new file mode 100644
index 0000000..2378e93
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_5v50.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 5.50);
+	voltage_map("VDDA", 5.50);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("DRN_LVC2", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ff_1.95_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ff_1.95_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..c66c278
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v65_1v65_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..c755be0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..a11e710
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v95.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("P_CORE", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("OGC_LVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..61704a5
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_100C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
new file mode 100644
index 0000000..d89b35d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v65_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.65);
+	voltage_map("VCCHIB", 1.65);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.65_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.65	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.65_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
new file mode 100644
index 0000000..8491cbf
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
new file mode 100644
index 0000000..e775fb0
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_1v95_1v95") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.95);
+	voltage_map("VDDA", 1.95);
+	voltage_map("P_CORE", 1.95);
+	voltage_map("VSWITCH", 1.95);
+	voltage_map("VDDIO", 1.95);
+	voltage_map("DRN_LVC2", 1.95);
+	voltage_map("VDDIO_Q", 1.95);
+	voltage_map("OGC_LVC", 1.95);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_1.95_1.95_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_1.95_1.95_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib
new file mode 100644
index 0000000..662a9a3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ff_ss_n40C_1v95_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.95);
+	voltage_map("VCCHIB", 1.95);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ffss_1.95_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.95	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ffss_1.95_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..a06d2d6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v40_1v65_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_100C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..4055d13
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_1v65_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_3v00_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_3v00_3v00.lib
new file mode 100644
index 0000000..82d650a
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_3v00_3v00.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_3v00_3v00") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 3.00);
+	voltage_map("VDDA", 3.00);
+	voltage_map("P_CORE", 3.00);
+	voltage_map("VSWITCH", 3.00);
+	voltage_map("VDDIO", 3.00);
+	voltage_map("DRN_LVC2", 3.00);
+	voltage_map("VDDIO_Q", 3.00);
+	voltage_map("OGC_LVC", 3.00);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_3.00_3.00_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_3.00_3.00_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..7e609b3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 57.67;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
new file mode 100644
index 0000000..ab362e2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v40_5v50_5v50.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v40_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 5.50);
+	voltage_map("VDDA", 5.50);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("DRN_LVC2", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.40_5.50_5.50_100")	{
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.40_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..c3a1a32
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..6dcbec3
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_5v50.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_ff_100C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 5.50);
+	voltage_map("VDDA", 5.50);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("DRN_LVC2", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_100") { 
+		process          : 1.0				;
+		temperature      : 100		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_100";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 628.41;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
new file mode 100644
index 0000000..a7b2ca4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v35_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 5.50);
+	voltage_map("VDDA", 5.50);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("DRN_LVC2", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.35_5.50_5.50_-40")	{
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.35_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 5039;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..d1ee7f9
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
new file mode 100644
index 0000000..a44690e
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_ff_n40C_1v60_5v50_5v50") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 5.50);
+	voltage_map("VDDA", 5.50);
+	voltage_map("P_CORE", 5.50);
+	voltage_map("VSWITCH", 5.50);
+	voltage_map("VDDIO", 5.50);
+	voltage_map("DRN_LVC2", 5.50);
+	voltage_map("VDDIO_Q", 5.50);
+	voltage_map("OGC_LVC", 5.50);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ssff_1.60_5.50_5.50_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ssff_1.60_5.50_5.50_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.38;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v35_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v35_1v65_1v65.lib
new file mode 100644
index 0000000..401dbf4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v35_1v65_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v35_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.35);
+	voltage_map("VCCHIB", 1.35);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.35_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.35	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.35_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v40_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v40_1v65_1v65.lib
new file mode 100644
index 0000000..68e6369
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v40_1v65_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v40_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.40);
+	voltage_map("VCCHIB", 1.40);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.40_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.40	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.40_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_1v65_1v65.lib
new file mode 100644
index 0000000..1cee6a6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_1v65_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_1v65_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_1.65_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_1.65_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_5v50_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_5v50_1v65.lib
new file mode 100644
index 0000000..d2d6d23
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_5v50_1v65.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_ss_n40C_1v60_5v50_1v65") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 1.65);
+	voltage_map("VDDA", 1.65);
+	voltage_map("P_CORE", 1.65);
+	voltage_map("VSWITCH", 1.65);
+	voltage_map("VDDIO", 1.65);
+	voltage_map("DRN_LVC2", 1.65);
+	voltage_map("VDDIO_Q", 1.65);
+	voltage_map("OGC_LVC", 1.65);
+
+	voltage_map("VCCD", 1.60);
+	voltage_map("VCCHIB", 1.60);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("ss_1.60_5.50_1.65_-40") { 
+		process          : 1.0				;
+		temperature      : -40		;
+		voltage          : 1.60	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "ss_1.60_5.50_1.65_-40";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 0.03;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_tt_025C_1v80_3v30_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_tt_025C_1v80_3v30_3v30.lib
new file mode 100644
index 0000000..728a9c6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_tt_025C_1v80_3v30_3v30.lib
@@ -0,0 +1,223 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_power_lvc_wpad_tt_025C_1v80_3v30_3v30") {
+	
+	define(driver_model,library,string);
+	define(clk_width,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0000000";
+	date : "Mon Mar 01 13:33:45 MST 2010";
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, 	  "pf");
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.800000;
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	bus_naming_style : "%s[%d]";
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	input_threshold_pct_rise :  50 ;
+	input_threshold_pct_fall :  50 ;
+	output_threshold_pct_rise : 50;
+	output_threshold_pct_fall : 50;
+	slew_lower_threshold_pct_fall : 20;
+	slew_lower_threshold_pct_rise : 20;
+	slew_upper_threshold_pct_fall : 80;
+	slew_upper_threshold_pct_rise : 80;
+	slew_derate_from_library : 1;
+
+	define (always_on, pin, boolean);
+	/*Voltage Map Definitions    */
+
+	voltage_map("DRN_LVC1", 3.30);
+	voltage_map("VDDA", 3.30);
+	voltage_map("P_CORE", 3.30);
+	voltage_map("VSWITCH", 3.30);
+	voltage_map("VDDIO", 3.30);
+	voltage_map("DRN_LVC2", 3.30);
+	voltage_map("VDDIO_Q", 3.30);
+	voltage_map("OGC_LVC", 3.30);
+
+	voltage_map("VCCD", 1.80);
+	voltage_map("VCCHIB", 1.80);
+
+	voltage_map("SRC_BDY_LVC2", 0.0);
+	voltage_map("VSSD", 0.0);
+	voltage_map("BDY2_B2B", 0.0);
+	voltage_map("SRC_BDY_LVC1", 0.0);
+	voltage_map("VSSIO_Q", 0.0);
+	voltage_map("VSSA", 0.0);
+	voltage_map("VSSIO", 0.0);
+
+	/*Operating Conditions	     */
+
+	 operating_conditions ("tt_1.80_3.30_3.30_025") { 
+		process          : 1.0				;
+		temperature      : 025		;
+		voltage          : 1.80	;
+		tree_type        : "balanced_tree"             ;
+	}
+	 default_operating_conditions : "tt_1.80_3.30_3.30_025";
+
+	cell ("sky130_fd_io__top_power_lvc_wpad") {
+		dont_use 		: true;
+			is_macro_cell : true;
+		interface_timing 		: true;
+		pad_cell 		: true;
+		dont_touch 		: true;
+		area 		: 15000;
+		cell_leakage_power		: 2.65;
+
+
+		/*	Power Supply Pins	*/
+		pg_pin (DRN_LVC1) {
+			voltage_name : DRN_LVC1 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDA) {
+			voltage_name : VDDA ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (P_CORE) {
+			voltage_name : P_CORE ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VSWITCH) {
+			voltage_name : VSWITCH ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO) {
+			voltage_name : VDDIO ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (DRN_LVC2) {
+			voltage_name : DRN_LVC2 ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VDDIO_Q) {
+			voltage_name : VDDIO_Q ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (OGC_LVC) {
+			voltage_name : OGC_LVC ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCD) {
+			voltage_name : VCCD ;
+			pg_type : primary_power ;
+		}
+
+		pg_pin (VCCHIB) {
+			voltage_name : VCCHIB ;
+			pg_type : primary_power ;
+		}
+
+
+		/*	Ground Pins	*/
+		pg_pin (SRC_BDY_LVC2) {
+			voltage_name : SRC_BDY_LVC2 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSD) {
+			voltage_name : VSSD ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (BDY2_B2B) {
+			voltage_name : BDY2_B2B ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (SRC_BDY_LVC1) {
+			voltage_name : SRC_BDY_LVC1 ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO_Q) {
+			voltage_name : VSSIO_Q ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSA) {
+			voltage_name : VSSA ;
+			pg_type : primary_ground ;
+		}
+
+		pg_pin (VSSIO) {
+			voltage_name : VSSIO ;
+			pg_type : primary_ground ;
+		}
+
+		/*	Digital Input Pins	*/
+
+				/*	Digital Output Pins	*/
+
+				/*	Digital InOut Pins	*/
+
+				/*	Analog Pins	*/
+		pin ("AMUXBUS_A") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("P_PAD") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			is_pad : true;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+		pin ("AMUXBUS_B") {
+			direction : inout;
+			related_power_pin : VDDIO;
+			related_ground_pin : VSSD;
+			always_on : true;
+			signal_voltage_type : "analog";
+		}
+
+	}
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_100C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_100C_1v95_5v50.lib
new file mode 100644
index 0000000..c3a9aae
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_100C_1v95_5v50.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ff_100C_1v95_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		dont_use : true;
+		is_macro_cell : true; 
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.446080e+02;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "103.4190000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "144.6080000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.019237;
+			capacitance : 0.018923;
+			fall_capacitance : 0.018610;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.8358201, 3.3872840, 4.9387478, 6.4292615, 7.8601547, 9.3506684, 10.8411820");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7996213, -0.1965761, -1.1927735, -1.9840502, -2.7436758, -3.5349524, -4.3262290");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.025073;
+			capacitance : 0.024878;
+			fall_capacitance : 0.024683;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1021189, 0.0743317, 0.2507823, 0.5017656, 0.7427095, 0.9936928, 1.2446760");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7083431, 0.9057402, 1.1031373, 1.3577176, 1.6021147, 1.8566950, 2.1112753");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.033727;
+			capacitance : 0.033072;
+			fall_capacitance : 0.032417;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6365392, 1.6176835, 1.5988277, 1.7972860, 1.9878059, 2.1862642, 2.3847224");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1960325, 1.3245083, 1.4529840, 1.6774067, 1.8928524, 2.1172751, 2.3416978");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017596;
+			capacitance : 0.017567;
+			fall_capacitance : 0.017538;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0045264, 2.4870097e-06, 0.0045314, 0.0124133, 0.0199799, 0.0278618, 0.0357437");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0695425, 0.0735294, 0.0775162, 0.0840764, 0.0903741, 0.0969343, 0.1034944");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.028797;
+			capacitance : 0.028490;
+			fall_capacitance : 0.028182;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4042086, 0.8188389, 1.2334692, 1.9451741, 2.6284107, 3.3401156, 4.0518205");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5123907, 7.2668818, 12.0213730, 14.0599740, 16.0170320, 18.0556340, 20.0942350");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.008908;
+			capacitance : 0.008933;
+			fall_capacitance : 0.008958;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1094958, -0.1093648, -0.1092338, -0.1090673, -0.1089075, -0.1087410, -0.1085746");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1093104, 0.1092348, 0.1091591, 0.1089353, 0.1087204, 0.1084966, 0.1082728");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 3.631220;
+			max_transition : 3.749588;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02671009, 0.07134292, 0.1905576, 0.5089811, 1.359493, 3.63122");
+ 					values("59.3380465, 59.2759985, 59.0596375, 59.0705865, 59.1906915, 60.0412825, 62.7129435", \
+ 					  "62.9867820, 62.8354170, 62.7604770, 62.6630210, 62.7475290, 63.9103560, 66.2534060", \
+ 					  "63.0870980, 63.1398480, 62.8667330, 62.3742100, 62.7247490, 63.8611320, 66.5602300", \
+ 					  "63.6199840, 63.6382410, 63.4546370, 63.1593810, 63.1801490, 64.5856770, 66.9717060", \
+ 					  "64.1188630, 64.0808660, 63.9488880, 63.6828300, 63.6604310, 65.2736680, 67.4935320", \
+ 					  "64.3472010, 64.3076910, 64.1290910, 63.6610760, 63.9636750, 65.3187020, 67.7169920", \
+ 					  "64.7210760, 64.6272480, 64.4708520, 63.8868260, 64.3157540, 65.4626350, 68.0559640");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02671009, 0.07134292, 0.1905576, 0.5089811, 1.359493, 3.63122");
+ 					values("71.0311385, 70.8780665, 70.5802495, 70.3871405, 70.3763435, 71.0159565, 73.2281035", \
+ 					  "68.3136050, 68.1828340, 67.9607200, 67.6899370, 67.5720660, 68.2177990, 70.3714930", \
+ 					  "68.6092850, 68.4958550, 68.2522440, 67.9695120, 68.0290130, 68.6214560, 70.5359800", \
+ 					  "68.7617460, 68.6280960, 68.3796420, 68.1143750, 68.0070650, 68.5734040, 70.6002410", \
+ 					  "69.0848000, 68.9830970, 68.7397780, 68.4669680, 68.4363260, 68.9280160, 70.9053370", \
+ 					  "69.3681780, 69.2643300, 69.0177360, 68.7477090, 68.5979810, 69.1594490, 71.1229270", \
+ 					  "69.6412930, 69.5346280, 69.1563890, 69.0075290, 68.8876250, 69.4485510, 71.4045140");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02671009, 0.07134292, 0.1905576, 0.5089811, 1.359493, 3.63122");
+ 					values("75.8691575, 75.7488165, 75.5152395, 75.2404385, 75.2275875, 75.8390775, 78.1072565", \
+ 					  "73.2481300, 73.1227160, 72.8934900, 72.6090920, 72.5747030, 73.1801290, 75.1743180", \
+ 					  "73.9555360, 73.9173790, 73.5818070, 73.3142570, 73.3966570, 73.8415930, 75.9955640", \
+ 					  "74.7601080, 74.6495370, 74.3258020, 74.1391670, 74.0944740, 74.5818550, 76.5993030", \
+ 					  "75.3089600, 75.1837550, 75.1118680, 74.8178460, 74.7875580, 75.3631460, 77.3566970", \
+ 					  "76.0858740, 75.9353550, 75.7200620, 75.4374410, 75.4209330, 75.9820330, 77.9999050", \
+ 					  "76.5287490, 76.4193290, 76.1675170, 75.9084880, 75.8622890, 76.4238120, 78.3624280");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02671009, 0.07134292, 0.1905576, 0.5089811, 1.359493, 3.63122");
+ 					values("74.2910155, 74.1695085, 73.9430855, 73.7687325, 73.9530705, 74.9375745, 77.7170395", \
+ 					  "83.1403900, 83.0367030, 82.8728200, 82.7603610, 82.8826170, 83.9599920, 86.7481640", \
+ 					  "88.5279900, 88.4131310, 88.2618700, 88.1641220, 88.3718030, 89.4084740, 92.1961120", \
+ 					  "92.4353090, 92.3039770, 92.1691130, 92.0458990, 92.2174770, 93.2820210, 96.0566970", \
+ 					  "95.2936970, 95.1880800, 95.0293590, 94.9499300, 95.1251020, 96.1178910, 98.8950940", \
+ 					  "97.5550610, 97.4528240, 97.2666110, 97.1854330, 97.3798750, 98.3458660, 101.1016200", \
+ 					  "99.3842650, 99.2795780, 99.0856010, 99.0092800, 99.1873320, 100.1810600, 102.9710000");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02671009, 0.07134292, 0.1905576, 0.5089811, 1.359493, 3.63122");
+ 					values("25.2228878, 25.1297418, 24.2455568, 24.1291678, 24.3669168, 24.9326918, 26.2652588", \
+ 					  "25.0401780, 24.9423490, 24.6852640, 24.4020640, 24.1659470, 24.6298290, 26.1070210", \
+ 					  "25.2899300, 25.0634620, 24.4904980, 24.5828950, 24.5029080, 24.7239730, 26.2772300", \
+ 					  "24.9872310, 24.9992040, 24.1840190, 24.0750870, 24.2584650, 24.6184270, 26.1476050", \
+ 					  "25.0140130, 24.9263650, 24.0100530, 23.9535350, 24.1640460, 24.5927100, 26.0731990", \
+ 					  "25.0559970, 24.7756990, 24.3213160, 24.4314600, 24.2048850, 24.5771890, 26.0746130", \
+ 					  "25.3779600, 24.9280420, 24.8026770, 24.1040230, 24.2289680, 24.6630510, 26.1144650");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02671009, 0.07134292, 0.1905576, 0.5089811, 1.359493, 3.63122");
+ 					values("52.5105116, 52.2537966, 52.2027996, 52.1116396, 52.2106986, 53.1286726, 55.7804306", \
+ 					  "52.6952720, 52.7644840, 52.1429390, 52.4811270, 52.7468170, 53.4598790, 56.2293370", \
+ 					  "52.3240134, 52.3806104, 52.4220944, 52.0319894, 52.2911674, 53.5544974, 56.0397774", \
+ 					  "52.4181290, 52.4800060, 52.1890900, 51.6478870, 52.1197150, 53.4931680, 55.7779480", \
+ 					  "52.4243910, 52.5521950, 52.6459610, 52.2020550, 52.0627670, 53.4783470, 55.6666660", \
+ 					  "52.4237490, 52.4807210, 52.1911510, 51.6471800, 52.1173280, 53.4933940, 55.6299140", \
+ 					  "52.4240620, 52.4886380, 52.4730190, 51.6862770, 52.0942350, 53.4848760, 55.6623980");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02671149, 0.07135038, 0.1905875, 0.5090877, 1.359849, 3.63236");
+					values("58.3584220, 58.1054990, 58.0293590, 57.7703300, 57.9492220, 59.1406370, 61.7045300", \
+					  "80.3451890, 80.1691770, 79.9862950, 79.8957910, 80.0638930, 80.9800490, 83.6547570", \
+					  "89.3806350, 89.2394560, 89.0559150, 88.7432420, 89.1165960, 90.0494540, 92.6653480", \
+					  "98.3510430, 98.2489160, 98.0614890, 97.9625620, 98.1328770, 99.0691970, 101.6793900", \
+					  "107.4440500, 107.3440900, 107.1584100, 107.0646600, 107.2266200, 108.2063600, 110.7418700", \
+					  "116.4390500, 116.2887400, 116.1662900, 116.0698500, 116.2155400, 117.1508600, 119.7428100", \
+					  "125.3577800, 125.2510000, 125.0893300, 124.9726600, 125.1251600, 126.0636500, 128.6566100");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02671149, 0.07135038, 0.1905875, 0.5090877, 1.359849, 3.63236");
+					values("71.4154688, 71.0268978, 70.9021918, 70.6991598, 70.6765048, 71.1530888, 73.0826218", \
+					  "74.4367970, 74.1746460, 74.0237660, 73.6566360, 73.5459400, 74.2038170, 76.0615460", \
+					  "85.7224920, 85.6199970, 85.6232100, 85.0933980, 85.0972830, 85.6350270, 87.6578880", \
+					  "96.5851500, 96.4946320, 96.2308500, 95.9555140, 95.9325190, 96.4972550, 98.5035390", \
+					  "107.2012100, 107.1423600, 106.8954500, 106.5774900, 106.5978800, 107.1921500, 109.1516000", \
+					  "117.6550800, 117.4952200, 116.7853200, 117.0086000, 117.0154800, 117.6169400, 119.5200600", \
+					  "127.8549500, 127.7714700, 127.5115600, 127.2447000, 127.2033500, 127.8790800, 129.9832000");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02671149, 0.07135038, 0.1905875, 0.5090877, 1.359849, 3.63236");
+					values("71.2955228, 71.1199688, 71.0339128, 70.5970128, 70.7729408, 71.0069828, 73.1229918", \
+					  "74.3190230, 74.1906190, 73.9612470, 73.4705010, 73.4718880, 74.0317110, 76.2297380", \
+					  "85.7531130, 85.6594860, 85.4026920, 85.1193210, 85.1026820, 85.6622760, 87.7433960", \
+					  "96.6272060, 96.4957970, 96.2514510, 95.9699820, 95.9695170, 96.5196600, 98.5423200", \
+					  "107.2463600, 107.2050200, 106.9498200, 106.6624400, 106.5047600, 107.2119600, 109.3332000", \
+					  "117.7032500, 117.5919800, 116.8397500, 117.0766500, 117.1873800, 117.5491500, 119.7092000", \
+					  "127.8888100, 127.9703400, 127.5361300, 127.2664200, 127.2346700, 127.8688500, 130.2037600");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02671149, 0.07135038, 0.1905875, 0.5090877, 1.359849, 3.63236");
+					values("58.3961560, 58.3606700, 58.2383030, 57.7395280, 58.0669890, 58.7288270, 61.9636910", \
+					  "80.4541990, 80.3504210, 80.2559340, 80.1470140, 80.2947430, 81.1628540, 83.7549060", \
+					  "89.6245230, 89.5191140, 89.2649500, 89.2695710, 89.3380370, 90.3466750, 92.9303300", \
+					  "98.6183910, 98.5300800, 98.3740030, 98.4288070, 98.4285710, 99.3404040, 101.9816000", \
+					  "107.6892500, 107.5823400, 107.3820600, 107.2899300, 107.4480700, 108.3918000, 110.9425600", \
+					  "116.7017500, 116.5969000, 116.4504700, 116.3161200, 116.4651600, 117.4097900, 120.0349400", \
+					  "125.6764800, 125.5591900, 125.3246300, 125.2957600, 125.4458200, 126.3900200, 128.9862000");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("206.9209900, 206.9578800, 207.0034000, 207.0749800, 207.2860200, 207.7461400, 209.0414600", \
+ 					  "208.6407700, 208.6639600, 208.7124600, 208.8105000, 209.0269900, 209.4908600, 210.7559600", \
+ 					  "209.7710000, 209.7935000, 209.8420000, 209.9397400, 210.1465500, 210.6242900, 211.8839100", \
+ 					  "210.6801400, 210.7024000, 210.7506600, 210.8476900, 211.0128500, 211.4901000, 212.7534300", \
+ 					  "211.4226600, 211.4455800, 211.4950500, 211.5930800, 211.7946800, 212.2726200, 213.5351500", \
+ 					  "212.1572500, 212.1805900, 212.2289400, 212.3269000, 212.5386500, 213.0159400, 214.2790500", \
+ 					  "212.9005300, 212.9230800, 212.9770100, 213.0693100, 213.2769900, 213.7544300, 215.0170400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("0.1384540, 0.1579149, 0.2005309, 0.2892169, 0.5256937, 1.2105167, 3.1317526", \
+ 					  "0.1379003, 0.1609261, 0.1996045, 0.2898261, 0.5255365, 1.2090094, 3.1405170", \
+ 					  "0.1387059, 0.1611430, 0.2000449, 0.2891248, 0.5251616, 1.2118013, 3.1377658", \
+ 					  "0.1395874, 0.1582473, 0.2011663, 0.2873216, 0.5249837, 1.2128902, 3.1344554", \
+ 					  "0.1391894, 0.1612335, 0.1997136, 0.2899372, 0.5258204, 1.2107020, 3.1313065", \
+ 					  "0.1379471, 0.1592474, 0.1996445, 0.2897241, 0.5258451, 1.2123077, 3.1257996", \
+ 					  "0.1387659, 0.1611695, 0.1990915, 0.2890794, 0.5258976, 1.2122023, 3.1232841");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("190.1879900, 190.1916400, 190.2241100, 190.3464800, 190.5951900, 191.2541600, 192.9640100", \
+ 					  "191.4589500, 191.4938300, 191.5327200, 191.6418300, 191.9026100, 192.5328900, 194.2774600", \
+ 					  "193.6149700, 193.6149827, 193.6910200, 193.8003900, 194.0504600, 194.6917400, 196.3849600", \
+ 					  "195.4321800, 195.5282900, 195.5282975, 195.6152600, 195.8670000, 196.5086900, 198.3147900", \
+ 					  "197.1026200, 197.1264800, 197.1766600, 197.2857900, 197.5375700, 198.1790800, 199.9139800", \
+ 					  "198.5160300, 198.5398800, 198.5919100, 198.7011600, 198.9510300, 199.5926100, 201.3273800", \
+ 					  "199.7896100, 199.8135400, 199.8635600, 199.9729300, 200.2227300, 200.8644500, 202.5991000");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("0.1197764, 0.1388723, 0.1876190, 0.2902134, 0.5816724, 1.4166995, 3.7162471", \
+ 					  "0.1188533, 0.1390287, 0.1840212, 0.2917061, 0.5816948, 1.4233497, 3.7486512", \
+ 					  "0.1173186, 0.1390701, 0.1848002, 0.2919676, 0.5818420, 1.4237979, 3.7488030", \
+ 					  "0.1173235, 0.1375615, 0.1860178, 0.2914422, 0.5823916, 1.4237629, 3.7610928", \
+ 					  "0.1173322, 0.1379652, 0.1860204, 0.2914273, 0.5823912, 1.4237505, 3.7488630", \
+ 					  "0.1173408, 0.1379724, 0.1848086, 0.2919722, 0.5823881, 1.4237937, 3.7493915", \
+ 					  "0.1192477, 0.1393502, 0.1847894, 0.2919621, 0.5818340, 1.4238023, 3.7494590");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("210.3921700, 210.3993400, 210.4590400, 210.5606400, 210.6499700, 211.1281200, 212.4882900", \
+ 					  "212.7680900, 212.7887300, 212.8397100, 212.9366400, 213.1372300, 213.6172800, 214.8810000", \
+ 					  "215.3925200, 215.4173700, 215.4899800, 215.5623100, 215.7655700, 216.2668700, 217.5083000", \
+ 					  "217.9240800, 217.9468300, 218.0106000, 218.0932500, 218.2954800, 218.7885000, 220.0369800", \
+ 					  "220.1310100, 220.1540100, 220.2116500, 220.3136200, 220.5163000, 220.9895800, 222.2438800", \
+ 					  "222.1752700, 222.1978700, 222.2463000, 222.3439900, 222.5464600, 223.0243200, 224.2883100", \
+ 					  "224.0383900, 224.0612000, 224.1103100, 224.2076700, 224.4097900, 224.8873700, 226.1513700");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("0.1402711, 0.1575214, 0.2013675, 0.2880187, 0.5249969, 1.2100277, 3.1333063", \
+ 					  "0.1387064, 0.1611448, 0.2018325, 0.2891241, 0.5251591, 1.2112052, 3.1378389", \
+ 					  "0.1382356, 0.1594982, 0.2001006, 0.2897421, 0.5254723, 1.2092087, 3.1406071", \
+ 					  "0.1396751, 0.1597879, 0.2001729, 0.2889450, 0.5258324, 1.2122597, 3.1340071", \
+ 					  "0.1387596, 0.1611717, 0.2004819, 0.2885829, 0.5251716, 1.2128754, 3.1360590", \
+ 					  "0.1387306, 0.1611581, 0.2000753, 0.2891171, 0.5251672, 1.2118851, 3.1373861", \
+ 					  "0.1397481, 0.1596104, 0.2018551, 0.2890138, 0.5258736, 1.2122623, 3.1344893");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("185.1932000, 185.1932145, 185.2482100, 185.3729500, 185.6094700, 186.2414900, 187.9851200", \
+ 					  "186.5768700, 186.5994800, 186.6266100, 186.7568900, 187.0153200, 187.6355200, 189.3664200", \
+ 					  "188.6756400, 188.6982900, 188.7733600, 188.8584400, 189.1000600, 189.7326700, 191.4636700", \
+ 					  "190.6476100, 190.6744600, 190.7647900, 190.8350500, 191.0775600, 191.7639200, 193.4966900", \
+ 					  "192.3786200, 192.4014200, 192.4626600, 192.5609800, 192.8105700, 193.4651300, 195.1860600", \
+ 					  "193.9532000, 193.9757400, 194.0237300, 194.1377400, 194.3876200, 195.0248100, 196.7587200", \
+ 					  "195.3783200, 195.4009600, 195.4481900, 195.5570100, 195.8074900, 196.4489700, 198.1804200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("0.1198392, 0.1382134, 0.1850852, 0.2902894, 0.5815949, 1.4183137, 3.7160426", \
+ 					  "0.1173835, 0.1379840, 0.1864774, 0.2920005, 0.5817381, 1.4230001, 3.7445327", \
+ 					  "0.1199340, 0.1393586, 0.1834984, 0.2919684, 0.5816755, 1.4233459, 3.7440686", \
+ 					  "0.1222166, 0.1388349, 0.1848785, 0.2907806, 0.5825792, 1.4241415, 3.7277554", \
+ 					  "0.1189565, 0.1391184, 0.1860374, 0.2917424, 0.5812260, 1.4244763, 3.7489687", \
+ 					  "0.1173419, 0.1379747, 0.1839894, 0.2919866, 0.5822563, 1.4252243, 3.7341183", \
+ 					  "0.1173639, 0.1379771, 0.1850781, 0.2901471, 0.5824889, 1.4239547, 3.7422510");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("204.6324300, 204.6559500, 204.7037500, 204.8018600, 205.0045100, 205.4793000, 206.7446900", \
+ 					  "204.6533600, 204.6761100, 204.7250700, 204.8221700, 205.0243400, 205.5019300, 206.7661000", \
+ 					  "204.6827800, 204.7059100, 204.7543600, 204.8523000, 205.0541900, 205.5323400, 206.7975900", \
+ 					  "204.7295000, 204.7524800, 204.8010300, 204.8983300, 205.1011400, 205.5787000, 206.8412400", \
+ 					  "204.7675300, 204.7903800, 204.8387600, 204.9361300, 205.1389900, 205.6164400, 206.8790400", \
+ 					  "204.8101900, 204.8337100, 204.8817600, 204.9799000, 205.1817600, 205.6599000, 206.9251900", \
+ 					  "204.8378300, 204.8608000, 204.9093000, 205.0066300, 205.2092600, 205.6868500, 206.9487600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("0.1391632, 0.1611663, 0.2004914, 0.2885408, 0.5250826, 1.2127230, 3.1315788", \
+ 					  "0.1426640, 0.1592708, 0.2018084, 0.2890276, 0.5258780, 1.2122270, 3.1346237", \
+ 					  "0.1382087, 0.1609255, 0.1996367, 0.2898112, 0.5255110, 1.2087907, 3.1393623", \
+ 					  "0.1391872, 0.1611863, 0.2004828, 0.2885544, 0.5251261, 1.2128725, 3.1316270", \
+ 					  "0.1391418, 0.1611449, 0.2005041, 0.2885545, 0.5250703, 1.2128734, 3.1314391", \
+ 					  "0.1383078, 0.1593901, 0.1995849, 0.2898234, 0.5255321, 1.2089640, 3.1393912", \
+ 					  "0.1401000, 0.1604013, 0.2003382, 0.2885920, 0.5254127, 1.2126394, 3.1275436");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("183.2859500, 183.3014000, 183.3541500, 183.4631000, 183.7125400, 184.3607200, 186.0909900", \
+ 					  "183.3103800, 183.3325000, 183.3833600, 183.4922800, 183.7414800, 184.3828000, 186.1163800", \
+ 					  "183.3584200, 183.3806100, 183.4315800, 183.5268800, 183.7765400, 184.4177100, 186.1682200", \
+ 					  "183.4086400, 183.4307300, 183.4836400, 183.5925300, 183.8418300, 184.4837500, 186.2165400", \
+ 					  "183.4611000, 183.4831200, 183.5337100, 183.6429200, 183.8924700, 184.5359800, 186.2708100", \
+ 					  "183.5122700, 183.5345000, 183.5874200, 183.6962200, 183.9455600, 184.5875600, 186.3221000", \
+ 					  "183.5658700, 183.5878300, 183.6387000, 183.7495600, 183.9992200, 184.6408400, 186.3755600");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267101, 0.0713429, 0.190558, 0.508981, 1.35949, 3.63122");
+ 					values("0.1176839, 0.1417381, 0.1867888, 0.2910816, 0.5817590, 1.4233896, 3.7316470", \
+ 					  "0.1214339, 0.1385612, 0.1840884, 0.2922153, 0.5822798, 1.4227096, 3.7461501", \
+ 					  "0.1173411, 0.1379569, 0.1860150, 0.2916657, 0.5817334, 1.4233535, 3.7492907", \
+ 					  "0.1191616, 0.1379614, 0.1847636, 0.2919336, 0.5818067, 1.4238034, 3.7495873", \
+ 					  "0.1173545, 0.1379546, 0.1860144, 0.2913665, 0.5811819, 1.4237567, 3.7495704", \
+ 					  "0.1173542, 0.1379614, 0.1847641, 0.2919341, 0.5818077, 1.4238031, 3.7495402", \
+ 					  "0.1173806, 0.1379619, 0.1860309, 0.2919449, 0.5818269, 1.4237950, 3.7495878");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("205.8662300, 205.8662339, 205.9390700, 206.0348000, 206.2217300, 206.7005500, 207.9624700", \
+					  "206.5020100, 206.5153200, 206.5715000, 206.6685500, 206.8714600, 207.3490600, 208.6160900", \
+					  "206.7856600, 206.8083100, 206.8568700, 206.9541800, 207.1570000, 207.6350300, 208.8990800", \
+					  "206.9483900, 206.9693900, 207.0195500, 207.1162600, 207.3195000, 207.7975700, 209.0619900", \
+					  "207.0589600, 207.0752600, 207.1116300, 207.2285800, 207.4268700, 207.8956400, 209.1585400", \
+					  "207.1315500, 207.1475100, 207.2033000, 207.3002600, 207.5068200, 207.9751100, 209.2561700", \
+					  "207.1597600, 207.1831300, 207.2312500, 207.3284400, 207.5314000, 208.0202700, 209.2801700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("0.1421345, 0.1590342, 0.2012920, 0.2890754, 0.5252122, 1.2126717, 3.1346821", \
+					  "0.1392744, 0.1607693, 0.2002110, 0.2908767, 0.5255293, 1.2095812, 3.1433431", \
+					  "0.1387838, 0.1611799, 0.2001868, 0.2886592, 0.5253034, 1.2126881, 3.1376945", \
+					  "0.1387088, 0.1610889, 0.2000769, 0.2891822, 0.5252891, 1.2122200, 3.1375147", \
+					  "0.1396593, 0.1610286, 0.2003288, 0.2902255, 0.5260838, 1.2125171, 3.1256149", \
+					  "0.1391626, 0.1612259, 0.2005191, 0.2888782, 0.5258549, 1.2108964, 3.1384768", \
+					  "0.1392185, 0.1612287, 0.2005258, 0.2886263, 0.5252989, 1.2127622, 3.1332814");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("184.1958800, 184.2101900, 184.2522600, 184.3765600, 184.5990000, 185.2624900, 186.9749600", \
+					  "185.1586300, 185.1760800, 185.2272200, 185.3366700, 185.5872400, 186.2283100, 187.9731300", \
+					  "186.0547600, 186.0590300, 186.1111700, 186.2197900, 186.4704900, 187.1119200, 188.8578400", \
+					  "186.8856600, 186.9097000, 186.9580500, 187.0690100, 187.3191900, 187.9618500, 189.6975300", \
+					  "187.6994800, 187.7294300, 187.7748900, 187.8835100, 188.1352200, 188.7747100, 190.5113300", \
+					  "188.5262300, 188.5476600, 188.5918800, 188.7019700, 188.9542600, 189.5968200, 191.3407900", \
+					  "189.3360200, 189.3593400, 189.4095800, 189.5201500, 189.7705300, 190.4120400, 192.1480200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("0.1176869, 0.1390698, 0.1857944, 0.2917357, 0.5822009, 1.4205037, 3.7327221", \
+					  "0.1173279, 0.1379727, 0.1860236, 0.2919942, 0.5824582, 1.4247938, 3.7512398", \
+					  "0.1189741, 0.1379685, 0.1848181, 0.2919929, 0.5824599, 1.4240885, 3.7514321", \
+					  "0.1173282, 0.1379607, 0.1840363, 0.2919828, 0.5819097, 1.4240638, 3.7504546", \
+					  "0.1173532, 0.1379694, 0.1847940, 0.2919680, 0.5819612, 1.4247846, 3.7504964", \
+					  "0.1173613, 0.1405038, 0.1860315, 0.2914381, 0.5824627, 1.4240525, 3.7513659", \
+					  "0.1173580, 0.1379720, 0.1860400, 0.2919857, 0.5819135, 1.4240852, 3.7503645");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("205.8892100, 205.8933600, 205.9663200, 206.0666300, 206.2539600, 206.7045400, 208.0092700", \
+					  "206.5157600, 206.5391100, 206.5956700, 206.6890400, 206.8942100, 207.3729900, 208.6365800", \
+					  "206.8023100, 206.8305600, 206.8735500, 206.9711700, 207.1738300, 207.6519400, 208.9192200", \
+					  "206.9639700, 206.9881600, 207.0369300, 207.1341900, 207.3356000, 207.8148100, 209.0790200", \
+					  "207.0655800, 207.0967900, 207.1353000, 207.2347300, 207.4448800, 207.9110400, 209.1787100", \
+					  "207.1485500, 207.1749100, 207.2198800, 207.3212600, 207.5089800, 208.0034700, 209.2563800", \
+					  "207.1765700, 207.2086000, 207.2556700, 207.3455900, 207.5580200, 208.0341800, 209.3017900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("0.1422977, 0.1587112, 0.2015195, 0.2892697, 0.5252853, 1.2074879, 3.1292700", \
+					  "0.1397608, 0.1608530, 0.2017951, 0.2900139, 0.5252557, 1.2132472, 3.1389471", \
+					  "0.1387612, 0.1608305, 0.2001322, 0.2891316, 0.5253031, 1.2125260, 3.1260927", \
+					  "0.1386211, 0.1611388, 0.2000624, 0.2891635, 0.5252651, 1.2122201, 3.1390859", \
+					  "0.1427159, 0.1613905, 0.2018716, 0.2890978, 0.5256887, 1.2096056, 3.1363534", \
+					  "0.1393194, 0.1577364, 0.2005024, 0.2886693, 0.5252764, 1.2109457, 3.1408647", \
+					  "0.1392058, 0.1612296, 0.1997740, 0.2886177, 0.5257110, 1.2110790, 3.1415179");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("184.2158600, 184.2359700, 184.3065100, 184.4023700, 184.6324000, 185.2882900, 187.0192000", \
+					  "185.1920200, 185.2152400, 185.2697300, 185.3810500, 185.6312700, 186.2679500, 188.0033700", \
+					  "186.0626800, 186.0858800, 186.1525900, 186.2472500, 186.5156200, 187.1359500, 188.8703600", \
+					  "186.9248200, 186.9449200, 186.9998000, 187.1029100, 187.3497800, 187.9954100, 189.7283700", \
+					  "187.7375400, 187.7606200, 187.8219400, 187.9166700, 188.1676200, 188.8133300, 190.5614800", \
+					  "188.5567800, 188.5799600, 188.6300700, 188.7411700, 188.9910400, 189.6328300, 191.3693000", \
+					  "189.3731100, 189.3965000, 189.4483100, 189.5517600, 189.8029000, 190.4474700, 192.1694400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267115, 0.0713504, 0.190588, 0.509088, 1.35985, 3.63236");
+					values("0.1213095, 0.1388016, 0.1858014, 0.2919880, 0.5817963, 1.4221806, 3.7335020", \
+					  "0.1173635, 0.1379724, 0.1860396, 0.2919939, 0.5819125, 1.4240883, 3.7503254", \
+					  "0.1187567, 0.1390832, 0.1847885, 0.2912954, 0.5824608, 1.4236534, 3.7498289", \
+					  "0.1170435, 0.1378315, 0.1863830, 0.2914664, 0.5818072, 1.4239977, 3.7442365", \
+					  "0.1173496, 0.1379722, 0.1847844, 0.2909420, 0.5821590, 1.4248105, 3.7372700", \
+					  "0.1173892, 0.1379800, 0.1860563, 0.2919733, 0.5818921, 1.4241019, 3.7503449", \
+					  "0.1199245, 0.1393562, 0.1848036, 0.2917335, 0.5817766, 1.4240958, 3.7503689");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 0.865056;
+			capacitance : 0.861587;
+			rise_capacitance : 0.858117;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.8976018, -7.5641086, -5.2306154, -4.5553160, -3.9070286, -3.2317293, -2.5564299");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.7967890, 15.2269150, 15.6570420, 16.2829620, 16.8838460, 17.5097670, 18.1356870");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.103884;
+			capacitance : 0.117892;
+			fall_capacitance : 0.131900;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1814334, 0.9522358, 2.0859048, 3.2167569, 4.3023749, 5.4332270, 6.5640791");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1816522, -0.9507345, -2.0831210, -3.2122486, -4.2962110, -5.4253386, -6.5544662");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.084277;
+			capacitance : 0.125090;
+			fall_capacitance : 0.165904;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.9647117, 0.1606168, 1.2859452, 2.4117851, 3.4925913, 4.6184311, 5.7442709");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9645566, -0.1607489, -1.2860543, -2.4120315, -3.4929696, -4.6189468, -5.7449241");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.681307;
+			capacitance : 1.980538;
+			fall_capacitance : 2.279768;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0607305, -0.0606999, -0.0606692, -0.0604171, -0.0601751, -0.0599230, -0.0596708");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0607557, 0.0607855, 0.0608152, 0.0605090, 0.0602149, 0.0599087, 0.0596024");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050078;
+			capacitance : 0.050115;
+			fall_capacitance : 0.050153;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0315347, -0.0313176, -0.0311006, -0.0309342, -0.0307745, -0.0306081, -0.0304418");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0315361, 0.0312751, 0.0310140, 0.0308981, 0.0307868, 0.0306709, 0.0305549");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045948;
+			capacitance : 0.045945;
+			fall_capacitance : 0.045942;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0515969, -0.0512584, -0.0509199, -0.0506775, -0.0504448, -0.0502024, -0.0499600");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0512251, 0.0511008, 0.0509766, 0.0507630, 0.0505579, 0.0503442, 0.0501306");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib
new file mode 100644
index 0000000..ace858d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib
@@ -0,0 +1,2507 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ff_1p95v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	cell ("sky130_fd_io__top_xres4v2") {
+		dont_use : true;
+		is_macro_cell : true; 
+                interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.190770e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "9.7367800";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "11.9077000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.019286;
+			capacitance : 0.018897;
+			fall_capacitance : 0.018507;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8712347, -0.2532394, -1.3777134, -2.2877790, -3.1614420, -4.0715076, -4.9815733");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6852319, 3.3913069, 5.0973817, 6.7337935, 8.3047487, 9.9411604, 11.5775720");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0034958";
+				miller_cap_rise : "0.0018113";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("18.0769, 8.70874, 4.02232, 3.91742, 3.7718, 3.6735, 3.55328, 3.41551, 3.26731, 3.11175, 2.94957, 2.78093, 2.60586, 2.42433, 2.23635, 2.04188, 1.84092, 1.63346, 1.4195, 1.19904, 0.972095, 0.738687, 0.498843, 0.252599, -2.27983e-08, -0.512832, -1.03786, -5.68599, -15.6359", \
+					  "17.3895, 7.93433, 2.87997, 2.83409, 2.78095, 2.74979, 2.71442, 2.67352, 2.62503, 2.56561, 2.48974, 2.39029, 2.26724, 2.12961, 1.98189, 1.82494, 1.65888, 1.4837, 1.29937, 1.10583, 0.903089, 0.691121, 0.469935, 0.23955, -5.22489e-09, -0.489708, -1.00054, -5.67733, -15.6268", \
+					  "17.0697, 7.47721, 2.19504, 2.13122, 2.09881, 2.08064, 2.06075, 2.03873, 2.01402, 1.98582, 1.95293, 1.91343, 1.86403, 1.79878, 1.70896, 1.59431, 1.46424, 1.32212, 1.16837, 1.003, 0.825941, 0.637134, 0.436545, 0.224162, -1.7241e-08, -0.462505, -0.956566, -5.66767, -15.6169", \
+					  "16.9692, 7.3301, 1.99212, 1.8948, 1.86712, 1.85189, 1.83537, 1.81727, 1.7972, 1.77464, 1.74884, 1.71863, 1.68214, 1.63606, 1.57422, 1.48738, 1.37584, 1.24871, 1.10864, 0.955893, 0.790418, 0.612137, 0.42099, 0.216944, -1.0271e-08, -0.449868, -0.936311, -5.66337, -15.6125", \
+					  "16.8684, 7.18831, 1.81525, 1.65864, 1.6348, 1.62204, 1.60836, 1.5935, 1.57721, 1.55914, 1.53878, 1.51542, 1.48796, 1.45456, 1.41192, 1.35358, 1.26985, 1.16184, 1.03793, 0.900012, 0.748178, 0.582335, 0.402392, 0.208288, -1.55183e-08, -0.43482, -0.912389, -5.65839, -15.6075", \
+					  "16.8163, 7.11957, 1.73873, 1.54118, 1.51868, 1.50701, 1.49457, 1.48114, 1.46649, 1.45032, 1.43224, 1.41168, 1.38777, 1.35914, 1.32338, 1.27582, 1.20759, 1.11224, 0.99769, 0.868171, 0.724066, 0.56529, 0.391733, 0.203316, -3.68269e-08, -0.426231, -0.898838, -5.65561, -15.6046", \
+					  "16.7619, 7.05168, 1.66622, 1.43711, 1.40275, 1.39207, 1.38078, 1.36867, 1.35551, 1.34108, 1.32504, 1.30694, 1.28612, 1.26152, 1.23137, 1.19236, 1.13779, 1.05753, 0.953669, 0.833326, 0.697646, 0.546587, 0.380019, 0.197839, -1.02499e-05, -0.416844, -0.884109, -5.65265, -15.6017", \
+					  "16.7046, 6.98445, 1.59642, 1.35474, 1.28677, 1.2769, 1.2666, 1.25562, 1.24378, 1.23085, 1.21659, 1.20062, 1.18242, 1.1612, 1.13564, 1.10339, 1.05972, 0.995221, 0.904022, 0.793708, 0.66714, 0.524412, 0.365368, 0.18987, -0.00217394, -0.409363, -0.87189, -5.65496, -15.6143", \
+					  "16.6436, 6.91763, 1.52869, 1.27885, 1.16085, 1.14613, 1.1352, 1.12431, 1.11291, 1.10074, 1.08751, 1.07293, 1.05658, 1.03784, 1.01572, 0.988548, 0.953063, 0.902318, 0.825936, 0.726456, 0.6093, 0.474909, 0.323031, 0.15339, -0.034251, -0.43724, -0.90467, -5.71666, -15.7768", \
+					  "16.5783, 6.85102, 1.46265, 1.20273, 1.03325, 0.99736, 0.982074, 0.970155, 0.958691, 0.946953, 0.93456, 0.921191, 0.906477, 0.889924, 0.870794, 0.847866, 0.818902, 0.779222, 0.719037, 0.632579, 0.52613, 0.401192, 0.257421, 0.0943469, -0.0884639, -0.4878, -0.962669, -5.80518, -15.9889", \
+					  "16.5078, 6.78442, 1.39805, 1.12465, 0.911644, 0.84862, 0.821567, 0.807054, 0.795044, 0.783539, 0.771847, 0.759564, 0.746331, 0.731734, 0.715204, 0.695848, 0.672114, 0.640913, 0.595283, 0.524499, 0.430348, 0.316284, 0.182021, 0.0268915, -0.149755, -0.543119, -1.02342, -5.89413, -16.1925", \
+					  "16.4313, 6.71762, 1.33464, 1.04481, 0.795353, 0.707411, 0.661016, 0.640861, 0.62754, 0.615967, 0.604799, 0.593447, 0.581518, 0.568639, 0.554353, 0.538001, 0.518494, 0.493787, 0.459421, 0.405468, 0.325579, 0.223889, 0.100632, -0.0450422, -0.214011, -0.598552, -1.07876, -5.978, -16.3778", \
+					  "16.3481, 6.65046, 1.27217, 0.963881, 0.683722, 0.574384, 0.505895, 0.475015, 0.459054, 0.446966, 0.436086, 0.425487, 0.414678, 0.403289, 0.390935, 0.377116, 0.361063, 0.341408, 0.315351, 0.276209, 0.212871, 0.125193, 0.014539, -0.120065, -0.279731, -0.652375, -1.12616, -6.05529, -16.5432", \
+					  "16.2572, 6.5828, 1.21035, 0.882635, 0.576447, 0.448846, 0.359623, 0.312488, 0.291574, 0.278281, 0.267381, 0.257326, 0.247447, 0.237333, 0.226635, 0.214958, 0.20175, 0.186091, 0.166213, 0.138115, 0.092109, 0.0203366, -0.0759956, -0.197791, -0.346406, -0.70391, -1.16795, -6.12554, -16.6898", \
+					  "16.1581, 6.51459, 1.14896, 0.801908, 0.473562, 0.330332, 0.222996, 0.156525, 0.126981, 0.111394, 0.100048, 0.0902912, 0.0811419, 0.0720968, 0.0628053, 0.0529375, 0.0420862, 0.0296275, 0.014437, -0.00584173, -0.0371557, -0.091059, -0.171195, -0.278289, -0.413954, -0.752877, -1.20373, -6.18742, -16.8192", \
+					  "16.05, 6.44593, 1.08807, 0.722698, 0.375463, 0.218823, 0.0958526, 0.0102797, -0.0324831, -0.0521012, -0.064496, -0.0742593, -0.0828974, -0.0910783, -0.0991922, -0.107542, -0.116441, -0.126318, -0.137893, -0.152567, -0.173573, -0.209651, -0.271564, -0.361878, -0.482497, -0.799142, -1.23322, -6.24299, -16.931", \
+					  "15.933, 6.3772, 1.02826, 0.646289, 0.282986, 0.114832, -0.021654, -0.124183, -0.183867, -0.210198, -0.224522, -0.234683, -0.243058, -0.250585, -0.257741, -0.264834, -0.272126, -0.279922, -0.288685, -0.29926, -0.313409, -0.335672, -0.377791, -0.449042, -0.552275, -0.842603, -1.25607, -6.29276, -17.0285", \
+					  "15.8079, 6.30928, 0.97086, 0.574466, 0.19757, 0.0195536, -0.128632, -0.24567, -0.323468, -0.360139, -0.377709, -0.388788, -0.397193, -0.404292, -0.410707, -0.416788, -0.422782, -0.428918, -0.435502, -0.44305, -0.452562, -0.466224, -0.490554, -0.540401, -0.623616, -0.883148, -1.27185, -6.33707, -17.1132", \
+					  "15.6778, 6.24407, 0.918151, 0.509845, 0.121532, -0.0648788, -0.223173, -0.352589, -0.447254, -0.498004, -0.520762, -0.533476, -0.542271, -0.549193, -0.555093, -0.560404, -0.565385, -0.570235, -0.575165, -0.580503, -0.586848, -0.595362, -0.608663, -0.636656, -0.696928, -0.920621, -1.27993, -6.37608, -17.1859", \
+					  "15.5499, 6.18489, 0.873775, 0.456349, 0.0584739, -0.135017, -0.302001, -0.442014, -0.551164, -0.618343, -0.648959, -0.664306, -0.673948, -0.680982, -0.686611, -0.691396, -0.695646, -0.699556, -0.703295, -0.707087, -0.711325, -0.716731, -0.724617, -0.737975, -0.772707, -0.954832, -1.27946, -6.41027, -17.248", \
+					  "15.4392, 6.13815, 0.843464, 0.419883, 0.0138077, -0.185605, -0.360013, -0.509105, -0.630343, -0.714028, -0.7556, -0.774954, -0.786019, -0.793503, -0.799124, -0.803639, -0.807436, -0.81074, -0.813713, -0.816532, -0.819489, -0.823124, -0.828365, -0.83669, -0.851562, -0.985691, -1.27197, -6.44098, -17.3016", \
+					  "15.3952, 6.12379, 0.842196, 0.414788, 0.000173318, -0.2045, -0.385479, -0.542554, -0.674041, -0.772639, -0.827932, -0.853271, -0.866687, -0.875211, -0.881313, -0.886028, -0.889861, -0.893093, -0.895908, -0.898475, -0.901047, -0.904092, -0.908437, -0.915403, -0.926889, -1.01497, -1.26342, -6.46936, -17.3479", \
+					  "15.3965, 6.1255, 0.855082, 0.423569, 1.37213e-07, -0.209663, -0.39678, -0.561217, -0.701848, -0.813553, -0.884397, -0.918318, -0.935327, -0.945595, -0.952698, -0.958057, -0.962341, -0.965907, -0.968973, -0.971715, -0.974362, -0.977326, -0.981357, -0.987692, -0.99817, -1.05132, -1.25822, -6.49615, -17.3881", \
+					  "15.3985, 6.12732, 0.867579, 0.431665, 2.68855e-08, -0.214127, -0.406766, -0.57783, -0.726562, -0.849483, -0.935983, -0.981177, -1.00309, -1.01563, -1.02398, -1.03012, -1.03494, -1.0389, -1.04226, -1.04522, -1.04799, -1.05093, -1.0547, -1.06045, -1.06993, -1.11048, -1.25914, -6.52183, -17.4228", \
+					  "15.4003, 6.12755, 0.879433, 0.438971, 3.67477e-08, -0.218123, -0.415732, -0.592775, -0.74874, -0.881279, -0.982196, -1.0411, -1.06971, -1.08525, -1.09516, -1.10225, -1.1077, -1.11211, -1.11582, -1.11903, -1.12196, -1.12492, -1.1285, -1.13372, -1.14224, -1.1792, -1.27839, -6.54668, -17.4528", \
+					  "15.4034, 6.13196, 0.901132, 0.451531, 9.54126e-08, -0.224918, -0.431062, -0.618417, -0.786748, -0.934974, -1.05905, -1.14821, -1.19727, -1.22218, -1.23666, -1.24633, -1.25343, -1.25898, -1.26353, -1.26738, -1.27077, -1.27393, -1.27731, -1.28172, -1.28852, -1.31851, -1.393, -6.59881, -17.5004", \
+					  "15.406, 6.13304, 0.920131, 0.461794, 2.72892e-07, -0.2304, -0.443527, -0.639387, -0.817875, -0.978482, -1.11933, -1.23431, -1.31183, -1.35338, -1.37569, -1.38943, -1.39894, -1.40609, -1.41177, -1.41647, -1.42049, -1.42407, -1.42753, -1.43147, -1.43696, -1.46052, -1.52236, -6.65659, -17.5404", \
+					  "15.4117, 6.13844, 0.962347, 0.482542, 3.22707e-06, -0.241262, -0.468733, -0.682428, -0.882357, -1.06848, -1.24059, -1.39804, -1.53884, -1.65743, -1.74349, -1.7948, -1.82405, -1.84234, -1.85503, -1.86452, -1.87203, -1.87822, -1.88348, -1.88812, -1.89256, -1.9042, -1.93276, -6.82545, -17.5932", \
+					  "15.4169, 6.14309, 0.996733, 0.496868, 3.59445e-05, -0.248448, -0.486851, -0.715187, -0.933479, -1.14175, -1.34002, -1.5283, -1.70656, -1.87464, -2.03217, -2.17816, -2.31014, -2.42243, -2.5069, -2.56234, -2.59752, -2.62114, -2.63821, -2.65132, -2.66185, -2.67811, -2.69124, -7.17422, -17.5759");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0223264, 0.0329991, 0.0445157, 0.0591906, 0.0832856");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0696613, 0.0891303, 0.1029025, 0.1175711, 0.1417716");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0285686, 0.0419675, 0.0573650, 0.0740932, 0.0987959");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0751608, 0.0952812, 0.1113527, 0.1279211, 0.1525876");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.648972");
+						index_3("0.001");
+						index_4("0.2858197, 0.3239081, 0.3534525, 0.3965987, 0.4358397");
+						values("0.309015, 0.494424, 0.61803, 0.494424, 0.309015");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.79512");
+						index_2("0.462837");
+						index_3("0.001");
+						index_4("0.2103709, 0.2369642, 0.2626031, 0.3005915, 0.3294073");
+						values("0.449732, 0.719571, 0.899464, 0.719571, 0.449732");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.22361");
+						index_2("0.323178");
+						index_3("0.001");
+						index_4("0.1551430, 0.1739773, 0.1993585, 0.2316730, 0.2537040");
+						values("0.728463, 1.16554, 1.45693, 1.16554, 0.728463");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.324486");
+						index_3("0.001");
+						index_4("0.1517315, 0.1684967, 0.1903866, 0.2163831, 0.2408388");
+						values("0.260935, 0.417497, 0.521871, 0.417497, 0.260935");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.79512");
+						index_2("0.231419");
+						index_3("0.001");
+						index_4("0.1126135, 0.1241908, 0.1427238, 0.1658338, 0.1860333");
+						values("0.340839, 0.545342, 0.681677, 0.545342, 0.340839");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.22361");
+						index_2("0.161589");
+						index_3("0.001");
+						index_4("0.0826240, 0.0916414, 0.1094660, 0.1273620, 0.1440928");
+						values("0.450704, 0.721126, 0.901408, 0.721126, 0.450704");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.79512");
+						index_2("0.694256");
+						index_3("0.001");
+						index_4("0.3124610, 0.3514822, 0.3913164, 0.4324383, 0.4685831");
+						values("0.527669, 0.84427, 1.05534, 0.84427, 0.527669");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.559809");
+						index_3("0.001");
+						index_4("0.2428811, 0.2823803, 0.3129180, 0.3540604, 0.3959668");
+						values("5.19498, 5.01197, 4.88996, 5.01197, 5.19498");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.443639");
+						index_3("0.001");
+						index_4("0.1980030, 0.2276011, 0.2526156, 0.2917981, 0.3258539");
+						values("5.10716, 4.87146, 4.71433, 4.87146, 5.10716");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.363988");
+						index_3("0.001");
+						index_4("0.1685278, 0.1902534, 0.2144254, 0.2496041, 0.2779777");
+						values("5.00273, 4.70438, 4.50547, 4.70438, 5.00273");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.279905");
+						index_3("0.001");
+						index_4("0.1356738, 0.1492905, 0.1673223, 0.1976498, 0.2227439");
+						values("5.23605, 5.07769, 4.97211, 5.07769, 5.23605");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.22182");
+						index_3("0.001");
+						index_4("0.1119893, 0.1220707, 0.1424281, 0.1649668, 0.1871114");
+						values("5.17719, 4.98351, 4.85438, 4.98351, 5.17719");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.181994");
+						index_3("0.001");
+						index_4("0.0942489, 0.1033593, 0.1181372, 0.1425674, 0.1634080");
+						values("5.11983, 4.89174, 4.73967, 4.89174, 5.11983");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.665459");
+						index_3("0.001");
+						index_4("0.2890733, 0.3343913, 0.3719783, 0.4151494, 0.4613910");
+						values("5.06867, 4.80987, 4.63734, 4.80987, 5.06867");
+					}
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.025097;
+			capacitance : 0.024871;
+			fall_capacitance : 0.024644;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.6999598, 0.9427790, 1.1855981, 1.4803915, 1.7633932, 2.0581866, 2.3529800");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1042804, 0.1070152, 0.3183109, 0.6017658, 0.8738825, 1.1573374, 1.4407924");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "!FILT_IN_H";
+				miller_cap_fall : "0.00395159";
+				miller_cap_rise : "0.00203993";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("21.5746, 10.6527, 4.44119, 4.00104, 3.88609, 3.81175, 3.7197, 3.6038, 3.46438, 3.31024, 3.14675, 2.9752, 2.79579, 2.60848, 2.41318, 2.20979, 1.9982, 1.77831, 1.55004, 1.31327, 1.06795, 0.813997, 0.551356, 0.279983, -0.00015708, -0.571425, -1.15397, -9.7153, -27.0741", \
+					  "21.2281, 9.52926, 3.34337, 2.85329, 2.80488, 2.77696, 2.74571, 2.71021, 2.66907, 2.6201, 2.55972, 2.4817, 2.37746, 2.24677, 2.09999, 1.94154, 1.77206, 1.59146, 1.39956, 1.19615, 0.981034, 0.754012, 0.51491, 0.26357, -0.000149028, -0.543039, -1.10729, -9.70515, -27.063", \
+					  "21.0691, 8.90692, 2.67422, 2.13574, 2.10476, 2.08766, 2.06907, 2.04866, 2.02598, 2.0004, 1.97102, 1.93644, 1.89437, 1.84069, 1.76767, 1.66572, 1.53921, 1.39707, 1.24102, 1.07098, 0.886643, 0.687673, 0.473749, 0.24457, -0.000139409, -0.509445, -1.05324, -9.69391, -27.051", \
+					  "20.9788, 8.71022, 2.4534, 1.89648, 1.86952, 1.85498, 1.83933, 1.8223, 1.80356, 1.78269, 1.75907, 1.73182, 1.69954, 1.65984, 1.60836, 1.53662, 1.43529, 1.31077, 1.17033, 1.01482, 0.844007, 0.657485, 0.454865, 0.235773, -0.000134919, -0.494013, -1.02861, -9.68891, -27.0457", \
+					  "20.8575, 8.51944, 2.24043, 1.65833, 1.63451, 1.62217, 1.60904, 1.59489, 1.57946, 1.56245, 1.54345, 1.52188, 1.49686, 1.46698, 1.42981, 1.38063, 1.31011, 1.20986, 1.08782, 0.949102, 0.793935, 0.621899, 0.432513, 0.225315, -0.000129556, -0.475773, -0.999736, -9.68313, -27.0396", \
+					  "20.7817, 8.42577, 2.13883, 1.54545, 1.51734, 1.50596, 1.49396, 1.48109, 1.46713, 1.4518, 1.43479, 1.41561, 1.39356, 1.36756, 1.33575, 1.2947, 1.23738, 1.1525, 1.04138, 0.912066, 0.765647, 0.601737, 0.419812, 0.219353, -0.000126521, -0.465428, -0.983473, -9.67991, -27.0362", \
+					  "20.6936, 8.33231, 2.03948, 1.45558, 1.40053, 1.39004, 1.37908, 1.3674, 1.35479, 1.34102, 1.32582, 1.30879, 1.28938, 1.26673, 1.23945, 1.20502, 1.15837, 1.08924, 0.990962, 0.871869, 0.73489, 0.579771, 0.405941, 0.212822, -0.000134432, -0.454172, -0.965872, -9.6765, -27.0327", \
+					  "20.5916, 8.2386, 1.94202, 1.37843, 1.28399, 1.27408, 1.264, 1.25336, 1.24193, 1.22953, 1.2159, 1.20075, 1.18362, 1.16385, 1.14036, 1.1113, 1.07308, 1.01791, 0.934588, 0.826688, 0.699812, 0.554085, 0.388891, 0.203571, -0.00250661, -0.445048, -0.951211, -9.68281, -27.0676", \
+					  "20.4741, 8.1441, 1.84633, 1.30556, 1.16502, 1.14335, 1.13202, 1.12122, 1.11007, 1.09824, 1.08547, 1.07148, 1.05589, 1.03818, 1.01751, 0.992509, 0.960605, 0.916331, 0.848557, 0.752814, 0.636184, 0.499679, 0.342562, 0.163957, -0.0370117, -0.47455, -0.987066, -9.79159, -27.4385", \
+					  "20.3394, 8.04834, 1.75235, 1.23223, 1.04713, 0.997805, 0.978922, 0.96649, 0.955005, 0.943424, 0.931304, 0.918317, 0.904118, 0.888262, 0.870103, 0.848602, 0.821908, 0.78625, 0.733072, 0.651714, 0.546515, 0.420219, 0.272016, 0.100745, -0.0947886, -0.528067, -1.05018, -9.94261, -27.8943", \
+					  "20.1856, 7.95085, 1.66008, 1.15616, 0.931542, 0.854701, 0.819378, 0.803106, 0.790719, 0.779176, 0.767597, 0.755532, 0.74262, 0.728471, 0.712564, 0.69411, 0.671767, 0.642935, 0.601763, 0.536484, 0.444269, 0.329493, 0.191547, 0.0289866, -0.159725, -0.58619, -1.11586, -10.0922, -28.3192", \
+					  "20.0106, 7.85119, 1.56956, 1.07711, 0.818192, 0.717712, 0.66099, 0.636968, 0.622782, 0.610975, 0.599784, 0.588521, 0.576767, 0.564151, 0.550241, 0.534431, 0.515741, 0.49237, 0.460457, 0.410771, 0.333426, 0.231542, 0.105277, -0.0470719, -0.227386, -0.643906, -1.17777, -10.2319, -28.6979", \
+					  "19.8125, 7.74896, 1.48084, 0.995776, 0.707188, 0.586688, 0.508493, 0.471557, 0.454056, 0.441531, 0.430515, 0.419906, 0.409167, 0.397913, 0.385766, 0.372249, 0.356645, 0.337698, 0.312874, 0.276094, 0.215308, 0.127795, 0.0146951, -0.125859, -0.296099, -0.699347, -1.22807, -10.3594, -29.0306", \
+					  "19.5892, 7.64384, 1.39397, 0.913096, 0.598822, 0.461307, 0.363827, 0.309807, 0.286516, 0.272573, 0.261445, 0.251314, 0.241433, 0.231368, 0.220765, 0.209237, 0.19625, 0.180928, 0.161605, 0.134516, 0.0901104, 0.0186277, -0.0797435, -0.206836, -0.365235, -0.751769, -1.26889, -10.4746, -29.3217", \
+					  "19.3386, 7.53566, 1.30907, 0.830116, 0.493591, 0.341542, 0.227261, 0.15462, 0.121986, 0.105554, 0.0939207, 0.0840498, 0.0748594, 0.0658138, 0.0565509, 0.0467386, 0.0359742, 0.0236464, 0.00865625, -0.0113041, -0.0421368, -0.0960655, -0.178054, -0.289916, -0.434593, -0.800852, -1.30229, -10.5763, -29.5763", \
+					  "19.0596, 7.42455, 1.2264, 0.748042, 0.392277, 0.227762, 0.0988954, 0.00849602, -0.0374056, -0.0579752, -0.0706672, -0.0805486, -0.0892384, -0.0974394, -0.105556, -0.113896, -0.122776, -0.132627, -0.14417, -0.158822, -0.179905, -0.216613, -0.280492, -0.375231, -0.504161, -0.846429, -1.32797, -10.6668, -29.797", \
+					  "18.7518, 7.31112, 1.14665, 0.66838, 0.29606, 0.120836, -0.0207214, -0.126889, -0.188942, -0.216073, -0.230619, -0.240859, -0.249267, -0.256808, -0.26397, -0.271066, -0.278364, -0.286172, -0.294964, -0.305615, -0.319978, -0.343019, -0.387442, -0.463039, -0.574017, -0.888372, -1.34567, -10.7475, -29.9878", \
+					  "18.4182, 7.19689, 1.0712, 0.593164, 0.206716, 0.0222965, -0.130317, -0.250164, -0.32924, -0.366096, -0.383653, -0.39471, -0.403097, -0.410181, -0.416585, -0.42266, -0.428654, -0.434801, -0.441417, -0.449043, -0.458742, -0.472933, -0.499285, -0.553676, -0.644287, -0.92654, -1.35495, -10.8189, -30.1534", \
+					  "18.0672, 7.08511, 1.00257, 0.525312, 0.126929, -0.0653579, -0.227606, -0.359302, -0.45442, -0.504293, -0.526533, -0.539031, -0.547718, -0.554574, -0.56043, -0.565709, -0.570669, -0.575509, -0.580448, -0.585828, -0.592289, -0.601097, -0.615338, -0.647513, -0.715119, -0.960759, -1.35516, -10.8815, -30.2958", \
+					  "17.7191, 6.98182, 0.944883, 0.469122, 0.0607188, -0.138274, -0.308908, -0.450914, -0.560154, -0.625399, -0.654627, -0.66943, -0.678823, -0.685719, -0.691258, -0.69598, -0.700181, -0.704055, -0.707772, -0.711568, -0.715858, -0.721416, -0.729676, -0.744743, -0.786684, -0.990833, -1.34557, -10.9363, -30.4182", \
+					  "17.415, 6.89845, 0.904809, 0.430995, 0.0140176, -0.190707, -0.368651, -0.519671, -0.641017, -0.72228, -0.76133, -0.779635, -0.790256, -0.797509, -0.802989, -0.807407, -0.811132, -0.81438, -0.81731, -0.820108, -0.823084, -0.826811, -0.832272, -0.841036, -0.859195, -1.01679, -1.32968, -10.9849, -30.5237", \
+					  "17.2912, 6.8711, 0.89969, 0.426156, 0.000214809, -0.209897, -0.394596, -0.553828, -0.685789, -0.782321, -0.834228, -0.857882, -0.87062, -0.878819, -0.884738, -0.889337, -0.89309, -0.896264, -0.899038, -0.901585, -0.904177, -0.907313, -0.911874, -0.919247, -0.931424, -1.04104, -1.31456, -11.0289, -30.6148", \
+					  "17.2914, 6.87284, 0.91078, 0.435401, 5.25332e-05, -0.215303, -0.406435, -0.573359, -0.714907, -0.825242, -0.892344, -0.923768, -0.939758, -0.949566, -0.95642, -0.961628, -0.965811, -0.969305, -0.972319, -0.975031, -0.977684, -0.980725, -0.984954, -0.991683, -1.00284, -1.07099, -1.30447, -11.0693, -30.6932", \
+					  "17.2937, 6.87488, 0.921584, 0.443919, 5.3386e-05, -0.220002, -0.416931, -0.590793, -0.740839, -0.863076, -0.946016, -0.987772, -1.00816, -1.02004, -1.02805, -1.03399, -1.03868, -1.04255, -1.04585, -1.04877, -1.05153, -1.05452, -1.05847, -1.06459, -1.07473, -1.11826, -1.3014, -11.1069, -30.7604", \
+					  "17.2958, 6.87509, 0.931866, 0.451614, 5.4248e-05, -0.224213, -0.42637, -0.606503, -0.764141, -0.896599, -0.99458, -1.04924, -1.0756, -1.09018, -1.09963, -1.10645, -1.11173, -1.11603, -1.11965, -1.12281, -1.12572, -1.12871, -1.13244, -1.138, -1.14715, -1.18678, -1.31169, -11.1421, -30.8175", \
+					  "17.2994, 6.88006, 0.950847, 0.464869, 5.57518e-05, -0.231388, -0.442543, -0.63352, -0.804155, -0.953254, -1.07603, -1.1608, -1.20564, -1.22853, -1.2421, -1.2513, -1.25812, -1.26349, -1.26791, -1.27168, -1.275, -1.27816, -1.28163, -1.28629, -1.29364, -1.32615, -1.41411, -11.2104, -30.9051", \
+					  "17.3024, 6.88122, 0.967711, 0.475724, 5.70955e-05, -0.237188, -0.455729, -0.655679, -0.837016, -0.999218, -1.14007, -1.25231, -1.32443, -1.36206, -1.38255, -1.39541, -1.40444, -1.4113, -1.41678, -1.42134, -1.42526, -1.42878, -1.43227, -1.43638, -1.4423, -1.46811, -1.54243, -11.2816, -30.9687", \
+					  "17.3092, 6.88728, 1.00635, 0.497765, 6.25256e-05, -0.248729, -0.482517, -0.701393, -0.905437, -1.09466, -1.26888, -1.42733, -1.56752, -1.68263, -1.76211, -1.80786, -1.83414, -1.85093, -1.86277, -1.87174, -1.87891, -1.88485, -1.88994, -1.89449, -1.89894, -1.91152, -1.94895, -11.4728, -31.0152", \
+					  "17.3156, 6.89261, 1.03943, 0.513152, 9.93553e-05, -0.256444, -0.501984, -0.736577, -0.960285, -1.17317, -1.3753, -1.56671, -1.74738, -1.91716, -2.07556, -2.22131, -2.35108, -2.45784, -2.53409, -2.5826, -2.61358, -2.63481, -2.65044, -2.66261, -2.67251, -2.68799, -2.70401, -11.8626, -30.7696");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0226067, 0.0330062, 0.0442633, 0.0582100, 0.0827687");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0700778, 0.0891475, 0.1025574, 0.1165350, 0.1409463");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0290611, 0.0422753, 0.0557815, 0.0708050, 0.0946548");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0763966, 0.0953731, 0.1094117, 0.1243955, 0.1482141");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.61776");
+						index_3("0.001");
+						index_4("0.2721689, 0.3089828, 0.3336745, 0.3803828, 0.4197601");
+						values("0.304167, 0.486667, 0.608334, 0.486667, 0.304167");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.46093");
+						index_3("0.001");
+						index_4("0.2085890, 0.2347671, 0.2564243, 0.2983028, 0.3291922");
+						values("0.411071, 0.657713, 0.822141, 0.657713, 0.411071");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16461");
+						index_2("0.322448");
+						index_3("0.001");
+						index_4("0.1535713, 0.1718959, 0.1989373, 0.2278340, 0.2507086");
+						values("0.644009, 1.03041, 1.28802, 1.03041, 0.644009");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.30888");
+						index_3("0.001");
+						index_4("0.1456382, 0.1610598, 0.1787842, 0.2082587, 0.2324276");
+						values("0.255885, 0.409417, 0.511771, 0.409417, 0.255885");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.230465");
+						index_3("0.001");
+						index_4("0.1123390, 0.1236310, 0.1406527, 0.1653179, 0.1858319");
+						values("0.321517, 0.514427, 0.643033, 0.514427, 0.321517");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.16461");
+						index_2("0.161224");
+						index_3("0.001");
+						index_4("0.0825231, 0.0909599, 0.1092065, 0.1264664, 0.1436723");
+						values("0.419529, 0.671246, 0.839058, 0.671246, 0.419529");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.691396");
+						index_3("0.001");
+						index_4("0.3070024, 0.3474885, 0.3865199, 0.4272400, 0.4668956");
+						values("0.478368, 0.765388, 0.956736, 0.765388, 0.478368");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.513599");
+						index_3("0.001");
+						index_4("0.2287366, 0.2621810, 0.2918177, 0.3329633, 0.3692129");
+						values("5.19015, 5.00425, 4.88031, 5.00425, 5.19015");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.408178");
+						index_3("0.001");
+						index_4("0.1878964, 0.2123868, 0.2450261, 0.2759956, 0.3064408");
+						values("5.10053, 4.86085, 4.70106, 4.86085, 5.10053");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.335892");
+						index_3("0.001");
+						index_4("0.1595229, 0.1789904, 0.2039082, 0.2362397, 0.2630325");
+						values("4.99597, 4.69356, 4.49195, 4.69356, 4.99597");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.2568");
+						index_3("0.001");
+						index_4("0.1269888, 0.1391286, 0.1649979, 0.1883135, 0.2127554");
+						values("5.24666, 5.09465, 4.99332, 5.09465, 5.24666");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.204089");
+						index_3("0.001");
+						index_4("0.1044505, 0.1146991, 0.1371474, 0.1584967, 0.1810757");
+						values("5.19547, 5.01275, 4.89094, 5.01275, 5.19547");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.167946");
+						index_3("0.001");
+						index_4("0.0883769, 0.0979266, 0.1157983, 0.1375122, 0.1594137");
+						values("5.14552, 4.93283, 4.79103, 4.93283, 5.14552");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.612268");
+						index_3("0.001");
+						index_4("0.2698997, 0.3096479, 0.3473919, 0.3882382, 0.4286004");
+						values("5.04976, 4.77962, 4.59952, 4.77962, 5.04976");
+					}
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			fall_capacitance : 0.032644;
+			capacitance : 0.033238;
+			rise_capacitance : 0.033833;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1954895, 1.3693267, 1.5431640, 1.8095399, 2.0652608, 2.3316366, 2.5980125");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6976698, 1.6220325, 1.5463952, 1.7994123, 2.0423088, 2.2953259, 2.5483430");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "!PAD";
+				miller_cap_fall : "0.00372585";
+				miller_cap_rise : "0.00183396";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("18.3763, 9.13195, 3.96177, 3.85347, 3.72901, 3.64684, 3.54441, 3.41942, 3.27848, 3.12812, 2.9703, 2.80541, 2.63349, 2.45448, 2.26833, 2.07495, 1.87428, 1.66625, 1.4508, 1.22788, 0.997451, 0.759469, 0.513909, 0.260755, -7.64422e-09, -0.531201, -1.07444, -7.75961, -21.567", \
+					  "17.7773, 8.17804, 2.9167, 2.7556, 2.70632, 2.6777, 2.64552, 2.60872, 2.5657, 2.51394, 2.44922, 2.36465, 2.2543, 2.12423, 1.98216, 1.82997, 1.66788, 1.49578, 1.31354, 1.12102, 0.918094, 0.704626, 0.480513, 0.245663, -1.37921e-08, -0.504865, -1.0316, -7.75157, -21.5587", \
+					  "17.5274, 7.63372, 2.31593, 2.06035, 2.02955, 2.01242, 1.99376, 1.97319, 1.95026, 1.92429, 1.8943, 1.85875, 1.81504, 1.75854, 1.68102, 1.57659, 1.45328, 1.31672, 1.16763, 1.00591, 0.831313, 0.643628, 0.442637, 0.22815, 4.81704e-09, -0.473924, -0.981799, -7.74263, -21.5496", \
+					  "17.4339, 7.46074, 2.12084, 1.82797, 1.80142, 1.78697, 1.77136, 1.75433, 1.73554, 1.71454, 1.69069, 1.66303, 1.63002, 1.58905, 1.53522, 1.45974, 1.35742, 1.2368, 1.10222, 0.954, 0.791943, 0.615768, 0.42521, 0.220025, 6.80622e-09, -0.459687, -0.95906, -7.73866, -21.5456", \
+					  "17.3273, 7.2937, 1.93594, 1.59646, 1.57335, 1.56116, 1.54816, 1.5341, 1.51875, 1.50178, 1.48277, 1.4611, 1.43585, 1.40551, 1.3674, 1.31636, 1.24297, 1.14323, 1.02562, 0.893067, 0.745579, 0.582847, 0.404542, 0.210351, -1.97551e-08, -0.442838, -0.932355, -7.73406, -21.541", \
+					  "17.2664, 7.21227, 1.8496, 1.48327, 1.45958, 1.44841, 1.43656, 1.42382, 1.40997, 1.39474, 1.37779, 1.35862, 1.33649, 1.31026, 1.27793, 1.23578, 1.17625, 1.09018, 0.982423, 0.858646, 0.719326, 0.56416, 0.392778, 0.20483, -6.51046e-08, -0.433272, -0.917297, -7.7315, -21.5384", \
+					  "17.1989, 7.13151, 1.76584, 1.39157, 1.34616, 1.3359, 1.32513, 1.31361, 1.30114, 1.28751, 1.27242, 1.25547, 1.2361, 1.21339, 1.18588, 1.15086, 1.10286, 1.03187, 0.935462, 0.821216, 0.690733, 0.543768, 0.379914, 0.198773, -1.24117e-05, -0.422858, -0.90099, -7.72879, -21.5358", \
+					  "17.1237, 7.05108, 1.68419, 1.3163, 1.23285, 1.22327, 1.21339, 1.20292, 1.19166, 1.1794, 1.16593, 1.15091, 1.13389, 1.11418, 1.09065, 1.06135, 1.02243, 0.965764, 0.882702, 0.778812, 0.657794, 0.519597, 0.363771, 0.189854, -0.00257821, -0.414855, -0.887941, -7.73329, -21.5561", \
+					  "17.0397, 6.97065, 1.60444, 1.24586, 1.11289, 1.09459, 1.08366, 1.07307, 1.0621, 1.05044, 1.03785, 1.02403, 1.00861, 0.991036, 0.970471, 0.945476, 0.913345, 0.868315, 0.799949, 0.707179, 0.59579, 0.466229, 0.317917, 0.150205, -0.0375332, -0.445429, -0.924603, -7.81007, -21.7718", \
+					  "16.9457, 6.88988, 1.52652, 1.17476, 0.993845, 0.949689, 0.93254, 0.920535, 0.909311, 0.897957, 0.886056, 0.873289, 0.859313, 0.843682, 0.82574, 0.804428, 0.777836, 0.742046, 0.688441, 0.608903, 0.508344, 0.388461, 0.248562, 0.0877533, -0.0948606, -0.498832, -0.986678, -7.91573, -22.0393", \
+					  "16.8403, 6.80841, 1.45042, 1.10102, 0.879045, 0.806939, 0.774866, 0.759495, 0.747488, 0.736227, 0.724908, 0.713101, 0.700456, 0.686585, 0.670971, 0.652824, 0.630784, 0.602206, 0.561147, 0.496886, 0.408637, 0.299753, 0.169615, 0.0170778, -0.159038, -0.556566, -1.05093, -8.02039, -22.2904", \
+					  "16.7224, 6.72607, 1.37614, 1.02475, 0.767993, 0.671575, 0.618251, 0.595824, 0.582167, 0.570693, 0.559791, 0.548812, 0.537351, 0.525046, 0.511473, 0.496034, 0.477755, 0.454841, 0.423434, 0.37461, 0.300453, 0.203939, 0.0849783, -0.057806, -0.225885, -0.613938, -1.11102, -8.11818, -22.5153", \
+					  "16.5906, 6.64248, 1.30366, 0.946729, 0.66042, 0.543477, 0.467949, 0.433013, 0.416199, 0.404041, 0.393329, 0.383014, 0.372578, 0.361646, 0.349852, 0.336731, 0.321583, 0.303179, 0.279041, 0.243273, 0.184992, 0.102311, -0.00399462, -0.135471, -0.293886, -0.669257, -1.1594, -8.20767, -22.7136", \
+					  "16.4436, 6.55747, 1.23289, 0.867886, 0.556344, 0.422046, 0.326474, 0.274109, 0.25157, 0.237995, 0.227163, 0.217318, 0.207734, 0.197987, 0.187733, 0.176597, 0.164068, 0.149303, 0.130702, 0.104678, 0.0623615, -0.0048563, -0.0969525, -0.215482, -0.362517, -0.721888, -1.20088, -8.28865, -22.8878", \
+					  "16.2801, 6.47091, 1.16376, 0.789221, 0.456066, 0.307019, 0.194124, 0.122305, 0.0901788, 0.0740309, 0.0626492, 0.0530346, 0.0441166, 0.0353669, 0.0264317, 0.0169901, 0.00665733, -0.00514642, -0.0194582, -0.0384362, -0.0675036, -0.117756, -0.193996, -0.297835, -0.431665, -0.771588, -1.23567, -8.36012, -23.0403", \
+					  "16.0993, 6.38319, 1.09633, 0.711883, 0.360211, 0.198574, 0.0707761, -0.0195931, -0.0657051, -0.0862534, -0.0987993, -0.108483, -0.116943, -0.124885, -0.132711, -0.14072, -0.149216, -0.158602, -0.169552, -0.183372, -0.203061, -0.236788, -0.295461, -0.382745, -0.501399, -0.818254, -1.26354, -8.42428, -23.1718", \
+					  "15.9015, 6.29461, 1.03111, 0.637313, 0.269819, 0.0974164, -0.0432412, -0.149881, -0.213144, -0.240842, -0.255451, -0.265592, -0.273834, -0.281169, -0.28809, -0.29491, -0.301884, -0.309306, -0.317611, -0.3276, -0.340933, -0.361892, -0.401813, -0.470551, -0.571883, -0.861819, -1.28427, -8.48178, -23.2863", \
+					  "15.6886, 6.20654, 0.969411, 0.56745, 0.18652, 0.00491904, -0.146858, -0.267417, -0.348544, -0.386981, -0.40499, -0.416109, -0.424422, -0.431368, -0.437594, -0.443455, -0.449198, -0.455046, -0.461291, -0.468426, -0.477405, -0.490316, -0.51347, -0.561679, -0.643339, -0.902202, -1.29752, -8.53312, -23.3858", \
+					  "15.4666, 6.12159, 0.913597, 0.505061, 0.112812, -0.0766175, -0.238007, -0.370479, -0.468059, -0.52079, -0.54416, -0.556976, -0.565717, -0.572523, -0.578274, -0.583412, -0.588199, -0.592831, -0.597513, -0.602561, -0.608554, -0.616612, -0.629272, -0.656587, -0.716027, -0.939292, -1.30274, -8.57863, -23.4714", \
+					  "15.2491, 6.0445, 0.867588, 0.454181, 0.0524179, -0.143637, -0.31332, -0.45604, -0.567765, -0.636952, -0.668362, -0.683884, -0.693513, -0.700468, -0.705988, -0.710645, -0.714753, -0.718508, -0.722077, -0.725677, -0.729694, -0.734835, -0.742382, -0.755346, -0.790254, -0.972957, -1.29937, -8.61888, -23.5448", \
+					  "15.0636, 5.98429, 0.837704, 0.420857, 0.0108894, -0.190771, -0.367605, -0.519178, -0.6428, -0.728481, -0.771058, -0.79069, -0.801796, -0.809245, -0.814801, -0.819237, -0.822946, -0.826157, -0.829031, -0.831745, -0.83459, -0.838102, -0.843209, -0.851377, -0.866388, -1.00326, -1.29004, -8.6552, -23.6082", \
+					  "14.9982, 5.96784, 0.839524, 0.41874, 9.44959e-05, -0.206746, -0.390089, -0.549614, -0.683507, -0.784262, -0.840937, -0.866779, -0.880351, -0.888922, -0.895031, -0.899736, -0.903553, -0.906765, -0.909558, -0.912102, -0.914651, -0.917675, -0.922003, -0.928965, -0.940476, -1.03255, -1.28119, -8.68876, -23.6631", \
+					  "14.9994, 5.9696, 0.853529, 0.427526, 8.00029e-08, -0.21177, -0.401206, -0.568075, -0.711141, -0.825145, -0.897761, -0.932477, -0.949745, -0.960099, -0.967226, -0.972586, -0.976859, -0.980409, -0.983457, -0.986178, -0.988804, -0.991746, -0.995756, -1.00208, -1.01256, -1.06877, -1.27684, -8.72031, -23.7106", \
+					  "15.0014, 5.9714, 0.866955, 0.435546, 2.79054e-08, -0.216178, -0.411089, -0.584559, -0.735737, -0.861034, -0.949608, -0.995971, -1.0183, -1.03098, -1.03938, -1.04553, -1.05034, -1.05429, -1.05763, -1.06057, -1.06332, -1.06623, -1.06998, -1.0757, -1.08517, -1.12592, -1.27912, -8.75036, -23.7517", \
+					  "15.0031, 5.97165, 0.879635, 0.442783, 3.8204e-08, -0.220123, -0.419961, -0.599382, -0.757791, -0.892751, -0.995932, -1.05642, -1.08568, -1.10144, -1.11143, -1.11854, -1.12399, -1.12839, -1.13208, -1.13527, -1.13818, -1.14112, -1.14466, -1.14985, -1.15834, -1.1953, -1.29804, -8.77923, -23.7871", \
+					  "15.0062, 5.97602, 0.902685, 0.455235, 9.92964e-08, -0.226837, -0.435132, -0.624808, -0.795563, -0.946245, -1.07275, -1.16413, -1.21455, -1.24, -1.25468, -1.26442, -1.27154, -1.27709, -1.28163, -1.28546, -1.28882, -1.29196, -1.29531, -1.29967, -1.30642, -1.33631, -1.41168, -8.83832, -23.8432", \
+					  "15.0088, 5.97711, 0.922691, 0.465422, 2.84244e-07, -0.232259, -0.447477, -0.645606, -0.826487, -0.989554, -1.13288, -1.2503, -1.32994, -1.37265, -1.39542, -1.40933, -1.41891, -1.42608, -1.43176, -1.43644, -1.44044, -1.44399, -1.44743, -1.45132, -1.45676, -1.48016, -1.5425, -8.90097, -23.8883", \
+					  "15.0146, 5.98252, 0.966657, 0.486114, 3.36703e-06, -0.243052, -0.47252, -0.688385, -0.890625, -1.07917, -1.25377, -1.41375, -1.55709, -1.67825, -1.76669, -1.81955, -1.84952, -1.86811, -1.88092, -1.89047, -1.898, -1.90417, -1.90942, -1.91404, -1.91843, -1.92991, -1.95843, -9.08301, -23.9412", \
+					  "15.02, 5.98729, 1.00239, 0.500703, 3.754e-05, -0.250342, -0.490793, -0.721312, -0.941905, -1.15258, -1.35334, -1.54417, -1.72502, -1.89574, -2.0559, -2.20453, -2.33913, -2.45403, -2.54086, -2.59791, -2.63393, -2.65796, -2.67522, -2.68841, -2.69897, -2.71522, -2.72831, -9.4634, -23.8934");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0338913, 0.0687227, 0.1060404, 0.1509788, 0.2214996");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0899186, 0.1265287, 0.1637787, 0.2091944, 0.2806628");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0410503, 0.0799370, 0.1263625, 0.1774305, 0.2592597");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0925395, 0.1325588, 0.1790698, 0.2290001, 0.3110890");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.73272");
+						index_3("0.001");
+						index_4("0.7456398, 0.8456226, 0.9319360, 1.0540865, 1.1643854");
+						values("0.270752, 0.433203, 0.541504, 0.433203, 0.270752");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.79371");
+						index_2("1.22822");
+						index_3("0.001");
+						index_4("0.5391127, 0.6133038, 0.6759880, 0.7884562, 0.8722503");
+						values("0.376479, 0.602367, 0.752959, 0.602367, 0.376479");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21787");
+						index_2("0.854708");
+						index_3("0.001");
+						index_4("0.3936669, 0.4446183, 0.5095231, 0.5955640, 0.6579793");
+						values("0.572623, 0.916197, 1.14525, 0.916197, 0.572623");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.866361");
+						index_3("0.001");
+						index_4("0.3870895, 0.4368285, 0.4960662, 0.5702338, 0.6362410");
+						values("0.222182, 0.355491, 0.444364, 0.355491, 0.222182");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.79371");
+						index_2("0.61411");
+						index_3("0.001");
+						index_4("0.2807974, 0.3166913, 0.3636110, 0.4308632, 0.4849741");
+						values("0.283801, 0.454082, 0.567603, 0.454082, 0.283801");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.21787");
+						index_2("0.427354");
+						index_3("0.001");
+						index_4("0.2018015, 0.2284946, 0.2764310, 0.3241279, 0.3695085");
+						values("0.365126, 0.584202, 0.730253, 0.584202, 0.365126");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.79371");
+						index_2("1.84233");
+						index_3("0.001");
+						index_4("0.8027124, 0.9117094, 1.0298346, 1.1351701, 1.2444618");
+						values("0.437292, 0.699667, 0.874583, 0.699667, 0.437292");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.25974");
+						index_3("0.001");
+						index_4("0.5279425, 0.6178802, 0.6988034, 0.7958722, 0.8893473");
+						values("5.1894, 5.00304, 4.87879, 5.00304, 5.1894");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.00166");
+						index_3("0.001");
+						index_4("0.4293613, 0.5012131, 0.5758329, 0.6582027, 0.7347411");
+						values("5.10202, 4.86324, 4.70405, 4.86324, 5.10202");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.824293");
+						index_3("0.001");
+						index_4("0.3636362, 0.4200923, 0.4849126, 0.5656151, 0.6302786");
+						values("5.00448, 4.70717, 4.50896, 4.70717, 5.00448");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.629872");
+						index_3("0.001");
+						index_4("0.2827889, 0.3243169, 0.3737237, 0.4379841, 0.4936785");
+						values("5.24452, 5.09123, 4.98903, 5.09123, 5.24452");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.500828");
+						index_3("0.001");
+						index_4("0.2291782, 0.2634388, 0.3158204, 0.3653279, 0.4132956");
+						values("5.19447, 5.01115, 4.88894, 5.01115, 5.19447");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.412146");
+						index_3("0.001");
+						index_4("0.1941759, 0.2217612, 0.2733294, 0.3152145, 0.3584235");
+						values("5.14484, 4.93175, 4.78969, 4.93175, 5.14484");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.50248");
+						index_3("0.001");
+						index_4("0.6322018, 0.7385596, 0.8317851, 0.9420044, 1.0442961");
+						values("5.05473, 4.78757, 4.60947, 4.78757, 5.05473");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			fall_capacitance : 0.017381;
+			capacitance : 0.017404;
+			rise_capacitance : 0.017427;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0693196, 0.0698373, 0.0703549, 0.0718659, 0.0733164, 0.0748273, 0.0763382");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0001916, 0.0006946, 0.0015807, 0.0039656, 0.0062551, 0.0086399, 0.0110248");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000804923";
+				miller_cap_rise : "0.000584677";
+				dc_current ("ccsn_dc") {
+					index_1("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					index_2("-1.95, -0.975, -0.39, -0.195, 0, 0.0975, 0.195, 0.2925, 0.39, 0.4875, 0.585, 0.6825, 0.78, 0.8775, 0.975, 1.0725, 1.17, 1.2675, 1.365, 1.4625, 1.56, 1.6575, 1.755, 1.8525, 1.95, 2.145, 2.34, 2.925, 3.9");
+					values("6.47466, 0.896647, 0.703421, 0.67646, 0.643623, 0.624877, 0.604622, 0.582879, 0.559663, 0.534982, 0.508849, 0.481275, 0.452274, 0.421862, 0.390055, 0.356872, 0.322332, 0.286458, 0.249272, 0.210797, 0.171058, 0.130082, 0.0878954, 0.0445253, -1.089e-08, -0.0874395, -0.170354, -0.440582, -2.54113", \
+					  "6.283, 0.715901, 0.539868, 0.526497, 0.511663, 0.503473, 0.49458, 0.484767, 0.473727, 0.461045, 0.446278, 0.429169, 0.409694, 0.387891, 0.363781, 0.337376, 0.308688, 0.277733, 0.244533, 0.209114, 0.171507, 0.13175, 0.0898819, 0.0459491, -1.92857e-09, -0.0913681, -0.178543, -0.461973, -2.56222", \
+					  "6.14626, 0.568866, 0.391269, 0.382072, 0.372311, 0.367154, 0.361761, 0.356081, 0.35004, 0.343539, 0.336431, 0.328493, 0.31938, 0.308566, 0.2954, 0.279414, 0.260453, 0.238476, 0.213464, 0.185408, 0.154312, 0.120192, 0.0830724, 0.0429925, -1.92962e-09, -0.0876204, -0.173077, -0.455315, -2.56152", \
+					  "6.1071, 0.537268, 0.335364, 0.327304, 0.318845, 0.314422, 0.309838, 0.305061, 0.300045, 0.294733, 0.289041, 0.282845, 0.275961, 0.268091, 0.258753, 0.24725, 0.232914, 0.215417, 0.194648, 0.170559, 0.143124, 0.112335, 0.0782005, 0.0407433, -1.93062e-09, -0.0843247, -0.167802, -0.447561, -2.55799", \
+					  "6.06615, 0.525945, 0.277492, 0.270491, 0.263219, 0.259454, 0.255582, 0.251583, 0.247432, 0.243093, 0.23852, 0.233646, 0.228374, 0.222556, 0.215951, 0.208148, 0.198465, 0.186057, 0.170351, 0.151119, 0.128265, 0.101741, 0.0715214, 0.037603, -1.9334e-09, -0.0795716, -0.160074, -0.435937, -2.55244", \
+					  "6.04528, 0.522351, 0.248219, 0.24169, 0.234981, 0.231522, 0.227979, 0.224336, 0.220572, 0.216661, 0.21257, 0.208248, 0.203628, 0.198605, 0.193016, 0.186584, 0.178816, 0.168905, 0.155963, 0.139487, 0.119287, 0.0952744, 0.0674014, 0.035644, -1.97062e-09, -0.0765537, -0.155131, -0.428436, -2.54876", \
+					  "6.02439, 0.519083, 0.219397, 0.212886, 0.206722, 0.203559, 0.20033, 0.197023, 0.193623, 0.190112, 0.186462, 0.18264, 0.178596, 0.174259, 0.169518, 0.164194, 0.157965, 0.150236, 0.140047, 0.126506, 0.109198, 0.0879597, 0.0627089, 0.0333969, -2.84997e-09, -0.0730561, -0.149381, -0.419678, -2.54439", \
+					  "6.0037, 0.516604, 0.193639, 0.184321, 0.178684, 0.175807, 0.172881, 0.169896, 0.166842, 0.163704, 0.160464, 0.157098, 0.15357, 0.149833, 0.145813, 0.141395, 0.13638, 0.130394, 0.122695, 0.112162, 0.0979591, 0.079755, 0.0574097, 0.0308415, -2.40078e-08, -0.0690404, -0.142756, -0.409569, -2.53926", \
+					  "5.98345, 0.515168, 0.176396, 0.156356, 0.151154, 0.148557, 0.145923, 0.143249, 0.140524, 0.13774, 0.134883, 0.131937, 0.128878, 0.125673, 0.122276, 0.118613, 0.114565, 0.109915, 0.104213, 0.0965136, 0.0855588, 0.0706329, 0.0514762, 0.0279597, -5.3003e-07, -0.0644714, -0.135195, -0.398019, -2.53329", \
+					  "5.96391, 0.51518, 0.16978, 0.130094, 0.124466, 0.122142, 0.119795, 0.117421, 0.115013, 0.112566, 0.110071, 0.107516, 0.104886, 0.10216, 0.0993087, 0.0962872, 0.0930254, 0.0894022, 0.0851797, 0.0797992, 0.0720324, 0.0605804, 0.0448834, 0.0247283, -1.22273e-05, -0.0593296, -0.126654, -0.384969, -2.52641", \
+					  "5.94539, 0.516915, 0.170362, 0.109252, 0.0990083, 0.0969365, 0.0948626, 0.0927736, 0.0906657, 0.0885344, 0.0863744, 0.0841784, 0.0819371, 0.0796376, 0.0772621, 0.0747848, 0.0721663, 0.0693416, 0.0661923, 0.0624561, 0.0573957, 0.0494584, 0.0374463, 0.0209423, -0.000244908, -0.0538239, -0.117342, -0.370695, -2.51901", \
+					  "5.92828, 0.520753, 0.175326, 0.0989467, 0.0752213, 0.0731339, 0.0712148, 0.0692928, 0.0673628, 0.0654218, 0.0634667, 0.0614934, 0.0594967, 0.0574694, 0.0554019, 0.0532802, 0.0510837, 0.04878, 0.0463133, 0.043573, 0.0402632, 0.0353618, 0.0270461, 0.014339, -0.00308039, -0.050554, -0.110076, -0.358747, -2.51591", \
+					  "5.91296, 0.527118, 0.183586, 0.0977865, 0.0535991, 0.0496047, 0.0472508, 0.0449703, 0.042703, 0.040439, 0.0381743, 0.0359063, 0.0336321, 0.0313485, 0.0290509, 0.0267327, 0.0243848, 0.0219926, 0.0195327, 0.0169623, 0.0141845, 0.0108512, 0.00553987, -0.00366904, -0.0174955, -0.059221, -0.115273, -0.361808, -2.53381", \
+					  "5.89989, 0.536474, 0.194891, 0.101575, 0.0347449, 0.0229297, 0.0185416, 0.0151328, 0.011906, 0.00875138, 0.00563694, 0.00254951, -0.000517721, -0.00356912, -0.00660813, -0.00963817, -0.0126633, -0.0156893, -0.0187245, -0.0217835, -0.0248942, -0.0281338, -0.0319314, -0.0381723, -0.0486276, -0.0848798, -0.137876, -0.384704, -2.57692", \
+					  "5.88958, 0.549361, 0.209374, 0.108184, 0.0193997, -0.00509932, -0.0151007, -0.0203627, -0.0247314, -0.0288025, -0.0327268, -0.0365612, -0.0403322, -0.0440543, -0.0477361, -0.0513833, -0.0550001, -0.05859, -0.0621569, -0.0657057, -0.0692439, -0.0727868, -0.0763892, -0.0806133, -0.0877252, -0.117762, -0.167039, -0.413753, -2.62539", \
+					  "5.88257, 0.566424, 0.227414, 0.117229, 0.00838615, -0.0289931, -0.0480549, -0.056359, -0.0620192, -0.0669062, -0.0714503, -0.0758016, -0.0800273, -0.0841628, -0.0882285, -0.0922376, -0.0961989, -0.100119, -0.104003, -0.107856, -0.111682, -0.115488, -0.119282, -0.123113, -0.127872, -0.150853, -0.195424, -0.440775, -2.66833", \
+					  "5.87954, 0.588407, 0.249456, 0.129122, 0.00237491, -0.0466111, -0.0761228, -0.0892111, -0.0965667, -0.102341, -0.107478, -0.112285, -0.116891, -0.121363, -0.125741, -0.130047, -0.134298, -0.138505, -0.142676, -0.146819, -0.15094, -0.155044, -0.159138, -0.163232, -0.167487, -0.183602, -0.222463, -0.465373, -2.70563", \
+					  "5.8811, 0.615536, 0.274807, 0.143276, 0.000443169, -0.05888, -0.0988732, -0.118694, -0.128509, -0.135481, -0.141393, -0.146786, -0.15188, -0.156783, -0.161554, -0.16623, -0.170835, -0.175386, -0.179894, -0.184367, -0.188815, -0.193244, -0.197661, -0.202075, -0.20652, -0.218013, -0.249532, -0.488702, -2.73918", \
+					  "5.88684, 0.645951, 0.300722, 0.157372, 7.745e-05, -0.0684195, -0.118245, -0.14629, -0.159505, -0.168009, -0.174853, -0.180922, -0.186556, -0.19192, -0.1971, -0.202149, -0.207102, -0.21198, -0.2168, -0.221574, -0.226313, -0.231026, -0.23572, -0.240406, -0.245099, -0.25505, -0.278038, -0.511674, -2.77038", \
+					  "5.89435, 0.677281, 0.325181, 0.170132, 1.42962e-05, -0.0765413, -0.13527, -0.172268, -0.189955, -0.200342, -0.208244, -0.215036, -0.221226, -0.227046, -0.23262, -0.23802, -0.243292, -0.248466, -0.253564, -0.258602, -0.263593, -0.268547, -0.273477, -0.278391, -0.283303, -0.293259, -0.309133, -0.534874, -2.80011", \
+					  "5.90231, 0.708791, 0.347525, 0.181428, 2.59129e-06, -0.0836095, -0.150292, -0.196285, -0.219631, -0.232353, -0.241469, -0.249044, -0.255811, -0.262091, -0.268051, -0.273787, -0.27936, -0.284808, -0.29016, -0.295435, -0.300651, -0.305819, -0.310953, -0.316065, -0.321168, -0.331423, -0.343491, -0.558676, -2.8289", \
+					  "5.9103, 0.740231, 0.367548, 0.191383, 3.94012e-07, -0.0897871, -0.163539, -0.218062, -0.248205, -0.263851, -0.274388, -0.282832, -0.290211, -0.296964, -0.303312, -0.30938, -0.315244, -0.320954, -0.326544, -0.332041, -0.337463, -0.342826, -0.348145, -0.353434, -0.358708, -0.369276, -0.380321, -0.583338, -2.85712", \
+					  "5.91826, 0.771503, 0.385246, 0.20014, 3.88839e-08, -0.0951907, -0.175212, -0.237518, -0.275325, -0.294646, -0.306878, -0.316301, -0.324344, -0.331595, -0.338342, -0.344743, -0.350895, -0.356861, -0.362683, -0.368391, -0.374009, -0.379555, -0.385046, -0.390498, -0.395927, -0.406787, -0.417847, -0.609043, -2.88502", \
+					  "5.92617, 0.802526, 0.400756, 0.207834, 5.74758e-09, -0.0999171, -0.18549, -0.254748, -0.300649, -0.324543, -0.338824, -0.34937, -0.358144, -0.365927, -0.37309, -0.379834, -0.386278, -0.392499, -0.398548, -0.404463, -0.41027, -0.415992, -0.421647, -0.427253, -0.432828, -0.44396, -0.455225, -0.635928, -2.91283", \
+					  "5.93401, 0.833218, 0.414284, 0.214585, 5.01745e-09, -0.104049, -0.194534, -0.269939, -0.323876, -0.353328, -0.370114, -0.381965, -0.391557, -0.399916, -0.40752, -0.41462, -0.421363, -0.427843, -0.43412, -0.44024, -0.446234, -0.452127, -0.45794, -0.463695, -0.469409, -0.480798, -0.49229, -0.664092, -2.94073", \
+					  "5.94956, 0.893256, 0.4363, 0.225671, 8.19094e-09, -0.110807, -0.209467, -0.295062, -0.363408, -0.406573, -0.430229, -0.445454, -0.457024, -0.466717, -0.475306, -0.48318, -0.490559, -0.497578, -0.504325, -0.51086, -0.517228, -0.523461, -0.529587, -0.53563, -0.541612, -0.553487, -0.565413, -0.724491, -2.99738", \
+					  "5.96486, 0.950789, 0.452919, 0.234096, 1.34722e-08, -0.115931, -0.220949, -0.314462, -0.394075, -0.452277, -0.486038, -0.506145, -0.520363, -0.531727, -0.541491, -0.550255, -0.558344, -0.565952, -0.573201, -0.580174, -0.58693, -0.593512, -0.599954, -0.606285, -0.612532, -0.624877, -0.63721, -0.790066, -3.05603", \
+					  "6.00855, 1.0951, 0.481119, 0.248272, 4.69946e-08, -0.124623, -0.241142, -0.349316, -0.448653, -0.537591, -0.611059, -0.661521, -0.692363, -0.712914, -0.7284, -0.741116, -0.752146, -0.762062, -0.771197, -0.779756, -0.787877, -0.795655, -0.803158, -0.810439, -0.817543, -0.831363, -0.844906, -0.994219, -3.24769", \
+					  "6.05906, 1.17342, 0.489297, 0.251656, 1.8597e-07, -0.127238, -0.249137, -0.365594, -0.476494, -0.581681, -0.68085, -0.77323, -0.856604, -0.925719, -0.975565, -1.00927, -1.03337, -1.05207, -1.06754, -1.08093, -1.09291, -1.10386, -1.11403, -1.12362, -1.13272, -1.14987, -1.166, -1.31255, -3.56331");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0251316, 0.0550540, 0.0847382, 0.1107870, 0.1501632");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0880493, 0.1198478, 0.1489387, 0.1764011, 0.2152103");
+						values("0.195, 0.585, 0.975, 1.365, 1.755");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0148335, 0.0249961, 0.0359058, 0.0519713, 0.0784759");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0674802, 0.0844862, 0.0977177, 0.1138486, 0.1400928");
+						values("1.755, 1.365, 0.975, 0.585, 0.195");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.16506");
+						index_2("2.69539");
+						index_3("0.001");
+						index_4("1.3104081, 1.3822530, 1.4950675, 1.5908692, 1.6610035");
+						values("0.230706, 0.369129, 0.461411, 0.369129, 0.230706");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28285");
+						index_2("1.57621");
+						index_3("0.001");
+						index_4("0.7686395, 0.8292140, 0.9350060, 1.0129569, 1.0703729");
+						values("0.336347, 0.538156, 0.672695, 0.538156, 0.336347");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38905");
+						index_2("1.0726");
+						index_3("0.001");
+						index_4("0.5247172, 0.5775195, 0.6420413, 0.7352079, 0.7829673");
+						values("0.38995, 0.623921, 0.779901, 0.623921, 0.38995");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.16506");
+						index_2("1.3477");
+						index_3("0.001");
+						index_4("0.6508296, 0.6941141, 0.7362684, 0.8146038, 0.8538383");
+						values("0.134429, 0.215086, 0.268857, 0.215086, 0.134429");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28285");
+						index_2("0.788106");
+						index_3("0.001");
+						index_4("0.3866695, 0.4184485, 0.4610713, 0.5188376, 0.5520354");
+						values("0.185359, 0.296575, 0.370719, 0.296575, 0.185359");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38905");
+						index_2("0.536302");
+						index_3("0.001");
+						index_4("0.2631970, 0.2903300, 0.3219585, 0.3785958, 0.4078249");
+						values("0.208703, 0.333925, 0.417407, 0.333925, 0.208703");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28285");
+						index_2("2.36432");
+						index_3("0.001");
+						index_4("1.1526862, 1.2397000, 1.3629417, 1.4861393, 1.5702829");
+						values("0.472756, 0.75641, 0.945513, 0.75641, 0.472756");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("0.989952");
+						index_2("1.07934");
+						index_3("0.001");
+						index_4("0.5289955, 0.5638637, 0.6306443, 0.6954044, 0.7475126");
+						values("1.61045, 1.40672, 1.2709, 1.40672, 1.61045");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10437");
+						index_2("0.580194");
+						index_3("0.001");
+						index_4("0.2846102, 0.3115149, 0.3636691, 0.4170927, 0.4591157");
+						values("1.53625, 1.288, 1.1225, 1.288, 1.53625");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22065");
+						index_2("0.368895");
+						index_3("0.001");
+						index_4("0.1822006, 0.2032948, 0.2436474, 0.2902011, 0.3240991");
+						values("1.50697, 1.24116, 1.06395, 1.24116, 1.50697");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("0.989952");
+						index_2("0.539671");
+						index_3("0.001");
+						index_4("0.2671263, 0.2861240, 0.3219562, 0.3619519, 0.3980173");
+						values("1.75959, 1.64534, 1.56918, 1.64534, 1.75959");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10437");
+						index_2("0.290097");
+						index_3("0.001");
+						index_4("0.1457039, 0.1598786, 0.1830679, 0.2154730, 0.2460347");
+						values("1.72932, 1.59691, 1.50864, 1.59691, 1.72932");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22065");
+						index_2("0.184447");
+						index_3("0.001");
+						index_4("0.0939645, 0.1054790, 0.1275643, 0.1506136, 0.1760862");
+						values("1.71843, 1.57948, 1.48685, 1.57948, 1.71843");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10437");
+						index_2("0.870292");
+						index_3("0.001");
+						index_4("0.4191494, 0.4554785, 0.5423797, 0.6190292, 0.6721563");
+						values("1.41709, 1.09734, 0.884178, 1.09734, 1.41709");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.028876;
+			capacitance : 0.028436;
+			fall_capacitance : 0.027996;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3769926, 0.8895153, 1.4020380, 2.1963227, 2.9588360, 3.7531207, 4.5474054");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.0331475, 5.0090267, 6.9849057, 7.9497289, 8.8759592, 9.8407823, 10.8056060");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00398188";
+				miller_cap_rise : "0.00329525";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("152.834, 63.0416, 11.6954, 6.06949, 5.91537, 5.82221, 5.71355, 5.58338, 5.42239, 5.21946, 4.9765, 4.71058, 4.43004, 4.13645, 3.82992, 3.51026, 3.17721, 2.8305, 2.46987, 2.09505, 1.70579, 1.30186, 0.883045, 0.449147, -6.47946e-08, -0.91005, -1.8209, -14.4519, -39.042", \
+					  "154.644, 61.3739, 9.97665, 4.4054, 4.32638, 4.28187, 4.23301, 4.17874, 4.1176, 4.04747, 3.96513, 3.86535, 3.73917, 3.57203, 3.35555, 3.10709, 2.8386, 2.55183, 2.24661, 1.92245, 1.57886, 1.2153, 0.831283, 0.426329, -1.75759e-08, -0.872463, -1.74972, -14.4061, -38.9745", \
+					  "155.818, 60.8943, 8.93169, 3.37227, 3.31662, 3.28687, 3.25501, 3.22049, 3.18271, 3.14087, 3.09387, 3.04013, 2.97719, 2.9011, 2.80476, 2.67485, 2.49357, 2.26878, 2.01781, 1.74352, 1.4455, 1.12295, 0.775055, 0.400994, -7.93934e-09, -0.82873, -1.67411, -14.3604, -38.9106", \
+					  "156.095, 60.8761, 8.59193, 3.02652, 2.97603, 2.94996, 2.92234, 2.89268, 2.86047, 2.82513, 2.78585, 2.74152, 2.6905, 2.63022, 2.55633, 2.46078, 2.32797, 2.14138, 1.91553, 1.66318, 1.38526, 1.08095, 0.749288, 0.389283, -6.65626e-09, -0.807927, -1.64039, -14.3407, -38.8838", \
+					  "156.28, 60.8667, 8.37127, 2.68128, 2.6344, 2.61147, 2.58757, 2.56216, 2.5348, 2.50502, 2.47225, 2.4357, 2.39424, 2.34617, 2.28877, 2.21725, 2.1223, 1.98561, 1.79486, 1.56854, 1.31408, 1.03115, 0.71861, 0.375279, -6.14099e-09, -0.7833, -1.60107, -14.3182, -38.8535", \
+					  "156.331, 60.8639, 8.30208, 2.52614, 2.46336, 2.44181, 2.41958, 2.3961, 2.37093, 2.34366, 2.31378, 2.28063, 2.24328, 2.20033, 2.14962, 2.08742, 2.00671, 1.89293, 1.72572, 1.51478, 1.27358, 1.00272, 0.70105, 0.367236, -7.96138e-08, -0.769278, -1.57896, -14.3057, -38.8369", \
+					  "156.348, 60.8598, 8.24344, 2.42999, 2.29224, 2.27195, 2.25129, 2.22962, 2.20651, 2.18158, 2.15438, 2.12437, 2.09075, 2.05241, 2.00759, 1.9534, 1.88452, 1.79002, 1.64882, 1.45595, 1.22924, 0.971544, 0.681734, 0.358353, -3.13359e-05, -0.753981, -1.55507, -14.2925, -38.8202", \
+					  "156.329, 60.8529, 8.18935, 2.35919, 2.12112, 2.1011, 2.08156, 2.06127, 2.03977, 2.01669, 1.99166, 1.96419, 1.93365, 1.89909, 1.85914, 1.81151, 1.75216, 1.67303, 1.55662, 1.38521, 1.17392, 0.929945, 0.652323, 0.339397, -0.0105161, -0.751503, -1.54972, -14.3452, -39.1487", \
+					  "156.267, 60.8418, 8.13975, 2.29501, 1.95005, 1.89349, 1.86771, 1.84441, 1.82104, 1.79677, 1.77106, 1.74344, 1.71331, 1.67988, 1.64202, 1.59791, 1.54445, 1.47564, 1.37831, 1.22948, 1.03255, 0.799781, 0.530578, 0.22261, -0.126636, -0.879885, -1.71889, -14.8831, -41.5548", \
+					  "156.159, 60.8248, 8.09218, 2.22919, 1.77913, 1.65119, 1.6047, 1.57571, 1.55003, 1.52491, 1.49926, 1.47241, 1.44373, 1.41249, 1.37773, 1.33799, 1.29084, 1.2318, 1.15128, 1.02817, 0.850455, 0.632458, 0.375181, 0.0756669, -0.26944, -1.028, -1.90077, -15.3906, -43.5411", \
+					  "155.997, 60.8004, 8.04556, 2.15683, 1.60843, 1.41196, 1.32906, 1.29124, 1.26286, 1.23707, 1.21181, 1.18608, 1.15917, 1.13037, 1.09885, 1.06341, 1.02215, 0.971698, 0.905119, 0.806211, 0.652339, 0.451935, 0.209354, -0.0788333, -0.416832, -1.17462, -2.07543, -15.8687, -45.421", \
+					  "155.775, 60.7666, 7.99881, 2.07542, 1.43807, 1.18193, 1.05357, 1.00184, 0.969828, 0.943176, 0.918278, 0.893673, 0.868498, 0.842048, 0.813571, 0.782083, 0.746091, 0.703023, 0.647857, 0.569101, 0.442417, 0.262494, 0.0371708, -0.237029, -0.565179, -1.31673, -2.24092, -16.3226, -47.25", \
+					  "155.483, 60.7216, 7.95094, 1.98451, 1.26821, 0.960731, 0.784753, 0.712148, 0.674931, 0.646978, 0.622257, 0.59865, 0.575081, 0.550803, 0.525118, 0.497201, 0.46587, 0.429166, 0.383423, 0.320662, 0.22116, 0.0653075, -0.140038, -0.39744, -0.712839, -1.4525, -2.39542, -16.7493, -49.0165", \
+					  "155.113, 60.6633, 7.90086, 1.88486, 1.09909, 0.747378, 0.526093, 0.4251, 0.380267, 0.350329, 0.325489, 0.302686, 0.280546, 0.258237, 0.235084, 0.210379, 0.183177, 0.151987, 0.114114, 0.0640159, -0.0119964, -0.139893, -0.322242, -0.559768, -0.859248, -1.58105, -2.53808, -17.1472, -50.7102", \
+					  "154.653, 60.5898, 7.84735, 1.7777, 0.931066, 0.541162, 0.278774, 0.143367, 0.0874292, 0.0545316, 0.0291705, 0.00692869, -0.0139842, -0.034535, -0.0554063, -0.07723, -0.100767, -0.127158, -0.158383, -0.198325, -0.255955, -0.354153, -0.510027, -0.72425, -1.00428, -1.70181, -2.6684, -17.5154, -52.325", \
+					  "154.088, 60.499, 7.78916, 1.66446, 0.764749, 0.341816, 0.0428318, -0.130147, -0.201892, -0.239106, -0.265507, -0.287476, -0.307383, -0.326388, -0.345219, -0.364462, -0.384745, -0.40694, -0.432504, -0.464174, -0.507802, -0.578933, -0.704367, -0.891414, -1.14797, -1.81432, -2.78575, -17.8536, -53.8573", \
+					  "153.404, 60.3894, 7.72507, 1.54685, 0.601188, 0.149703, -0.181666, -0.392282, -0.485465, -0.528867, -0.556972, -0.579009, -0.598149, -0.615823, -0.632844, -0.649786, -0.667182, -0.685703, -0.706421, -0.731278, -0.764139, -0.814294, -0.906528, -1.06199, -1.29043, -1.91798, -2.88415, -18.1609, -55.309", \
+					  "152.586, 60.2606, 7.6547, 1.42723, 0.442208, -0.0338811, -0.393837, -0.639781, -0.76005, -0.812197, -0.842863, -0.86537, -0.884002, -0.900565, -0.915999, -0.930901, -0.945746, -0.961061, -0.977632, -0.996846, -1.02132, -1.05653, -1.11797, -1.23698, -1.43182, -2.01208, -2.94429, -18.437, -56.6671", \
+					  "151.623, 60.1147, 7.581, 1.30937, 0.2912, -0.205961, -0.591078, -0.86882, -1.02056, -1.08485, -1.11919, -1.14264, -1.16105, -1.17673, -1.1908, -1.20391, -1.21653, -1.22907, -1.24212, -1.25669, -1.2746, -1.29928, -1.33841, -1.41759, -1.57232, -2.09569, -2.98322, -18.6814, -57.943", \
+					  "150.528, 59.9609, 7.50662, 1.20032, 0.154779, -0.360251, -0.767408, -1.07315, -1.25846, -1.33917, -1.37864, -1.40362, -1.42212, -1.43715, -1.45007, -1.46165, -1.47235, -1.48254, -1.49268, -1.50352, -1.51642, -1.53373, -1.55962, -1.60497, -1.71209, -2.16759, -2.99542, -18.878, -59.1325", \
+					  "149.392, 59.8271, 7.44882, 1.11488, 0.0469725, -0.483083, -0.909447, -1.23963, -1.45807, -1.56001, -1.60652, -1.63372, -1.65266, -1.66727, -1.67928, -1.68959, -1.69871, -1.70702, -1.7149, -1.72296, -1.7323, -1.74481, -1.76351, -1.793, -1.85129, -2.22714, -2.98626, -19.0292, -60.2353", \
+					  "148.7, 59.8166, 7.45383, 1.09101, 0.00175628, -0.541839, -0.985629, -1.33769, -1.58732, -1.71559, -1.77212, -1.80287, -1.82309, -1.83802, -1.84985, -1.85967, -1.86812, -1.87558, -1.88246, -1.88928, -1.89707, -1.90756, -1.92355, -1.94915, -1.99007, -2.27917, -2.97296, -19.159, -61.251", \
+					  "148.797, 59.9505, 7.50872, 1.1134, 1.24854e-06, -0.557317, -1.01826, -1.3912, -1.67006, -1.82956, -1.90072, -1.93746, -1.96069, -1.9774, -1.99041, -2.00108, -2.01015, -2.0181, -2.02532, -2.03233, -2.04005, -2.05014, -2.0653, -2.08957, -2.12854, -2.33685, -2.96715, -19.2714, -62.1742", \
+					  "148.966, 60.0929, 7.56007, 1.13529, 1.52267e-08, -0.569569, -1.04606, -1.43785, -1.74257, -1.93504, -2.02506, -2.06952, -2.09651, -2.11541, -2.12986, -2.14156, -2.15142, -2.15999, -2.16767, -2.17498, -2.18275, -2.19254, -2.20691, -2.22982, -2.26682, -2.42103, -2.9752, -19.3685, -62.9699", \
+					  "149.136, 60.2362, 7.60565, 1.15475, 2.59613e-08, -0.580369, -1.07083, -1.47963, -1.80704, -2.03188, -2.14525, -2.1996, -2.23121, -2.25271, -2.26883, -2.28171, -2.29245, -2.30171, -2.30992, -2.31759, -2.32548, -2.33502, -2.34864, -2.37015, -2.40503, -2.54588, -3.00127, -19.4523, -63.6892", \
+					  "149.48, 60.5112, 7.68392, 1.18729, 8.09329e-08, -0.598222, -1.11253, -1.55062, -1.9157, -2.19725, -2.36851, -2.45169, -2.49618, -2.5245, -2.54484, -2.5606, -2.57347, -2.58436, -2.59386, -2.60245, -2.6108, -2.62008, -2.63238, -2.65116, -2.68156, -2.80782, -3.16338, -19.5869, -64.8981", \
+					  "149.832, 60.7727, 7.74708, 1.21261, 2.25888e-07, -0.6119, -1.14544, -1.60758, -2.00268, -2.32757, -2.56033, -2.6879, -2.75282, -2.79121, -2.81737, -2.83695, -2.85253, -2.86548, -2.87659, -2.88642, -2.89555, -2.90494, -2.91631, -2.93265, -2.95864, -3.06876, -3.39785, -19.7054, -65.8008", \
+					  "150.887, 61.3591, 7.86553, 1.25638, 2.24974e-06, -0.634906, -1.20563, -1.71713, -2.17358, -2.57782, -2.92928, -3.21754, -3.41814, -3.53243, -3.59763, -3.63964, -3.66973, -3.69293, -3.71175, -3.72758, -3.74128, -3.75355, -3.76526, -3.7779, -3.7942, -3.85839, -4.09502, -19.9048, -66.8129", \
+					  "152.271, 61.7018, 7.92404, 1.26396, 2.22659e-05, -0.637945, -1.22895, -1.77577, -2.28096, -2.74684, -3.17545, -3.56831, -3.92592, -4.24604, -4.51933, -4.72703, -4.86331, -4.94978, -5.00854, -5.05175, -5.08554, -5.11315, -5.13648, -5.15672, -5.17481, -5.21002, -5.33605, -19.9075, -63.2235");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0173237, 0.0209195, 0.0246928, 0.0295149, 0.0392502");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0602988, 0.0732263, 0.0807030, 0.0876262, 0.1009693");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0169509, 0.0209491, 0.0249050, 0.0293146, 0.0358377");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0560034, 0.0712247, 0.0791798, 0.0856889, 0.0940273");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.22929");
+						index_3("0.001");
+						index_4("0.1054462, 0.1180119, 0.1279206, 0.1426552, 0.1580154");
+						values("0.252453, 0.403924, 0.504905, 0.403924, 0.252453");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.17697");
+						index_3("0.001");
+						index_4("0.0841132, 0.0931534, 0.1048802, 0.1150625, 0.1268882");
+						values("0.344466, 0.551145, 0.688931, 0.551145, 0.344466");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.142264");
+						index_3("0.001");
+						index_4("0.0716591, 0.0776737, 0.0866349, 0.0972937, 0.1064497");
+						values("0.487754, 0.780407, 0.975509, 0.780407, 0.487754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.114645");
+						index_3("0.001");
+						index_4("0.0586770, 0.0627454, 0.0716688, 0.0798576, 0.0892047");
+						values("0.228336, 0.365337, 0.456672, 0.365337, 0.228336");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0884851");
+						index_3("0.001");
+						index_4("0.0467674, 0.0504428, 0.0578474, 0.0655571, 0.0735750");
+						values("0.29551, 0.472815, 0.591019, 0.472815, 0.29551");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.0711321");
+						index_3("0.001");
+						index_4("0.0388831, 0.0424314, 0.0486682, 0.0559841, 0.0630548");
+						values("0.368223, 0.589157, 0.736447, 0.589157, 0.368223");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.265455");
+						index_3("0.001");
+						index_4("0.1215166, 0.1363653, 0.1498046, 0.1632206, 0.1789475");
+						values("0.380445, 0.608713, 0.760891, 0.608713, 0.380445");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.163825");
+						index_3("0.001");
+						index_4("0.0765698, 0.0869921, 0.0976047, 0.1143326, 0.1284774");
+						values("5.1191, 4.89056, 4.7382, 4.89056, 5.1191");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.133203");
+						index_3("0.001");
+						index_4("0.0651865, 0.0729149, 0.0842841, 0.0973270, 0.1094854");
+						values("5.02552, 4.74083, 4.55104, 4.74083, 5.02552");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.111661");
+						index_3("0.001");
+						index_4("0.0571523, 0.0632566, 0.0717985, 0.0850345, 0.0958414");
+						values("4.91426, 4.56282, 4.32853, 4.56282, 4.91426");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.0819124");
+						index_3("0.001");
+						index_4("0.0437430, 0.0482512, 0.0576884, 0.0667964, 0.0784901");
+						values("5.18224, 4.99159, 4.86449, 4.99159, 5.18224");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.0666015");
+						index_3("0.001");
+						index_4("0.0370272, 0.0411720, 0.0492984, 0.0582173, 0.0686634");
+						values("5.12565, 4.90103, 4.75129, 4.90103, 5.12565");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.0558303");
+						index_3("0.001");
+						index_4("0.0323049, 0.0362174, 0.0431192, 0.0525603, 0.0601298");
+						values("5.07104, 4.81366, 4.64207, 4.81366, 5.07104");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.199805");
+						index_3("0.001");
+						index_4("0.0918090, 0.1050463, 0.1174284, 0.1350253, 0.1509726");
+						values("4.95727, 4.63163, 4.41453, 4.63163, 4.95727");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.008897;
+			capacitance : 0.008905;
+			fall_capacitance : 0.008912;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1094140, -0.1095564, -0.1096988, -0.1092450, -0.1088094, -0.1083556, -0.1079018");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1086404, 0.1086217, 0.1086029, 0.1083758, 0.1081578, 0.1079307, 0.1077036");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "INP_SEL_H";
+				miller_cap_fall : "8.45578e-07";
+				miller_cap_rise : "1.30237e-07";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("46.7812, 18.64, 2.39997, 0.677946, 0.672081, 0.66858, 0.664546, 0.659794, 0.654023, 0.646708, 0.636843, 0.622397, 0.600876, 0.573239, 0.541201, 0.505112, 0.465006, 0.420878, 0.372725, 0.320553, 0.264372, 0.204199, 0.140054, 0.0719597, -5.56705e-05, -0.152722, -0.335956, -12.5777, -35.1051", \
+					  "46.8077, 18.6527, 2.40846, 0.653056, 0.64761, 0.644373, 0.64066, 0.636308, 0.631062, 0.624482, 0.615739, 0.60314, 0.584018, 0.558313, 0.527962, 0.493491, 0.454952, 0.412338, 0.365639, 0.314852, 0.259984, 0.201043, 0.138045, 0.0710058, -5.18953e-05, -0.15099, -0.333024, -12.5759, -35.1026", \
+					  "46.819, 18.6504, 2.403, 0.627885, 0.622839, 0.619854, 0.616442, 0.612465, 0.607704, 0.601792, 0.594048, 0.583089, 0.566364, 0.542752, 0.514157, 0.481359, 0.444443, 0.403398, 0.358208, 0.308864, 0.255365, 0.197714, 0.13592, 0.0699941, -4.79358e-05, -0.149157, -0.329933, -12.5741, -35.1", \
+					  "46.8238, 18.6092, 2.35664, 0.579079, 0.574733, 0.572192, 0.569317, 0.566009, 0.562114, 0.557389, 0.551407, 0.543339, 0.531434, 0.513019, 0.488309, 0.458948, 0.425278, 0.387307, 0.345013, 0.298378, 0.247392, 0.192053, 0.132363, 0.0683289, -3.90756e-05, -0.146285, -0.325285, -12.5714, -35.0965", \
+					  "46.8279, 18.5409, 2.27737, 0.495249, 0.491844, 0.489928, 0.487794, 0.485383, 0.482613, 0.479358, 0.475419, 0.470446, 0.463759, 0.453866, 0.438027, 0.415625, 0.38822, 0.356165, 0.319452, 0.278045, 0.231916, 0.181049, 0.125436, 0.0650738, -3.72545e-05, -0.140741, -0.316383, -12.5668, -35.0906", \
+					  "46.8254, 18.5094, 2.24053, 0.455806, 0.450697, 0.449039, 0.447205, 0.445151, 0.442813, 0.440101, 0.436875, 0.432901, 0.427749, 0.420524, 0.409193, 0.391191, 0.367334, 0.33857, 0.304972, 0.266495, 0.223101, 0.174764, 0.121467, 0.0632025, -3.62815e-05, -0.137549, -0.311252, -12.5641, -35.0872", \
+					  "46.8181, 18.4792, 2.20581, 0.422075, 0.409924, 0.408493, 0.406923, 0.405177, 0.403207, 0.400948, 0.3983, 0.395104, 0.391081, 0.385692, 0.377719, 0.364546, 0.344704, 0.319486, 0.289236, 0.25392, 0.213486, 0.167895, 0.117122, 0.0611488, -3.54532e-05, -0.134046, -0.305618, -12.5612, -35.0835", \
+					  "46.8047, 18.4505, 2.17295, 0.393434, 0.369643, 0.368359, 0.367018, 0.365538, 0.363884, 0.362005, 0.359831, 0.357252, 0.354085, 0.349995, 0.34428, 0.335253, 0.320069, 0.298715, 0.272065, 0.240157, 0.202925, 0.160313, 0.112284, 0.0588112, -0.000125546, -0.130313, -0.299581, -12.5583, -35.0806", \
+					  "46.7841, 18.4227, 2.14168, 0.367739, 0.329986, 0.326152, 0.324856, 0.323549, 0.322127, 0.320542, 0.318738, 0.316637, 0.314116, 0.310963, 0.306765, 0.300581, 0.290104, 0.273079, 0.250286, 0.222014, 0.188189, 0.148726, 0.103559, 0.0526346, -0.00409214, -0.130882, -0.298913, -12.5692, -35.1251", \
+					  "46.755, 18.3956, 2.11159, 0.3427, 0.291117, 0.280221, 0.277339, 0.275914, 0.274571, 0.273158, 0.271607, 0.269853, 0.267806, 0.265327, 0.262166, 0.257801, 0.250924, 0.238697, 0.220078, 0.195693, 0.165501, 0.12937, 0.08718, 0.0388236, -0.0158023, -0.139961, -0.30856, -12.6049, -35.2587", \
+					  "46.7161, 18.3699, 2.08236, 0.317811, 0.253223, 0.234671, 0.226663, 0.224194, 0.222673, 0.221289, 0.219878, 0.218356, 0.216648, 0.214654, 0.212217, 0.209037, 0.204433, 0.19656, 0.182576, 0.162394, 0.136151, 0.103668, 0.0647541, 0.0192323, -0.0330778, -0.154459, -0.324098, -12.6536, -35.4462", \
+					  "46.6666, 18.3458, 2.05398, 0.293199, 0.216517, 0.190773, 0.175951, 0.170127, 0.167864, 0.166304, 0.164905, 0.163509, 0.162026, 0.160374, 0.158445, 0.15606, 0.152856, 0.147911, 0.138724, 0.123116, 0.101178, 0.0727177, 0.0374718, -0.00481983, -0.0544247, -0.172361, -0.342709, -12.7082, -35.6623", \
+					  "46.6056, 18.3211, 2.02658, 0.269119, 0.18125, 0.148864, 0.127273, 0.115846, 0.111536, 0.109436, 0.107886, 0.106504, 0.105144, 0.103719, 0.102141, 0.100296, 0.0979798, 0.094743, 0.0892938, 0.0786224, 0.0613578, 0.0373256, 0.00618219, -0.0324205, -0.078842, -0.192394, -0.362666, -12.764, -35.8895", \
+					  "46.5328, 18.2958, 2.00045, 0.245887, 0.147718, 0.109229, 0.0812958, 0.0635719, 0.0552169, 0.0518634, 0.0499173, 0.0484175, 0.047081, 0.0457813, 0.044433, 0.0429504, 0.041211, 0.0389841, 0.0356975, 0.0295118, 0.0172896, -0.00190128, -0.0284849, -0.0629062, -0.10562, -0.213707, -0.382862, -12.8181, -36.116", \
+					  "46.4485, 18.2703, 1.9757, 0.223871, 0.116269, 0.072195, 0.0383926, 0.0145789, 0.000696767, -0.00520317, -0.00795763, -0.00975054, -0.0111738, -0.0124407, -0.0136603, -0.0149115, -0.0162784, -0.0178886, -0.0200061, -0.0233825, -0.0305596, -0.0445062, -0.0660601, -0.095786, -0.134239, -0.235714, -0.402331, -12.8688, -36.3342", \
+					  "46.3537, 18.2443, 1.95275, 0.203499, 0.0873137, 0.0381467, -0.00105651, -0.0305523, -0.0503119, -0.0604016, -0.0646875, -0.0670327, -0.0686756, -0.0700053, -0.0711857, -0.0723092, -0.0734473, -0.0746801, -0.0761404, -0.0781327, -0.0816834, -0.0901401, -0.106196, -0.130695, -0.164312, -0.25799, -0.419935, -12.9151, -36.5388", \
+					  "46.2511, 18.2185, 1.932, 0.185271, 0.0613369, 0.00754666, -0.0366057, -0.0713548, -0.0967185, -0.112155, -0.119134, -0.122445, -0.124486, -0.125985, -0.127213, -0.128297, -0.129314, -0.130328, -0.131415, -0.132713, -0.134576, -0.138477, -0.148633, -0.167361, -0.195545, -0.280221, -0.436288, -12.9561, -36.7253", \
+					  "46.1454, 18.1935, 1.91402, 0.16978, 0.0389137, -0.0190514, -0.0677201, -0.107304, -0.137858, -0.158898, -0.169983, -0.174934, -0.177629, -0.179429, -0.180795, -0.18192, -0.182905, -0.183815, -0.184703, -0.185645, -0.186787, -0.188539, -0.193153, -0.205583, -0.227709, -0.302156, -0.451187, -12.9911, -36.8926", \
+					  "46.0442, 18.1706, 1.89949, 0.157738, 0.0207212, -0.0409934, -0.0937661, -0.137783, -0.173116, -0.199458, -0.2157, -0.223319, -0.227062, -0.229338, -0.230945, -0.232192, -0.233221, -0.234114, -0.234923, -0.235698, -0.236517, -0.237541, -0.239253, -0.245205, -0.260623, -0.323593, -0.464505, -13.0199, -37.0404", \
+					  "45.9591, 18.1522, 1.8892, 0.150017, 0.00755042, -0.0575213, -0.114012, -0.162082, -0.201806, -0.233009, -0.254697, -0.266244, -0.27165, -0.27465, -0.276627, -0.278081, -0.279228, -0.280178, -0.280994, -0.281724, -0.28242, -0.283177, -0.284182, -0.286044, -0.294143, -0.344369, -0.476182, -13.0433, -37.1683", \
+					  "45.9105, 18.1429, 1.88518, 0.148155, 0.000747198, -0.0673537, -0.127223, -0.179002, -0.222762, -0.258409, -0.285257, -0.301772, -0.309758, -0.313854, -0.316374, -0.318139, -0.319481, -0.320558, -0.321456, -0.322229, -0.322926, -0.323618, -0.32444, -0.325637, -0.328149, -0.364415, -0.486337, -13.0616, -37.276", \
+					  "45.9101, 18.1453, 1.88764, 0.152107, 1.76524e-05, -0.0708716, -0.133846, -0.189038, -0.23652, -0.276247, -0.307788, -0.329663, -0.34138, -0.347137, -0.35044, -0.352642, -0.354262, -0.355532, -0.356572, -0.357452, -0.358225, -0.358954, -0.35975, -0.360823, -0.362533, -0.384625, -0.496053, -13.0766, -37.3625", \
+					  "45.9158, 18.1489, 1.89061, 0.156341, 1.60712e-05, -0.0733856, -0.139177, -0.197481, -0.248373, -0.291837, -0.327609, -0.354604, -0.371086, -0.379312, -0.383731, -0.386519, -0.388495, -0.390005, -0.39122, -0.392233, -0.393107, -0.3939, -0.394707, -0.395703, -0.397208, -0.408253, -0.505956, -13.0902, -37.4298", \
+					  "45.921, 18.1523, 1.89331, 0.160119, 1.65064e-05, -0.0756297, -0.14396, -0.205092, -0.259097, -0.30598, -0.345579, -0.377183, -0.39892, -0.410657, -0.416691, -0.420273, -0.422705, -0.42451, -0.425932, -0.4271, -0.428092, -0.428968, -0.429809, -0.430761, -0.432096, -0.438192, -0.518479, -13.1024, -37.4799", \
+					  "45.9257, 18.1552, 1.89571, 0.163474, 1.68932e-05, -0.0776281, -0.148241, -0.211935, -0.268779, -0.318789, -0.361867, -0.39756, -0.424404, -0.440679, -0.449062, -0.453751, -0.456779, -0.458951, -0.460624, -0.461974, -0.463104, -0.464082, -0.46498, -0.465919, -0.467126, -0.472195, -0.537946, -13.113, -37.5131", \
+					  "45.9336, 18.1602, 1.89974, 0.169048, 1.75426e-05, -0.0809672, -0.155458, -0.223558, -0.285332, -0.340807, -0.389949, -0.432574, -0.468035, -0.494548, -0.510575, -0.519092, -0.524023, -0.527273, -0.529632, -0.531459, -0.53294, -0.534184, -0.535265, -0.536271, -0.537352, -0.541084, -0.597854, -13.1328, -37.5323", \
+					  "45.9394, 18.1638, 1.90264, 0.173017, 1.80659e-05, -0.0833675, -0.160714, -0.232117, -0.297636, -0.357302, -0.411105, -0.458959, -0.500571, -0.535037, -0.560261, -0.575268, -0.58348, -0.588421, -0.591762, -0.594226, -0.596154, -0.597728, -0.599056, -0.600223, -0.601331, -0.604306, -0.656995, -13.1596, -37.5094", \
+					  "45.9424, 18.1661, 1.90457, 0.175593, 1.85061e-05, -0.0848756, -0.164014, -0.237475, -0.305308, -0.367528, -0.424123, -0.475019, -0.520004, -0.558461, -0.588733, -0.608659, -0.619815, -0.626251, -0.63042, -0.633397, -0.635674, -0.637503, -0.639025, -0.640335, -0.641529, -0.644326, -0.651004, -11.99, -36.5195", \
+					  "45.9458, 18.1682, 1.90612, 0.177656, 1.89834e-05, -0.0861643, -0.166974, -0.242475, -0.312704, -0.377667, -0.437346, -0.491683, -0.540539, -0.583553, -0.619737, -0.646907, -0.663751, -0.673259, -0.679037, -0.682949, -0.68583, -0.68808, -0.689913, -0.691457, -0.692809, -0.695503, -0.70064, -9.57889, -34.0103");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("106.0192070, 124.0722090, 126.5070050, 128.3522330, 149.3130620");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("105.8264720, 124.1076730, 126.5484570, 128.3927120, 149.3572600");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("104.9593220, 126.7477670, 129.5601700, 131.3216250, 145.7416680");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("104.9786870, 126.7769080, 129.5927000, 131.3595170, 145.7800530");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.58012");
+						index_2("2792.86");
+						index_3("0.001");
+						index_4("1266.6323800, 1399.6412100, 1513.3106500, 1687.6924700, 1848.8351200");
+						values("0.22723, 0.363568, 0.45446, 0.363568, 0.22723");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.02366");
+						index_2("1681.56");
+						index_3("0.001");
+						index_4("811.5339710, 886.7060820, 967.7562790, 1068.3528300, 1172.7722600");
+						values("0.397734, 0.636374, 0.795468, 0.636374, 0.397734");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.42085");
+						index_2("1180.8");
+						index_3("0.001");
+						index_4("674.9989370, 692.6044410, 722.6752760, 751.4046780, 769.6198180");
+						values("1.6644, 2.66303, 3.32879, 2.66303, 1.6644");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.58012");
+						index_2("1396.43");
+						index_3("0.001");
+						index_4("667.6004000, 737.2880620, 825.1177350, 929.7663390, 1021.6803500");
+						values("0.160774, 0.257238, 0.321548, 0.257238, 0.160774");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.02366");
+						index_2("840.778");
+						index_3("0.001");
+						index_4("430.0295700, 476.4108720, 541.6700440, 615.5176480, 678.4595370");
+						values("0.204982, 0.327971, 0.409964, 0.327971, 0.204982");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.42085");
+						index_2("590.399");
+						index_3("0.001");
+						index_4("320.7304570, 357.4351480, 404.9701540, 466.9297580, 516.6956670");
+						values("0.224098, 0.358556, 0.448195, 0.358556, 0.224098");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.02366");
+						index_2("2522.33");
+						index_3("0.001");
+						index_4("1240.6061800, 1330.6336100, 1392.6781100, 1462.2818300, 1583.8377900");
+						values("0.657215, 1.05154, 1.31443, 1.05154, 0.657215");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("2272.29");
+						index_3("0.001");
+						index_4("1024.3497700, 1144.1519000, 1278.1830000, 1417.3319500, 1564.5526600");
+						values("5.22947, 5.06715, 4.95893, 5.06715, 5.22947");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.80418");
+						index_2("1595.16");
+						index_3("0.001");
+						index_4("752.2993010, 835.8266540, 927.9098530, 1036.7462400, 1146.1572000");
+						values("5.11317, 4.88107, 4.72634, 4.88107, 5.11317");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.22364");
+						index_2("1115.11");
+						index_3("0.001");
+						index_4("575.9474560, 633.1497280, 685.3980480, 745.4071500, 820.1944790");
+						values("4.8463, 4.45408, 4.1926, 4.45408, 4.8463");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1136.15");
+						index_3("0.001");
+						index_4("548.1389170, 609.9735770, 705.4321740, 791.2737160, 872.3182550");
+						values("5.31851, 5.20961, 5.13701, 5.20961, 5.31851");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.80418");
+						index_2("797.58");
+						index_3("0.001");
+						index_4("407.0677400, 453.3270030, 521.2572960, 594.6203040, 656.6125250");
+						values("5.28981, 5.16369, 5.07961, 5.16369, 5.28981");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.22364");
+						index_2("557.556");
+						index_3("0.001");
+						index_4("303.9636330, 340.2167120, 390.8147580, 448.1556840, 499.1268620");
+						values("5.27202, 5.13523, 5.04404, 5.13523, 5.27202");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.80418");
+						index_2("2392.74");
+						index_3("0.001");
+						index_4("1114.5910900, 1226.9006400, 1318.0928500, 1451.0321100, 1595.6323900");
+						values("4.98664, 4.67862, 4.47328, 4.67862, 4.98664");
+					}
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 4.653180;
+			max_transition : 3.753327;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02785255, 0.07757643, 0.2160701, 0.6018103, 1.676195, 4.66863");
+ 					values("69.5445722, 69.6127122, 69.1421102, 69.1043342, 69.0383872, 70.0422302, 72.5731362", \
+ 					  "67.2787310, 67.3137730, 67.0176970, 66.8427260, 66.7977200, 67.5780820, 70.2625800", \
+ 					  "67.9756950, 68.1251100, 67.9378980, 67.7918210, 67.8433280, 68.5548490, 71.3915040", \
+ 					  "69.2881030, 69.1076570, 68.9306110, 68.5829030, 68.7884190, 69.5296110, 72.2886890", \
+ 					  "70.1217000, 69.9311580, 69.6341730, 69.7999160, 69.6106230, 70.3976040, 73.1572230", \
+ 					  "70.8652030, 70.6953730, 70.3734500, 70.3325110, 70.3818740, 71.1414950, 73.8879510", \
+ 					  "71.5932380, 71.4307220, 71.1872920, 71.1195620, 71.1240370, 71.8186480, 74.6568640");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02785255, 0.07757643, 0.2160701, 0.6018103, 1.676195, 4.66863");
+ 					values("65.7080107, 65.6833017, 65.6144397, 65.4475317, 65.7551747, 66.9125407, 70.1000597", \
+ 					  "75.3729020, 75.1883830, 75.0235430, 75.0003050, 75.2761810, 76.4155610, 79.7151860", \
+ 					  "79.8838840, 79.7750060, 79.7512060, 79.6895740, 79.9208750, 80.9645680, 84.2743240", \
+ 					  "83.2407960, 83.1976990, 83.1239310, 83.0385210, 83.2365500, 84.3787890, 87.6576450", \
+ 					  "85.9412260, 85.8485920, 85.6335280, 85.6075350, 85.8152990, 86.9556440, 90.1763250", \
+ 					  "87.9750370, 87.8699130, 87.7172360, 87.6696700, 87.9165770, 89.0237950, 92.3142530", \
+ 					  "89.6623890, 89.5613650, 89.4539440, 89.3881910, 89.7289950, 90.8127180, 94.0168150");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02785255, 0.07757643, 0.2160701, 0.6018103, 1.676195, 4.66863");
+ 					values("52.9231057, 52.7890257, 52.7068657, 52.7330457, 52.8679447, 53.9541007, 57.1308507", \
+ 					  "57.3379050, 57.1054770, 56.9091020, 56.9724650, 57.1858460, 58.3054920, 61.4152620", \
+ 					  "58.0047820, 57.8832120, 57.6805720, 57.7090080, 57.9198320, 59.0140440, 62.1180950", \
+ 					  "58.2614300, 58.1744720, 58.1112660, 58.0349280, 58.2452490, 59.3966200, 62.4588990", \
+ 					  "58.6266870, 58.5461680, 58.3636190, 58.3142010, 58.5413450, 59.6280730, 62.8690290", \
+ 					  "58.7711410, 58.6842100, 58.5358640, 58.5087810, 58.7331990, 59.8352550, 63.0615630", \
+ 					  "58.9499980, 58.8428890, 58.7144360, 58.6908990, 58.9087950, 59.9661020, 63.0855160");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02785255, 0.07757643, 0.2160701, 0.6018103, 1.676195, 4.66863");
+ 					values("64.7039932, 64.6754602, 64.3346432, 64.2071612, 64.4438332, 65.2327162, 67.6080732", \
+ 					  "62.1922170, 62.0973000, 61.8420380, 61.6464800, 61.7276160, 62.5117940, 65.4026900", \
+ 					  "62.5759170, 62.4835250, 62.2244890, 62.0282710, 62.1024900, 62.8568170, 65.6486120", \
+ 					  "63.0779600, 62.9413670, 62.7146180, 62.5096990, 62.5758540, 63.3452870, 66.1407800", \
+ 					  "63.2222610, 63.0974160, 62.8744970, 62.6703900, 62.7309040, 63.5019810, 66.2384800", \
+ 					  "63.5506580, 63.4279710, 63.2013050, 63.0022560, 63.0610420, 63.8292200, 66.5612770", \
+ 					  "63.9766640, 63.8468650, 63.6281650, 63.4195540, 63.4842870, 64.2701520, 67.0362310");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02785368, 0.07758275, 0.2160965, 0.6019083, 1.676536, 4.66977");
+ 					values("20.5507150, 20.4695560, 20.1295190, 19.9660720, 19.9180570, 20.5077950, 22.6072370", \
+ 					  "20.3827300, 20.2503860, 20.0190650, 20.0140840, 19.7586030, 20.5086120, 22.3498160", \
+ 					  "20.5408236, 20.4505726, 20.1840506, 19.9554566, 19.9228026, 20.4432286, 22.4724436", \
+ 					  "20.4749860, 20.3428110, 20.1198950, 19.8800090, 19.8520050, 20.3527230, 22.4121550", \
+ 					  "20.4763720, 20.3440790, 20.0874660, 19.9024810, 19.8598330, 20.1227980, 22.4104360", \
+ 					  "20.4253280, 20.3130720, 20.0317470, 19.8631040, 19.8007150, 20.0655480, 22.3529150", \
+ 					  "20.4591360, 20.3186040, 20.0932270, 19.8529660, 19.8326340, 20.3417300, 22.4361710");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02785368, 0.07758275, 0.2160965, 0.6019083, 1.676536, 4.66977");
+ 					values("50.1472946, 50.0410986, 49.9759976, 49.8773336, 50.2069966, 51.2249256, 54.3297646", \
+ 					  "50.1873270, 50.2361660, 50.2276870, 49.9648140, 50.0459150, 51.3509480, 54.3777000", \
+ 					  "50.1628223, 50.1056593, 49.9896763, 49.9414123, 50.1681723, 51.2313983, 54.3608213", \
+ 					  "50.2493150, 50.1124660, 50.0684770, 49.9661010, 50.1720780, 50.9021680, 54.3799000", \
+ 					  "50.2884610, 50.1519520, 50.0361490, 50.0231070, 50.2346220, 50.9829780, 54.4604920", \
+ 					  "50.3489060, 50.0453670, 50.0620550, 49.8378280, 50.2791770, 50.8225300, 54.2999600", \
+ 					  "50.2592970, 50.1437190, 49.8070130, 50.0014720, 50.2718250, 50.9522670, 54.3601620");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02783716, 0.07749077, 0.2157123, 0.6004818, 1.671571, 4.65318");
+					values("65.0697012, 64.8378972, 64.5549502, 64.3730022, 64.4521812, 65.1568402, 68.0385382", \
+					  "71.1038030, 71.0480150, 70.8369060, 70.6326390, 70.6850000, 71.4021760, 74.1392430", \
+					  "85.0407240, 84.9263850, 84.6970270, 84.7022450, 84.8094090, 85.3205350, 88.1400860", \
+					  "98.2766780, 98.1356800, 97.9400870, 97.5505160, 97.7812410, 98.5763210, 101.2467600", \
+					  "111.1933300, 110.9436100, 110.7856500, 110.4928700, 110.6123600, 111.2149900, 114.1905000", \
+					  "123.9087200, 123.8064400, 123.6795500, 123.4995200, 123.4356100, 124.1143000, 126.9490800", \
+					  "136.2871900, 136.0908900, 135.9611900, 135.6825100, 135.8110800, 136.4354300, 139.3418400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02783716, 0.07749077, 0.2157123, 0.6004818, 1.671571, 4.65318");
+					values("55.2744130, 54.1384530, 54.0100700, 54.0474640, 54.2713060, 55.2810880, 58.4277170", \
+					  "77.8514540, 77.7505420, 77.6369940, 77.5865000, 77.8036520, 78.8463460, 82.0752420", \
+					  "87.6521490, 87.4856780, 87.3872360, 87.3236830, 87.5316970, 88.5352690, 91.7662060", \
+					  "97.3901930, 97.2954700, 97.1888260, 97.1340230, 97.3186320, 98.3457550, 101.5716500", \
+					  "107.0925500, 106.9830800, 106.8793300, 106.8191900, 107.0559200, 108.0759900, 111.2984900", \
+					  "116.8468800, 116.7327200, 116.6186600, 116.5822300, 116.7920600, 117.8289100, 121.0012800", \
+					  "126.4994200, 126.3947100, 126.2973900, 126.2438300, 126.4851700, 127.5560200, 130.5788300");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02783716, 0.07749077, 0.2157123, 0.6004818, 1.671571, 4.65318");
+					values("65.0089792, 64.7792532, 64.7254252, 63.9930802, 64.5112422, 65.1164832, 67.9232972", \
+					  "71.0721340, 70.9756840, 71.0704900, 70.5831920, 70.6319860, 71.4718910, 74.1582280", \
+					  "85.0150300, 84.8916490, 84.7445860, 84.4613270, 84.5393060, 85.3020500, 87.7849180", \
+					  "98.2534400, 98.1154320, 97.9067240, 97.7027070, 97.7718600, 98.5210800, 101.3117500", \
+					  "111.1807100, 111.0354200, 110.7665300, 110.3623100, 110.8130100, 111.4797100, 114.2324800", \
+					  "123.9468900, 123.7550500, 123.5704900, 123.2416500, 123.5189700, 124.2971100, 126.9988800", \
+					  "136.2575900, 136.1266500, 135.9051800, 135.5669600, 135.7616200, 136.4917800, 139.2714800");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02783716, 0.07749077, 0.2157123, 0.6004818, 1.671571, 4.65318");
+					values("55.3433230, 55.2071680, 55.0078690, 55.0246960, 55.2625270, 56.3589410, 59.6084250", \
+					  "78.4675380, 78.4054970, 78.0934900, 78.2217210, 78.4209780, 79.5800160, 82.6256080", \
+					  "88.1638720, 88.0943240, 87.9968940, 87.9848000, 88.3799490, 89.4079950, 92.4796140", \
+					  "97.9977140, 98.1013600, 98.0963160, 97.9892630, 98.1141210, 99.1945000, 102.3855400", \
+					  "107.9140500, 107.8392900, 107.6824700, 107.5748900, 108.4699200, 109.1496100, 112.0310200", \
+					  "117.7646600, 117.5726700, 117.2817000, 117.4533900, 118.2930300, 118.3232100, 121.8905000", \
+					  "127.3521100, 127.2861800, 127.0439700, 127.0976100, 127.2943400, 128.1882000, 131.5259400");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("161.9260400, 161.9260483, 161.9260636, 161.9260788, 162.1343400, 162.6281100, 164.0123900", \
+ 					  "163.9362200, 163.9581800, 163.9992900, 164.0840600, 164.2737300, 164.7641600, 166.1484800", \
+ 					  "165.4950100, 165.5148100, 165.5559200, 165.6406900, 165.8302400, 166.3227500, 167.7039700", \
+ 					  "166.8513900, 166.8709200, 166.9128700, 166.9973200, 167.1874400, 167.6798400, 169.0654400", \
+ 					  "168.1538200, 168.1735700, 168.2148400, 168.2994800, 168.4891100, 168.9816600, 170.3691500", \
+ 					  "169.3425800, 169.3623800, 169.4035800, 169.4883000, 169.6779300, 170.1705200, 171.5580400", \
+ 					  "170.4707600, 170.4908900, 170.5326600, 170.6169000, 170.8066900, 171.2993800, 172.6807600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("0.0943606, 0.1117628, 0.1444545, 0.2207510, 0.4520665, 1.1445612, 3.1417178", \
+ 					  "0.0940102, 0.1099661, 0.1451004, 0.2244966, 0.4532869, 1.1468984, 3.1569147", \
+ 					  "0.0939429, 0.1103606, 0.1445173, 0.2244910, 0.4534422, 1.1462976, 3.1593625", \
+ 					  "0.0936163, 0.1103341, 0.1461003, 0.2239644, 0.4535592, 1.1467225, 3.1493781", \
+ 					  "0.0940218, 0.1101064, 0.1447281, 0.2244934, 0.4534324, 1.1470273, 3.1469869", \
+ 					  "0.0940826, 0.1100485, 0.1448635, 0.2244935, 0.4534170, 1.1470175, 3.1469829", \
+ 					  "0.0937907, 0.1105169, 0.1439230, 0.2246272, 0.4534092, 1.1455544, 3.1467968");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("155.5587700, 155.5893300, 155.5893326, 155.7249600, 155.9562400, 156.6079800, 158.4438000", \
+ 					  "157.9922000, 157.9994700, 158.0620200, 158.1601600, 158.3968200, 159.0298500, 160.8716600", \
+ 					  "160.2717200, 160.2876400, 160.2876511, 160.3717500, 160.6088800, 161.3218600, 163.1076300", \
+ 					  "162.1473400, 162.1673500, 162.2192700, 162.3195700, 162.5542700, 163.2130700, 165.0508500", \
+ 					  "163.8696400, 163.8939300, 163.9351600, 164.0337500, 164.2715500, 164.9210800, 166.7651000", \
+ 					  "165.4611200, 165.4826000, 165.5226600, 165.6180900, 165.8573700, 166.5123800, 168.3542200", \
+ 					  "166.9104800, 166.9291500, 166.9721100, 167.0699600, 167.3080900, 167.9628200, 169.8004200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("0.0796268, 0.0963137, 0.1341736, 0.2319976, 0.5107171, 1.3423630, 3.7156245", \
+ 					  "0.0796669, 0.0968807, 0.1366507, 0.2332813, 0.5132346, 1.3466523, 3.7028547", \
+ 					  "0.0812456, 0.0970409, 0.1346213, 0.2324529, 0.5133153, 1.3468160, 3.7526946", \
+ 					  "0.0799295, 0.0965533, 0.1355828, 0.2331498, 0.5125726, 1.3606496, 3.7088379", \
+ 					  "0.0796885, 0.0965587, 0.1366456, 0.2321402, 0.5139051, 1.3469353, 3.7652157", \
+ 					  "0.0792943, 0.0960181, 0.1351123, 0.2332048, 0.5127267, 1.3577186, 3.7458885", \
+ 					  "0.0808404, 0.0961326, 0.1357856, 0.2328536, 0.5129076, 1.3562644, 3.7407855");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("164.4530700, 164.4530716, 164.5108900, 164.5171200, 164.7195800, 165.1992200, 166.5726600", \
+ 					  "167.0974100, 167.1171500, 167.1584600, 167.2432400, 167.4330600, 167.9256800, 169.3137400", \
+ 					  "170.0280200, 170.0514200, 170.0925800, 170.1739500, 170.3638300, 170.8562000, 172.2377200", \
+ 					  "172.7239200, 172.7392500, 172.7610100, 172.8717100, 173.0600000, 173.5523100, 174.9399300", \
+ 					  "175.1699900, 175.1898800, 175.2289100, 175.3158200, 175.5057000, 175.9980800, 177.3799300", \
+ 					  "177.4245100, 177.4442900, 177.4918300, 177.5702400, 177.7598400, 178.2524900, 179.6399400", \
+ 					  "179.5215400, 179.5410900, 179.5827400, 179.6675800, 179.8570000, 180.3494200, 181.7305600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("0.0948022, 0.1118310, 0.1440322, 0.2236524, 0.4533619, 1.1452678, 3.1400942", \
+ 					  "0.0940873, 0.1118627, 0.1451614, 0.2243760, 0.4536257, 1.1461494, 3.1467762", \
+ 					  "0.0938138, 0.1100501, 0.1448764, 0.2245990, 0.4535313, 1.1474476, 3.1466101", \
+ 					  "0.0940998, 0.1098950, 0.1441225, 0.2244924, 0.4534414, 1.1467595, 3.1469749", \
+ 					  "0.0934088, 0.1096401, 0.1460694, 0.2244026, 0.4535931, 1.1474506, 3.1460994", \
+ 					  "0.0940373, 0.1100938, 0.1447105, 0.2244923, 0.4534281, 1.1470228, 3.1469907", \
+ 					  "0.0943123, 0.1098393, 0.1453764, 0.2244722, 0.4532126, 1.1472658, 3.1468563");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("154.8924000, 154.8924027, 154.9202300, 155.0455700, 155.2670500, 155.9293300, 157.7917700", \
+ 					  "155.9364300, 155.9488500, 155.9835000, 156.0789400, 156.3227700, 157.0048200, 158.8420700", \
+ 					  "157.3616400, 157.3804300, 157.4323100, 157.5080800, 157.7695500, 158.4136600, 160.2370600", \
+ 					  "158.5717200, 158.5890600, 158.6250300, 158.7244600, 158.9647800, 159.6190900, 161.4630900", \
+ 					  "159.5834100, 159.5975800, 159.6134400, 159.7099800, 159.9492200, 160.6035900, 162.4469200", \
+ 					  "160.3602600, 160.3787500, 160.4124000, 160.5267700, 160.7574700, 161.4094000, 163.2511300", \
+ 					  "161.0519300, 161.0701000, 161.1029300, 161.2092400, 161.4421000, 162.1037900, 163.9328500");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278525, 0.0775764, 0.21607, 0.60181, 1.6762, 4.66863");
+ 					values("0.0794127, 0.0963238, 0.1338510, 0.2303383, 0.5112967, 1.3423894, 3.7100836", \
+ 					  "0.0801041, 0.0966759, 0.1356526, 0.2332128, 0.5127903, 1.3576980, 3.7069732", \
+ 					  "0.0814527, 0.0968224, 0.1349735, 0.2332087, 0.5112563, 1.3473876, 3.7062058", \
+ 					  "0.0793392, 0.0962589, 0.1358030, 0.2314191, 0.5120502, 1.3593487, 3.7205343", \
+ 					  "0.0812300, 0.0968371, 0.1355809, 0.2331467, 0.5130175, 1.3475917, 3.7112660", \
+ 					  "0.0808925, 0.0960010, 0.1366425, 0.2325808, 0.5124633, 1.3560254, 3.7091283", \
+ 					  "0.0793521, 0.0976213, 0.1343779, 0.2323049, 0.5126693, 1.3472627, 3.7106129");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278537, 0.0775828, 0.216097, 0.601908, 1.67654, 4.66977");
+ 					values("160.0954500, 160.1152300, 160.1470200, 160.2407000, 160.4310900, 160.9234200, 162.3116800", \
+ 					  "160.1319800, 160.1519400, 160.1936600, 160.2778500, 160.4680100, 160.9606500, 162.3477200", \
+ 					  "160.1511300, 160.1709300, 160.2120700, 160.2968500, 160.4864400, 160.9792400, 162.3670900", \
+ 					  "160.1896100, 160.2095400, 160.2510800, 160.3354900, 160.5256700, 161.0181400, 162.4025400", \
+ 					  "160.2313900, 160.2512900, 160.2928400, 160.3772500, 160.5674500, 161.0598200, 162.4441000", \
+ 					  "160.2849700, 160.3044800, 160.3465400, 160.4307300, 160.6211700, 161.1136200, 162.4982000", \
+ 					  "160.3203300, 160.3402600, 160.3817900, 160.4663000, 160.6563800, 161.1489500, 162.5351400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278537, 0.0775828, 0.216097, 0.601908, 1.67654, 4.66977");
+ 					values("0.0938531, 0.1103689, 0.1461976, 0.2244723, 0.4534971, 1.1473893, 3.1501146", \
+ 					  "0.0934815, 0.1097786, 0.1449121, 0.2240954, 0.4536769, 1.1468968, 3.1561549", \
+ 					  "0.0939447, 0.1103605, 0.1445784, 0.2245031, 0.4534944, 1.1472064, 3.1477525", \
+ 					  "0.0934656, 0.1096319, 0.1461950, 0.2243078, 0.4537256, 1.1475065, 3.1396328", \
+ 					  "0.0934537, 0.1096407, 0.1461937, 0.2243284, 0.4537473, 1.1475486, 3.1387943", \
+ 					  "0.0934918, 0.1103438, 0.1462319, 0.2242163, 0.4536283, 1.1473991, 3.1411944", \
+ 					  "0.0936117, 0.1096008, 0.1460949, 0.2239775, 0.4536237, 1.1469461, 3.1513701");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278537, 0.0775828, 0.216097, 0.601908, 1.67654, 4.66977");
+ 					values("153.5169100, 153.5385400, 153.5785400, 153.6779200, 153.9321500, 154.5699900, 156.4198900", \
+ 					  "153.5571200, 153.5765600, 153.6195800, 153.7163900, 153.9548200, 154.6104300, 156.4519000", \
+ 					  "153.6084500, 153.6265000, 153.6684900, 153.7657800, 154.0043700, 154.6590500, 156.5002800", \
+ 					  "153.6521000, 153.6731000, 153.7132700, 153.8147800, 154.0516100, 154.7066100, 156.5498000", \
+ 					  "153.7083100, 153.7272700, 153.7697200, 153.8539700, 154.0930700, 154.7483100, 156.5902900", \
+ 					  "153.7563200, 153.7752500, 153.8170800, 153.9157400, 154.1546200, 154.8097500, 156.6561800", \
+ 					  "153.8106200, 153.8296600, 153.8716800, 153.9686600, 154.2079400, 154.8627600, 156.7091100");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278537, 0.0775828, 0.216097, 0.601908, 1.67654, 4.66977");
+ 					values("0.0792849, 0.0960104, 0.1355843, 0.2326332, 0.5125502, 1.3579686, 3.7604542", \
+ 					  "0.0806372, 0.0978318, 0.1351716, 0.2321854, 0.5124237, 1.3496767, 3.7134877", \
+ 					  "0.0800627, 0.0958336, 0.1351368, 0.2325001, 0.5125676, 1.3482001, 3.7171288", \
+ 					  "0.0793306, 0.0965532, 0.1350157, 0.2332977, 0.5133056, 1.3564743, 3.7621120", \
+ 					  "0.0814784, 0.0968738, 0.1355507, 0.2330400, 0.5133024, 1.3568803, 3.7040990", \
+ 					  "0.0795662, 0.0959005, 0.1351172, 0.2330104, 0.5133079, 1.3566047, 3.7614911", \
+ 					  "0.0793396, 0.0965571, 0.1350599, 0.2330031, 0.5133065, 1.3565927, 3.7614547");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("160.9334400, 160.9719600, 160.9978700, 161.1021600, 161.2886700, 161.8815900, 163.2575000", \
+					  "161.4731800, 161.4861800, 161.5330400, 161.6175100, 161.8060000, 162.2967000, 163.6782800", \
+					  "161.6766400, 161.6963800, 161.7384100, 161.8220700, 162.0126100, 162.5048500, 163.8774400", \
+					  "161.7796100, 161.7993300, 161.8402900, 161.9201300, 162.1094000, 162.6059100, 163.9862400", \
+					  "161.8576800, 161.8794700, 161.9213900, 162.0031300, 162.1928000, 162.6791600, 164.0644500", \
+					  "161.8812700, 161.8955700, 161.9371700, 162.0215100, 162.2111200, 162.6979900, 164.0829100", \
+					  "161.8710400, 161.8909800, 161.9323300, 162.0166500, 162.2062200, 162.6982600, 164.0747300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("0.0931797, 0.1096624, 0.1460538, 0.2244804, 0.4530087, 1.1443039, 3.1328109", \
+					  "0.0937223, 0.1103905, 0.1447261, 0.2240462, 0.4527456, 1.1428803, 3.1362912", \
+					  "0.0941352, 0.1098978, 0.1464948, 0.2240908, 0.4522769, 1.1436684, 3.1401566", \
+					  "0.0936178, 0.1095944, 0.1460619, 0.2243821, 0.4527963, 1.1437011, 3.1417282", \
+					  "0.0936368, 0.1099977, 0.1448708, 0.2237941, 0.4528341, 1.1437814, 3.1394818", \
+					  "0.0936120, 0.1096286, 0.1460059, 0.2237993, 0.4528436, 1.1437818, 3.1395635", \
+					  "0.0934222, 0.1095409, 0.1461983, 0.2241551, 0.4529366, 1.1445601, 3.1356098");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("154.0688000, 154.1003900, 154.1003953, 154.2276100, 154.4683000, 155.1200500, 156.9505800", \
+					  "155.1715100, 155.1883600, 155.2296900, 155.3270000, 155.5657000, 156.2186300, 158.0542100", \
+					  "156.2039400, 156.2197600, 156.2685100, 156.3428900, 156.5835400, 157.2492600, 159.0806800", \
+					  "157.1793400, 157.1980300, 157.2349600, 157.3371300, 157.5749000, 158.2287900, 160.0614900", \
+					  "158.1521000, 158.1707900, 158.2139800, 158.3095800, 158.5484600, 159.2012600, 161.0354000", \
+					  "159.1058700, 159.1248100, 159.1677000, 159.2654400, 159.5032600, 160.1564900, 161.9889100", \
+					  "160.0399000, 160.0587800, 160.1041100, 160.1978000, 160.4361700, 161.0893500, 162.9242900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("0.0803423, 0.0977588, 0.1345116, 0.2325818, 0.5120265, 1.3563713, 3.6850016", \
+					  "0.0792899, 0.0965385, 0.1357205, 0.2327110, 0.5120518, 1.3526134, 3.7493462", \
+					  "0.0793415, 0.0956606, 0.1356043, 0.2327217, 0.5111530, 1.3519893, 3.7262580", \
+					  "0.0793242, 0.0978719, 0.1350460, 0.2321129, 0.5115644, 1.3449313, 3.7409151", \
+					  "0.0800646, 0.0966842, 0.1353335, 0.2328877, 0.5120485, 1.3520844, 3.7301140", \
+					  "0.0808957, 0.0961726, 0.1356930, 0.2326404, 0.5118452, 1.3548999, 3.7341188", \
+					  "0.0793724, 0.0965527, 0.1365741, 0.2327157, 0.5121817, 1.3526311, 3.6969414");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("160.9481300, 161.0029400, 161.0525800, 161.2096000, 161.3769600, 161.8898300, 163.1508300", \
+					  "161.4819600, 161.4990700, 161.5409100, 161.6217700, 161.8147100, 162.3081400, 163.6906600", \
+					  "161.6886300, 161.7073800, 161.7507800, 161.8345900, 162.0232000, 162.5140400, 163.8970000", \
+					  "161.7882800, 161.8059100, 161.8516900, 161.9315200, 162.1200600, 162.6123700, 163.9950000", \
+					  "161.8753900, 161.8754044, 161.9164500, 162.0043100, 162.1905000, 162.6828500, 164.0658000", \
+					  "161.8842200, 161.9041000, 161.9469700, 162.0299100, 162.2192100, 162.7104600, 164.0864600", \
+					  "161.8818900, 161.9020400, 161.9437000, 162.0279000, 162.2173300, 162.7084000, 164.0851200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("0.0932126, 0.1106341, 0.1456041, 0.2238391, 0.4530498, 1.1444239, 3.1377694", \
+					  "0.0942833, 0.1099347, 0.1449243, 0.2241046, 0.4523425, 1.1445473, 3.1368079", \
+					  "0.0936409, 0.1097280, 0.1448707, 0.2238764, 0.4528508, 1.1435707, 3.1457579", \
+					  "0.0936152, 0.1099719, 0.1460599, 0.2243066, 0.4525996, 1.1438459, 3.1371852", \
+					  "0.0939339, 0.1113151, 0.1463825, 0.2237031, 0.4523648, 1.1441088, 3.1286966", \
+					  "0.0943184, 0.1098184, 0.1460535, 0.2242876, 0.4524681, 1.1443823, 3.1370422", \
+					  "0.0934160, 0.1097133, 0.1458717, 0.2242532, 0.4527338, 1.1445406, 3.1366447");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("154.0394400, 154.1354600, 154.1822600, 154.2089800, 154.4466000, 155.1015900, 156.9326600", \
+					  "155.1909200, 155.2101300, 155.2535200, 155.3477500, 155.5826300, 156.2399300, 158.0719300", \
+					  "156.2202500, 156.2334100, 156.2744800, 156.3674800, 156.6032400, 157.2675800, 159.0818400", \
+					  "157.1979500, 157.2177700, 157.2599600, 157.3575000, 157.5947600, 158.2436700, 160.0830800", \
+					  "158.1572200, 158.1625200, 158.2165700, 158.3129700, 158.5522700, 159.1918800, 161.0398500", \
+					  "159.1052400, 159.1246100, 159.1669200, 159.2630400, 159.5016400, 160.1638200, 161.9897700", \
+					  "160.0481500, 160.0673900, 160.1110000, 160.2071600, 160.4472400, 161.0981400, 162.9333600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278372, 0.0774908, 0.215712, 0.600482, 1.67157, 4.65318");
+					values("0.0798105, 0.0978019, 0.1354557, 0.2324215, 0.5116443, 1.3554967, 3.6826526", \
+					  "0.0793377, 0.0965253, 0.1349847, 0.2329450, 0.5122759, 1.3553817, 3.7533265", \
+					  "0.0798955, 0.0961279, 0.1356749, 0.2326517, 0.5115585, 1.3564233, 3.6946144", \
+					  "0.0793067, 0.0970919, 0.1348026, 0.2320133, 0.5103934, 1.3429391, 3.6942218", \
+					  "0.0792955, 0.0978969, 0.1351104, 0.2318952, 0.5115536, 1.3443415, 3.7392374", \
+					  "0.0793367, 0.0978967, 0.1351169, 0.2325480, 0.5115110, 1.3578142, 3.7399244", \
+					  "0.0806631, 0.0969486, 0.1355213, 0.2321011, 0.5120107, 1.3451583, 3.7289394");
+				}
+			}
+			/* out_h_n#43_2_xres_h_n_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				miller_cap_fall : "0.0153268";
+				miller_cap_rise : "0.00787774";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("93.8456, 44.8613, 16.6466, 15.1679, 14.6945, 14.3819, 13.9916, 13.5117, 12.9633, 12.3736, 11.7528, 11.1029, 10.4243, 9.71697, 8.98065, 8.21521, 7.42047, 6.59631, 5.74262, 4.85933, 3.94644, 3.00396, 2.03196, 1.03058, -1.03739e-07, -2.09933, -4.2452, -29.7066, -81.6707", \
+					  "91.8276, 40.8874, 12.7354, 10.9088, 10.7182, 10.6074, 10.4827, 10.3398, 10.1725, 9.9708, 9.71771, 9.38592, 8.95234, 8.43875, 7.8757, 7.27155, 6.62736, 5.94295, 5.21797, 4.45205, 3.64488, 2.79622, 1.90589, 0.973811, -1.09726e-07, -2.00138, -4.08594, -29.6529, -81.6069", \
+					  "91.0118, 38.6181, 10.323, 8.19256, 8.07282, 8.00618, 7.9335, 7.85337, 7.7639, 7.66244, 7.54503, 7.40551, 7.23344, 7.00988, 6.70185, 6.28686, 5.79572, 5.25091, 4.65566, 4.00975, 3.31255, 2.56343, 1.76188, 0.907487, -3.2923e-08, -1.88517, -3.89921, -29.5929, -81.5374", \
+					  "90.6733, 37.8967, 9.52314, 7.28072, 7.17735, 7.12103, 7.06017, 6.99371, 6.92033, 6.83821, 6.7448, 6.63624, 6.50638, 6.3446, 6.13094, 5.82996, 5.42204, 4.94029, 4.40213, 3.80921, 3.16096, 2.45655, 1.69528, 0.876569, -2.96154e-08, -1.83142, -3.81355, -29.566, -81.5068", \
+					  "90.2655, 37.2039, 8.75537, 6.37046, 6.28017, 6.23263, 6.18184, 6.1269, 6.06683, 6.00039, 5.92587, 5.84083, 5.74151, 5.62182, 5.47093, 5.26771, 4.97416, 4.57525, 4.10422, 3.57295, 2.9818, 2.32981, 1.61603, 0.83964, -5.97105e-08, -1.7676, -3.71265, -29.5349, -81.4715", \
+					  "90.0225, 36.8657, 8.39172, 5.93534, 5.83194, 5.78827, 5.74196, 5.69213, 5.6379, 5.57824, 5.51175, 5.43647, 5.34944, 5.24599, 5.1181, 4.95051, 4.71243, 4.36765, 3.93569, 3.43909, 2.88005, 2.25766, 1.5708, 0.818504, -2.0728e-07, -1.73127, -3.65562, -29.5175, -81.4519", \
+					  "89.7461, 36.5303, 8.0368, 5.59273, 5.38455, 5.34444, 5.30228, 5.25717, 5.20833, 5.15487, 5.09564, 5.02907, 4.95282, 4.8633, 4.75451, 4.61546, 4.42374, 4.13895, 3.7521, 3.29322, 2.769, 2.17876, 1.52124, 0.795276, -4.698e-05, -1.69164, -3.59375, -29.499, -81.4317", \
+					  "89.4312, 36.1955, 7.68897, 5.30417, 4.9375, 4.89979, 4.86111, 4.82007, 4.77591, 4.72784, 4.67493, 4.61589, 4.54889, 4.47115, 4.37815, 4.26195, 4.10677, 3.87943, 3.54563, 3.12784, 2.64101, 2.08523, 1.45906, 0.761104, -0.00982928, -1.66122, -3.54459, -29.52, -81.5678", \
+					  "89.072, 35.86, 7.34747, 5.03275, 4.47605, 4.39427, 4.35074, 4.30906, 4.26596, 4.22015, 4.17063, 4.11623, 4.05546, 3.98612, 3.9048, 3.80566, 3.67767, 3.4971, 3.22166, 2.8479, 2.39899, 1.877, 1.27992, 0.605563, -0.148125, -1.78532, -3.69701, -29.9498, -83.0503", \
+					  "88.6627, 35.522, 7.01196, 4.75869, 4.01855, 3.8278, 3.75581, 3.7079, 3.66352, 3.61872, 3.57179, 3.52142, 3.46623, 3.40443, 3.33337, 3.24875, 3.14276, 2.99926, 2.7827, 2.46112, 2.05477, 1.57053, 1.00587, 0.357536, -0.377689, -2.00375, -3.95648, -30.5467, -84.8856", \
+					  "88.1969, 35.18, 6.68228, 4.47308, 3.57145, 3.26992, 3.13457, 3.07214, 3.02434, 2.97973, 2.93495, 2.88825, 2.83821, 2.78325, 2.7213, 2.64913, 2.56119, 2.44656, 2.28049, 2.01933, 1.66138, 1.22011, 0.693169, 0.0762076, -0.635237, -2.24048, -4.22657, -31.1397, -86.6163", \
+					  "87.6679, 34.8323, 6.35833, 4.17539, 3.1342, 2.7368, 2.51675, 2.42496, 2.37033, 2.32474, 2.28151, 2.23798, 2.19253, 2.14368, 2.08971, 2.0282, 1.95516, 1.86317, 1.73611, 1.5367, 1.23421, 0.841389, 0.357814, -0.2219, -0.903493, -2.47595, -4.48184, -31.6943, -88.1744", \
+					  "87.0686, 34.4775, 6.04003, 3.86851, 2.7069, 2.22802, 1.92148, 1.77994, 1.71274, 1.66443, 1.6219, 1.58095, 1.53948, 1.496, 1.44902, 1.39664, 1.336, 1.26202, 1.16433, 1.01793, 0.778266, 0.439782, 0.00551308, -0.530727, -1.17598, -2.70288, -4.69302, -32.2014, -89.5541", \
+					  "86.3916, 34.1143, 5.72729, 3.55621, 2.29043, 1.74213, 1.35724, 1.14847, 1.05927, 1.00556, 0.962631, 0.923539, 0.885421, 0.846593, 0.805672, 0.761138, 0.710886, 0.651431, 0.57607, 0.469533, 0.29411, 0.0165482, -0.36211, -0.84826, -1.45029, -2.91831, -4.87285, -32.6598, -90.7691", \
+					  "85.6302, 33.742, 5.42023, 3.24257, 1.88657, 1.27884, 0.825456, 0.542048, 0.417039, 0.35385, 0.308977, 0.270884, 0.235432, 0.200556, 0.164852, 0.127028, 0.0855066, 0.0378825, -0.0201946, -0.0979181, -0.218841, -0.428992, -0.745298, -1.17426, -1.72567, -3.12095, -5.02421, -33.0648, -91.8366", \
+					  "84.7787, 33.3609, 5.11977, 2.93226, 1.4982, 0.83939, 0.326416, -0.0290738, -0.205702, -0.284665, -0.333608, -0.37176, -0.405301, -0.436933, -0.468213, -0.500336, -0.534531, -0.572475, -0.616999, -0.673675, -0.755577, -0.898454, -1.14529, -1.5094, -2.00214, -3.31008, -5.14586, -33.4175, -92.7715", \
+					  "83.8354, 32.9725, 4.82848, 2.63105, 1.12974, 0.426954, -0.137947, -0.557854, -0.798403, -0.902456, -0.958506, -0.998063, -1.03055, -1.05967, -1.0873, -1.11465, -1.14274, -1.17279, -1.20663, -1.24768, -1.30317, -1.39233, -1.56389, -1.85486, -2.28008, -3.48512, -5.23643, -33.7287, -93.5817", \
+					  "82.8071, 32.5817, 4.55166, 2.3467, 0.787873, 0.0472748, -0.562933, -1.03884, -1.3478, -1.48931, -1.55687, -1.5996, -1.63204, -1.65945, -1.6842, -1.70765, -1.73077, -1.75444, -1.77992, -1.8093, -1.84673, -1.90155, -2.00298, -2.2122, -2.56009, -3.64542, -5.2941, -34.0005, -94.2726", \
+					  "81.7191, 32.1995, 4.29862, 2.0903, 0.482777, -0.290223, -0.939943, -1.46439, -1.83855, -2.03078, -2.11622, -2.16448, -2.19812, -2.22469, -2.24738, -2.26782, -2.28701, -2.30571, -2.32477, -2.34557, -2.3706, -2.40481, -2.45994, -2.58321, -2.84289, -3.79012, -5.31604, -34.2337, -94.8587", \
+					  "80.6334, 31.8469, 4.08466, 1.87823, 0.229723, -0.570908, -1.25503, -1.82169, -2.2536, -2.50653, -2.61869, -2.67575, -2.71212, -2.73888, -2.76039, -2.77873, -2.79504, -2.81008, -2.8245, -2.83926, -2.85601, -2.87785, -2.91043, -2.96889, -3.12931, -3.91823, -5.29869, -34.4309, -95.3533", \
+					  "79.682, 31.5634, 3.93468, 1.73532, 0.0516745, -0.772543, -1.48663, -2.09014, -2.57162, -2.88864, -3.03848, -3.10885, -3.14991, -3.17805, -3.19935, -3.21655, -3.23106, -3.24372, -3.25515, -3.2661, -3.27784, -3.29269, -3.31466, -3.35003, -3.42044, -4.02945, -5.25168, -34.6008, -95.7726", \
+					  "79.3088, 31.4772, 3.91339, 1.72075, 0.000569093, -0.845648, -1.58728, -2.22425, -2.74909, -3.12779, -3.32732, -3.41797, -3.46709, -3.49887, -3.52189, -3.53982, -3.55448, -3.5669, -3.57777, -3.58779, -3.59808, -3.61067, -3.62918, -3.65925, -3.70896, -4.13265, -5.20277, -34.7518, -96.1294", \
+					  "79.3135, 31.4854, 3.94916, 1.75807, 4.60951e-07, -0.867435, -1.63514, -2.30332, -2.86717, -3.30202, -3.56096, -3.68104, -3.74246, -3.78036, -3.80696, -3.82722, -3.84354, -3.85719, -3.86898, -3.87963, -3.89013, -3.90232, -3.91947, -3.94695, -3.99261, -4.25814, -5.17059, -34.8891, -96.4326", \
+					  "79.3237, 31.4866, 3.98367, 1.79236, 1.1451e-07, -0.886453, -1.67766, -2.37401, -2.97244, -3.45594, -3.77779, -3.93716, -4.01517, -4.06092, -4.09192, -4.11499, -4.13325, -4.14833, -4.16121, -4.17264, -4.18353, -4.19549, -4.21148, -4.2365, -4.27809, -4.45834, -5.16345, -35.0163, -96.6887", \
+					  "79.333, 31.4947, 4.01624, 1.82336, 1.56754e-07, -0.903503, -1.71592, -2.43775, -3.06707, -3.59244, -3.97494, -4.18385, -4.28434, -4.34026, -4.37671, -4.40313, -4.42366, -4.4404, -4.45453, -4.46688, -4.47831, -4.49023, -4.50528, -4.52803, -4.56562, -4.72853, -5.21239, -35.1356, -96.9031", \
+					  "79.3491, 31.5166, 4.07583, 1.87676, 4.07719e-07, -0.932573, -1.78154, -2.54744, -3.22969, -3.82319, -4.3073, -4.6346, -4.80484, -4.89196, -4.94396, -4.97938, -5.00576, -5.02661, -5.0438, -5.05845, -5.07146, -5.08391, -5.09782, -5.11683, -5.14708, -5.28138, -5.62942, -35.3669, -97.2223", \
+					  "79.3627, 31.521, 4.12841, 1.92053, 1.16777e-06, -0.956097, -1.83509, -2.63752, -3.36338, -4.01047, -4.56913, -5.00816, -5.28368, -5.42599, -5.50387, -5.55307, -5.58782, -5.61429, -5.63553, -5.65324, -5.66849, -5.68229, -5.69612, -5.71273, -5.73705, -5.84416, -6.13789, -35.6354, -97.4275", \
+					  "79.3924, 31.547, 4.24876, 2.00953, 1.3847e-05, -1.00301, -1.94413, -2.82379, -3.64237, -4.39996, -5.09577, -5.72637, -6.28069, -6.72879, -7.03053, -7.20191, -7.30073, -7.36431, -7.40944, -7.44383, -7.47138, -7.49429, -7.51398, -7.53172, -7.54939, -7.60132, -7.74638, -36.3699, -97.5505", \
+					  "79.42, 31.5695, 4.35337, 2.07192, 0.00015448, -1.0346, -2.02394, -2.96811, -3.86742, -4.72214, -5.53257, -6.29886, -7.02093, -7.69807, -8.32807, -8.90485, -9.41302, -9.82207, -10.1066, -10.2855, -10.4003, -10.4796, -10.5384, -10.5844, -10.622, -10.6813, -10.7366, -37.8604, -96.3431");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0342555");
+						index_3("0.0250140, 0.0346276, 0.0448576, 0.0581344, 0.0831816");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.102766");
+						index_3("0.0319233, 0.0539229, 0.0774394, 0.1065473, 0.1580568");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0342555");
+						index_3("0.0719819, 0.0901820, 0.1029814, 0.1164799, 0.1414392");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.102766");
+						index_3("0.0840296, 0.1112117, 0.1347942, 0.1635331, 0.2154041");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0249034");
+						index_3("0.0302642, 0.0410625, 0.0519823, 0.0639433, 0.0818231");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0747101");
+						index_3("0.0383207, 0.0613401, 0.0848310, 0.1094598, 0.1464006");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0249034");
+						index_3("0.0769357, 0.0941561, 0.1062228, 0.1181449, 0.1359271");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0747101");
+						index_3("0.0876932, 0.1137446, 0.1371299, 0.1624327, 0.1991227");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.63285");
+						index_3("0.0342555");
+						index_4("0.2806479, 0.3181616, 0.3484829, 0.3872436, 0.4262279");
+						values("0.297878, 0.476605, 0.595756, 0.476605, 0.297878");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.471699");
+						index_3("0.0342555");
+						index_4("0.2153228, 0.2420641, 0.2631927, 0.3030741, 0.3329306");
+						values("0.409806, 0.655689, 0.819612, 0.655689, 0.409806");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17135");
+						index_2("0.327892");
+						index_3("0.0342555");
+						index_4("0.1601512, 0.1777825, 0.2005487, 0.2317387, 0.2527767");
+						values("0.67452, 1.07923, 1.34904, 1.07923, 0.67452");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.316425");
+						index_3("0.0342555");
+						index_4("0.1510697, 0.1665356, 0.1831322, 0.2128514, 0.2366615");
+						values("0.252344, 0.40375, 0.504688, 0.40375, 0.252344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17135");
+						index_2("0.163946");
+						index_3("0.0342555");
+						index_4("0.0857238, 0.0948091, 0.1101015, 0.1289450, 0.1454459");
+						values("0.430619, 0.68899, 0.861238, 0.68899, 0.430619");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.471699");
+						index_3("0.102766");
+						index_4("0.2191996, 0.2472155, 0.2855981, 0.3302305, 0.3680349");
+						values("0.304803, 0.487684, 0.609605, 0.487684, 0.304803");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17135");
+						index_2("0.327892");
+						index_3("0.102766");
+						index_4("0.1592696, 0.1798143, 0.2135579, 0.2489864, 0.2809336");
+						values("0.405169, 0.648271, 0.810339, 0.648271, 0.405169");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.475154");
+						index_3("0.0249034");
+						index_4("0.2117707, 0.2443033, 0.2775845, 0.3115150, 0.3486874");
+						values("5.19294, 5.00871, 4.88588, 5.00871, 5.19294");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.377705");
+						index_3("0.0249034");
+						index_4("0.1754283, 0.1988810, 0.2250556, 0.2584537, 0.2891692");
+						values("5.10681, 4.87089, 4.71361, 4.87089, 5.10681");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.310851");
+						index_3("0.0249034");
+						index_4("0.1499890, 0.1679520, 0.1901276, 0.2207183, 0.2474018");
+						values("5.00408, 4.70652, 4.50815, 4.70652, 5.00408");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.237577");
+						index_3("0.0249034");
+						index_4("0.1209973, 0.1319890, 0.1508440, 0.1765362, 0.2005682");
+						values("5.23734, 5.07974, 4.97468, 5.07974, 5.23734");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.155425");
+						index_3("0.0249034");
+						index_4("0.0845357, 0.0935614, 0.1104010, 0.1308016, 0.1520515");
+						values("5.13377, 4.91403, 4.76754, 4.91403, 5.13377");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.377705");
+						index_3("0.0747101");
+						index_4("0.1798563, 0.2039459, 0.2420394, 0.2800707, 0.3176079");
+						values("5.19048, 5.00477, 4.88097, 5.00477, 5.19048");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.310851");
+						index_3("0.0747101");
+						index_4("0.1536730, 0.1728520, 0.2092839, 0.2424318, 0.2756899");
+						values("5.1371, 4.91936, 4.77419, 4.91936, 5.1371");
+					}
+				}
+			}
+			/* out_h_n#43_2_xres_h_n_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0153268";
+				miller_cap_rise : "0.00787774";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("93.8456, 44.8613, 16.6466, 15.1679, 14.6945, 14.3819, 13.9916, 13.5117, 12.9633, 12.3736, 11.7528, 11.1029, 10.4243, 9.71697, 8.98065, 8.21521, 7.42047, 6.59631, 5.74262, 4.85933, 3.94644, 3.00396, 2.03196, 1.03058, -1.03739e-07, -2.09933, -4.2452, -29.7066, -81.6707", \
+					  "91.8276, 40.8874, 12.7354, 10.9088, 10.7182, 10.6074, 10.4827, 10.3398, 10.1725, 9.9708, 9.71771, 9.38592, 8.95234, 8.43875, 7.8757, 7.27155, 6.62736, 5.94295, 5.21797, 4.45205, 3.64488, 2.79622, 1.90589, 0.973811, -1.09726e-07, -2.00138, -4.08594, -29.6529, -81.6069", \
+					  "91.0118, 38.6181, 10.323, 8.19256, 8.07282, 8.00618, 7.9335, 7.85337, 7.7639, 7.66244, 7.54503, 7.40551, 7.23344, 7.00988, 6.70185, 6.28686, 5.79572, 5.25091, 4.65566, 4.00975, 3.31255, 2.56343, 1.76188, 0.907487, -3.2923e-08, -1.88517, -3.89921, -29.5929, -81.5374", \
+					  "90.6733, 37.8967, 9.52314, 7.28072, 7.17735, 7.12103, 7.06017, 6.99371, 6.92033, 6.83821, 6.7448, 6.63624, 6.50638, 6.3446, 6.13094, 5.82996, 5.42204, 4.94029, 4.40213, 3.80921, 3.16096, 2.45655, 1.69528, 0.876569, -2.96154e-08, -1.83142, -3.81355, -29.566, -81.5068", \
+					  "90.2655, 37.2039, 8.75537, 6.37046, 6.28017, 6.23263, 6.18184, 6.1269, 6.06683, 6.00039, 5.92587, 5.84083, 5.74151, 5.62182, 5.47093, 5.26771, 4.97416, 4.57525, 4.10422, 3.57295, 2.9818, 2.32981, 1.61603, 0.83964, -5.97105e-08, -1.7676, -3.71265, -29.5349, -81.4715", \
+					  "90.0225, 36.8657, 8.39172, 5.93534, 5.83194, 5.78827, 5.74196, 5.69213, 5.6379, 5.57824, 5.51175, 5.43647, 5.34944, 5.24599, 5.1181, 4.95051, 4.71243, 4.36765, 3.93569, 3.43909, 2.88005, 2.25766, 1.5708, 0.818504, -2.0728e-07, -1.73127, -3.65562, -29.5175, -81.4519", \
+					  "89.7461, 36.5303, 8.0368, 5.59273, 5.38455, 5.34444, 5.30228, 5.25717, 5.20833, 5.15487, 5.09564, 5.02907, 4.95282, 4.8633, 4.75451, 4.61546, 4.42374, 4.13895, 3.7521, 3.29322, 2.769, 2.17876, 1.52124, 0.795276, -4.698e-05, -1.69164, -3.59375, -29.499, -81.4317", \
+					  "89.4312, 36.1955, 7.68897, 5.30417, 4.9375, 4.89979, 4.86111, 4.82007, 4.77591, 4.72784, 4.67493, 4.61589, 4.54889, 4.47115, 4.37815, 4.26195, 4.10677, 3.87943, 3.54563, 3.12784, 2.64101, 2.08523, 1.45906, 0.761104, -0.00982928, -1.66122, -3.54459, -29.52, -81.5678", \
+					  "89.072, 35.86, 7.34747, 5.03275, 4.47605, 4.39427, 4.35074, 4.30906, 4.26596, 4.22015, 4.17063, 4.11623, 4.05546, 3.98612, 3.9048, 3.80566, 3.67767, 3.4971, 3.22166, 2.8479, 2.39899, 1.877, 1.27992, 0.605563, -0.148125, -1.78532, -3.69701, -29.9498, -83.0503", \
+					  "88.6627, 35.522, 7.01196, 4.75869, 4.01855, 3.8278, 3.75581, 3.7079, 3.66352, 3.61872, 3.57179, 3.52142, 3.46623, 3.40443, 3.33337, 3.24875, 3.14276, 2.99926, 2.7827, 2.46112, 2.05477, 1.57053, 1.00587, 0.357536, -0.377689, -2.00375, -3.95648, -30.5467, -84.8856", \
+					  "88.1969, 35.18, 6.68228, 4.47308, 3.57145, 3.26992, 3.13457, 3.07214, 3.02434, 2.97973, 2.93495, 2.88825, 2.83821, 2.78325, 2.7213, 2.64913, 2.56119, 2.44656, 2.28049, 2.01933, 1.66138, 1.22011, 0.693169, 0.0762076, -0.635237, -2.24048, -4.22657, -31.1397, -86.6163", \
+					  "87.6679, 34.8323, 6.35833, 4.17539, 3.1342, 2.7368, 2.51675, 2.42496, 2.37033, 2.32474, 2.28151, 2.23798, 2.19253, 2.14368, 2.08971, 2.0282, 1.95516, 1.86317, 1.73611, 1.5367, 1.23421, 0.841389, 0.357814, -0.2219, -0.903493, -2.47595, -4.48184, -31.6943, -88.1744", \
+					  "87.0686, 34.4775, 6.04003, 3.86851, 2.7069, 2.22802, 1.92148, 1.77994, 1.71274, 1.66443, 1.6219, 1.58095, 1.53948, 1.496, 1.44902, 1.39664, 1.336, 1.26202, 1.16433, 1.01793, 0.778266, 0.439782, 0.00551308, -0.530727, -1.17598, -2.70288, -4.69302, -32.2014, -89.5541", \
+					  "86.3916, 34.1143, 5.72729, 3.55621, 2.29043, 1.74213, 1.35724, 1.14847, 1.05927, 1.00556, 0.962631, 0.923539, 0.885421, 0.846593, 0.805672, 0.761138, 0.710886, 0.651431, 0.57607, 0.469533, 0.29411, 0.0165482, -0.36211, -0.84826, -1.45029, -2.91831, -4.87285, -32.6598, -90.7691", \
+					  "85.6302, 33.742, 5.42023, 3.24257, 1.88657, 1.27884, 0.825456, 0.542048, 0.417039, 0.35385, 0.308977, 0.270884, 0.235432, 0.200556, 0.164852, 0.127028, 0.0855066, 0.0378825, -0.0201946, -0.0979181, -0.218841, -0.428992, -0.745298, -1.17426, -1.72567, -3.12095, -5.02421, -33.0648, -91.8366", \
+					  "84.7787, 33.3609, 5.11977, 2.93226, 1.4982, 0.83939, 0.326416, -0.0290738, -0.205702, -0.284665, -0.333608, -0.37176, -0.405301, -0.436933, -0.468213, -0.500336, -0.534531, -0.572475, -0.616999, -0.673675, -0.755577, -0.898454, -1.14529, -1.5094, -2.00214, -3.31008, -5.14586, -33.4175, -92.7715", \
+					  "83.8354, 32.9725, 4.82848, 2.63105, 1.12974, 0.426954, -0.137947, -0.557854, -0.798403, -0.902456, -0.958506, -0.998063, -1.03055, -1.05967, -1.0873, -1.11465, -1.14274, -1.17279, -1.20663, -1.24768, -1.30317, -1.39233, -1.56389, -1.85486, -2.28008, -3.48512, -5.23643, -33.7287, -93.5817", \
+					  "82.8071, 32.5817, 4.55166, 2.3467, 0.787873, 0.0472748, -0.562933, -1.03884, -1.3478, -1.48931, -1.55687, -1.5996, -1.63204, -1.65945, -1.6842, -1.70765, -1.73077, -1.75444, -1.77992, -1.8093, -1.84673, -1.90155, -2.00298, -2.2122, -2.56009, -3.64542, -5.2941, -34.0005, -94.2726", \
+					  "81.7191, 32.1995, 4.29862, 2.0903, 0.482777, -0.290223, -0.939943, -1.46439, -1.83855, -2.03078, -2.11622, -2.16448, -2.19812, -2.22469, -2.24738, -2.26782, -2.28701, -2.30571, -2.32477, -2.34557, -2.3706, -2.40481, -2.45994, -2.58321, -2.84289, -3.79012, -5.31604, -34.2337, -94.8587", \
+					  "80.6334, 31.8469, 4.08466, 1.87823, 0.229723, -0.570908, -1.25503, -1.82169, -2.2536, -2.50653, -2.61869, -2.67575, -2.71212, -2.73888, -2.76039, -2.77873, -2.79504, -2.81008, -2.8245, -2.83926, -2.85601, -2.87785, -2.91043, -2.96889, -3.12931, -3.91823, -5.29869, -34.4309, -95.3533", \
+					  "79.682, 31.5634, 3.93468, 1.73532, 0.0516745, -0.772543, -1.48663, -2.09014, -2.57162, -2.88864, -3.03848, -3.10885, -3.14991, -3.17805, -3.19935, -3.21655, -3.23106, -3.24372, -3.25515, -3.2661, -3.27784, -3.29269, -3.31466, -3.35003, -3.42044, -4.02945, -5.25168, -34.6008, -95.7726", \
+					  "79.3088, 31.4772, 3.91339, 1.72075, 0.000569093, -0.845648, -1.58728, -2.22425, -2.74909, -3.12779, -3.32732, -3.41797, -3.46709, -3.49887, -3.52189, -3.53982, -3.55448, -3.5669, -3.57777, -3.58779, -3.59808, -3.61067, -3.62918, -3.65925, -3.70896, -4.13265, -5.20277, -34.7518, -96.1294", \
+					  "79.3135, 31.4854, 3.94916, 1.75807, 4.60951e-07, -0.867435, -1.63514, -2.30332, -2.86717, -3.30202, -3.56096, -3.68104, -3.74246, -3.78036, -3.80696, -3.82722, -3.84354, -3.85719, -3.86898, -3.87963, -3.89013, -3.90232, -3.91947, -3.94695, -3.99261, -4.25814, -5.17059, -34.8891, -96.4326", \
+					  "79.3237, 31.4866, 3.98367, 1.79236, 1.1451e-07, -0.886453, -1.67766, -2.37401, -2.97244, -3.45594, -3.77779, -3.93716, -4.01517, -4.06092, -4.09192, -4.11499, -4.13325, -4.14833, -4.16121, -4.17264, -4.18353, -4.19549, -4.21148, -4.2365, -4.27809, -4.45834, -5.16345, -35.0163, -96.6887", \
+					  "79.333, 31.4947, 4.01624, 1.82336, 1.56754e-07, -0.903503, -1.71592, -2.43775, -3.06707, -3.59244, -3.97494, -4.18385, -4.28434, -4.34026, -4.37671, -4.40313, -4.42366, -4.4404, -4.45453, -4.46688, -4.47831, -4.49023, -4.50528, -4.52803, -4.56562, -4.72853, -5.21239, -35.1356, -96.9031", \
+					  "79.3491, 31.5166, 4.07583, 1.87676, 4.07719e-07, -0.932573, -1.78154, -2.54744, -3.22969, -3.82319, -4.3073, -4.6346, -4.80484, -4.89196, -4.94396, -4.97938, -5.00576, -5.02661, -5.0438, -5.05845, -5.07146, -5.08391, -5.09782, -5.11683, -5.14708, -5.28138, -5.62942, -35.3669, -97.2223", \
+					  "79.3627, 31.521, 4.12841, 1.92053, 1.16777e-06, -0.956097, -1.83509, -2.63752, -3.36338, -4.01047, -4.56913, -5.00816, -5.28368, -5.42599, -5.50387, -5.55307, -5.58782, -5.61429, -5.63553, -5.65324, -5.66849, -5.68229, -5.69612, -5.71273, -5.73705, -5.84416, -6.13789, -35.6354, -97.4275", \
+					  "79.3924, 31.547, 4.24876, 2.00953, 1.3847e-05, -1.00301, -1.94413, -2.82379, -3.64237, -4.39996, -5.09577, -5.72637, -6.28069, -6.72879, -7.03053, -7.20191, -7.30073, -7.36431, -7.40944, -7.44383, -7.47138, -7.49429, -7.51398, -7.53172, -7.54939, -7.60132, -7.74638, -36.3699, -97.5505", \
+					  "79.42, 31.5695, 4.35337, 2.07192, 0.00015448, -1.0346, -2.02394, -2.96811, -3.86742, -4.72214, -5.53257, -6.29886, -7.02093, -7.69807, -8.32807, -8.90485, -9.41302, -9.82207, -10.1066, -10.2855, -10.4003, -10.4796, -10.5384, -10.5844, -10.622, -10.6813, -10.7366, -37.8604, -96.3431");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0342555");
+						index_3("0.0250140, 0.0346276, 0.0448576, 0.0581344, 0.0831816");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.102766");
+						index_3("0.0319233, 0.0539229, 0.0774394, 0.1065473, 0.1580568");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0342555");
+						index_3("0.0719819, 0.0901820, 0.1029814, 0.1164799, 0.1414392");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.102766");
+						index_3("0.0840296, 0.1112117, 0.1347942, 0.1635331, 0.2154041");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0249034");
+						index_3("0.0302642, 0.0410625, 0.0519823, 0.0639433, 0.0818231");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0747101");
+						index_3("0.0383207, 0.0613401, 0.0848310, 0.1094598, 0.1464006");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0249034");
+						index_3("0.0769357, 0.0941561, 0.1062228, 0.1181449, 0.1359271");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0747101");
+						index_3("0.0876932, 0.1137446, 0.1371299, 0.1624327, 0.1991227");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.63285");
+						index_3("0.0342555");
+						index_4("0.2806479, 0.3181616, 0.3484829, 0.3872436, 0.4262279");
+						values("0.297878, 0.476605, 0.595756, 0.476605, 0.297878");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.471699");
+						index_3("0.0342555");
+						index_4("0.2153228, 0.2420641, 0.2631927, 0.3030741, 0.3329306");
+						values("0.409806, 0.655689, 0.819612, 0.655689, 0.409806");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17135");
+						index_2("0.327892");
+						index_3("0.0342555");
+						index_4("0.1601512, 0.1777825, 0.2005487, 0.2317387, 0.2527767");
+						values("0.67452, 1.07923, 1.34904, 1.07923, 0.67452");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.316425");
+						index_3("0.0342555");
+						index_4("0.1510697, 0.1665356, 0.1831322, 0.2128514, 0.2366615");
+						values("0.252344, 0.40375, 0.504688, 0.40375, 0.252344");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17135");
+						index_2("0.163946");
+						index_3("0.0342555");
+						index_4("0.0857238, 0.0948091, 0.1101015, 0.1289450, 0.1454459");
+						values("0.430619, 0.68899, 0.861238, 0.68899, 0.430619");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.471699");
+						index_3("0.102766");
+						index_4("0.2191996, 0.2472155, 0.2855981, 0.3302305, 0.3680349");
+						values("0.304803, 0.487684, 0.609605, 0.487684, 0.304803");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.17135");
+						index_2("0.327892");
+						index_3("0.102766");
+						index_4("0.1592696, 0.1798143, 0.2135579, 0.2489864, 0.2809336");
+						values("0.405169, 0.648271, 0.810339, 0.648271, 0.405169");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.475154");
+						index_3("0.0249034");
+						index_4("0.2117707, 0.2443033, 0.2775845, 0.3115150, 0.3486874");
+						values("5.19294, 5.00871, 4.88588, 5.00871, 5.19294");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.377705");
+						index_3("0.0249034");
+						index_4("0.1754283, 0.1988810, 0.2250556, 0.2584537, 0.2891692");
+						values("5.10681, 4.87089, 4.71361, 4.87089, 5.10681");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.310851");
+						index_3("0.0249034");
+						index_4("0.1499890, 0.1679520, 0.1901276, 0.2207183, 0.2474018");
+						values("5.00408, 4.70652, 4.50815, 4.70652, 5.00408");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("0.237577");
+						index_3("0.0249034");
+						index_4("0.1209973, 0.1319890, 0.1508440, 0.1765362, 0.2005682");
+						values("5.23734, 5.07974, 4.97468, 5.07974, 5.23734");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.155425");
+						index_3("0.0249034");
+						index_4("0.0845357, 0.0935614, 0.1104010, 0.1308016, 0.1520515");
+						values("5.13377, 4.91403, 4.76754, 4.91403, 5.13377");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("0.377705");
+						index_3("0.0747101");
+						index_4("0.1798563, 0.2039459, 0.2420394, 0.2800707, 0.3176079");
+						values("5.19048, 5.00477, 4.88097, 5.00477, 5.19048");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("0.310851");
+						index_3("0.0747101");
+						index_4("0.1536730, 0.1728520, 0.2092839, 0.2424318, 0.2756899");
+						values("5.1371, 4.91936, 4.77419, 4.91936, 5.1371");
+					}
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 0.850180;
+			capacitance : 0.853297;
+			fall_capacitance : 0.856414;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.5865382, -7.2342114, -4.8818848, -4.1331995, -3.4144616, -2.6657764, -1.9170911");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.0550460, 14.8299410, 15.6048350, 16.2925960, 16.9528470, 17.6406070, 18.3283680");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				miller_cap_fall : "0.00180349";
+				miller_cap_rise : "0.0203427";
+				dc_current ("ccsn_dc") {
+					index_1("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					index_2("-5.5, -2.75, -1.1, -0.55, 0, 0.275, 0.55, 0.825, 1.1, 1.375, 1.65, 1.925, 2.2, 2.475, 2.75, 3.025, 3.3, 3.575, 3.85, 4.125, 4.4, 4.675, 4.95, 5.225, 5.5, 6.05, 6.6, 8.25, 11");
+					values("87.0038, 34.9105, 4.50966, 1.31567, 1.3045, 1.29754, 1.28922, 1.27897, 1.26587, 1.24832, 1.22357, 1.18845, 1.14341, 1.09014, 1.02902, 0.960124, 0.883474, 0.799131, 0.707164, 0.607658, 0.500702, 0.386382, 0.26478, 0.135967, -3.39442e-09, -0.284227, -0.604469, -15.3923, -42.8011", \
+					  "87.0659, 34.9103, 4.50296, 1.29229, 1.28079, 1.27375, 1.26549, 1.25553, 1.24315, 1.22707, 1.20507, 1.17369, 1.13132, 1.07996, 1.02049, 0.953046, 0.877684, 0.794476, 0.703505, 0.604868, 0.498663, 0.38499, 0.263939, 0.135588, 6.23336e-09, -0.283661, -0.603591, -15.3913, -42.7986", \
+					  "87.1189, 34.9116, 4.49302, 1.27102, 1.25935, 1.25233, 1.2442, 1.23456, 1.22279, 1.20785, 1.18788, 1.15968, 1.1202, 1.07071, 1.01277, 0.946672, 0.872492, 0.790315, 0.700246, 0.602389, 0.496858, 0.383761, 0.263197, 0.135254, -1.25655e-09, -0.283164, -0.602825, -15.3905, -42.7965", \
+					  "87.2275, 34.9157, 4.45592, 1.22132, 1.20976, 1.20299, 1.19532, 1.18645, 1.17594, 1.16307, 1.14662, 1.12431, 1.09235, 1.04828, 0.994253, 0.931469, 0.860172, 0.7805, 0.692594, 0.596599, 0.492659, 0.380913, 0.261485, 0.134485, -1.42053e-10, -0.282027, -0.601082, -15.3886, -42.7917", \
+					  "87.4539, 34.9634, 4.42522, 1.11735, 1.10644, 1.10038, 1.09369, 1.08618, 1.07761, 1.06759, 1.05551, 1.04034, 1.02015, 0.991288, 0.949291, 0.89518, 0.831106, 0.757595, 0.674928, 0.583364, 0.483151, 0.374519, 0.257672, 0.132785, 3.38511e-09, -0.279552, -0.597332, -15.3848, -42.7819", \
+					  "87.5804, 34.9986, 4.4264, 1.0785, 1.05013, 1.04443, 1.03819, 1.03128, 1.02349, 1.01455, 1.00401, 0.991152, 0.974702, 0.952199, 0.919022, 0.871619, 0.812472, 0.743061, 0.663833, 0.575137, 0.477299, 0.37062, 0.255366, 0.131764, 1.81401e-08, -0.278093, -0.595151, -15.3826, -42.7764", \
+					  "87.7128, 35.0388, 4.44051, 1.0965, 0.991103, 0.985746, 0.979938, 0.973565, 0.966475, 0.958453, 0.949174, 0.93813, 0.924457, 0.906559, 0.881227, 0.842941, 0.790182, 0.725802, 0.650752, 0.565508, 0.470499, 0.366122, 0.252724, 0.130602, 1.21878e-08, -0.276454, -0.592729, -15.3803, -42.7705", \
+					  "87.8495, 35.0802, 4.46604, 1.1577, 0.929447, 0.924109, 0.918684, 0.912923, 0.9068, 0.899579, 0.891359, 0.881775, 0.870229, 0.855673, 0.836069, 0.807167, 0.763202, 0.705114, 0.63518, 0.554131, 0.462529, 0.360889, 0.249672, 0.12927, -4.70481e-07, -0.274606, -0.590033, -15.3778, -42.7643", \
+					  "87.987, 35.1199, 4.49692, 1.23476, 0.862667, 0.830574, 0.823999, 0.817983, 0.817983, 0.817983, 0.817983, 0.817983, 0.812604, 0.800549, 0.785005, 0.763302, 0.72986, 0.680049, 0.616438, 0.540533, 0.453072, 0.354726, 0.246099, 0.127708, -2.36098e-05, -0.272534, -0.587079, -15.3757, -42.7581", \
+					  "88.1222, 35.1561, 4.52793, 1.30289, 0.793637, 0.683782, 0.659506, 0.650753, 0.645887, 0.645887, 0.645887, 0.645887, 0.645887, 0.645887, 0.645887, 0.645887, 0.645887, 0.645887, 0.593642, 0.524094, 0.441723, 0.347385, 0.241868, 0.125859, -7.99885e-05, -0.270199, -0.58384, -15.3741, -42.752", \
+					  "88.2516, 35.188, 4.55342, 1.35598, 0.723699, 0.532992, 0.452206, 0.431547, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.421565, 0.338562, 0.236831, 0.123675, -0.000152331, -0.267522, -0.580214, -15.3725, -42.7457", \
+					  "88.3709, 35.2163, 4.57188, 1.39489, 0.652938, 0.390502, 0.237024, 0.179316, 0.16065, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.15844, 0.121077, -0.000231632, -0.264414, -0.576105, -15.3708, -42.7389", \
+					  "88.4753, 35.2391, 4.58345, 1.42132, 0.58147, 0.256018, 0.0340453, -0.0845991, -0.12543, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.139586, -0.260762, -0.571406, -15.3691, -42.7316", \
+					  "88.5593, 35.2564, 4.58869, 1.43685, 0.509462, 0.12853, -0.154681, -0.338735, -0.423931, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.453695, -0.565982, -15.3672, -42.7236", \
+					  "88.6167, 35.268, 4.5881, 1.44294, 0.437138, 0.00719302, -0.33048, -0.574654, -0.719292, -0.776976, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -0.789752, -15.365, -42.7148", \
+					  "88.6404, 35.2716, 4.58208, 1.44085, 0.36479, -0.108581, -0.494732, -0.792723, -0.997581, -1.10122, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -1.1361, -15.5784, -43.4182", \
+					  "88.6235, 35.2657, 4.57107, 1.43174, 0.292818, -0.219103, -0.648474, -0.994578, -1.25403, -1.41491, -1.48245, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -1.48932, -16.0787, -45.0083", \
+					  "88.5595, 35.2487, 4.55529, 1.41675, 0.221805, -0.324345, -0.792284, -1.18146, -1.48952, -1.70742, -1.82137, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -1.85267, -16.5482, -46.4087", \
+					  "88.4441, 35.2198, 4.53526, 1.39721, 0.152712, -0.423772, -0.926112, -1.35386, -1.70531, -1.97442, -2.14384, -2.21051, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -2.21267, -16.9805, -47.6201", \
+					  "88.2772, 35.1792, 4.51222, 1.37528, 0.0874054, -0.515829, -1.04878, -1.51106, -1.90132, -2.2155, -2.44013, -2.55467, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -2.57958, -17.3764, -48.6611", \
+					  "88.0731, 35.1319, 4.49026, 1.35614, 0.0307802, -0.595865, -1.15591, -1.64897, -2.07395, -2.42794, -2.70185, -2.8721, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -2.93067, -17.7365, -49.5497", \
+					  "87.9291, 35.109, 4.48829, 1.36029, 0.00156313, -0.64573, -1.22982, -1.75033, -2.20635, -2.59568, -2.91226, -3.13745, -3.24234, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -3.26245, -18.0642, -50.3022", \
+					  "87.9612, 35.1342, 4.51297, 1.39148, 1.16481e-06, -0.666656, -1.27308, -1.81899, -2.30362, -2.72529, -3.07983, -3.35446, -3.51531, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -3.56689, -18.3597, -50.9332", \
+					  "88.0097, 35.1615, 4.53727, 1.42126, 3.83446e-08, -0.684127, -1.31077, -1.87973, -2.39035, -2.84134, -3.22969, -3.54694, -3.76484, -3.85993, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -3.87768, -18.6184, -51.4472", \
+					  "88.0537, 35.186, 4.55933, 1.44802, 4.98035e-08, -0.69978, -1.34464, -1.93443, -2.46864, -2.9462, -3.36489, -3.719, -3.98839, -4.13678, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -4.18415, -18.8491, -51.8521", \
+					  "88.1302, 35.2281, 4.59741, 1.49354, 1.04835e-07, -0.726333, -1.40237, -2.02808, -2.6031, -3.12674, -3.59764, -4.01292, -4.36346, -4.6203, -4.75904, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -4.80351, -19.2781, -52.404", \
+					  "88.1932, 35.2627, 4.62843, 1.52987, 2.33095e-07, -0.747501, -1.44877, -2.10384, -2.7125, -3.27426, -3.78823, -4.25276, -4.66338, -5.00331, -5.24841, -5.37977, -5.42239, -5.42239, -5.42239, -5.42239, -5.42239, -5.42239, -5.42239, -5.42239, -5.42239, -5.42239, -5.42239, -19.7225, -52.701", \
+					  "88.3187, 35.3291, 4.69567, 1.59643, 2.07409e-06, -0.78663, -1.53646, -2.24967, -2.92628, -3.56612, -4.1689, -4.73408, -5.26061, -5.74348, -6.17414, -6.54841, -6.8548, -7.07046, -7.18351, -7.22178, -7.22178, -7.22178, -7.22178, -7.22178, -7.22178, -7.22178, -7.22178, -21.1705, -52.8862", \
+					  "88.3986, 35.3636, 4.72317, 1.62413, 1.99422e-05, -0.805183, -1.58405, -2.33687, -3.0638, -3.76488, -4.44008, -5.08926, -5.71218, -6.30706, -6.86821, -7.39469, -7.88751, -8.3465, -8.77037, -9.15585, -9.49567, -9.77492, -9.97261, -10.0828, -10.1282, -10.1282, -10.1282, -23.7836, -52.6357");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1379742, 0.2309516, 0.3455815, 0.5078295, 0.8098675");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1842438, 0.2764215, 0.3907403, 0.5530328, 0.8557426");
+						values("0.55, 1.65, 2.75, 3.85, 4.95");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0763721, 0.1112065, 0.1418807, 0.1743572, 0.2273736");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1280325, 0.1643829, 0.1952880, 0.2277700, 0.2808451");
+						values("4.95, 3.85, 2.75, 1.65, 0.55");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("3.48556");
+						index_3("0.001");
+						index_4("1.4581718, 1.7120616, 1.8406166, 2.0565869, 2.3226908");
+						values("0.098752, 0.158003, 0.197504, 0.158003, 0.098752");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("2.74304");
+						index_3("0.001");
+						index_4("1.1690161, 1.3700676, 1.4971479, 1.6524535, 1.8620745");
+						values("0.128912, 0.206259, 0.257824, 0.206259, 0.128912");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("2.24013");
+						index_3("0.001");
+						index_4("0.9725874, 1.1340439, 1.2576073, 1.3811153, 1.5454075");
+						values("0.165256, 0.264409, 0.330512, 0.264409, 0.165256");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.475");
+						index_2("1.74278");
+						index_3("0.001");
+						index_4("0.7884419, 0.9008738, 0.9943401, 1.1088670, 1.2478776");
+						values("0.0951422, 0.152228, 0.190284, 0.152228, 0.0951422");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("1.37152");
+						index_3("0.001");
+						index_4("0.6375561, 0.7220998, 0.7825420, 0.9073559, 1.0226182");
+						values("0.120201, 0.192322, 0.240402, 0.192322, 0.120201");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.025");
+						index_2("1.12007");
+						index_3("0.001");
+						index_4("0.5401739, 0.6033485, 0.6625720, 0.7678596, 0.8648681");
+						values("0.149916, 0.239865, 0.299831, 0.239865, 0.149916");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.75");
+						index_2("4.11456");
+						index_3("0.001");
+						index_4("1.6979654, 1.9965892, 2.1898466, 2.3916485, 2.7007411");
+						values("0.132289, 0.211662, 0.264577, 0.211662, 0.132289");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("2.78738");
+						index_2("5.1757");
+						index_3("0.001");
+						index_4("1.3590324, 2.6449297, 2.7925935, 2.9059237, 3.0186296");
+						values("5.34012, 5.24419, 5.18024, 5.24419, 5.34012");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.11776");
+						index_2("2.70987");
+						index_3("0.001");
+						index_4("1.3892585, 1.4921397, 1.6329620, 1.8035413, 1.9257175");
+						values("4.73585, 4.27737, 3.97171, 4.27737, 4.73585");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.43952");
+						index_2("1.7328");
+						index_3("0.001");
+						index_4("0.9340474, 1.0425307, 1.2152267, 1.3792767, 1.4949410");
+						values("3.87012, 2.89219, 2.24024, 2.89219, 3.87012");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("2.78738");
+						index_2("2.58785");
+						index_3("0.001");
+						index_4("0.7990133, 0.9385825, 1.3936307, 1.5133079, 1.6019835");
+						values("5.29716, 5.17545, 5.09431, 5.17545, 5.29716");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.11776");
+						index_2("1.35493");
+						index_3("0.001");
+						index_4("0.5649974, 0.7324220, 0.8307386, 0.9307729, 1.0100469");
+						values("5.02189, 4.73503, 4.54378, 4.73503, 5.02189");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.43952");
+						index_2("0.8664");
+						index_3("0.001");
+						index_4("0.4311214, 0.5014417, 0.5830024, 0.6711647, 0.7391792");
+						values("4.83992, 4.44387, 4.17984, 4.44387, 4.83992");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("3.11776");
+						index_2("4.0648");
+						index_3("0.001");
+						index_4("2.1693544, 2.3560992, 2.6284259, 2.8669596, 3.0203063");
+						values("4.03606, 3.1577, 2.57212, 3.1577, 4.03606");
+					}
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.120581;
+			capacitance : 0.132244;
+			fall_capacitance : 0.143906;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1821104, 1.0953364, 2.3727832, 3.6480644, 4.8723342, 6.1476153, 7.4228965");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1821945, -1.0938267, -2.3698478, -3.6437969, -4.8667880, -6.1407370, -7.4146861");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.098192;
+			capacitance : 0.137527;
+			fall_capacitance : 0.176862;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9608847, -0.2999624, -1.5608095, -2.8172483, -4.0234295, -5.2798683, -6.5363071");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.9610831, 0.2997668, 1.5606165, 2.8168901, 4.0229126, 5.2791861, 6.5354597");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.866088;
+			capacitance : 2.198942;
+			fall_capacitance : 2.531797;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0619930, -0.0619152, -0.0618374, -0.0614894, -0.0611553, -0.0608073, -0.0604593");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0620259, 0.0618683, 0.0617107, 0.0615236, 0.0613439, 0.0611568, 0.0609696");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050206;
+			capacitance : 0.050206;
+			fall_capacitance : 0.050206;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0318406, -0.0313478, -0.0308551, -0.0307575, -0.0306639, -0.0305663, -0.0304688");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0319009, 0.0314765, 0.0310521, 0.0309407, 0.0308337, 0.0307223, 0.0306109");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045986;
+			capacitance : 0.045988;
+			fall_capacitance : 0.045989;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0521885, -0.0518212, -0.0514538, -0.0511374, -0.0508336, -0.0505172, -0.0502008");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0519014, 0.0516842, 0.0514670, 0.0511056, 0.0507587, 0.0503973, 0.0500359");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+	}
+	 default_operating_conditions : "ff_ff_1p95v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v65_1v65.lib
new file mode 100644
index 0000000..aa32e56
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v65_1v65.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ss_100C_1v65_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.650000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.650000);
+	voltage_map("VCCHIB",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.495; 
+			 vih : 1.155; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+	 operating_conditions ("ff_ss_1p65v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.650000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.839960e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "28.3996000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "22.0909000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.016291;
+			capacitance : 0.015952;
+			fall_capacitance : 0.015612;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2148331, 0.4373491, 0.6598651, 0.7910532, 0.9169938, 1.0481819, 1.1793700");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1659074, -0.4507129, -0.7355184, -0.8664173, -0.9920802, -1.1229791, -1.2538780");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.022654;
+			capacitance : 0.022524;
+			fall_capacitance : 0.022394;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0098275, -0.0089556, -0.0080836, -0.0083961, -0.0086961, -0.0090087, -0.0093212");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0546635, 0.0585543, 0.0624451, 0.0621749, 0.0619155, 0.0616453, 0.0613751");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.029616;
+			capacitance : 0.029192;
+			fall_capacitance : 0.028769;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0206096, 0.0268972, 0.0744040, 0.0791327, 0.0836722, 0.0884009, 0.0931295");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0722754, 0.0886223, 0.1049693, 0.1041204, 0.1033054, 0.1024565, 0.1016075");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017432;
+			capacitance : 0.017440;
+			fall_capacitance : 0.017448;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0597685, 0.0621905, 0.0646124, 0.0668509, 0.0689998, 0.0712382, 0.0734767");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0313923, 0.0317297, 0.0320670, 0.0335417, 0.0349574, 0.0364320, 0.0379067");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.026009;
+			capacitance : 0.025647;
+			fall_capacitance : 0.025286;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0135033, 0.0008147, 0.0151326, 0.0238534, 0.0322253, 0.0409460, 0.0496668");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0658691, 0.0746169, 0.0833646, 0.1939753, 0.3001616, 0.4107722, 0.5213829");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010072;
+			capacitance : 0.010102;
+			fall_capacitance : 0.010133;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0114578, -0.0115766, -0.0116955, -0.0116659, -0.0116375, -0.0116079, -0.0115783");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0115062, 0.0115794, 0.0116526, 0.0116216, 0.0115918, 0.0115609, 0.0115299");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.655756;
+			max_transition : 3.752463;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("1.5329243, 1.5365199, 1.5454418, 1.5443362, 1.5634457, 1.5601196, 1.5774161", \
+ 					  "2.8242417, 2.8302341, 2.8350772, 2.8412132, 2.8461424, 2.8570024, 2.8772659", \
+ 					  "2.8261417, 2.8296673, 2.8330331, 2.8403510, 2.8476427, 2.8590908, 2.8759556", \
+ 					  "2.8323179, 2.8452169, 2.8400056, 2.8466962, 2.8548630, 2.8659269, 2.8813325", \
+ 					  "2.8513149, 2.8544552, 2.8553570, 2.8618741, 2.8704602, 2.8812176, 2.8967688", \
+ 					  "2.8620264, 2.8648932, 2.8691084, 2.8756789, 2.8842152, 2.8952403, 2.9106216", \
+ 					  "2.8783449, 2.8813874, 2.8860696, 2.8917864, 2.9008072, 2.9122624, 2.9276395");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("3.0772471, 3.1060553, 3.1160570, 3.1049707, 3.1346731, 3.1540376, 3.1692811", \
+ 					  "1.8938794, 1.8950837, 1.8989059, 1.9074688, 1.9203582, 1.9369996, 1.9532913", \
+ 					  "1.9535081, 1.9540142, 1.9586919, 1.9663323, 1.9792234, 1.9950925, 2.0222747", \
+ 					  "2.0031988, 2.0041601, 2.0093601, 2.0174457, 2.0290822, 2.0416134, 2.0731533", \
+ 					  "2.0508126, 2.0527263, 2.0566075, 2.0667259, 2.0765900, 2.0936692, 2.1164945", \
+ 					  "2.0942549, 2.0954041, 2.0994096, 2.1078835, 2.1195433, 2.1364518, 2.1603032", \
+ 					  "2.1298705, 2.1312416, 2.1344237, 2.1432723, 2.1568127, 2.1664222, 2.1888847");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("2.6173921, 2.6096019, 2.6151205, 2.6433891, 2.6335380, 2.6449512, 2.6650796", \
+ 					  "3.9281039, 3.9306123, 3.9363443, 3.9429363, 3.9537696, 3.9679913, 3.9897577", \
+ 					  "3.9292822, 3.9319988, 3.9374224, 3.9429874, 3.9537661, 3.9688140, 3.9891441", \
+ 					  "3.9354748, 3.9254724, 3.9304979, 3.9377689, 3.9482293, 3.9754328, 3.9839672", \
+ 					  "3.9224895, 3.9246445, 3.9300785, 3.9370230, 3.9472969, 3.9616786, 3.9831966", \
+ 					  "3.9225355, 3.9248023, 3.9301865, 3.9372307, 3.9476894, 3.9626093, 3.9837487", \
+ 					  "3.9248019, 3.9279656, 3.9329794, 3.9398688, 3.9500425, 3.9652773, 3.9865082");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("2.9939375, 2.9721546, 2.9620174, 2.9695049, 2.9958690, 3.0187713, 3.0336322", \
+ 					  "1.7714377, 1.7721668, 1.7704087, 1.7789338, 1.7966719, 1.8114770, 1.8336478", \
+ 					  "1.8265801, 1.8278391, 1.8372274, 1.8435767, 1.8585357, 1.8681549, 1.8902087", \
+ 					  "1.8828532, 1.8847992, 1.8889480, 1.8973143, 1.9106073, 1.9254639, 1.9476534", \
+ 					  "1.9317601, 1.9338697, 1.9357968, 1.9422426, 1.9559998, 1.9702790, 1.9920478", \
+ 					  "1.9749061, 1.9795209, 1.9808738, 1.9874317, 2.0035382, 2.0146304, 2.0468776", \
+ 					  "2.0124037, 2.0132021, 2.0177490, 2.0269266, 2.0394580, 2.0562026, 2.0796925");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008707, 0.04034905, 0.08104943, 0.1628046, 0.3270267, 0.656901");
+ 					values("-0.8269379, -0.8350167, -0.8338616, -0.8530213, -0.8236128, -0.8128101, -0.8307289", \
+ 					  "-0.8514440, -0.8460028, -0.8476038, -0.8473774, -0.8666890, -0.8222600, -0.8497214", \
+ 					  "-0.8314067, -0.8314451, -0.8350041, -0.8314548, -0.8523711, -0.8110852, -0.8403999", \
+ 					  "-0.8409838, -0.8681096, -0.8460606, -0.8412537, -0.8592341, -0.8169541, -0.8560748", \
+ 					  "-0.8416847, -0.8464962, -0.8339656, -0.8408621, -0.8694857, -0.8306821, -0.8518999", \
+ 					  "-0.8401723, -0.8465486, -0.8467045, -0.8424629, -0.8634884, -0.8217094, -0.8480698", \
+ 					  "-0.8369351, -0.8468806, -0.8476089, -0.8381492, -0.8528752, -0.8229857, -0.8544864");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008707, 0.04034905, 0.08104943, 0.1628046, 0.3270267, 0.656901");
+ 					values("0.9322156, 0.9333964, 0.9388747, 0.9251560, 0.9368261, 0.9628995, 0.9756834", \
+ 					  "0.9360297, 0.9605743, 0.9428461, 0.9394285, 0.9669691, 0.9725568, 0.9906651", \
+ 					  "0.9545588, 0.9246457, 0.9301388, 0.9276110, 0.9528867, 0.9643129, 0.9787636", \
+ 					  "0.9351968, 0.9456104, 0.9498396, 0.9393015, 0.9671452, 0.9723560, 0.9905520", \
+ 					  "0.9426116, 0.9450610, 0.9497043, 0.9562391, 0.9639490, 0.9735946, 0.9866590", \
+ 					  "0.9356935, 0.9440256, 0.9753663, 0.9390238, 0.9595092, 0.9724396, 0.9898683", \
+ 					  "0.9354969, 0.9576968, 0.9447502, 0.9537943, 0.9652239, 0.9758291, 0.9861736");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.04037246, 0.08111997, 0.1629935, 0.3275013, 0.658045");
+					values("1.5861105, 1.5826315, 1.6062370, 1.6119126, 1.5973836, 1.6219922, 1.6375279", \
+					  "2.7802188, 2.7821593, 2.7777893, 2.7932053, 2.8009819, 2.8011595, 2.8235760", \
+					  "2.7755777, 2.7778376, 2.7807609, 2.7878056, 2.7959967, 2.8054727, 2.8187090", \
+					  "2.7775154, 2.7816289, 2.7844242, 2.7902309, 2.7977538, 2.8077961, 2.8204108", \
+					  "2.7819459, 2.7842698, 2.7889529, 2.7949500, 2.8025653, 2.8121507, 2.8255742", \
+					  "2.7874385, 2.7898638, 2.7946235, 2.8018941, 2.8126529, 2.8187671, 2.8311719", \
+					  "2.7922005, 2.7830060, 2.7989956, 2.8069316, 2.8336489, 2.8346939, 2.8354105");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.04037246, 0.08111997, 0.1629935, 0.3275013, 0.658045");
+					values("3.0113146, 3.0087060, 3.0255729, 2.9947192, 3.0424967, 3.0449929, 3.0647610", \
+					  "1.8396745, 1.8424125, 1.8447173, 1.8521753, 1.8669779, 1.8812510, 1.9013805", \
+					  "1.8541503, 1.8569037, 1.8608498, 1.8669189, 1.8795349, 1.8949853, 1.9167307", \
+					  "1.8747462, 1.8758057, 1.8795720, 1.8880442, 1.9010121, 1.9153648, 1.9356797", \
+					  "1.8920969, 1.8937749, 1.8974189, 1.9046867, 1.9192939, 1.9366277, 1.9564519", \
+					  "1.9130216, 1.9156844, 1.9199321, 1.9273925, 1.9411660, 1.9568249, 1.9806405", \
+					  "1.9387864, 1.9403758, 1.9438286, 1.9511567, 1.9656310, 1.9809833, 2.0016584");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.04037246, 0.08111997, 0.1629935, 0.3275013, 0.658045");
+					values("1.5627275, 1.5667714, 1.5780447, 1.5684547, 1.5831220, 1.5931368, 1.6089497", \
+					  "2.7421032, 2.7530753, 2.7578679, 2.7546175, 2.7713292, 2.7815424, 2.7943316", \
+					  "2.7414731, 2.7480960, 2.7525449, 2.7550299, 2.7664245, 2.7764321, 2.7850265", \
+					  "2.7469158, 2.7516587, 2.7538464, 2.7591800, 2.7676585, 2.7775813, 2.7905931", \
+					  "2.7501291, 2.7548088, 2.7572489, 2.7633380, 2.7712990, 2.7809330, 2.7938971", \
+					  "2.7569713, 2.7598887, 2.7641472, 2.7704386, 2.7774480, 2.7877535, 2.8011760", \
+					  "2.7612287, 2.7631881, 2.7686922, 2.7744450, 2.7814816, 2.7913149, 2.8042067");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.04037246, 0.08111997, 0.1629935, 0.3275013, 0.658045");
+					values("3.0125727, 3.0034342, 2.9822441, 3.0447384, 3.0434777, 3.0237627, 3.0615103", \
+					  "1.8285714, 1.8299700, 1.8333885, 1.8426333, 1.8555988, 1.8714544, 1.8938314", \
+					  "1.8437725, 1.8443779, 1.8492545, 1.8567845, 1.8703442, 1.8852229, 1.9083060", \
+					  "1.8629143, 1.8643401, 1.8684351, 1.8754683, 1.8877699, 1.9066994, 1.9249934", \
+					  "1.8812686, 1.8837132, 1.8863220, 1.8927327, 1.9076199, 1.9238990, 1.9396104", \
+					  "1.9004149, 1.9016733, 1.9075028, 1.9151542, 1.9286955, 1.9454674, 1.9625655", \
+					  "1.9267845, 1.9279513, 1.9313750, 1.9357432, 1.9525867, 1.9682294, 1.9923575");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("217.5111700, 217.7052400, 217.8622500, 218.0714100, 218.5029000, 219.2010600, 220.4257600", \
+ 					  "219.7025400, 219.8044500, 219.9559400, 220.2528400, 220.6788700, 221.3786000, 222.6080400", \
+ 					  "221.2714600, 221.3820500, 221.5444700, 221.8390600, 222.2972900, 222.9393200, 224.1672400", \
+ 					  "222.5960700, 222.6954800, 222.8242300, 223.1566300, 223.5919000, 224.2908000, 225.5207400", \
+ 					  "223.7812000, 223.8830300, 224.0500500, 224.3224800, 224.7642400, 225.4691400, 226.6956300", \
+ 					  "224.8509800, 224.9561200, 225.1063800, 225.3751600, 225.8431800, 226.5158200, 227.7703900", \
+ 					  "225.8421600, 225.9442300, 226.0884700, 226.3365200, 226.7701400, 227.4758700, 228.7062600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5837955, 0.6433961, 0.7603551, 0.9739747, 1.3468839, 2.0565616, 3.5246555", \
+ 					  "0.5747231, 0.6416870, 0.7607930, 0.9730610, 1.3424237, 2.0558255, 3.5263092", \
+ 					  "0.5818278, 0.6440573, 0.7715525, 0.9707752, 1.3477252, 2.0592261, 3.5326380", \
+ 					  "0.5799932, 0.6442118, 0.7625505, 0.9743259, 1.3477331, 2.0553810, 3.5376024", \
+ 					  "0.5747129, 0.6508466, 0.7715752, 0.9654482, 1.3463245, 2.0623996, 3.5242209", \
+ 					  "0.5752545, 0.6413775, 0.7539782, 0.9670953, 1.3415468, 2.0568107, 3.5289065", \
+ 					  "0.5747060, 0.6416064, 0.7623579, 0.9728275, 1.3463584, 2.0618540, 3.5248779");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("243.1417600, 243.1561700, 243.3129700, 243.6616200, 244.0876900, 244.8081500, 246.1335100", \
+ 					  "242.8274400, 242.9224300, 243.0916500, 243.3510200, 243.7793400, 244.4953700, 245.8259900", \
+ 					  "245.5391600, 245.6342300, 245.8032500, 246.0600700, 246.4863400, 247.2058900, 248.5286400", \
+ 					  "248.5304500, 248.6397100, 248.8063700, 249.0692500, 249.4915900, 250.1967100, 251.5367000", \
+ 					  "251.6350400, 251.7296600, 251.8980000, 252.1590000, 252.5847300, 253.3037100, 254.6274500", \
+ 					  "254.7915900, 254.8742100, 255.0351600, 255.3130700, 255.7413600, 256.4600800, 257.7844200", \
+ 					  "257.9654100, 258.0483200, 258.2159500, 258.4719100, 258.9025600, 259.6201500, 260.9449700");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5170800, 0.5777387, 0.7024948, 0.9138704, 1.3108170, 2.1004782, 3.7371759", \
+ 					  "0.5155807, 0.5788205, 0.7030881, 0.9126294, 1.3123631, 2.1077247, 3.7356505", \
+ 					  "0.5155812, 0.5789413, 0.7034566, 0.9110180, 1.3113113, 2.1072444, 3.7490042", \
+ 					  "0.5137901, 0.5826814, 0.6990761, 0.9184228, 1.3110070, 2.0975457, 3.7524632", \
+ 					  "0.5157896, 0.5789420, 0.7035451, 0.9113996, 1.3116223, 2.1013666, 3.7479055", \
+ 					  "0.5153947, 0.5808671, 0.6982975, 0.9129631, 1.3115692, 2.1019853, 3.7459280", \
+ 					  "0.5193414, 0.5779341, 0.7044369, 0.9140050, 1.3110720, 2.1017310, 3.7497259");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("222.2498800, 222.3184200, 222.5209200, 222.8222200, 223.2286100, 223.9335700, 225.1637900", \
+ 					  "224.1954900, 224.2999700, 224.4749000, 224.7532600, 225.1859900, 225.8892900, 227.1192700", \
+ 					  "225.8319000, 225.9338000, 226.1098600, 226.3876300, 226.8227900, 227.5268300, 228.7466700", \
+ 					  "227.0702200, 227.1721100, 227.3478100, 227.6259000, 228.0613500, 228.7646500, 229.9926400", \
+ 					  "228.1824200, 228.2874600, 228.4630200, 228.7750200, 229.1750100, 229.8802100, 231.1058300", \
+ 					  "229.3040400, 229.4077000, 229.5808900, 229.8624400, 230.2937100, 230.9992300, 232.2268000", \
+ 					  "230.2229200, 230.3282700, 230.5016600, 230.7845500, 231.2219900, 231.9197800, 233.1531900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5849194, 0.6433236, 0.7617202, 0.9739881, 1.3473844, 2.0548201, 3.5230159", \
+ 					  "0.5760765, 0.6419248, 0.7578762, 0.9729888, 1.3450642, 2.0567776, 3.5169245", \
+ 					  "0.5744091, 0.6414038, 0.7713322, 0.9743788, 1.3478131, 2.0538500, 3.5375802", \
+ 					  "0.5732188, 0.6417715, 0.7716706, 0.9743103, 1.3477124, 2.0611588, 3.5250529", \
+ 					  "0.5736835, 0.6373616, 0.7709772, 0.9743548, 1.3473379, 2.0522741, 3.5274077", \
+ 					  "0.5785754, 0.6397035, 0.7584333, 0.9669111, 1.3443604, 2.0488413, 3.5288308", \
+ 					  "0.5833423, 0.6499404, 0.7593429, 0.9743415, 1.3456967, 2.0634476, 3.5159957");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("223.7310600, 223.7857900, 223.9637000, 224.1438500, 224.6634000, 225.4337900, 226.7527600", \
+ 					  "225.3292200, 225.4237000, 225.5885500, 225.8500900, 226.2768400, 227.0022300, 228.3169200", \
+ 					  "228.4902200, 228.5800800, 228.7510100, 229.0144900, 229.4387400, 230.1713500, 231.4936200", \
+ 					  "231.5886300, 231.7017500, 231.8631100, 232.1285100, 232.5512000, 233.2777300, 234.5967400", \
+ 					  "234.5412000, 234.6457800, 234.7689200, 235.0316200, 235.4582300, 236.1806800, 237.4942800", \
+ 					  "237.3433100, 237.4377600, 237.6034300, 237.8691200, 238.2913200, 239.0147800, 240.3334600", \
+ 					  "240.0581700, 240.1513700, 240.3182600, 240.5790000, 241.0080200, 241.7303100, 243.0530500");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5159670, 0.5796326, 0.7018815, 0.9168084, 1.3107181, 2.0979616, 3.7480876", \
+ 					  "0.5148142, 0.5806725, 0.6996968, 0.9179437, 1.3113278, 2.0979722, 3.7494998", \
+ 					  "0.5148871, 0.5800109, 0.7004539, 0.9163616, 1.3107160, 2.1000699, 3.7313752", \
+ 					  "0.5180712, 0.5822186, 0.7014045, 0.9122624, 1.3173798, 2.0992819, 3.7324996", \
+ 					  "0.5134251, 0.5850365, 0.7005304, 0.9183420, 1.3128485, 2.0980166, 3.7480148", \
+ 					  "0.5127842, 0.5786793, 0.7023513, 0.9152603, 1.3101948, 2.0976474, 3.7473238", \
+ 					  "0.5132453, 0.5786601, 0.7011819, 0.9149847, 1.3137217, 2.0980044, 3.7361736");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200871, 0.040349, 0.0810494, 0.162805, 0.327027, 0.656901");
+ 					values("207.3523300, 207.4674500, 207.6345900, 207.8902200, 208.3545600, 209.0654300, 210.2868700", \
+ 					  "207.4603500, 207.5624400, 207.7274200, 208.0052300, 208.4498200, 209.1575600, 210.3874700", \
+ 					  "207.6247500, 207.7322000, 207.8997600, 208.1777800, 208.6231300, 209.3253800, 210.5548700", \
+ 					  "207.8040100, 207.9048900, 208.0778600, 208.3558400, 208.8001900, 209.5031100, 210.7322200", \
+ 					  "207.9953400, 208.1052600, 208.2733100, 208.5466700, 208.9945800, 209.6986500, 210.9233600", \
+ 					  "208.1799600, 208.2888800, 208.4524300, 208.7304600, 209.1851200, 209.8875200, 211.1076000", \
+ 					  "208.3647800, 208.4851500, 208.6457600, 208.9225100, 209.3633900, 210.0686600, 211.2980600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200871, 0.040349, 0.0810494, 0.162805, 0.327027, 0.656901");
+ 					values("0.5834012, 0.6465506, 0.7615949, 0.9724849, 1.3432471, 2.0540423, 3.5297616", \
+ 					  "0.5733782, 0.6404553, 0.7607374, 0.9616353, 1.3453194, 2.0605342, 3.5357357", \
+ 					  "0.5834440, 0.6506646, 0.7610770, 0.9618414, 1.3464677, 2.0541140, 3.5382637", \
+ 					  "0.5834819, 0.6465652, 0.7610897, 0.9618509, 1.3452831, 2.0553710, 3.5369663", \
+ 					  "0.5867926, 0.6491566, 0.7611443, 0.9676651, 1.3455059, 2.0583644, 3.5320622", \
+ 					  "0.5834269, 0.6465448, 0.7610947, 0.9618532, 1.3465455, 2.0540809, 3.5383168", \
+ 					  "0.5798566, 0.6422828, 0.7585531, 0.9656408, 1.3488544, 2.0551618, 3.5356571");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200871, 0.040349, 0.0810494, 0.162805, 0.327027, 0.656901");
+ 					values("214.0057700, 214.0585900, 214.2506800, 214.5263000, 214.9659900, 215.6821600, 217.0077800", \
+ 					  "214.2411400, 214.3378900, 214.5005500, 214.7673700, 215.1932500, 215.9169300, 217.2433100", \
+ 					  "214.4701500, 214.5667500, 214.7294700, 214.9964200, 215.4221100, 216.1460800, 217.4720200", \
+ 					  "214.6813900, 214.7780500, 214.9408100, 215.2076500, 215.6335500, 216.3572600, 217.6835100", \
+ 					  "214.8770300, 214.9734300, 215.1324600, 215.3957300, 215.8251700, 216.5486400, 217.8745100", \
+ 					  "215.0307700, 215.1248900, 215.2854000, 215.5656500, 215.9915900, 216.7010000, 218.0269400", \
+ 					  "215.2122700, 215.3087500, 215.4713900, 215.7455300, 216.1682600, 216.8879100, 218.2100200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200871, 0.040349, 0.0810494, 0.162805, 0.327027, 0.656901");
+ 					values("0.5150612, 0.5778304, 0.7029307, 0.9181238, 1.3112549, 2.0971387, 3.7463970", \
+ 					  "0.5156708, 0.5861391, 0.7028156, 0.9137968, 1.3089329, 2.0982882, 3.7525352", \
+ 					  "0.5191291, 0.5862448, 0.7027785, 0.9138619, 1.3085261, 2.0985320, 3.7529038", \
+ 					  "0.5156128, 0.5861359, 0.7028178, 0.9137940, 1.3089443, 2.0982889, 3.7525232", \
+ 					  "0.5109202, 0.5752412, 0.7079469, 0.9188899, 1.3140108, 2.1034052, 3.7534700", \
+ 					  "0.5133444, 0.5753098, 0.7055462, 0.9139374, 1.3086571, 2.1031485, 3.7532213", \
+ 					  "0.5142687, 0.5794473, 0.7030573, 0.9130211, 1.3120246, 2.0971500, 3.7540850");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("218.2318600, 218.2733600, 218.4605100, 218.7332200, 219.2407300, 219.8997000, 221.1039600", \
+					  "220.3926600, 220.5480000, 220.6642800, 220.9420800, 221.3891400, 222.0907000, 223.3244200", \
+					  "222.0489400, 222.1841600, 222.3579400, 222.6019400, 223.0425100, 223.7489800, 224.9849000", \
+					  "223.3763600, 223.4765300, 223.6521900, 223.9308500, 224.3698400, 225.0927800, 226.3117700", \
+					  "224.5976700, 224.7034000, 224.8714400, 225.1491800, 225.5940900, 226.2943500, 227.5356400", \
+					  "225.7417700, 225.8384800, 226.0160600, 226.2881200, 226.7315700, 227.4405100, 228.6737700", \
+					  "226.8362800, 226.9436000, 227.1099800, 227.3877600, 227.8325900, 228.5326800, 229.7776400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("0.5736301, 0.6395089, 0.7597171, 0.9663370, 1.3471344, 2.0633562, 3.5406542", \
+					  "0.5854822, 0.6496063, 0.7616817, 0.9637818, 1.3464924, 2.0612805, 3.5372696", \
+					  "0.5722203, 0.6429587, 0.7574771, 0.9694607, 1.3423743, 2.0562096, 3.5358376", \
+					  "0.5765725, 0.6412588, 0.7610473, 0.9682969, 1.3429670, 2.0581676, 3.5391503", \
+					  "0.5860881, 0.6496071, 0.7612049, 0.9690071, 1.3466503, 2.0587767, 3.5391496", \
+					  "0.5860153, 0.6528718, 0.7666213, 0.9702589, 1.3440485, 2.0588928, 3.5401235", \
+					  "0.5860443, 0.6496064, 0.7611863, 0.9690413, 1.3466418, 2.0586368, 3.5313195");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("221.8769300, 221.9390600, 222.1117800, 222.3836300, 222.7456000, 223.5970800, 224.8962400", \
+					  "223.9399500, 224.0280800, 224.2096900, 224.4631200, 224.8874700, 225.6259400, 226.9361500", \
+					  "225.8801000, 225.9729400, 226.1538600, 226.4143000, 226.8251600, 227.5638500, 228.8774300", \
+					  "227.4139500, 227.4887800, 227.6690100, 227.9224800, 228.3572400, 229.0769300, 230.4090400", \
+					  "228.6721100, 228.7670500, 228.9415200, 229.1976800, 229.6198000, 230.3483100, 231.6803300", \
+					  "229.7889400, 229.8834000, 230.0572400, 230.3159200, 230.7374400, 231.4743700, 232.7964900", \
+					  "230.7800000, 230.8678400, 231.0381000, 231.2950600, 231.7201900, 232.4506500, 233.7785800");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("0.5145884, 0.5823076, 0.7087105, 0.9200009, 1.3141217, 2.1052191, 3.7537085", \
+					  "0.5113224, 0.5873723, 0.7002403, 0.9152549, 1.3141719, 2.1047344, 3.7591121", \
+					  "0.5152355, 0.5818032, 0.7021866, 0.9134486, 1.3117998, 2.1029238, 3.7418419", \
+					  "0.5183357, 0.5863627, 0.7010449, 0.9142501, 1.3095508, 2.0993602, 3.7631191", \
+					  "0.5125692, 0.5780749, 0.6955325, 0.9156499, 1.3132736, 2.1036231, 3.7530810", \
+					  "0.5132900, 0.5782987, 0.7028801, 0.9133117, 1.3131823, 2.1032460, 3.7629428", \
+					  "0.5133031, 0.5765902, 0.7010864, 0.9200779, 1.3145223, 2.1056437, 3.7506735");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("218.0520900, 218.1520500, 218.3848700, 218.5993500, 219.0431200, 219.8674200, 221.0818600", \
+					  "220.2952300, 220.4542800, 220.6224100, 220.8869900, 221.3456900, 222.0495900, 223.2812100", \
+					  "221.9495200, 222.0078000, 222.2307200, 222.4960400, 222.9515400, 223.6126400, 224.8716600", \
+					  "223.2598700, 223.3646500, 223.5380100, 223.8180600, 224.2554700, 224.9630500, 226.2060800", \
+					  "224.4623400, 224.5696200, 224.7431200, 225.0155300, 225.4607100, 226.1682000, 227.4072000", \
+					  "225.5652700, 225.6735000, 225.8862400, 226.1268000, 226.5658900, 227.3124800, 228.5272800", \
+					  "226.6870600, 226.7943700, 226.9679600, 227.2308600, 227.6839300, 228.3918700, 229.6258300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("0.5767781, 0.6390561, 0.7578865, 0.9728997, 1.3496061, 2.0563895, 3.5425156", \
+					  "0.5744182, 0.6501439, 0.7609627, 0.9674000, 1.3461429, 2.0571038, 3.5432404", \
+					  "0.5797003, 0.6376517, 0.7583514, 0.9667865, 1.3515360, 2.0635766, 3.5349588", \
+					  "0.5776675, 0.6427304, 0.7567964, 0.9689252, 1.3489116, 2.0587245, 3.5296501", \
+					  "0.5803620, 0.6459871, 0.7585161, 0.9640030, 1.3494401, 2.0577680, 3.5421710", \
+					  "0.5761970, 0.6419649, 0.7612009, 0.9749389, 1.3496596, 2.0608897, 3.5317413", \
+					  "0.5798108, 0.6457476, 0.7580037, 0.9650607, 1.3475177, 2.0558295, 3.5431647");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("221.7315500, 221.8163800, 221.9537500, 222.1982500, 222.5802100, 223.3116900, 224.6471200", \
+					  "223.7970000, 223.8894000, 224.0515800, 224.3154900, 224.7410300, 225.4673300, 226.7954200", \
+					  "225.6890900, 225.7834000, 225.9371900, 226.2249600, 226.6414300, 227.3693100, 228.6900700", \
+					  "227.1396100, 227.2244900, 227.3972800, 227.6508000, 228.0848600, 228.8139000, 230.1352600", \
+					  "228.4160800, 228.5276500, 228.6739800, 228.9403900, 229.3769900, 230.0930500, 231.4177700", \
+					  "229.4905000, 229.5954000, 229.7623400, 230.0284200, 230.4417800, 231.1780800, 232.4891100", \
+					  "230.4420300, 230.5877200, 230.7062200, 230.9640500, 231.3908700, 232.1159500, 233.4448900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200929, 0.0403725, 0.08112, 0.162994, 0.327501, 0.658045");
+					values("0.5145450, 0.5814062, 0.7089408, 0.9199961, 1.3148059, 2.1045721, 3.7596131", \
+					  "0.5136606, 0.5750275, 0.7036166, 0.9206320, 1.3150127, 2.1027127, 3.7602730", \
+					  "0.5224859, 0.5751403, 0.7062944, 0.9150455, 1.3114854, 2.1018107, 3.7599945", \
+					  "0.5131782, 0.5823705, 0.7037405, 0.9143998, 1.3128566, 2.1016073, 3.7594359", \
+					  "0.5167867, 0.5806902, 0.7030600, 0.9143000, 1.3099507, 2.1007849, 3.7584567", \
+					  "0.5117899, 0.5796503, 0.7039849, 0.9131293, 1.3154100, 2.1021274, 3.7595935", \
+					  "0.5121763, 0.5749554, 0.7036412, 0.9128775, 1.3133036, 2.1052993, 3.7597161");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 1.023426;
+			capacitance : 1.024485;
+			rise_capacitance : 1.025544;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1838864, -1.1443672, -1.1048480, -1.0887210, -1.0732391, -1.0571121, -1.0409851");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.2290393, 1.2459764, 1.2629135, 1.3117151, 1.3585646, 1.4073662, 1.4561678");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.082727;
+			capacitance : 0.101418;
+			fall_capacitance : 0.120109;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0131687, 0.0738833, 0.1609352, 0.2477014, 0.3309970, 0.4177632, 0.5045294");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0177233, -0.0661997, -0.1501226, -0.2331867, -0.3129282, -0.3959922, -0.4790563");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.084279;
+			capacitance : 0.125087;
+			fall_capacitance : 0.165895;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0872442, 0.0136400, 0.1145243, 0.2158033, 0.3130311, 0.4143101, 0.5155891");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0872615, -0.0136308, -0.1145230, -0.2158055, -0.3130366, -0.4143191, -0.5156015");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.681498;
+			capacitance : 1.981093;
+			fall_capacitance : 2.280688;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0055715, -0.0056572, -0.0057428, -0.0056985, -0.0056560, -0.0056118, -0.0055675");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0055602, 0.0056318, 0.0057035, 0.0056720, 0.0056418, 0.0056103, 0.0055788");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.049995;
+			capacitance : 0.049974;
+			fall_capacitance : 0.049954;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0028633, -0.0028728, -0.0028823, -0.0028739, -0.0028658, -0.0028573, -0.0028489");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0028593, 0.0028803, 0.0029014, 0.0028916, 0.0028822, 0.0028724, 0.0028626");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045954;
+			capacitance : 0.045950;
+			fall_capacitance : 0.045946;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0046581, -0.0047026, -0.0047470, -0.0047352, -0.0047239, -0.0047121, -0.0047003");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0046698, 0.0047246, 0.0047794, 0.0047579, 0.0047373, 0.0047159, 0.0046945");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p65v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v65.lib
new file mode 100644
index 0000000..1d94eb1
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v65.lib
@@ -0,0 +1,871 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+                         vol : 0.165; 
+                         voh : 1.485; 
+                         vomax : 1.732; 
+                         vomin : -0.083; 
+                }
+                 output_voltage (GENERAL_CORE_VOLTAGE) { 
+                         vol : 0.195; 
+                         voh : 1.755; 
+                         vomax : 2.047; 
+                         vomin : -0.098; 
+                }
+                 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+                         vil : 0.412; 
+                         vih : 1.237; 
+                         vimax : 1.732; 
+                         vimin : -0.083; 
+                }
+                 input_voltage (GENERAL_CORE_VOLTAGE) { 
+                         vil : 0.585; 
+                         vih : 1.365; 
+                         vimax : 2.047; 
+                         vimin : -0.098;
+                }
+	 operating_conditions ("ff_ss_1p95v_x_1p65v_100C") {
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		dont_use : true;
+		is_macro_cell : true; 
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.687320e+02;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "168.7320000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "27.0292000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.016294;
+			capacitance : 0.015947;
+			fall_capacitance : 0.015601;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2148054, 0.4371699, 0.6595343, 0.7907594, 0.9167354, 1.0479605, 1.1791855");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1659147, -0.4505314, -0.7351482, -0.8662484, -0.9921045, -1.1232047, -1.2543048");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.022607;
+			capacitance : 0.022510;
+			fall_capacitance : 0.022412;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0097780, -0.0090341, -0.0082902, -0.0085538, -0.0088068, -0.0090704, -0.0093339");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0547725, 0.0586411, 0.0625097, 0.0622655, 0.0620310, 0.0617868, 0.0615425");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+	                 max_transition : 3.75;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.029798;
+			capacitance : 0.029288;
+			fall_capacitance : 0.028777;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0207541, 0.0268741, 0.0745023, 0.0790689, 0.0834528, 0.0880194, 0.0925860");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0725994, 0.0887540, 0.1049087, 0.1040630, 0.1032511, 0.1024055, 0.1015598");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+                         input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017492;
+			capacitance : 0.017530;
+			fall_capacitance : 0.017568;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0937050, 0.0994896, 0.1052743, 0.1128973, 0.1202154, 0.1278385, 0.1354615");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0419798, 0.0451322, 0.0482847, 0.0547781, 0.0610118, 0.0675052, 0.0739986");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+                                related_pin : "ENABLE_H";
+                                timing_type : non_seq_hold_falling;
+                                fall_constraint (scalar) { 
+                                         values ("-35");
+                                } 
+                        }
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.025995;
+			capacitance : 0.025645;
+			fall_capacitance : 0.025295;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0135517, 0.0005750, 0.0147017, 0.0232459, 0.0314484, 0.0399926, 0.0485368");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0659811, 0.0745477, 0.0831144, 0.1970903, 0.3065071, 0.4204829, 0.5344587");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+                                related_pin : "ENABLE_VDDIO";
+                                timing_type : non_seq_hold_rising;
+                                rise_constraint (scalar) { 
+                                         values ("-35");
+                                } 
+                        }
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010065;
+			capacitance : 0.010085;
+			fall_capacitance : 0.010105;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0115154, -0.0116510, -0.0117865, -0.0117540, -0.0117228, -0.0116902, -0.0116577");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0115383, 0.0116665, 0.0117947, 0.0117534, 0.0117138, 0.0116726, 0.0116314");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.654612;
+			max_transition : 3.749247;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+ 					values("1.5513343, 1.5731174, 1.5641496, 1.5709221, 1.5791933, 1.5892859, 1.6108134", \
+ 					  "2.9709481, 2.9742463, 2.9744852, 2.9803349, 2.9895405, 3.0039608, 3.0160147", \
+ 					  "2.9685850, 2.9709937, 2.9712116, 2.9769824, 2.9859578, 3.0014411, 3.0119134", \
+ 					  "2.9745438, 2.9780271, 2.9823333, 2.9893982, 2.9976304, 3.0087111, 3.0240914", \
+ 					  "2.9890153, 2.9916093, 2.9965611, 3.0031372, 3.0116059, 3.0223296, 3.0372070", \
+ 					  "2.9991257, 3.0015541, 3.0059271, 3.0119505, 3.0206232, 3.0317196, 3.0467430", \
+ 					  "3.0163138, 3.0189089, 3.0239487, 3.0296275, 3.0385332, 3.0496675, 3.0649396");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+ 					values("3.0818961, 3.0853553, 3.0877959, 3.1013240, 3.0976845, 3.1204416, 3.1531889", \
+ 					  "1.7350424, 1.7364886, 1.7396362, 1.7500547, 1.7619610, 1.7778113, 1.8007452", \
+ 					  "1.7897757, 1.7908326, 1.7952555, 1.8031229, 1.8151800, 1.8333223, 1.8541674", \
+ 					  "1.8378331, 1.8390701, 1.8435516, 1.8514250, 1.8653182, 1.8799738, 1.8997789", \
+ 					  "1.8843063, 1.8852784, 1.8892418, 1.8971565, 1.9107895, 1.9300390, 1.9452456", \
+ 					  "1.9232365, 1.9245929, 1.9281783, 1.9301082, 1.9401670, 1.9627173, 1.9848780", \
+ 					  "1.9612285, 1.9624938, 1.9665083, 1.9748168, 1.9872199, 2.0027695, 2.0278397");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+ 					values("3.0204963, 3.0162750, 3.0308488, 3.0179545, 3.0426284, 3.0651559, 3.1003075", \
+ 					  "1.6929594, 1.6928970, 1.6944470, 1.7049847, 1.7171154, 1.7323303, 1.7606190", \
+ 					  "1.7512464, 1.7533277, 1.7578804, 1.7659345, 1.7776553, 1.7942306, 1.8195825", \
+ 					  "1.8036630, 1.8033473, 1.8087402, 1.8176149, 1.8294821, 1.8497697, 1.8675695", \
+ 					  "1.8477526, 1.8440839, 1.8527658, 1.8607776, 1.8727368, 1.8907922, 1.9133755", \
+ 					  "1.8887040, 1.8849351, 1.8948452, 1.9033310, 1.9154801, 1.9338071, 1.9563533", \
+ 					  "1.9327953, 1.9243272, 1.9364530, 1.9470625, 1.9580362, 1.9748413, 1.9972164");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+ 					values("2.7306054, 2.7335527, 2.7383474, 2.7446788, 2.7562897, 2.7734990, 2.7944415", \
+ 					  "4.1804073, 4.1819347, 4.1861649, 4.1944803, 4.2060582, 4.2202947, 4.2426926", \
+ 					  "4.1751442, 4.1766586, 4.1824625, 4.1902475, 4.2002721, 4.2174142, 4.2409101", \
+ 					  "4.1752322, 4.1780702, 4.1831790, 4.1905880, 4.2010588, 4.2222857, 4.2454954", \
+ 					  "4.1820306, 4.1845386, 4.1900142, 4.1969934, 4.2077526, 4.2217748, 4.2447764", \
+ 					  "4.1839136, 4.1869060, 4.1923639, 4.1993106, 4.2096222, 4.2240517, 4.2470762", \
+ 					  "4.1802334, 4.1831551, 4.1877639, 4.1954855, 4.2057586, 4.2212193, 4.2430660");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("-0.8382026, -0.8375996, -0.8497382, -0.8399912, -0.8333498, -0.8172378, -0.8328517", \
+ 					  "-0.8373471, -0.8647573, -0.8594638, -0.8535242, -0.8443361, -0.8447771, -0.8405057", \
+ 					  "-0.8375750, -0.8370215, -0.8346707, -0.8381132, -0.8450203, -0.8245921, -0.8323994", \
+ 					  "-0.8463139, -0.8683195, -0.8578857, -0.8472921, -0.8458907, -0.8428131, -0.8437399", \
+ 					  "-0.8499334, -0.8489874, -0.8896039, -0.8450558, -0.8387794, -0.8362668, -0.8462217", \
+ 					  "-0.8488236, -0.8973488, -0.8548106, -0.8639771, -0.8529149, -0.8344795, -0.8392960", \
+ 					  "-0.8497839, -0.8492552, -0.8610393, -0.8574015, -0.8401299, -0.8378114, -0.8417950");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("0.9350460, 0.9378189, 0.9412509, 0.9720181, 0.9556495, 0.9651650, 0.9781363", \
+ 					  "0.9450217, 0.9484924, 0.9768548, 0.9685239, 0.9652819, 0.9675920, 0.9905440", \
+ 					  "0.9348418, 0.9370467, 0.9370006, 0.9721855, 0.9576419, 0.9675757, 0.9803361", \
+ 					  "0.9454645, 0.9478095, 0.9526085, 0.9676851, 0.9664391, 0.9766802, 0.9883166", \
+ 					  "0.9463822, 0.9090198, 0.9527293, 0.9618903, 0.9622653, 0.9762890, 0.9890110", \
+ 					  "0.9462567, 0.9492933, 0.9612713, 0.9624051, 0.9671231, 0.9709542, 0.9894838", \
+ 					  "0.9455901, 0.9480054, 0.9525809, 0.9583094, 0.9664571, 0.9762249, 0.9883572");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007539, 0.04030213, 0.0809081, 0.1624262, 0.3260768, 0.654612");
+					values("1.5858101, 1.6015336, 1.5778568, 1.5986197, 1.6042866, 1.6121772, 1.6253689", \
+					  "2.7747351, 2.7769709, 2.7819255, 2.7852124, 2.7956621, 2.8005144, 2.8183107", \
+					  "2.7752416, 2.7782613, 2.7818824, 2.7880591, 2.7968691, 2.8057743, 2.8184449", \
+					  "2.7789353, 2.7815064, 2.7860537, 2.7923291, 2.8016693, 2.8097672, 2.8224638", \
+					  "2.7844213, 2.7870113, 2.7912520, 2.8020338, 2.8051615, 2.8084874, 2.8272929", \
+					  "2.7893987, 2.7918362, 2.7960221, 2.8019601, 2.8101456, 2.8197210, 2.8323203", \
+					  "2.7950422, 2.7979268, 2.8018169, 2.8085249, 2.8164482, 2.8140097, 2.8384020");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007539, 0.04030213, 0.0809081, 0.1624262, 0.3260768, 0.654612");
+					values("3.0053597, 3.0088201, 3.0001389, 3.0229031, 3.0591047, 3.0495734, 3.0880060", \
+					  "1.8352752, 1.8369659, 1.8411234, 1.8495800, 1.8616961, 1.8768093, 1.8989424", \
+					  "1.8505330, 1.8506479, 1.8552857, 1.8626383, 1.8770413, 1.8951815, 1.9159635", \
+					  "1.8701444, 1.8712061, 1.8746645, 1.8832535, 1.8962512, 1.9139280, 1.9387929", \
+					  "1.8878193, 1.8888682, 1.8926226, 1.9019075, 1.9145701, 1.9306895, 1.9538349", \
+					  "1.9070607, 1.9088557, 1.9117496, 1.9201819, 1.9352660, 1.9518291, 1.9757290", \
+					  "1.9290127, 1.9325117, 1.9336824, 1.9423614, 1.9590074, 1.9769453, 1.9994568");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007539, 0.04030213, 0.0809081, 0.1624262, 0.3260768, 0.654612");
+					values("1.5572075, 1.5593458, 1.5635408, 1.5813212, 1.5862431, 1.5873108, 1.5984087", \
+					  "2.7470793, 2.7496146, 2.7543324, 2.7604423, 2.7676834, 2.7774482, 2.7905909", \
+					  "2.7473531, 2.7498043, 2.7533175, 2.7600476, 2.7682093, 2.7773281, 2.7901722", \
+					  "2.7501753, 2.7519896, 2.7562616, 2.7626744, 2.7708320, 2.7800390, 2.7923247", \
+					  "2.7546646, 2.7573935, 2.7618376, 2.7677639, 2.7757304, 2.7846724, 2.7980296", \
+					  "2.7577073, 2.7606476, 2.7646214, 2.7703202, 2.7779053, 2.7880948, 2.8008062", \
+					  "2.7624771, 2.7653032, 2.7698158, 2.7756306, 2.7836702, 2.7933580, 2.8060800");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007539, 0.04030213, 0.0809081, 0.1624262, 0.3260768, 0.654612");
+					values("3.0068949, 3.0135109, 2.9921851, 3.0051264, 3.0498820, 3.0509246, 3.0719659", \
+					  "1.8256739, 1.8262267, 1.8313382, 1.8387507, 1.8520109, 1.8704155, 1.8922659", \
+					  "1.8418769, 1.8403256, 1.8464011, 1.8543149, 1.8664660, 1.8839654, 1.9017646", \
+					  "1.8591771, 1.8589531, 1.8641638, 1.8740111, 1.8860755, 1.8984992, 1.9205590", \
+					  "1.8775975, 1.8782014, 1.8818367, 1.8904964, 1.9042938, 1.9198944, 1.9433081", \
+					  "1.8990488, 1.8994578, 1.9038499, 1.9143920, 1.9246135, 1.9398634, 1.9634255", \
+					  "1.9200772, 1.9233881, 1.9255624, 1.9346178, 1.9476710, 1.9652602, 1.9816546");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("218.3338200, 218.5294100, 218.6939400, 218.9260000, 219.3205300, 220.0256800, 221.3957200", \
+ 					  "220.2481700, 220.3587900, 220.5325700, 220.8171000, 221.1761100, 221.8816900, 223.1104900", \
+ 					  "221.4665800, 221.5627900, 221.6630600, 222.0535700, 222.3923300, 223.1186800, 224.3171900", \
+ 					  "222.3999500, 222.5101500, 222.6984300, 222.9831500, 223.4220800, 224.1258400, 225.3490000", \
+ 					  "223.2799400, 223.3708300, 223.5485500, 223.8334800, 224.2717000, 224.9758100, 226.1996700", \
+ 					  "224.0288200, 224.1073100, 224.2983000, 224.5829800, 225.0209000, 225.7252200, 226.9496000", \
+ 					  "224.7272300, 224.8153100, 224.9963400, 225.2765900, 225.7129700, 226.4160200, 227.6341900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("0.5739997, 0.6395235, 0.7599164, 0.9765475, 1.3495992, 2.0570265, 3.5231822", \
+ 					  "0.5857539, 0.6398533, 0.7613902, 0.9668269, 1.3434863, 2.0531103, 3.5364345", \
+ 					  "0.5866545, 0.6436214, 0.7691210, 0.9690591, 1.3451881, 2.0580140, 3.5264638", \
+ 					  "0.5742809, 0.6388969, 0.7631653, 0.9668936, 1.3514790, 2.0533184, 3.5311447", \
+ 					  "0.5900175, 0.6533673, 0.7615625, 0.9678361, 1.3513447, 2.0550763, 3.5232390", \
+ 					  "0.5858248, 0.6505457, 0.7613629, 0.9684393, 1.3508935, 2.0566031, 3.5238692", \
+ 					  "0.5745989, 0.6403442, 0.7630178, 0.9798178, 1.3492123, 2.0569104, 3.5302197");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("242.3832900, 242.4996800, 242.5844600, 242.8552900, 243.3548000, 244.0780000, 245.3819000", \
+ 					  "241.6156800, 241.7119400, 241.8775000, 242.1437800, 242.5737800, 243.2914500, 244.6081500", \
+ 					  "244.5258400, 244.6304600, 244.7848400, 245.0795800, 245.4966400, 246.2255100, 247.5352400", \
+ 					  "247.8196600, 247.9158400, 248.0788900, 248.3392900, 248.7889200, 249.5242500, 250.8075700", \
+ 					  "251.1943900, 251.3018800, 251.4545400, 251.7160500, 252.1567000, 252.8558100, 254.1929400", \
+ 					  "254.5509400, 254.6482600, 254.8110800, 255.0727900, 255.5024400, 256.2244700, 257.5425500", \
+ 					  "257.8808300, 257.9774600, 258.1299700, 258.4009200, 258.8297100, 259.5515700, 260.8701900");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("0.5132261, 0.5823234, 0.7043887, 0.9113691, 1.3147864, 2.1026427, 3.7369241", \
+ 					  "0.5171549, 0.5845828, 0.7027715, 0.9164743, 1.3144550, 2.1001836, 3.7297507", \
+ 					  "0.5151718, 0.5811633, 0.7039145, 0.9202110, 1.3110132, 2.0988740, 3.7519352", \
+ 					  "0.5150453, 0.5847699, 0.7010007, 0.9205023, 1.3145727, 2.1021558, 3.7517878", \
+ 					  "0.5138558, 0.5776284, 0.7008398, 0.9165520, 1.3137448, 2.0946982, 3.7301229", \
+ 					  "0.5121373, 0.5811038, 0.7004499, 0.9165492, 1.3088437, 2.0996747, 3.7521728", \
+ 					  "0.5172427, 0.5833993, 0.7121319, 0.9165450, 1.3079916, 2.1039359, 3.7450554");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("221.9436200, 222.1555300, 222.3314200, 222.5365300, 223.0890600, 223.7371500, 224.9064600", \
+ 					  "223.6942300, 223.7929900, 223.9702200, 224.2489500, 224.6866600, 225.3916300, 226.6150300", \
+ 					  "224.7518700, 224.8562700, 225.0282900, 225.3042100, 225.7516300, 226.4516300, 227.6775000", \
+ 					  "225.6806500, 225.7816900, 225.9618100, 226.2401800, 226.6796300, 227.3793000, 228.6056700", \
+ 					  "226.5247600, 226.6293400, 226.8037500, 227.0964800, 227.5333600, 228.2226500, 229.4655100", \
+ 					  "227.2506400, 227.3553000, 227.5297100, 227.7245400, 228.1585700, 228.9434100, 230.1756400", \
+ 					  "227.8683600, 227.9702800, 228.1508700, 228.4192200, 228.8600500, 229.5618900, 230.7920400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("0.5768186, 0.6447359, 0.7633346, 0.9646986, 1.3488242, 2.0553121, 3.5228684", \
+ 					  "0.5738932, 0.6492560, 0.7651007, 0.9803520, 1.3499560, 2.0583879, 3.5160590", \
+ 					  "0.5741997, 0.6417096, 0.7627767, 0.9676162, 1.3471514, 2.0500657, 3.5230470", \
+ 					  "0.5719169, 0.6419219, 0.7590706, 0.9656390, 1.3497413, 2.0507778, 3.5243439", \
+ 					  "0.5799764, 0.6426344, 0.7586363, 0.9683460, 1.3509676, 2.0549389, 3.5191028", \
+ 					  "0.5799596, 0.6425689, 0.7588140, 0.9717240, 1.3424986, 2.0629620, 3.5191128", \
+ 					  "0.5758110, 0.6432126, 0.7554993, 0.9694694, 1.3410956, 2.0594180, 3.5334802");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("223.9802900, 223.9968400, 224.2774900, 224.5042100, 224.9472900, 225.6102200, 226.9444400", \
+ 					  "225.4272300, 225.5385600, 225.6782900, 225.9355100, 226.3676200, 227.0900100, 228.4125000", \
+ 					  "228.6300000, 228.7357400, 228.8956000, 229.1681900, 229.5855900, 230.3074100, 231.6278200", \
+ 					  "231.9140600, 232.0068700, 232.1665300, 232.4344500, 232.8596100, 233.5805200, 234.9027600", \
+ 					  "234.9623300, 235.0647500, 235.2256300, 235.4977700, 235.9181100, 236.6439700, 237.9559300", \
+ 					  "237.9881600, 238.0911600, 238.2489400, 238.4861400, 238.9279000, 239.6493000, 240.9694800", \
+ 					  "240.8802400, 240.9885800, 241.1445100, 241.4115000, 241.8386800, 242.5604500, 243.8819100");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+ 					values("0.5213635, 0.5808774, 0.7027764, 0.9139856, 1.3148217, 2.1009694, 3.7523478", \
+ 					  "0.5181742, 0.5845267, 0.7011242, 0.9147640, 1.3128008, 2.1024007, 3.7270191", \
+ 					  "0.5134178, 0.5803483, 0.7046980, 0.9142256, 1.3147791, 2.1028119, 3.7374850", \
+ 					  "0.5134207, 0.5827437, 0.7016212, 0.9164986, 1.3139392, 2.1008999, 3.7483101", \
+ 					  "0.5133037, 0.5781843, 0.7039004, 0.9156801, 1.3145348, 2.1010331, 3.7466763", \
+ 					  "0.5149597, 0.5835157, 0.7001966, 0.9214240, 1.3141466, 2.1027184, 3.7472088", \
+ 					  "0.5132948, 0.5861261, 0.7038883, 0.9215479, 1.3141984, 2.0944604, 3.7430623");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("207.3378800, 207.4363900, 207.6126900, 207.8927100, 208.3293000, 209.0347200, 210.2609200", \
+ 					  "207.4350900, 207.5313400, 207.7124000, 207.9929800, 208.4241300, 209.1296100, 210.3610400", \
+ 					  "207.6471800, 207.7457100, 207.9230800, 208.2020500, 208.6385000, 209.3439900, 210.5701900", \
+ 					  "207.8154300, 207.9125800, 208.0854200, 208.3704800, 208.8071800, 209.5126800, 210.7386100", \
+ 					  "207.9999600, 208.1063300, 208.2554100, 208.4772500, 208.9958600, 209.6277400, 210.8539300", \
+ 					  "208.1559000, 208.2574000, 208.4486300, 208.7058600, 209.1468000, 209.8555300, 211.0966600", \
+ 					  "208.3678200, 208.4692700, 208.6410700, 208.9291200, 209.3636200, 210.0691300, 211.2888800");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5860671, 0.6489533, 0.7647158, 0.9801448, 1.3503090, 2.0586071, 3.5268608", \
+ 					  "0.5771074, 0.6486463, 0.7591023, 0.9780730, 1.3500173, 2.0587887, 3.5307588", \
+ 					  "0.5862200, 0.6491528, 0.7649301, 0.9803027, 1.3504524, 2.0585065, 3.5268348", \
+ 					  "0.5860527, 0.6496044, 0.7611819, 0.9807681, 1.3507647, 2.0581963, 3.5267620", \
+ 					  "0.5754889, 0.6434143, 0.7614728, 0.9750488, 1.3465629, 2.0551087, 3.5293954", \
+ 					  "0.5776640, 0.6512960, 0.7605309, 0.9737570, 1.3464139, 2.0569535, 3.5285221", \
+ 					  "0.5808831, 0.6474474, 0.7608570, 0.9792128, 1.3477607, 2.0608619, 3.5288055");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("213.9895100, 214.0855600, 214.2499200, 214.5008300, 214.9409100, 215.6624700, 216.9757100", \
+ 					  "214.1770900, 214.2720200, 214.4379900, 214.7084400, 215.1377500, 215.8610900, 217.1658500", \
+ 					  "214.4620900, 214.5566500, 214.7159000, 214.9664600, 215.4071000, 216.1281900, 217.4519600", \
+ 					  "214.6708800, 214.7683600, 214.9354100, 215.1668500, 215.6176200, 216.3166800, 217.6607800", \
+ 					  "214.8753600, 214.9759700, 215.1399200, 215.3934800, 215.8209000, 216.5491500, 217.8738000", \
+ 					  "215.0510300, 215.1470500, 215.2950700, 215.5579800, 215.9957100, 216.7191200, 218.0372700", \
+ 					  "215.2496000, 215.3476000, 215.5160800, 215.7676700, 216.1988300, 216.9220600, 218.2395200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5145205, 0.5860401, 0.7099597, 0.9234787, 1.3127571, 2.0995652, 3.7521861", \
+ 					  "0.5189615, 0.5836378, 0.6996007, 0.9218518, 1.3120873, 2.1028903, 3.7525250", \
+ 					  "0.5160474, 0.5812107, 0.7107818, 0.9235304, 1.3115838, 2.0991913, 3.7553427", \
+ 					  "0.5129530, 0.5784544, 0.7049454, 0.9217407, 1.3143651, 2.1014039, 3.7483274", \
+ 					  "0.5275990, 0.5831334, 0.7037040, 0.9171151, 1.3143459, 2.0956805, 3.7477239", \
+ 					  "0.5144638, 0.5863642, 0.7069840, 0.9173051, 1.3125852, 2.1031366, 3.7521639", \
+ 					  "0.5130021, 0.5787436, 0.7048734, 0.9203747, 1.3144382, 2.1036655, 3.7479664");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("218.1941500, 218.3007100, 218.4627000, 218.7489100, 219.1161300, 219.8527700, 221.0166300", \
+					  "220.3477000, 220.4477300, 220.6205400, 220.8967200, 221.3784700, 222.0798900, 223.3269900", \
+					  "222.0070900, 222.1074700, 222.3130900, 222.5847900, 223.0055000, 223.7221500, 224.9078800", \
+					  "223.3826300, 223.4815200, 223.6515500, 223.9373100, 224.3681400, 225.0725500, 226.2931700", \
+					  "224.5965600, 224.6952800, 224.8728900, 225.1480100, 225.5846600, 226.2893000, 227.5126900", \
+					  "225.7286000, 225.8152400, 226.0049300, 226.2809600, 226.7331500, 227.4361000, 228.6637200", \
+					  "226.8194400, 226.9153500, 227.0934800, 227.3692600, 227.8116100, 228.5174800, 229.7403200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("0.5794230, 0.6434216, 0.7745931, 0.9687278, 1.3509643, 2.0570108, 3.5237413", \
+					  "0.5910016, 0.6517591, 0.7697766, 0.9735073, 1.3497312, 2.0636211, 3.5246708", \
+					  "0.5840228, 0.6417069, 0.7583771, 0.9684516, 1.3507975, 2.0544006, 3.5238643", \
+					  "0.5860079, 0.6499796, 0.7614909, 0.9683718, 1.3494830, 2.0568280, 3.5280290", \
+					  "0.5862166, 0.6489337, 0.7643545, 0.9796723, 1.3498630, 2.0563433, 3.5137344", \
+					  "0.5896526, 0.6455375, 0.7594099, 0.9781251, 1.3377661, 2.0576825, 3.5183594", \
+					  "0.5770432, 0.6512267, 0.7703887, 0.9695712, 1.3505108, 2.0555304, 3.5210905");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("221.9001900, 221.9856000, 222.1212900, 222.3923200, 222.8061400, 223.4393400, 224.8437200", \
+					  "223.9189600, 223.9737400, 224.1760500, 224.4433300, 224.8349100, 225.5853700, 226.8721700", \
+					  "225.8651600, 225.9497200, 226.1267400, 226.3980300, 226.8223400, 227.5509100, 228.8513800", \
+					  "227.3789100, 227.4746300, 227.6417600, 227.8907700, 228.3177900, 229.0511700, 230.3695200", \
+					  "228.6605800, 228.7448800, 228.9141200, 229.1687100, 229.5945600, 230.3255300, 231.6398200", \
+					  "229.7591900, 229.8499300, 230.0166000, 230.2718900, 230.6891400, 231.4272200, 232.7434300", \
+					  "230.8287500, 230.9206300, 231.0851400, 231.3531700, 231.7783400, 232.4893600, 233.8090000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("0.5137025, 0.5832457, 0.6996681, 0.9140876, 1.3123767, 2.0995727, 3.7389616", \
+					  "0.5133296, 0.5786078, 0.7038703, 0.9149033, 1.3141380, 2.1016180, 3.7407384", \
+					  "0.5135095, 0.5785012, 0.6951784, 0.9224307, 1.3129509, 2.1014734, 3.7367740", \
+					  "0.5132234, 0.5861024, 0.7024060, 0.9151974, 1.3184667, 2.1016646, 3.7479047", \
+					  "0.5166014, 0.5863427, 0.6990317, 0.9190252, 1.3083411, 2.1014597, 3.7459427", \
+					  "0.5138711, 0.5861660, 0.7024786, 0.9225773, 1.3140820, 2.1015902, 3.7259245", \
+					  "0.5273598, 0.5891022, 0.7063777, 0.9206675, 1.3135675, 2.0957780, 3.7492467");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("218.0308800, 218.1817000, 218.4150200, 218.6132400, 219.0903800, 219.7605800, 220.9852600", \
+					  "220.2769500, 220.3998400, 220.5587600, 220.8624600, 221.2710200, 221.9924400, 223.2343900", \
+					  "221.8712900, 221.9784000, 222.1941900, 222.4281300, 222.9107000, 223.6383400, 224.8415300", \
+					  "223.1882800, 223.2833500, 223.4667000, 223.7464500, 224.1813300, 224.8762000, 226.1108400", \
+					  "224.4722500, 224.5736400, 224.7416400, 225.0269400, 225.4631300, 226.1647900, 227.3907300", \
+					  "225.5206800, 225.6923200, 225.8803300, 226.1604500, 226.5832400, 227.2895000, 228.5148800", \
+					  "226.6578200, 226.6934600, 226.9349400, 227.2161200, 227.6495200, 228.2936700, 229.5798300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("0.5750937, 0.6424878, 0.7583196, 0.9679896, 1.3450482, 2.0568902, 3.5255892", \
+					  "0.5764135, 0.6448084, 0.7746531, 0.9697486, 1.3503650, 2.0533890, 3.5327861", \
+					  "0.5809814, 0.6361199, 0.7644924, 0.9715863, 1.3495923, 2.0539286, 3.5166587", \
+					  "0.5724759, 0.6358142, 0.7614077, 0.9791815, 1.3480570, 2.0502562, 3.5148766", \
+					  "0.5859794, 0.6558328, 0.7614551, 0.9683521, 1.3503005, 2.0546809, 3.5146843", \
+					  "0.5813028, 0.6452457, 0.7605843, 0.9805239, 1.3370381, 2.0595414, 3.5153565", \
+					  "0.5833582, 0.6530198, 0.7597847, 0.9793035, 1.3467741, 2.0510740, 3.5142516");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("221.7885000, 221.8533600, 221.8958600, 222.3077200, 222.5809700, 223.4766000, 224.5967000", \
+					  "223.7800300, 223.8787100, 224.0433600, 224.3096200, 224.7282100, 225.4541900, 226.7849100", \
+					  "225.6745500, 225.7729800, 225.9431000, 226.2088000, 226.6314300, 227.3514400, 228.6768700", \
+					  "227.1463600, 227.2415300, 227.4056600, 227.6632500, 228.0952700, 228.8127100, 230.1311100", \
+					  "228.4061200, 228.5013200, 228.6659800, 228.9310000, 229.3615200, 230.0553300, 231.4005300", \
+					  "229.5142300, 229.6100400, 229.7737500, 230.0319400, 230.4655600, 231.1816400, 232.5044300", \
+					  "230.4974300, 230.5919400, 230.7553200, 231.0270900, 231.4248300, 232.1714700, 233.4607400");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200754, 0.0403021, 0.0809081, 0.162426, 0.326077, 0.654612");
+					values("0.5213967, 0.5824109, 0.6971847, 0.9119165, 1.3129475, 2.1013069, 3.7451438", \
+					  "0.5133515, 0.5798631, 0.7046648, 0.9126529, 1.3143244, 2.1015205, 3.7459627", \
+					  "0.5147565, 0.5881768, 0.7053554, 0.9158533, 1.3126836, 2.1014717, 3.7471972", \
+					  "0.5166715, 0.5824330, 0.6992956, 0.9198350, 1.3136500, 2.0994773, 3.7324730", \
+					  "0.5195048, 0.5832380, 0.7084142, 0.9170412, 1.3135812, 2.0979123, 3.7407415", \
+					  "0.5152303, 0.5811394, 0.7005815, 0.9206825, 1.3110334, 2.0958537, 3.7270467", \
+					  "0.5258989, 0.5859047, 0.7023064, 0.9230913, 1.3146458, 2.0987703, 3.7354817");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 1.022347;
+			capacitance : 1.023858;
+			rise_capacitance : 1.025368;
+			max_transition : 25.000000;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1927096, -1.1507230, -1.1087364, -1.0884467, -1.0689686, -1.0486789, -1.0283892");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.2383898, 1.2573192, 1.2762485, 1.3232211, 1.3683148, 1.4152873, 1.4622599");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.082553;
+			capacitance : 0.101332;
+			fall_capacitance : 0.120110;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0133431, 0.0734084, 0.1601598, 0.2469818, 0.3303309, 0.4171529, 0.5039748");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0178347, -0.0657784, -0.1493914, -0.2325325, -0.3123478, -0.3954888, -0.4786298");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.084460;
+			capacitance : 0.125247;
+			fall_capacitance : 0.166033;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0878578, 0.0129190, 0.1136957, 0.2150414, 0.3123333, 0.4136789, 0.5150246");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0880199, -0.0128174, -0.1136547, -0.2150129, -0.3123168, -0.4136750, -0.5150332");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.681444;
+			capacitance : 1.981097;
+			fall_capacitance : 2.280750;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0057125, -0.0057568, -0.0058011, -0.0057562, -0.0057132, -0.0056683, -0.0056234");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0056985, 0.0057675, 0.0058364, 0.0058320, 0.0058277, 0.0058232, 0.0058187");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.049953;
+			capacitance : 0.049953;
+			fall_capacitance : 0.049953;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0028131, -0.0028686, -0.0029240, -0.0029189, -0.0029141, -0.0029090, -0.0029040");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0027440, 0.0028494, 0.0029547, 0.0029406, 0.0029270, 0.0029129, 0.0028988");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.046008;
+			capacitance : 0.046020;
+			fall_capacitance : 0.046032;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0046283, -0.0047434, -0.0048585, -0.0048456, -0.0048333, -0.0048204, -0.0048075");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0046460, 0.0047502, 0.0048544, 0.0048429, 0.0048318, 0.0048203, 0.0048088");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v95.lib
new file mode 100644
index 0000000..28d7ed6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v95.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ss_100C_1v95_1v95") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.950000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.950000);
+	voltage_map("VDDIO_Q",1.950000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.950000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.487; 
+			 vih : 1.462; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p95v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 3.122890e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "27.3172000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "31.2289000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.017069;
+			capacitance : 0.016674;
+			fall_capacitance : 0.016279;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3218773, 0.5890502, 0.8562230, 1.0266701, 1.1902993, 1.3607464, 1.5311936");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2329083, -0.5096573, -0.7864063, -0.9556596, -1.1181427, -1.2873960, -1.4566493");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.023361;
+			capacitance : 0.023234;
+			fall_capacitance : 0.023107;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0108444, -0.0119351, -0.0130258, -0.0133392, -0.0136400, -0.0139534, -0.0142668");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0854765, 0.0865558, 0.0876351, 0.0874256, 0.0872244, 0.0870149, 0.0868053");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.030743;
+			capacitance : 0.030193;
+			fall_capacitance : 0.029644;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0197765, 0.0636350, 0.1470465, 0.1459073, 0.1448136, 0.1436744, 0.1425351");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1152361, 0.1322511, 0.1492662, 0.1478264, 0.1464442, 0.1450044, 0.1435647");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017494;
+			capacitance : 0.017531;
+			fall_capacitance : 0.017568;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0881665, 0.0941927, 0.1002188, 0.1078088, 0.1150951, 0.1226850, 0.1302749");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0441482, 0.0473930, 0.0506377, 0.0571185, 0.0633401, 0.0698209, 0.0763017");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.027387;
+			capacitance : 0.027007;
+			fall_capacitance : 0.026627;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0170559, 0.0178637, 0.0527834, 0.0567079, 0.0604754, 0.0644000, 0.0683245");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0979263, 0.1287107, 0.1594951, 0.4329295, 0.6954265, 0.9688609, 1.2422953");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010030;
+			capacitance : 0.010051;
+			fall_capacitance : 0.010072;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0159959, -0.0161060, -0.0162162, -0.0161798, -0.0161450, -0.0161087, -0.0160723");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0160721, 0.0161187, 0.0161653, 0.0161247, 0.0160857, 0.0160450, 0.0160044");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.938998;
+			max_transition : 3.749271;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02131952, 0.0454522, 0.09690191, 0.2065902, 0.4404405, 0.938998");
+ 					values("3.3904874, 3.4042525, 3.4050990, 3.4189716, 3.4310700, 3.4511256, 3.4830167", \
+ 					  "4.9089491, 4.9126905, 4.9187650, 4.9310079, 4.9446883, 4.9669249, 5.0007880", \
+ 					  "4.9014087, 4.9041431, 4.9100932, 4.9221638, 4.9363200, 4.9590852, 4.9911243", \
+ 					  "4.8963442, 4.8995790, 4.9099362, 4.9180048, 4.9352974, 4.9548018, 4.9913173", \
+ 					  "4.8994021, 4.9026664, 4.9156300, 4.9210284, 4.9435417, 4.9578518, 4.9971915", \
+ 					  "4.8982489, 4.9008393, 4.9086048, 4.9193436, 4.9343541, 4.9556761, 4.9897968", \
+ 					  "4.9016494, 4.9075418, 4.9126922, 4.9246332, 4.9379819, 4.9616327, 4.9933582");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02131952, 0.0454522, 0.09690191, 0.2065902, 0.4404405, 0.938998");
+ 					values("4.1138165, 4.0926411, 4.1017738, 4.1366842, 4.1344048, 4.1544329, 4.1910034", \
+ 					  "2.7295969, 2.7311079, 2.7358710, 2.7516832, 2.7686807, 2.7891222, 2.8259489", \
+ 					  "2.8171621, 2.8213931, 2.8225278, 2.8372497, 2.8584281, 2.8814548, 2.9153184", \
+ 					  "2.8909197, 2.8833552, 2.8928841, 2.9077090, 2.9177229, 2.9370805, 2.9809055", \
+ 					  "2.9530174, 2.9538193, 2.9502778, 2.9702406, 2.9910594, 3.0125603, 3.0424595", \
+ 					  "3.0125245, 3.0098062, 3.0119982, 3.0300237, 3.0432041, 3.0712848, 3.0976834", \
+ 					  "3.0733252, 3.0725548, 3.0699052, 3.0890438, 3.1079442, 3.1325019, 3.1690926");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02131952, 0.0454522, 0.09690191, 0.2065902, 0.4404405, 0.938998");
+ 					values("2.1236284, 2.1297745, 2.1559732, 2.1443792, 2.1587540, 2.1597883, 2.1944286", \
+ 					  "3.6301379, 3.6324522, 3.6409881, 3.6492523, 3.6652539, 3.6838427, 3.7112557", \
+ 					  "3.6743625, 3.6766931, 3.6840335, 3.6928919, 3.7109833, 3.7281892, 3.7553719", \
+ 					  "3.7235540, 3.7276844, 3.7335820, 3.7449423, 3.7601736, 3.7762671, 3.8073208", \
+ 					  "3.7718747, 3.7716262, 3.7796962, 3.7897333, 3.8025941, 3.8244698, 3.8503814", \
+ 					  "3.8150555, 3.8210381, 3.8279740, 3.8382899, 3.8516759, 3.8690266, 3.8996909", \
+ 					  "3.8505627, 3.8537945, 3.8606726, 3.8714218, 3.8849150, 3.9038894, 3.9327773");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02131952, 0.0454522, 0.09690191, 0.2065902, 0.4404405, 0.938998");
+ 					values("4.2882384, 4.3386115, 4.2826853, 4.3223289, 4.3201991, 4.3430461, 4.3705250", \
+ 					  "2.9152793, 2.9158624, 2.9217707, 2.9329536, 2.9495881, 2.9818845, 3.0136846", \
+ 					  "3.0132695, 3.0140517, 3.0193660, 3.0323576, 3.0505108, 3.0747592, 3.1083797", \
+ 					  "3.0987617, 3.0997871, 3.1044659, 3.1164854, 3.1346111, 3.1590913, 3.2024175", \
+ 					  "3.1755956, 3.1771530, 3.1799307, 3.1925081, 3.2148641, 3.2355837, 3.2704139", \
+ 					  "3.2483157, 3.2493051, 3.2537282, 3.2651050, 3.2892942, 3.3094790, 3.3427547", \
+ 					  "3.3199938, 3.3214269, 3.3246982, 3.3366909, 3.3532751, 3.3856442, 3.4158752");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02131952, 0.0454522, 0.09690191, 0.2065902, 0.4404405, 0.938998");
+ 					values("-1.2133412, -1.2124615, -1.2098539, -1.2024102, -1.1941149, -1.1979942, -1.2153630", \
+ 					  "-1.1716962, -1.2863312, -1.1904064, -1.2396975, -1.1927355, -1.2173220, -1.2305143", \
+ 					  "-1.2110495, -1.2106146, -1.2086325, -1.1999309, -1.1713634, -1.1903659, -1.2159097", \
+ 					  "-1.1644020, -1.2878639, -1.2026647, -1.2365980, -1.1906281, -1.2172899, -1.2359547", \
+ 					  "-1.2288957, -1.2287015, -1.2262489, -1.2194353, -1.2122737, -1.2138275, -1.2324548", \
+ 					  "-1.2279102, -1.2243580, -1.2214620, -1.2146754, -1.2266650, -1.2038361, -1.2379195", \
+ 					  "-1.2289941, -1.2410184, -1.2239459, -1.2191100, -1.2129103, -1.1845577, -1.2281333");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02131952, 0.0454522, 0.09690191, 0.2065902, 0.4404405, 0.938998");
+ 					values("1.3521205, 1.3555243, 1.3614803, 1.3707684, 1.3978738, 1.4004973, 1.4251352", \
+ 					  "1.3711004, 1.3746274, 1.3815953, 1.3919071, 1.3749302, 1.4205867, 1.4442747", \
+ 					  "1.3515903, 1.3548319, 1.3612365, 1.3707293, 1.3799220, 1.4004876, 1.4241715", \
+ 					  "1.3646359, 1.3707356, 1.3706897, 1.3797172, 1.4202531, 1.4266386, 1.4360650", \
+ 					  "1.3399892, 1.4069037, 1.3825279, 1.4101676, 1.4062393, 1.3916220, 1.4326017", \
+ 					  "1.3688238, 1.3719530, 1.3654076, 1.3830806, 1.4413918, 1.4174105, 1.4401859", \
+ 					  "1.3657445, 1.3708597, 1.3826792, 1.4076813, 1.4056839, 1.3968098, 1.4362794");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02133033, 0.04549831, 0.09704942, 0.2070096, 0.4415585, 0.941859");
+					values("2.2844355, 2.2838795, 2.2960629, 2.3349710, 2.2995493, 2.3338144, 2.3542906", \
+					  "3.9202135, 3.9139581, 3.9192191, 3.9282579, 3.9408901, 3.9697651, 3.9825760", \
+					  "3.9361084, 3.9415034, 3.9487129, 3.9583501, 3.9707248, 3.9854396, 4.0107457", \
+					  "3.9591737, 3.9626575, 3.9689484, 3.9784881, 3.9919214, 4.0081640, 4.0320672", \
+					  "3.9812381, 3.9841793, 3.9911837, 4.0023484, 4.0153037, 4.0301894, 4.0561690", \
+					  "3.9999614, 4.0031776, 4.0094534, 4.0194536, 4.0342343, 4.0490632, 4.0740835", \
+					  "4.0232405, 4.0257311, 4.0321561, 4.0416221, 4.0556334, 4.0720764, 4.0957281");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02133033, 0.04549831, 0.09704942, 0.2070096, 0.4415585, 0.941859");
+					values("4.1347813, 4.0989488, 4.1234128, 4.1700578, 4.1570194, 4.1716147, 4.2146553", \
+					  "2.5479217, 2.5544629, 2.5542710, 2.5662853, 2.5869396, 2.6126453, 2.6487898", \
+					  "2.6283181, 2.6327009, 2.6329342, 2.6460513, 2.6646432, 2.6881455, 2.7254342", \
+					  "2.7265928, 2.7264397, 2.7329335, 2.7464437, 2.7650519, 2.7887935, 2.8219527", \
+					  "2.8481093, 2.8511501, 2.8529431, 2.8639003, 2.8895742, 2.9242507, 2.9453844", \
+					  "3.0048071, 3.0021961, 3.0104927, 3.0233730, 3.0432280, 3.0686859, 3.1056451", \
+					  "3.1713386, 3.1733945, 3.1766764, 3.1905646, 3.2048342, 3.2324276, 3.2688915");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02133033, 0.04549831, 0.09704942, 0.2070096, 0.4415585, 0.941859");
+					values("2.2471077, 2.2320859, 2.2592473, 2.2684760, 2.2816027, 2.2948590, 2.3180414", \
+					  "3.8724822, 3.8758892, 3.8823064, 3.8919519, 3.9045776, 3.9312208, 3.9545116", \
+					  "3.9007699, 3.9044280, 3.9105134, 3.9202517, 3.9328462, 3.9490811, 3.9717808", \
+					  "3.9217106, 3.9251527, 3.9304649, 3.9379502, 3.9490642, 3.9674058, 3.9916204", \
+					  "3.9402704, 3.9400601, 3.9495564, 3.9564263, 3.9695942, 3.9861534, 4.0101499", \
+					  "3.9590011, 3.9621717, 3.9688582, 3.9778458, 3.9912067, 4.0074472, 4.0304431", \
+					  "3.9780995, 3.9807276, 3.9906789, 4.0013817, 4.0098250, 4.0263977, 4.0500164");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02133033, 0.04549831, 0.09704942, 0.2070096, 0.4415585, 0.941859");
+					values("4.0986147, 4.1103486, 4.1061998, 4.1175590, 4.1665081, 4.1630360, 4.1880098", \
+					  "2.5361109, 2.5379030, 2.5432484, 2.5560691, 2.5744522, 2.6001746, 2.6423514", \
+					  "2.6157104, 2.6137406, 2.6180392, 2.6285068, 2.6439584, 2.6772983, 2.7123273", \
+					  "2.7120773, 2.7143439, 2.7160886, 2.7291789, 2.7519402, 2.7721172, 2.8100710", \
+					  "2.8344471, 2.8399757, 2.8436576, 2.8520749, 2.8708496, 2.9013740, 2.9236451", \
+					  "2.9920398, 2.9923043, 2.9969937, 3.0102162, 3.0293294, 3.0526486, 3.0834990", \
+					  "3.1601622, 3.1614227, 3.1646528, 3.1951833, 3.1984727, 3.2275300, 3.2506263");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("202.3304000, 202.3460300, 202.5759900, 202.8847300, 203.1519400, 203.9339800, 205.1013000", \
+ 					  "204.5247100, 204.6458700, 204.7474800, 205.0381300, 205.4464300, 206.1236200, 207.3424400", \
+ 					  "206.1647700, 206.2550200, 206.3570200, 206.6370800, 207.0535500, 207.7305500, 208.9525600", \
+ 					  "207.5203700, 207.6168200, 207.7584900, 208.0172500, 208.4249500, 209.0975900, 210.3394600", \
+ 					  "208.7217300, 208.8626600, 208.9541600, 209.2106700, 209.6574600, 210.3278400, 211.5443000", \
+ 					  "209.8893500, 209.9600600, 210.1128700, 210.3820100, 210.7576200, 211.4526000, 212.7111900", \
+ 					  "210.9661800, 211.0352300, 211.1795300, 211.4308600, 211.8285900, 212.5108100, 213.7746300");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("0.4246162, 0.4818435, 0.5899418, 0.7827268, 1.1264661, 1.8558192, 3.4759322", \
+ 					  "0.4272326, 0.4843377, 0.5870249, 0.7809339, 1.1322721, 1.8583294, 3.4839993", \
+ 					  "0.4283576, 0.4808630, 0.5852896, 0.7766853, 1.1298265, 1.8590706, 3.4800225", \
+ 					  "0.4248538, 0.4834370, 0.5851743, 0.7827396, 1.1244514, 1.8564498, 3.4883563", \
+ 					  "0.4264747, 0.4807808, 0.5870386, 0.7832126, 1.1355804, 1.8553663, 3.4823298", \
+ 					  "0.4272214, 0.4879308, 0.5899535, 0.7752205, 1.1326229, 1.8564660, 3.4825629", \
+ 					  "0.4271718, 0.4843479, 0.5870495, 0.7747024, 1.1263859, 1.8544043, 3.4813976");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("208.1018700, 208.2191200, 208.3546600, 208.5509700, 208.9262700, 209.6503900, 211.0684100", \
+ 					  "209.1089200, 209.1930800, 209.3170400, 209.5653400, 209.9478600, 210.6806100, 212.0726100", \
+ 					  "211.6161500, 211.7157300, 211.8545400, 212.0895000, 212.4829200, 213.2012600, 214.6076500", \
+ 					  "214.2650100, 214.3451900, 214.4751500, 214.7205600, 215.1040700, 215.8340400, 217.2290000", \
+ 					  "216.9336100, 217.0147400, 217.1928100, 217.3881900, 217.8636200, 218.5000500, 219.9480800", \
+ 					  "219.6479400, 219.7368300, 219.8773500, 220.1093300, 220.5005100, 221.2248200, 222.6819600", \
+ 					  "222.3789400, 222.4730200, 222.5960300, 222.8475800, 223.2311400, 223.9599400, 225.3547100");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("0.3853315, 0.4410545, 0.5419895, 0.7337326, 1.1096760, 1.9226885, 3.7489012", \
+ 					  "0.3800426, 0.4385153, 0.5400615, 0.7275987, 1.1086541, 1.9241220, 3.7488657", \
+ 					  "0.3819405, 0.4353380, 0.5390755, 0.7327353, 1.1106092, 1.9244339, 3.7380077", \
+ 					  "0.3830411, 0.4377531, 0.5383126, 0.7296578, 1.1103554, 1.9267745, 3.7441490", \
+ 					  "0.3811430, 0.4351658, 0.5374296, 0.7328750, 1.1090133, 1.9252815, 3.7487782", \
+ 					  "0.3808846, 0.4380218, 0.5383168, 0.7298408, 1.1051261, 1.9270963, 3.7362593", \
+ 					  "0.3788264, 0.4344886, 0.5411779, 0.7322047, 1.1093300, 1.9254278, 3.7496148");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("204.6910300, 204.7527800, 204.8925300, 205.2174500, 205.5885200, 206.2930800, 207.5558600", \
+ 					  "206.9118800, 207.0277100, 207.1457200, 207.4072700, 207.7945000, 208.5045900, 209.7426400", \
+ 					  "208.6481000, 208.7342800, 208.8850400, 209.1433800, 209.5461000, 210.2131900, 211.4794600", \
+ 					  "210.1523800, 210.2393400, 210.3918500, 210.6331200, 211.0377400, 211.7147300, 212.9863600", \
+ 					  "211.4972700, 211.5853400, 211.7351700, 211.9775500, 212.3878300, 213.0623900, 214.3321500", \
+ 					  "212.7682700, 212.8555100, 213.0064000, 213.2538000, 213.6261700, 214.3519300, 215.6162800", \
+ 					  "213.9531400, 214.0403100, 214.1863000, 214.4335100, 214.8357200, 215.5184700, 216.7843600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("0.4270998, 0.4838985, 0.5867972, 0.7806771, 1.1285080, 1.8572210, 3.4847385", \
+ 					  "0.4248038, 0.4849357, 0.5869378, 0.7773460, 1.1277467, 1.8557693, 3.4852674", \
+ 					  "0.4298243, 0.4821206, 0.5885055, 0.7831548, 1.1321394, 1.8519616, 3.4816134", \
+ 					  "0.4270445, 0.4837677, 0.5849073, 0.7744841, 1.1281540, 1.8542075, 3.4790228", \
+ 					  "0.4246846, 0.4894963, 0.5935650, 0.7769863, 1.1330237, 1.8510524, 3.4789962", \
+ 					  "0.4237390, 0.4843222, 0.5921449, 0.7781801, 1.1283686, 1.8543176, 3.4787675", \
+ 					  "0.4321411, 0.4889307, 0.5896522, 0.7726643, 1.1266866, 1.8497803, 3.4775114");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("196.3889200, 196.4725800, 196.6068900, 196.8483200, 197.2418700, 197.9654200, 199.3743100", \
+ 					  "198.5016700, 198.5805100, 198.7249600, 198.9540000, 199.3532500, 200.0669800, 201.4741800", \
+ 					  "200.7292500, 200.8108500, 200.9488800, 201.2142200, 201.5975200, 202.2945000, 203.7370300", \
+ 					  "202.8604100, 202.9449500, 203.0801300, 203.3191900, 203.7246700, 204.4277800, 205.8416900", \
+ 					  "204.9713700, 205.0716600, 205.2123200, 205.4454900, 205.8330000, 206.5376600, 207.9583100", \
+ 					  "207.1026200, 207.2123200, 207.3536500, 207.5860300, 207.9879500, 208.6679400, 210.1093500", \
+ 					  "209.3239700, 209.4034200, 209.5426500, 209.7767900, 210.1752300, 210.8894500, 212.2991100");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("0.3842386, 0.4339732, 0.5446674, 0.7330301, 1.1087424, 1.9274826, 3.7470858", \
+ 					  "0.3787071, 0.4332418, 0.5380158, 0.7326863, 1.1106291, 1.9241806, 3.7489474", \
+ 					  "0.3810433, 0.4340884, 0.5355285, 0.7303935, 1.1129752, 1.9260904, 3.7486445", \
+ 					  "0.3814538, 0.4364890, 0.5402678, 0.7325256, 1.1096194, 1.9248123, 3.7470698", \
+ 					  "0.3810993, 0.4381998, 0.5393242, 0.7336481, 1.1107617, 1.9230513, 3.7487582", \
+ 					  "0.3808503, 0.4332728, 0.5401717, 0.7291686, 1.1089439, 1.9249096, 3.7460812", \
+ 					  "0.3808287, 0.4368350, 0.5402963, 0.7267136, 1.1069281, 1.9227981, 3.7480223");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("195.0388700, 195.1360100, 195.2842200, 195.5311000, 195.9328700, 196.6114200, 197.8747000", \
+ 					  "195.1224000, 195.2096400, 195.3598500, 195.6104100, 196.0108300, 196.6874000, 197.9566400", \
+ 					  "195.2576700, 195.3433300, 195.4904400, 195.7470500, 196.1095500, 196.8212900, 198.0901800", \
+ 					  "195.3684900, 195.4607300, 195.6111100, 195.8613800, 196.2620800, 196.9385700, 198.2078000", \
+ 					  "195.5102700, 195.5951500, 195.7406500, 195.9879900, 196.3936900, 197.0733300, 198.3414800", \
+ 					  "195.6235800, 195.7148600, 195.8682700, 196.1162900, 196.5101100, 197.1958500, 198.4612700", \
+ 					  "195.7472300, 195.8472600, 195.9927300, 196.2352300, 196.6359500, 197.3255300, 198.5929700");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("0.4297392, 0.4829744, 0.5895904, 0.7828730, 1.1320422, 1.8515661, 3.4815801", \
+ 					  "0.4281991, 0.4895362, 0.5905479, 0.7755968, 1.1348943, 1.8548091, 3.4815382", \
+ 					  "0.4295541, 0.4891638, 0.5863125, 0.7799432, 1.1323213, 1.8554334, 3.4788568", \
+ 					  "0.4289309, 0.4891917, 0.5899030, 0.7764431, 1.1354644, 1.8561722, 3.4824554", \
+ 					  "0.4318088, 0.4883864, 0.5893392, 0.7788806, 1.1307245, 1.8518297, 3.4828689", \
+ 					  "0.4248079, 0.4830325, 0.5887517, 0.7829998, 1.1297177, 1.8585479, 3.4876149", \
+ 					  "0.4278304, 0.4881325, 0.5891723, 0.7764944, 1.1354957, 1.8518829, 3.4848520");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("190.8161400, 190.8933500, 191.0346200, 191.2700300, 191.6673800, 192.3827400, 193.7947900", \
+ 					  "191.0190500, 191.0954700, 191.2371600, 191.4708900, 191.8676600, 192.5825200, 193.9920900", \
+ 					  "191.1793000, 191.2577800, 191.3986300, 191.6318300, 192.0289100, 192.7436300, 194.1524300", \
+ 					  "191.3213600, 191.4001800, 191.5406100, 191.7733500, 192.1698900, 192.8853400, 194.2954400", \
+ 					  "191.4624600, 191.5408100, 191.6814100, 191.9146500, 192.3121900, 193.0273800, 194.4361000", \
+ 					  "191.6012300, 191.6803100, 191.8162600, 192.0458500, 192.4442200, 193.1653100, 194.5742700", \
+ 					  "191.7224900, 191.8005200, 191.9431400, 192.1755200, 192.5739800, 193.2895200, 194.7035200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0213195, 0.0454522, 0.0969019, 0.20659, 0.44044, 0.938998");
+ 					values("0.3825793, 0.4339680, 0.5396298, 0.7309848, 1.1107147, 1.9230133, 3.7375933", \
+ 					  "0.3814049, 0.4379294, 0.5404168, 0.7333200, 1.1093571, 1.9275841, 3.7492711", \
+ 					  "0.3784957, 0.4345929, 0.5382354, 0.7329720, 1.1096631, 1.9258637, 3.7460945", \
+ 					  "0.3807100, 0.4351757, 0.5380241, 0.7326789, 1.1104511, 1.9248765, 3.7492547", \
+ 					  "0.3785284, 0.4344066, 0.5384607, 0.7329787, 1.1091666, 1.9264168, 3.7428856", \
+ 					  "0.3808148, 0.4351257, 0.5429948, 0.7324152, 1.1097382, 1.9250337, 3.7463281", \
+ 					  "0.3791331, 0.4340617, 0.5384468, 0.7324356, 1.1091695, 1.9231661, 3.7492596");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("202.2060100, 202.3639900, 202.5175400, 202.7604200, 203.1601100, 203.8759300, 205.0628000", \
+					  "204.0083200, 204.0794000, 204.2438800, 204.4912400, 204.8988500, 205.5751100, 206.8476600", \
+					  "205.1528300, 205.2523500, 205.3905300, 205.6408700, 206.0401100, 206.7207700, 207.9932000", \
+					  "206.2248800, 206.3137100, 206.4704200, 206.7196000, 207.1127800, 207.8005000, 209.0631000", \
+					  "207.3244500, 207.4135600, 207.5613100, 207.8100700, 208.2104800, 208.8893900, 210.1645900", \
+					  "208.4835400, 208.5724400, 208.7194400, 208.9694400, 209.3686100, 210.0494900, 211.3221200", \
+					  "209.6865300, 209.7841000, 209.9214000, 210.1713900, 210.5706600, 211.2515500, 212.5214700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("0.4324721, 0.4839404, 0.5888927, 0.7831343, 1.1309683, 1.8597935, 3.4861078", \
+					  "0.4269529, 0.4833245, 0.5867103, 0.7742948, 1.1300626, 1.8525882, 3.4923966", \
+					  "0.4245530, 0.4834253, 0.5880920, 0.7822127, 1.1291087, 1.8565758, 3.4905110", \
+					  "0.4300588, 0.4820942, 0.5863644, 0.7831667, 1.1316106, 1.8614057, 3.4907524", \
+					  "0.4223686, 0.4865897, 0.5875138, 0.7797338, 1.1302417, 1.8576094, 3.4909267", \
+					  "0.4265073, 0.4843560, 0.5871447, 0.7809388, 1.1279933, 1.8615411, 3.4917822", \
+					  "0.4293134, 0.4891932, 0.5893666, 0.7828027, 1.1255088, 1.8617804, 3.4964223");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("195.9503100, 196.0253500, 196.1713300, 196.4192800, 196.7787300, 197.5435900, 198.9214100", \
+					  "197.7101100, 197.7971100, 197.9480800, 198.1769600, 198.5763000, 199.2811600, 200.7121200", \
+					  "198.9213400, 198.9976500, 199.1388400, 199.3741100, 199.7740500, 200.4916000, 201.9057200", \
+					  "199.8591000, 199.9373000, 200.0786300, 200.3195900, 200.7186300, 201.4266200, 202.8497500", \
+					  "200.6278900, 200.7053900, 200.8453200, 201.0904000, 201.4892900, 202.1974400, 203.6225500", \
+					  "201.3261300, 201.4018000, 201.5430200, 201.7802100, 202.1787000, 202.8948800, 204.3094600", \
+					  "201.9355200, 202.0135100, 202.1530600, 202.3866600, 202.7935900, 203.5040000, 204.9239600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("0.3787312, 0.4372335, 0.5450851, 0.7318646, 1.1116287, 1.9303301, 3.7511754", \
+					  "0.3790312, 0.4380332, 0.5381410, 0.7330399, 1.1119269, 1.9300395, 3.7578021", \
+					  "0.3828011, 0.4385244, 0.5419069, 0.7341254, 1.1102653, 1.9311988, 3.7611181", \
+					  "0.3804973, 0.4353807, 0.5396111, 0.7334633, 1.1112187, 1.9287105, 3.7598209", \
+					  "0.3838137, 0.4338119, 0.5392544, 0.7325719, 1.1121266, 1.9264905, 3.7541161", \
+					  "0.3802202, 0.4353682, 0.5397318, 0.7332557, 1.1118463, 1.9281268, 3.7591397", \
+					  "0.3792834, 0.4342163, 0.5411660, 0.7299538, 1.1111658, 1.9271660, 3.7600105");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("202.1648300, 202.2185400, 202.3948000, 202.6812900, 203.0794200, 203.7926400, 205.0286400", \
+					  "203.9051700, 203.9910100, 204.1419000, 204.4214000, 204.8219700, 205.4723900, 206.7478800", \
+					  "205.0660000, 205.1681600, 205.3041300, 205.5543400, 205.9555000, 206.6340600, 207.9056000", \
+					  "206.1588000, 206.2437000, 206.3779300, 206.6367600, 207.0501200, 207.7269700, 208.9876900", \
+					  "207.2450900, 207.3180100, 207.4835000, 207.7326300, 208.1339300, 208.8151200, 210.0839800", \
+					  "208.3930200, 208.4778600, 208.6330800, 208.8807500, 209.2814200, 209.9620400, 211.2338400", \
+					  "209.5873600, 209.6875000, 209.8123300, 210.0628200, 210.4687800, 211.1902100, 212.4125600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("0.4298325, 0.4835698, 0.5886695, 0.7806093, 1.1377167, 1.8551620, 3.4950294", \
+					  "0.4265445, 0.4825937, 0.5847076, 0.7756639, 1.1264785, 1.8547339, 3.4888665", \
+					  "0.4257028, 0.4831176, 0.5899107, 0.7752156, 1.1363603, 1.8577387, 3.4911372", \
+					  "0.4321283, 0.4812981, 0.5899600, 0.7781936, 1.1337336, 1.8570374, 3.4909475", \
+					  "0.4251162, 0.4883324, 0.5851372, 0.7844770, 1.1336566, 1.8590564, 3.4825480", \
+					  "0.4251390, 0.4836650, 0.5890128, 0.7820962, 1.1301140, 1.8619760, 3.4957015", \
+					  "0.4264717, 0.4829578, 0.5898436, 0.7812251, 1.1342949, 1.8612368, 3.4973251");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("195.8230200, 195.8528200, 196.0419000, 196.2899900, 196.7022300, 197.4099500, 198.8409200", \
+					  "197.5714500, 197.6542900, 197.7928600, 198.0291600, 198.4263500, 199.1411700, 200.5547900", \
+					  "198.7740600, 198.8556600, 198.9937500, 199.2276600, 199.6281200, 200.3412000, 201.7549000", \
+					  "199.6614300, 199.7429000, 199.8814600, 200.1072400, 200.5046900, 201.2200600, 202.6347500", \
+					  "200.4275900, 200.4916600, 200.6463300, 200.8677900, 201.2678000, 201.9804100, 203.3978600", \
+					  "201.1018600, 201.1827000, 201.3126200, 201.5584800, 201.9582900, 202.6737000, 204.0854500", \
+					  "201.6721900, 201.7530700, 201.8957300, 202.1266900, 202.5329500, 203.2413000, 204.6548500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0213303, 0.0454983, 0.0970494, 0.20701, 0.441558, 0.941859");
+					values("0.3782423, 0.4367796, 0.5424902, 0.7338276, 1.1108836, 1.9283701, 3.7513090", \
+					  "0.3788209, 0.4361844, 0.5408553, 0.7307154, 1.1120771, 1.9302429, 3.7561669", \
+					  "0.3798924, 0.4344381, 0.5400589, 0.7331457, 1.1109951, 1.9304405, 3.7611927", \
+					  "0.3808899, 0.4352793, 0.5384947, 0.7332953, 1.1120316, 1.9288353, 3.7597907", \
+					  "0.3837910, 0.4347072, 0.5391269, 0.7325559, 1.1122085, 1.9271664, 3.7481986", \
+					  "0.3793242, 0.4340520, 0.5388214, 0.7332930, 1.1117309, 1.9283832, 3.7585086", \
+					  "0.3790970, 0.4345529, 0.5396077, 0.7319885, 1.1118434, 1.9303981, 3.7527053");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 1.014977;
+			capacitance : 1.016060;
+			rise_capacitance : 1.017143;
+			max_transition : 25.0;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6940496, 1.7417054, 1.7893612, 1.8768196, 1.9607796, 2.0482380, 2.1356964");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.6157219, -1.5628792, -1.5100365, -1.4670414, -1.4257661, -1.3827710, -1.3397759");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.089761;
+			capacitance : 0.106687;
+			fall_capacitance : 0.123612;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0190289, 0.1092623, 0.2375536, 0.3654077, 0.4881477, 0.6160018, 0.7438559");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0215579, -0.1047694, -0.2310967, -0.3564740, -0.4768362, -0.6022134, -0.7275907");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.084278;
+			capacitance : 0.125088;
+			fall_capacitance : 0.165898;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1222683, 0.0187559, 0.1597801, 0.3012658, 0.4370922, 0.5785779, 0.7200637");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1222966, -0.0187367, -0.1597701, -0.3012588, -0.4370880, -0.5785768, -0.7200656");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.681537;
+			capacitance : 1.981096;
+			fall_capacitance : 2.280655;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0078051, -0.0079205, -0.0080358, -0.0079721, -0.0079110, -0.0078473, -0.0077836");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0078064, 0.0078934, 0.0079804, 0.0079338, 0.0078891, 0.0078426, 0.0077960");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050002;
+			capacitance : 0.050003;
+			fall_capacitance : 0.050003;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0039869, -0.0039927, -0.0039985, -0.0039960, -0.0039937, -0.0039912, -0.0039887");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0040043, 0.0040209, 0.0040375, 0.0040261, 0.0040152, 0.0040039, 0.0039925");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045929;
+			capacitance : 0.045934;
+			fall_capacitance : 0.045939;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0065792, -0.0066354, -0.0066917, -0.0066590, -0.0066276, -0.0065949, -0.0065622");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0066333, 0.0066501, 0.0066669, 0.0066427, 0.0066195, 0.0065953, 0.0065711");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p95v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v65_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v65_1v65.lib
new file mode 100644
index 0000000..244fbbd
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v65_1v65.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ss_n40C_1v65_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.650000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.650000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.650000);
+	voltage_map("VCCHIB",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.495; 
+			 vih : 1.155; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+	 operating_conditions ("ff_ss_1p65v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.650000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 6.038210e-01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.6038210";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.5521800";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.015411;
+			capacitance : 0.015116;
+			fall_capacitance : 0.014821;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2310545, 0.4434118, 0.6557691, 0.8058849, 0.9499961, 1.1001119, 1.2502278");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1806335, -0.4944106, -0.8081877, -0.9701202, -1.1255754, -1.2875078, -1.4494403");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.021684;
+			capacitance : 0.021729;
+			fall_capacitance : 0.021774;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0078469, -0.0075728, -0.0072986, -0.0073917, -0.0074810, -0.0075740, -0.0076671");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0501942, 0.0558444, 0.0614946, 0.0612162, 0.0609489, 0.0606705, 0.0603921");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.028399;
+			capacitance : 0.027821;
+			fall_capacitance : 0.027242;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0138053, 0.0380458, 0.0898969, 0.0905093, 0.0910972, 0.0917096, 0.0923220");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0664183, 0.0826752, 0.0989322, 0.0987201, 0.0985166, 0.0983045, 0.0980925");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017357;
+			capacitance : 0.017283;
+			fall_capacitance : 0.017208;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0448643, 0.0454354, 0.0460064, 0.0462130, 0.0464113, 0.0466179, 0.0468244");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0305994, 0.0300918, 0.0295842, 0.0294939, 0.0294072, 0.0293169, 0.0292267");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.024343;
+			capacitance : 0.023704;
+			fall_capacitance : 0.023066;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116208, 0.0038864, 0.0193937, 0.0293690, 0.0389453, 0.0489206, 0.0588960");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0625920, 0.0736722, 0.0847525, 0.1736373, 0.2589666, 0.3478513, 0.4367360");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010024;
+			capacitance : 0.010033;
+			fall_capacitance : 0.010042;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0115249, -0.0115629, -0.0116010, -0.0115727, -0.0115456, -0.0115174, -0.0114891");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0114405, 0.0115097, 0.0115789, 0.0115433, 0.0115092, 0.0114736, 0.0114381");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.608836;
+			max_transition : 3.748938;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.03937684, 0.07813783, 0.1550536, 0.3076821, 0.610552");
+ 					values("3.1705118, 3.1708070, 3.2088529, 3.1949879, 3.1706931, 3.2061248, 3.2198792", \
+ 					  "2.0564689, 2.0589470, 2.0618873, 2.0673532, 2.0706366, 2.0865759, 2.1069975", \
+ 					  "2.1434445, 2.1458367, 2.1493215, 2.1558525, 2.1627285, 2.1711699, 2.1942983", \
+ 					  "2.2124923, 2.2143550, 2.2185979, 2.2244574, 2.2337349, 2.2478835, 2.2610839", \
+ 					  "2.2768172, 2.2791771, 2.2834232, 2.2889021, 2.2969161, 2.3084618, 2.3249961", \
+ 					  "2.3361760, 2.3389437, 2.3428850, 2.3474636, 2.3555081, 2.3659501, 2.3870450", \
+ 					  "2.3971116, 2.3996120, 2.4041912, 2.4083819, 2.4168749, 2.4288748, 2.4451756");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.03937684, 0.07813783, 0.1550536, 0.3076821, 0.610552");
+ 					values("1.7005163, 1.7046150, 1.7066067, 1.7113004, 1.7159432, 1.7263283, 1.7352023", \
+ 					  "2.9528062, 2.9544566, 2.9583491, 2.9662115, 2.9712719, 2.9800565, 2.9879222", \
+ 					  "2.9327435, 2.9352315, 2.9391622, 2.9587873, 2.9502915, 2.9726254, 2.9675388", \
+ 					  "2.9164613, 2.9441358, 2.9477195, 2.9281853, 2.9350717, 2.9417838, 2.9514130", \
+ 					  "2.9307585, 2.9344994, 2.9415712, 2.9434369, 2.9496180, 2.9571701, 2.9646901", \
+ 					  "2.9202999, 2.9219925, 2.9265290, 2.9324444, 2.9388393, 2.9457983, 2.9548107", \
+ 					  "2.9147364, 2.9172780, 2.9208394, 2.9260520, 2.9329779, 2.9398526, 2.9496464");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.03937684, 0.07813783, 0.1550536, 0.3076821, 0.610552");
+ 					values("3.0757233, 3.0849037, 3.1200392, 3.0896473, 3.1089430, 3.1224815, 3.1378713", \
+ 					  "1.9583740, 1.9609685, 1.9653224, 1.9722405, 1.9812370, 1.9901680, 2.0038637", \
+ 					  "2.0426224, 2.0443040, 2.0468306, 2.0529420, 2.0611590, 2.0731891, 2.0979802", \
+ 					  "2.1038533, 2.1069591, 2.1109531, 2.1163472, 2.1239809, 2.1365700, 2.1516265", \
+ 					  "2.1595213, 2.1620399, 2.1655774, 2.1780795, 2.1786730, 2.1917147, 2.2173272", \
+ 					  "2.2141284, 2.2162691, 2.2204920, 2.2260401, 2.2347250, 2.2514148, 2.2639672", \
+ 					  "2.2646574, 2.2674230, 2.2715521, 2.2782646, 2.2858948, 2.3053300, 2.3192006");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.03937684, 0.07813783, 0.1550536, 0.3076821, 0.610552");
+ 					values("1.8145340, 1.8160345, 1.8199097, 1.8328004, 1.8332317, 1.8393490, 1.8548052", \
+ 					  "3.1165764, 3.1190421, 3.1105867, 3.1290287, 3.1235880, 3.1437489, 3.1560447", \
+ 					  "3.1049928, 3.1083087, 3.1105565, 3.1177329, 3.1244011, 3.1331810, 3.1454795", \
+ 					  "3.1023717, 3.1075043, 3.1081563, 3.1174707, 3.1218138, 3.1336329, 3.1454628", \
+ 					  "3.1040604, 3.1063448, 3.1108959, 3.1232564, 3.1239989, 3.1332801, 3.1499801", \
+ 					  "3.1097241, 3.1133694, 3.1170481, 3.1251679, 3.1298974, 3.1376364, 3.1496899", \
+ 					  "3.1091945, 3.1123801, 3.1149057, 3.1297363, 3.1277580, 3.1380595, 3.1497326");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("-0.7527376, -0.7415591, -0.7495713, -0.7481924, -0.7402472, -0.7460033, -0.7562838", \
+ 					  "-0.7652759, -0.7549560, -0.7693584, -0.7596268, -0.7582149, -0.7625301, -0.7684489", \
+ 					  "-0.7530449, -0.7483064, -0.7487334, -0.7528761, -0.7632702, -0.7508427, -0.7665270", \
+ 					  "-0.7638707, -0.7629591, -0.7603187, -0.7593305, -0.7625534, -0.7622866, -0.7639871", \
+ 					  "-0.7692147, -0.7771925, -0.7603584, -0.7595539, -0.7577738, -0.7623064, -0.7665459", \
+ 					  "-0.7657290, -0.7614493, -0.7430460, -0.7446109, -0.7777389, -0.7620925, -0.7762383", \
+ 					  "-0.7645600, -0.7708577, -0.7607717, -0.7597739, -0.7667870, -0.7616135, -0.7681274");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("0.9698999, 0.9725523, 0.9803560, 0.9792786, 0.9884045, 0.9961149, 1.0025748", \
+ 					  "0.9813515, 0.9841801, 0.9879124, 0.9938109, 1.0036878, 1.0062107, 1.0140546", \
+ 					  "0.9699445, 0.9726895, 0.9764394, 0.9825299, 0.9900742, 0.9943364, 1.0020826", \
+ 					  "0.9813770, 0.9841182, 0.9879254, 0.9939530, 0.9998389, 1.0060446, 1.0134571", \
+ 					  "0.9812654, 0.9839886, 0.9880906, 0.9937881, 0.9995991, 1.0058559, 1.0134899", \
+ 					  "0.9822147, 0.9846351, 0.9887156, 0.9939446, 0.9993996, 1.0059434, 1.0135648", \
+ 					  "0.9813467, 0.9847823, 0.9886615, 0.9939849, 0.9993404, 1.0059188, 1.0139595");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.03938914, 0.07817442, 0.1551504, 0.3079223, 0.611124");
+					values("1.4784462, 1.4776454, 1.4823810, 1.4884508, 1.4972185, 1.5039440, 1.5099366", \
+					  "2.6427920, 2.6447885, 2.6494100, 2.6627372, 2.6684635, 2.6745743, 2.6827297", \
+					  "2.6410469, 2.6426636, 2.6469059, 2.6562704, 2.6621127, 2.6686999, 2.6764454", \
+					  "2.6445072, 2.6472190, 2.6519167, 2.6568066, 2.6629753, 2.6696863, 2.6773627", \
+					  "2.6447735, 2.6464041, 2.6506063, 2.6569098, 2.6623614, 2.6684449, 2.6767945", \
+					  "2.6479175, 2.6499662, 2.6541451, 2.6600889, 2.6654393, 2.6716632, 2.6799468", \
+					  "2.6494193, 2.6527489, 2.6561758, 2.6607455, 2.6673655, 2.6735521, 2.6817177");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.03938914, 0.07817442, 0.1551504, 0.3079223, 0.611124");
+					values("3.0485412, 3.0778667, 3.0581463, 3.0750957, 3.0795882, 3.0745798, 3.1065262", \
+					  "1.9257890, 1.9277414, 1.9311948, 1.9374157, 1.9456093, 1.9588497, 1.9770910", \
+					  "1.9420082, 1.9441814, 1.9471235, 1.9547005, 1.9613731, 1.9755031, 1.9953121", \
+					  "1.9590646, 1.9616097, 1.9637874, 1.9701649, 1.9783478, 1.9939022, 2.0062923", \
+					  "1.9753415, 1.9786460, 1.9796961, 1.9893184, 1.9937186, 2.0086979, 2.0267885", \
+					  "1.9933603, 1.9949882, 1.9967251, 2.0043004, 2.0124039, 2.0249477, 2.0486193", \
+					  "2.0096665, 2.0109869, 2.0136249, 2.0203231, 2.0291074, 2.0447810, 2.0594062");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.03938914, 0.07817442, 0.1551504, 0.3079223, 0.611124");
+					values("1.4802045, 1.4835677, 1.4897590, 1.4874147, 1.5010197, 1.5058414, 1.5124752", \
+					  "2.6522703, 2.6555015, 2.6591264, 2.6556155, 2.6700350, 2.6766196, 2.6759365", \
+					  "2.6457649, 2.6486517, 2.6514559, 2.6535564, 2.6633932, 2.6659755, 2.6737581", \
+					  "2.6465650, 2.6504964, 2.6543006, 2.6581894, 2.6650808, 2.6706172, 2.6774559", \
+					  "2.6462693, 2.6500362, 2.6524325, 2.6582642, 2.6635961, 2.6695898, 2.6777311", \
+					  "2.6499115, 2.6508676, 2.6555763, 2.6610600, 2.6671592, 2.6735411, 2.6813111", \
+					  "2.6505898, 2.6553492, 2.6573656, 2.6619961, 2.6684041, 2.6754354, 2.6827236");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.03938914, 0.07817442, 0.1551504, 0.3079223, 0.611124");
+					values("3.0490911, 3.0433912, 3.0456806, 3.0692032, 3.0635320, 3.0807604, 3.0968343", \
+					  "1.9240690, 1.9264625, 1.9307470, 1.9356684, 1.9434372, 1.9575142, 1.9792061", \
+					  "1.9397637, 1.9435640, 1.9478171, 1.9576001, 1.9591360, 1.9713022, 1.9941262", \
+					  "1.9567251, 1.9608187, 1.9651004, 1.9703885, 1.9770173, 1.9895229, 2.0087335", \
+					  "1.9742079, 1.9771435, 1.9817435, 1.9934763, 1.9944283, 2.0076803, 2.0316667", \
+					  "1.9899945, 1.9943673, 1.9989260, 2.0028263, 2.0099417, 2.0228437, 2.0491490", \
+					  "2.0090412, 2.0103396, 2.0146909, 2.0211938, 2.0276409, 2.0401931, 2.0646312");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("212.3187100, 212.4581000, 212.6022500, 212.7673900, 213.2063900, 213.9276800, 215.3695100", \
+ 					  "214.6615400, 214.7499600, 214.8961800, 215.1401900, 215.5388000, 216.2434800, 217.5603100", \
+ 					  "216.7094700, 216.7402200, 216.8846000, 217.1292300, 217.5299200, 218.2361400, 219.5540200", \
+ 					  "218.4892300, 218.5996000, 218.7238600, 218.9642000, 219.3791200, 220.0715100, 221.3910500", \
+ 					  "220.1197900, 220.2095600, 220.3552300, 220.6012400, 221.0017300, 221.7036400, 223.0267000", \
+ 					  "221.6715600, 221.7634700, 221.9057900, 222.1500100, 222.5483200, 223.2759500, 224.5698000", \
+ 					  "223.1583400, 223.2486900, 223.3933400, 223.6506200, 224.0373200, 224.8065800, 226.0611600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("0.4809409, 0.5497992, 0.6669085, 0.8767413, 1.2757173, 2.0914372, 3.7430095", \
+ 					  "0.4804847, 0.5457937, 0.6630506, 0.8744882, 1.2788247, 2.0739330, 3.7406069", \
+ 					  "0.4774547, 0.5460507, 0.6568615, 0.8737740, 1.2843109, 2.0926800, 3.7561776", \
+ 					  "0.4793394, 0.5460778, 0.6606804, 0.8812264, 1.2874477, 2.0990816, 3.7457952", \
+ 					  "0.4840792, 0.5483197, 0.6635290, 0.8714469, 1.2765560, 2.1055153, 3.7448378", \
+ 					  "0.4816170, 0.5433815, 0.6615104, 0.8814820, 1.2791761, 2.0797302, 3.7520964", \
+ 					  "0.4804275, 0.5458411, 0.6631453, 0.8786399, 1.2787708, 2.0931299, 3.7529762");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("317.1118100, 317.2032500, 317.3315100, 317.6016300, 317.9503400, 318.4640000, 319.6350600", \
+ 					  "307.5888600, 307.6683900, 307.9372800, 308.0295400, 308.4955200, 308.9193700, 309.9263500", \
+ 					  "311.0647000, 311.1625200, 311.3000600, 311.5060300, 311.8520600, 312.4154700, 313.4106800", \
+ 					  "315.1615100, 315.1807000, 315.3801100, 315.5328200, 315.9416600, 316.4261400, 317.4400000", \
+ 					  "319.2894600, 319.3823700, 319.5096700, 319.7849000, 320.0598500, 320.6332900, 321.6856000", \
+ 					  "323.4987700, 323.6267200, 323.7266200, 323.8858700, 324.2926400, 324.8659400, 325.8615800", \
+ 					  "327.5999600, 327.6683500, 327.8139500, 328.1560900, 328.3676600, 328.9739000, 329.9722900");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("0.4623682, 0.5152725, 0.6077972, 0.7766374, 1.0806060, 1.6589397, 2.8551435", \
+ 					  "0.4615208, 0.5133320, 0.6129791, 0.7694314, 1.0751088, 1.6526136, 2.8436993", \
+ 					  "0.4596884, 0.5137448, 0.6043558, 0.7818002, 1.0750932, 1.6556330, 2.8580904", \
+ 					  "0.4617872, 0.5134405, 0.6076743, 0.7785967, 1.0802315, 1.6589646, 2.8482552", \
+ 					  "0.4596621, 0.5162189, 0.6152668, 0.7740984, 1.0805596, 1.6563008, 2.8456262", \
+ 					  "0.4614093, 0.5132522, 0.6048311, 0.7729998, 1.0771535, 1.6552916, 2.8583331", \
+ 					  "0.4681981, 0.5141077, 0.6052715, 0.7819097, 1.0765897, 1.6574430, 2.8459153");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("213.2322700, 213.4399000, 213.5625900, 213.8224600, 214.1414800, 214.8859600, 216.2402700", \
+ 					  "215.6322100, 215.7194700, 215.8645200, 216.1075800, 216.5116900, 217.2167700, 218.5349100", \
+ 					  "217.6071400, 217.6944500, 217.8417300, 218.0844800, 218.4886500, 219.1936500, 220.5105000", \
+ 					  "219.3811800, 219.4684500, 219.6136000, 219.8566200, 220.2607600, 220.9649400, 222.2808500", \
+ 					  "221.0353600, 221.1227200, 221.2683800, 221.5110600, 221.9155300, 222.6205300, 223.9382600", \
+ 					  "222.5487500, 222.6358600, 222.8188800, 223.0077900, 223.4650900, 224.1703900, 225.4877000", \
+ 					  "224.2150400, 224.3172500, 224.4569300, 224.7045800, 225.1112500, 225.8139200, 227.1170400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("0.4775450, 0.5406912, 0.6664024, 0.8757994, 1.2752377, 2.0917770, 3.7574330", \
+ 					  "0.4811024, 0.5463795, 0.6588773, 0.8704964, 1.2778905, 2.0934470, 3.7578740", \
+ 					  "0.4768752, 0.5404889, 0.6565359, 0.8801252, 1.2685705, 2.0797681, 3.7613659", \
+ 					  "0.4766148, 0.5406751, 0.6656867, 0.8754891, 1.2836876, 2.0809614, 3.7540455", \
+ 					  "0.4802219, 0.5457260, 0.6651044, 0.8770019, 1.2808599, 2.0971953, 3.7580823", \
+ 					  "0.4804079, 0.5458412, 0.6639031, 0.8781977, 1.2813327, 2.0999560, 3.7544914", \
+ 					  "0.4783146, 0.5458903, 0.6628149, 0.8686464, 1.2795729, 2.0799591, 3.7573460");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("261.7909700, 261.8665200, 261.9487100, 262.2221900, 262.5596400, 263.1681800, 264.1078500", \
+ 					  "249.8747700, 249.9465400, 250.0920000, 250.3587700, 250.6381200, 251.2549900, 252.2281200", \
+ 					  "251.3955300, 251.4682600, 251.6042900, 251.7937500, 252.1481100, 252.6987500, 253.7331100", \
+ 					  "253.6751500, 253.7873600, 253.9297000, 254.0983500, 254.4397000, 255.0007700, 256.0128700", \
+ 					  "256.3752400, 256.4472700, 256.5493000, 256.8010000, 257.1205800, 257.6838100, 258.7138100", \
+ 					  "259.1989400, 259.2619800, 259.3986000, 259.6251200, 259.9468000, 260.5094700, 261.5420600", \
+ 					  "262.2339000, 262.3058600, 262.4446700, 262.6584300, 262.9829200, 263.5453900, 264.5700200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("0.4604472, 0.5146887, 0.6117383, 0.7711174, 1.0803469, 1.6589907, 2.8437694", \
+ 					  "0.4644276, 0.5146445, 0.6065723, 0.7774726, 1.0749514, 1.6607985, 2.8469338", \
+ 					  "0.4622423, 0.5156062, 0.6109607, 0.7709578, 1.0720776, 1.6588813, 2.8488356", \
+ 					  "0.4634363, 0.5145974, 0.6046202, 0.7757316, 1.0801876, 1.6590199, 2.8464084", \
+ 					  "0.4607393, 0.5163963, 0.6088440, 0.7707446, 1.0823641, 1.6608628, 2.8492624", \
+ 					  "0.4601277, 0.5136587, 0.6104761, 0.7724090, 1.0817900, 1.6606466, 2.8441591", \
+ 					  "0.4649417, 0.5139740, 0.6155185, 0.7783583, 1.0766438, 1.6554903, 2.8509182");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("191.3980900, 191.4840800, 191.6542200, 191.8897700, 192.2932000, 193.0022800, 194.3116100", \
+ 					  "191.5269600, 191.6130000, 191.7562000, 192.0121700, 192.4159800, 193.1192800, 194.4293800", \
+ 					  "191.7565400, 191.8438000, 191.9908400, 192.2287800, 192.6370600, 193.3403000, 194.6525900", \
+ 					  "192.0430200, 192.0936800, 192.2446400, 192.4826300, 192.8832900, 193.5863900, 194.8987500", \
+ 					  "192.2874400, 192.3701200, 192.5213100, 192.7590500, 193.1679500, 193.8665800, 195.1820800", \
+ 					  "192.5389300, 192.6225400, 192.7695600, 193.0121100, 193.4156200, 194.1188000, 195.4335300", \
+ 					  "192.8008000, 192.8987200, 193.0457400, 193.2835800, 193.6917200, 194.3951700, 195.6968600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4767856, 0.5445607, 0.6660891, 0.8751799, 1.2806605, 2.0925479, 3.7485401", \
+ 					  "0.4772904, 0.5448639, 0.6635298, 0.8776986, 1.2792387, 2.0944226, 3.7366346", \
+ 					  "0.4824039, 0.5476045, 0.6610229, 0.8784310, 1.2774941, 2.0945472, 3.7440722", \
+ 					  "0.4787646, 0.5475644, 0.6612193, 0.8783207, 1.2781910, 2.0945219, 3.7435708", \
+ 					  "0.4829482, 0.5476862, 0.6605617, 0.8786367, 1.2841220, 2.0944841, 3.7336205", \
+ 					  "0.4809570, 0.5469575, 0.6639447, 0.8729417, 1.2788806, 2.0930853, 3.7489376", \
+ 					  "0.4822051, 0.5471696, 0.6636293, 0.8774207, 1.2783411, 2.0931945, 3.7447192");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("227.0671300, 227.1053300, 227.2330900, 227.4777000, 227.8243400, 228.3806600, 229.3544000", \
+ 					  "227.3766300, 227.4579500, 227.5867400, 227.7979000, 228.1367400, 228.7054200, 229.7066400", \
+ 					  "227.6969400, 227.7798200, 227.9122400, 228.1333300, 228.4630200, 229.0288600, 230.0298700", \
+ 					  "227.9688800, 228.0516800, 228.1840200, 228.4009500, 228.7347900, 229.3006400, 230.3014400", \
+ 					  "228.2042100, 228.2889800, 228.4211700, 228.6391100, 228.9695000, 229.5381200, 230.5361700", \
+ 					  "228.5235000, 228.6070900, 228.7404200, 228.9493900, 229.2855800, 229.8520600, 230.8544000", \
+ 					  "228.7864000, 228.8696100, 229.0012000, 229.2091100, 229.5509500, 230.1159600, 231.1186800");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4604180, 0.5130755, 0.6090982, 0.7767125, 1.0785157, 1.6465567, 2.8424341", \
+ 					  "0.4606040, 0.5128980, 0.6040398, 0.7764030, 1.0733223, 1.6546322, 2.8514846", \
+ 					  "0.4618249, 0.5129899, 0.6043974, 0.7773689, 1.0785214, 1.6515276, 2.8388765", \
+ 					  "0.4615841, 0.5126576, 0.6040113, 0.7763391, 1.0784390, 1.6512263, 2.8391838", \
+ 					  "0.4615412, 0.5131891, 0.6046089, 0.7764210, 1.0784410, 1.6515661, 2.8391742", \
+ 					  "0.4630837, 0.5178163, 0.6078965, 0.7791705, 1.0734630, 1.6524212, 2.8470295", \
+ 					  "0.4604543, 0.5120368, 0.6034911, 0.7796766, 1.0752934, 1.6548964, 2.8463845");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("204.3815500, 204.4501700, 204.5829700, 204.8290100, 205.1199900, 205.8121300, 207.1150600", \
+					  "206.8717600, 206.9165800, 207.0891900, 207.3041500, 207.7352000, 208.4246900, 209.7576900", \
+					  "208.9971900, 209.0911700, 209.2568500, 209.5091000, 209.8909300, 210.6164900, 211.9292900", \
+					  "210.9659600, 211.0602000, 211.2096400, 211.4457800, 211.8551000, 212.5561000, 213.8759500", \
+					  "212.7479500, 212.8440400, 212.9787500, 213.2360800, 213.6251700, 214.3417800, 215.6580500", \
+					  "214.4379900, 214.5005700, 214.6799300, 214.8889600, 215.3290000, 216.0030200, 217.3197500", \
+					  "216.1045700, 216.1879600, 216.3356800, 216.5788800, 216.9811900, 217.6628600, 219.0125900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("0.4781457, 0.5438104, 0.6605361, 0.8745938, 1.2820317, 2.0884585, 3.7614467", \
+					  "0.4802385, 0.5444919, 0.6612422, 0.8759586, 1.2767922, 2.0985560, 3.7602170", \
+					  "0.4772611, 0.5489182, 0.6650362, 0.8813883, 1.2845299, 2.0949367, 3.7437311", \
+					  "0.4778512, 0.5457672, 0.6588915, 0.8764677, 1.2741905, 2.0884949, 3.7479921", \
+					  "0.4826650, 0.5453035, 0.6624760, 0.8719336, 1.2787412, 2.0975106, 3.7544270", \
+					  "0.4802467, 0.5452778, 0.6609433, 0.8708044, 1.2807397, 2.0931403, 3.7469605", \
+					  "0.4787666, 0.5415280, 0.6570866, 0.8769159, 1.2828011, 2.0934487, 3.7429970");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("234.3714700, 234.4243300, 234.5372300, 234.7102700, 235.1377200, 235.7202800, 236.7385600", \
+					  "236.8975100, 236.9877300, 237.1074300, 237.3272300, 237.6658200, 238.2296300, 239.2380900", \
+					  "239.7723100, 239.8341500, 239.9696700, 240.1775400, 240.5391200, 241.0923800, 242.1103600", \
+					  "242.2531200, 242.3320500, 242.4614300, 242.6641900, 243.0146000, 243.5761200, 244.5841900", \
+					  "244.5571000, 244.6320500, 244.7649800, 244.9788800, 245.3185700, 245.8840500, 246.8907800", \
+					  "246.7028800, 246.7815200, 246.9141700, 247.1161900, 247.4628500, 248.0286700, 249.0366700", \
+					  "248.7600200, 248.8369000, 248.9669300, 249.1735000, 249.5203500, 250.0855500, 251.0868700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("0.4616692, 0.5155789, 0.6141858, 0.7764366, 1.0792314, 1.6560763, 2.8437157", \
+					  "0.4618386, 0.5140627, 0.6042395, 0.7745177, 1.0788493, 1.6554895, 2.8500977", \
+					  "0.4594579, 0.5124589, 0.6081238, 0.7735451, 1.0742258, 1.6599969, 2.8485889", \
+					  "0.4641938, 0.5143506, 0.6104883, 0.7802420, 1.0751267, 1.6580576, 2.8403986", \
+					  "0.4597353, 0.5113679, 0.6055091, 0.7783541, 1.0789190, 1.6529565, 2.8470812", \
+					  "0.4604310, 0.5113619, 0.6055446, 0.7801111, 1.0758331, 1.6586610, 2.8490788", \
+					  "0.4609726, 0.5178493, 0.6105782, 0.7755763, 1.0738996, 1.6558397, 2.8572926");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("204.3730500, 204.4442800, 204.4656400, 204.6374300, 205.1748900, 205.8051400, 207.1241900", \
+					  "206.8570400, 206.9311300, 207.0840600, 207.3346200, 207.7412100, 208.4579900, 209.7827800", \
+					  "209.0041600, 209.1149200, 209.2597100, 209.4869600, 209.8851300, 210.5908000, 211.9290100", \
+					  "210.9829600, 211.0495800, 211.1964400, 211.4350800, 211.8605800, 212.5464000, 213.8635400", \
+					  "212.7449600, 212.8112000, 212.9611000, 213.2031200, 213.6008800, 214.3077500, 215.6313200", \
+					  "214.4166200, 214.5098100, 214.6570200, 214.8964700, 215.2960400, 216.0028200, 217.3232300", \
+					  "216.0949300, 216.1793900, 216.3164900, 216.5580400, 216.9818700, 217.6815600, 218.9907900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("0.4808270, 0.5457029, 0.6643862, 0.8761876, 1.2793656, 2.0929634, 3.7602828", \
+					  "0.4833488, 0.5451069, 0.6599329, 0.8810420, 1.2851041, 2.0944060, 3.7484553", \
+					  "0.4817400, 0.5398522, 0.6642136, 0.8720953, 1.2842517, 2.0988626, 3.7527612", \
+					  "0.4774697, 0.5445520, 0.6640177, 0.8800849, 1.2868271, 2.0994584, 3.7406815", \
+					  "0.4794045, 0.5394500, 0.6641638, 0.8721470, 1.2836494, 2.0941795, 3.7568122", \
+					  "0.4800573, 0.5449919, 0.6627407, 0.8690322, 1.2840556, 2.0933772, 3.7470858", \
+					  "0.4803778, 0.5466542, 0.6612373, 0.8771599, 1.2833911, 2.0943731, 3.7518970");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("234.3008800, 234.4643700, 234.6333300, 234.8502800, 235.1724800, 235.7269200, 236.6377200", \
+					  "236.9130200, 237.0033900, 237.1432400, 237.3361000, 237.6782200, 238.2442100, 239.2588700", \
+					  "239.7743500, 239.8617200, 239.9854100, 240.2092600, 240.5544700, 241.1106300, 242.1215900", \
+					  "242.2523800, 242.3493300, 242.4864900, 242.6911900, 243.0282400, 243.6012200, 244.6052500", \
+					  "244.5670500, 244.6560900, 244.7833700, 245.0006200, 245.3364800, 245.9041500, 246.9045300", \
+					  "246.7173200, 246.7940300, 246.9342900, 247.1379000, 247.4801000, 248.0487600, 249.0556300", \
+					  "248.7723500, 248.8366100, 248.9872800, 249.1962600, 249.5388300, 250.1047600, 251.1107500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198467, 0.0393891, 0.0781744, 0.15515, 0.307922, 0.611124");
+					values("0.4617057, 0.5118830, 0.6159622, 0.7784363, 1.0790283, 1.6562803, 2.8491178", \
+					  "0.4594781, 0.5105908, 0.6052657, 0.7757774, 1.0748668, 1.6595846, 2.8526927", \
+					  "0.4588924, 0.5137585, 0.6085574, 0.7702325, 1.0735229, 1.6525301, 2.8601013", \
+					  "0.4637464, 0.5158541, 0.6103170, 0.7796543, 1.0750799, 1.6516416, 2.8416733", \
+					  "0.4586044, 0.5117606, 0.6038154, 0.7782851, 1.0789132, 1.6529741, 2.8601954", \
+					  "0.4612117, 0.5124539, 0.6055346, 0.7801051, 1.0758191, 1.6586463, 2.8605935", \
+					  "0.4636889, 0.5148018, 0.6105665, 0.7755648, 1.0739114, 1.6526353, 2.8476264");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 0.998332;
+			capacitance : 1.000384;
+			rise_capacitance : 1.002436;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1419068, -1.1090148, -1.0761229, -1.0567304, -1.0381136, -1.0187211, -0.9993287");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.2085481, 1.2210942, 1.2336403, 1.2714511, 1.3077495, 1.3455604, 1.3833713");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.091882;
+			capacitance : 0.110575;
+			fall_capacitance : 0.129269;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0122441, 0.0819130, 0.1760702, 0.2709626, 0.3620593, 0.4569517, 0.5518441");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0234028, -0.0616819, -0.1467666, -0.2303996, -0.3106873, -0.3943203, -0.4779533");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.098192;
+			capacitance : 0.137524;
+			fall_capacitance : 0.176855;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0869558, 0.0260831, 0.1391219, 0.2521440, 0.3606451, 0.4736671, 0.5866892");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0869579, -0.0260823, -0.1391225, -0.2521441, -0.3606449, -0.4736666, -0.5866882");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.866607;
+			capacitance : 2.199616;
+			fall_capacitance : 2.532625;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0056780, -0.0057677, -0.0058574, -0.0057963, -0.0057376, -0.0056764, -0.0056153");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0056810, 0.0057401, 0.0057993, 0.0057431, 0.0056891, 0.0056329, 0.0055766");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050035;
+			capacitance : 0.050038;
+			fall_capacitance : 0.050042;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0028796, -0.0028903, -0.0029009, -0.0028928, -0.0028850, -0.0028769, -0.0028688");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0028960, 0.0029087, 0.0029214, 0.0029087, 0.0028965, 0.0028839, 0.0028712");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045953;
+			capacitance : 0.045954;
+			fall_capacitance : 0.045955;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0047286, -0.0047656, -0.0048027, -0.0047832, -0.0047645, -0.0047450, -0.0047255");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0047482, 0.0047851, 0.0048220, 0.0047990, 0.0047770, 0.0047541, 0.0047311");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p65v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v65.lib
new file mode 100644
index 0000000..6524a4d
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v65.lib
@@ -0,0 +1,871 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+                 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+                         vol : 0.165;
+                         voh : 1.485;
+                         vomax : 1.732;
+                         vomin : -0.083;
+ 
+                }
+                 output_voltage (GENERAL_CORE_VOLTAGE) { 
+                         vol : 0.195; 
+                         voh : 1.755; 
+                         vomax : 2.047; 
+                         vomin : -0.098;  
+                }
+                 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+                         vil : 0.412;
+                         vih : 1.237;
+                         vimax : 1.732;
+                         vimin : -0.083; 
+                }
+                 input_voltage (GENERAL_CORE_VOLTAGE) { 
+                         vil : 0.585; 
+                         vih : 1.365; 
+                         vimax : 2.047; 
+                         vimin : -0.098; 
+                }
+         operating_conditions ("ff_ss_1p95v_x_1p65v_n40C") {
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		dont_use : true;
+		is_macro_cell : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 7.482550e-01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.7482550";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.5638720";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                        direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.015403;
+			capacitance : 0.015109;
+			fall_capacitance : 0.014815;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2310469, 0.4433611, 0.6556753, 0.8058364, 0.9499910, 1.1001521, 1.2503131");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1806677, -0.4946525, -0.8086372, -0.9700437, -1.1249939, -1.2864004, -1.4478069");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.021667;
+			capacitance : 0.021699;
+			fall_capacitance : 0.021731;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0078574, -0.0074082, -0.0069590, -0.0071646, -0.0073619, -0.0075674, -0.0077729");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0504434, 0.0558163, 0.0611892, 0.0609950, 0.0608085, 0.0606143, 0.0604200");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.028514;
+			capacitance : 0.027875;
+			fall_capacitance : 0.027236;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0138165, 0.0379475, 0.0897115, 0.0904007, 0.0910624, 0.0917516, 0.0924408");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0666660, 0.0829423, 0.0992186, 0.0989230, 0.0986392, 0.0983436, 0.0980481");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+                         input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017462;
+			capacitance : 0.017440;
+			fall_capacitance : 0.017418;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0698868, 0.0711221, 0.0723575, 0.0742283, 0.0760244, 0.0778953, 0.0797662");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0412107, 0.0410291, 0.0408476, 0.0424055, 0.0439010, 0.0454589, 0.0470167");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+                                related_pin : "ENABLE_H";
+                                timing_type : non_seq_hold_falling;
+                                fall_constraint (scalar) { 
+                                         values ("-35");
+                                } 
+                        }
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.024332;
+			capacitance : 0.023699;
+			fall_capacitance : 0.023066;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0116808, 0.0036954, 0.0190716, 0.0292519, 0.0390251, 0.0492054, 0.0593857");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0626641, 0.0739948, 0.0853254, 0.1733568, 0.2578669, 0.3458983, 0.4339297");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+                                related_pin : "ENABLE_VDDIO";
+                                timing_type : non_seq_hold_rising;
+                                rise_constraint (scalar) { 
+                                         values ("-35");
+                                } 
+                        }
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.009988;
+			capacitance : 0.010006;
+			fall_capacitance : 0.010023;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0115749, -0.0116511, -0.0117272, -0.0116828, -0.0116402, -0.0115958, -0.0115514");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0115300, 0.0116241, 0.0117183, 0.0116714, 0.0116265, 0.0115797, 0.0115329");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.608836;
+			max_transition : 3.753191;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("1.4568316, 1.4636992, 1.4682418, 1.4721443, 1.4790539, 1.4867302, 1.4951486", \
+ 					  "2.8689523, 2.8715379, 2.8676367, 2.8804721, 2.8793684, 2.8938497, 2.9026603", \
+ 					  "2.8597918, 2.8629584, 2.8646649, 2.8723892, 2.8768008, 2.8841250, 2.8935866", \
+ 					  "2.8642822, 2.8685587, 2.8706226, 2.8762917, 2.8815485, 2.8911235, 2.8999047", \
+ 					  "2.8680944, 2.8708221, 2.8758254, 2.8811328, 2.8871432, 2.8943722, 2.9027390", \
+ 					  "2.8745133, 2.8785711, 2.8827262, 2.8876892, 2.8936983, 2.9009475, 2.9096985", \
+ 					  "2.8827466, 2.8848235, 2.8882298, 2.8940997, 2.9010338, 2.9079347, 2.9165528");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("3.1475283, 3.1513969, 3.1548906, 3.1425966, 3.1734020, 3.1776167, 3.2009536", \
+ 					  "1.9084888, 1.9109510, 1.9136975, 1.9195526, 1.9274938, 1.9417714, 1.9566306", \
+ 					  "1.9867150, 1.9897209, 1.9940544, 1.9985023, 2.0076148, 2.0199478, 2.0381930", \
+ 					  "2.0566899, 2.0582004, 2.0619678, 2.0684656, 2.0758870, 2.0880489, 2.1089536", \
+ 					  "2.1107415, 2.1130107, 2.1181596, 2.1233976, 2.1304052, 2.1439400, 2.1669298", \
+ 					  "2.1666119, 2.1687450, 2.1720596, 2.1786581, 2.1841960, 2.1950337, 2.2127172", \
+ 					  "2.2115018, 2.2133094, 2.2162183, 2.2222862, 2.2289739, 2.2440552, 2.2582413");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("3.0635533, 3.0730715, 3.0762340, 3.0742079, 3.0876473, 3.0976233, 3.1219449", \
+ 					  "1.8235567, 1.8264778, 1.8305758, 1.8425847, 1.8428501, 1.8560132, 1.8753942", \
+ 					  "1.8984204, 1.9008157, 1.9042968, 1.9115358, 1.9168202, 1.9305176, 1.9531030", \
+ 					  "1.9615156, 1.9637169, 1.9682476, 1.9711760, 1.9845907, 1.9942021, 2.0106059", \
+ 					  "2.0112978, 2.0134200, 2.0179841, 2.0255455, 2.0303026, 2.0453307, 2.0679368", \
+ 					  "2.0645367, 2.0659134, 2.0698992, 2.0718394, 2.0828658, 2.0945418, 2.1226397", \
+ 					  "2.1134336, 2.1156874, 2.1193841, 2.1249046, 2.1335661, 2.1464200, 2.1668390");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("1.8677655, 1.8709012, 1.8760521, 1.8808633, 1.8894311, 1.8973280, 1.9103963", \
+ 					  "3.2902461, 3.2812141, 3.2858581, 3.2909899, 3.3104791, 3.3193283, 3.3309755", \
+ 					  "3.2818711, 3.2835091, 3.2873782, 3.2942295, 3.3017944, 3.3101462, 3.3230506", \
+ 					  "3.2889748, 3.2826034, 3.2875118, 3.2921174, 3.3001377, 3.3171935, 3.3197195", \
+ 					  "3.2825528, 3.2848819, 3.2893592, 3.2952081, 3.3034775, 3.3116849, 3.3237542", \
+ 					  "3.2966102, 3.2963675, 3.3037644, 3.3080338, 3.3160180, 3.3211300, 3.3293765", \
+ 					  "3.2938769, 3.2966112, 3.3015754, 3.3067648, 3.3137089, 3.3223725, 3.3346108");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198498, 0.03940144, 0.07821106, 0.1552474, 0.3081629, 0.611697");
+ 					values("-0.7548218, -0.7546171, -0.7518692, -0.7487788, -0.7485595, -0.7492060, -0.7575500", \
+ 					  "-0.7670017, -0.7659737, -0.7443626, -0.7615129, -0.7652394, -0.7612151, -0.7662165", \
+ 					  "-0.7629718, -0.8018635, -0.7764382, -0.7665601, -0.7418083, -0.7812699, -0.7571167", \
+ 					  "-0.7667217, -0.7654814, -0.7638570, -0.7610951, -0.7609647, -0.7624173, -0.7689315", \
+ 					  "-0.7665261, -0.7654136, -0.7636069, -0.7611433, -0.7724035, -0.7614621, -0.7737346", \
+ 					  "-0.7669269, -0.7801293, -0.7597252, -0.7428050, -0.7502089, -0.7657809, -0.7721108", \
+ 					  "-0.7531790, -0.7497171, -0.7727255, -0.7611697, -0.7518701, -0.7616877, -0.7544090");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198498, 0.03940144, 0.07821106, 0.1552474, 0.3081629, 0.611697");
+ 					values("0.9742922, 0.9754326, 0.9709974, 0.9842294, 0.9907846, 0.9971790, 1.0049730", \
+ 					  "0.9849996, 1.0081335, 0.9916454, 0.9962857, 1.0006565, 0.9927486, 1.0156096", \
+ 					  "0.9725994, 0.9752630, 0.9800801, 0.9847921, 0.9907178, 0.9972406, 1.0050735", \
+ 					  "0.9843488, 0.9863619, 0.9900698, 0.9961420, 1.0019309, 1.0086731, 1.0155182", \
+ 					  "0.9849341, 0.9862791, 0.9905353, 0.9961849, 1.0018246, 1.0084142, 1.0157647", \
+ 					  "0.9849591, 0.9863576, 0.9907222, 0.9960144, 0.9888453, 1.0085896, 1.0157111", \
+ 					  "0.9840206, 0.9866418, 0.9905597, 0.9963143, 1.0009475, 1.0089145, 1.0163928");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+					values("1.4722104, 1.4789782, 1.4809933, 1.4863191, 1.4908827, 1.4978294, 1.5053395", \
+					  "2.6494479, 2.6510942, 2.6567505, 2.6615216, 2.6665972, 2.6742285, 2.6856050", \
+					  "2.6453750, 2.6477499, 2.6513360, 2.6562435, 2.6606350, 2.6668448, 2.6758084", \
+					  "2.6490552, 2.6501579, 2.6538077, 2.6590273, 2.6645524, 2.6714317, 2.6808954", \
+					  "2.6491793, 2.6513624, 2.6553315, 2.6606907, 2.6667258, 2.6730532, 2.6810023", \
+					  "2.6506358, 2.6532995, 2.6562433, 2.6621259, 2.6675209, 2.6741033, 2.6807525", \
+					  "2.6527259, 2.6564673, 2.6600911, 2.6650821, 2.6705541, 2.6766265, 2.6812088");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+					values("3.0516246, 3.0442844, 3.0661041, 3.0493864, 3.0685902, 3.0788127, 3.1078546", \
+					  "1.9217338, 1.9239391, 1.9282035, 1.9322757, 1.9406547, 1.9560024, 1.9681023", \
+					  "1.9389384, 1.9412031, 1.9440582, 1.9501449, 1.9593162, 1.9720289, 1.9924819", \
+					  "1.9544169, 1.9572625, 1.9616221, 1.9660335, 1.9737324, 1.9895724, 1.9994115", \
+					  "1.9711721, 1.9738445, 1.9788854, 1.9823425, 1.9902420, 2.0017495, 2.0255924", \
+					  "1.9877255, 1.9897608, 1.9947527, 1.9994351, 2.0085429, 2.0223571, 2.0462072", \
+					  "2.0040861, 2.0061240, 2.0109804, 2.0178050, 2.0247935, 2.0364389, 2.0608322");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+					values("3.0475103, 3.0504693, 3.0420958, 3.0778877, 3.0775104, 3.0754094, 3.0961571", \
+					  "1.9194811, 1.9221528, 1.9265340, 1.9315541, 1.9400705, 1.9516431, 1.9655287", \
+					  "1.9360550, 1.9395791, 1.9437910, 1.9479461, 1.9560853, 1.9688608, 1.9848784", \
+					  "1.9524809, 1.9550470, 1.9592275, 1.9630781, 1.9710436, 1.9833239, 2.0088772", \
+					  "1.9697080, 1.9708881, 1.9753153, 1.9813253, 1.9894646, 2.0020572, 2.0270803", \
+					  "1.9864056, 1.9886235, 1.9919971, 1.9977271, 2.0054596, 2.0201503, 2.0441979", \
+					  "2.0023018, 2.0051523, 2.0082399, 2.0142559, 2.0224599, 2.0365821, 2.0584938");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+					values("1.5725476, 1.5731633, 1.5865315, 1.5871826, 1.5893844, 1.5961856, 1.6038202", \
+					  "2.7446183, 2.7523218, 2.7496300, 2.7615592, 2.7681069, 2.7743736, 2.7758956", \
+					  "2.7373982, 2.7531085, 2.7431312, 2.7625437, 2.7545125, 2.7613135, 2.7692158", \
+					  "2.7355149, 2.7378007, 2.7413260, 2.7514915, 2.7531890, 2.7596027, 2.7674386", \
+					  "2.7331379, 2.7481576, 2.7393088, 2.7494632, 2.7458626, 2.7595704, 2.7708654", \
+					  "2.7380559, 2.7361815, 2.7465764, 2.7524688, 2.7504507, 2.7640533, 2.7755430", \
+					  "2.7410606, 2.7446506, 2.7483575, 2.7628156, 2.7543256, 2.7661857, 2.7726005");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("211.9471000, 212.2042700, 212.3774300, 212.4864600, 212.8634300, 213.5658300, 214.8751700", \
+ 					  "213.8632600, 213.9515400, 214.0969000, 214.3694900, 214.7409800, 215.4489400, 216.7579300", \
+ 					  "215.4555300, 215.5446200, 215.6855500, 215.8643800, 216.3063200, 216.9727100, 218.3245300", \
+ 					  "216.7674200, 216.8547300, 217.0011000, 217.2299100, 217.6478200, 218.3282000, 219.6655100", \
+ 					  "218.0275100, 218.1179900, 218.2398200, 218.4668700, 218.8839500, 219.5727300, 220.9218800", \
+ 					  "219.1457200, 219.2183500, 219.3769100, 219.6011900, 220.0217600, 220.7071600, 222.0433100", \
+ 					  "220.2426700, 220.3319700, 220.4988600, 220.7578400, 221.1779300, 221.8644300, 223.1647600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4787671, 0.5408737, 0.6573476, 0.8779929, 1.2848792, 2.0936743, 3.7499528", \
+ 					  "0.4798447, 0.5492078, 0.6645254, 0.8800266, 1.2831456, 2.0911188, 3.7479180", \
+ 					  "0.4798260, 0.5446034, 0.6639380, 0.8727373, 1.2846902, 2.0884316, 3.7429504", \
+ 					  "0.4819071, 0.5493241, 0.6670043, 0.8798771, 1.2791758, 2.0947721, 3.7556260", \
+ 					  "0.4737479, 0.5372632, 0.6648582, 0.8810231, 1.2850513, 2.0861277, 3.7406132", \
+ 					  "0.4819810, 0.5432050, 0.6673109, 0.8717093, 1.2849060, 2.0815150, 3.7468757", \
+ 					  "0.4758246, 0.5392741, 0.6667560, 0.8773084, 1.2831753, 2.0859074, 3.7373349");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("315.5104200, 315.5104218, 315.5104523, 315.7588800, 316.2900600, 316.7179400, 317.7446200", \
+ 					  "304.6422100, 304.8127400, 304.9570700, 305.1566800, 305.4272500, 305.9936400, 307.0094600", \
+ 					  "308.8979400, 308.9802200, 309.1425600, 309.3392400, 309.6555200, 310.2229900, 311.2352800", \
+ 					  "313.4800600, 313.5417000, 313.6400400, 313.8261200, 314.2207300, 314.7967500, 315.7692800", \
+ 					  "317.7942500, 317.8626000, 318.0546700, 318.2354900, 318.5882900, 319.2604700, 320.0333700", \
+ 					  "322.1500200, 322.1821000, 322.2799600, 322.4338600, 322.8341800, 323.4119700, 324.4480000", \
+ 					  "326.4750300, 326.5381600, 326.6736400, 326.8826000, 327.2074900, 327.7955600, 328.7976500");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4656010, 0.5159597, 0.6090555, 0.7739599, 1.0808932, 1.6575488, 2.8454579", \
+ 					  "0.4623577, 0.5147345, 0.6051896, 0.7773602, 1.0807635, 1.6630726, 2.8485676", \
+ 					  "0.4623790, 0.5128263, 0.6075712, 0.7833693, 1.0814953, 1.6565068, 2.8506759", \
+ 					  "0.4618502, 0.5126535, 0.6066033, 0.7800398, 1.0712445, 1.6590572, 2.8532146", \
+ 					  "0.4632586, 0.5142767, 0.6038452, 0.7752837, 1.0810339, 1.6581162, 2.8509957", \
+ 					  "0.4650358, 0.5167037, 0.6157453, 0.7711420, 1.0702227, 1.6617185, 2.8509044", \
+ 					  "0.4655297, 0.5146892, 0.6146765, 0.7695767, 1.0811724, 1.6537363, 2.8492646");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("213.2439200, 213.2688300, 213.5177700, 213.5393800, 214.0032200, 214.8552100, 216.1877300", \
+ 					  "214.9860300, 215.0726700, 215.2189300, 215.4621700, 215.8657400, 216.5688400, 217.8828900", \
+ 					  "216.5005400, 216.5879600, 216.7350300, 216.9773800, 217.3797200, 218.0809400, 219.3957700", \
+ 					  "217.8618800, 217.9467200, 218.0932800, 218.3375900, 218.7407400, 219.4447600, 220.7571400", \
+ 					  "219.0977400, 219.1847000, 219.3333400, 219.5743200, 219.9778800, 220.6822000, 221.9959900", \
+ 					  "220.3131300, 220.4003100, 220.5441400, 220.7865500, 221.1890500, 221.8917200, 223.2061000", \
+ 					  "221.4219100, 221.5082900, 221.6517300, 221.8908600, 222.2495700, 223.0000300, 224.3117500");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4767046, 0.5427087, 0.6644824, 0.8766547, 1.2807194, 2.0939410, 3.7464929", \
+ 					  "0.4820689, 0.5466104, 0.6578366, 0.8698340, 1.2808852, 2.0842414, 3.7497765", \
+ 					  "0.4823040, 0.5468029, 0.6642475, 0.8793272, 1.2798773, 2.0932376, 3.7412060", \
+ 					  "0.4815970, 0.5417839, 0.6578346, 0.8784767, 1.2841097, 2.0885305, 3.7488963", \
+ 					  "0.4780279, 0.5481759, 0.6646073, 0.8792441, 1.2864421, 2.0825426, 3.7573340", \
+ 					  "0.4820718, 0.5466637, 0.6676940, 0.8814612, 1.2849209, 2.0838420, 3.7555554", \
+ 					  "0.4818367, 0.5464969, 0.6599884, 0.8800106, 1.2853055, 2.0950228, 3.7356456");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("237.1347100, 237.2664600, 237.4191700, 237.4400900, 237.9131100, 238.5263000, 239.5279600", \
+ 					  "238.3103900, 238.3895200, 238.5269200, 238.7351100, 239.1111600, 239.6383500, 240.6359600", \
+ 					  "242.0632900, 242.1521400, 242.2957800, 242.5042300, 242.8278900, 243.3967800, 244.3998400", \
+ 					  "245.9265700, 246.0026300, 246.1379200, 246.3492900, 246.6944400, 247.2557400, 248.2598500", \
+ 					  "249.8302400, 249.9106100, 250.0357500, 250.2464700, 250.5905700, 251.1548000, 252.1554400", \
+ 					  "253.6123700, 253.6915000, 253.8379200, 254.0231200, 254.3686400, 254.9437300, 255.9362700", \
+ 					  "257.2904800, 257.3669300, 257.5048700, 257.7082200, 258.0617900, 258.6229200, 259.6271400");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4614050, 0.5161510, 0.6085614, 0.7758710, 1.0809192, 1.6548498, 2.8479179", \
+ 					  "0.4621847, 0.5154829, 0.6073361, 0.7777026, 1.0805238, 1.6542623, 2.8529354", \
+ 					  "0.4628157, 0.5175972, 0.6157898, 0.7821528, 1.0791310, 1.6532725, 2.8508271", \
+ 					  "0.4635981, 0.5134623, 0.6076545, 0.7707955, 1.0806359, 1.6595216, 2.8503153", \
+ 					  "0.4646962, 0.5189781, 0.6158581, 0.7773741, 1.0804165, 1.6596460, 2.8516196", \
+ 					  "0.4643897, 0.5162418, 0.6097032, 0.7709975, 1.0772571, 1.6523283, 2.8474498", \
+ 					  "0.4659871, 0.5172791, 0.6127707, 0.7813167, 1.0799790, 1.6528247, 2.8376350");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+ 					values("191.4035300, 191.4880400, 191.6361800, 191.8793800, 192.2848600, 192.9897700, 194.3057700", \
+ 					  "191.5032500, 191.5902300, 191.7188100, 191.9820200, 192.3672700, 193.0732900, 194.3898800", \
+ 					  "191.7794900, 191.8700400, 192.0185200, 192.2567200, 192.6617400, 193.3672000, 194.6925900", \
+ 					  "192.0491600, 192.1364500, 192.2813600, 192.5241000, 192.9294300, 193.6354200, 194.9522100", \
+ 					  "192.2811800, 192.3687700, 192.5133300, 192.7566000, 193.1619100, 193.8678300, 195.1848100", \
+ 					  "192.5145800, 192.6256200, 192.7697800, 193.0129400, 193.4236300, 194.1240400, 195.4502100", \
+ 					  "192.8039500, 192.8912500, 193.0361000, 193.2795600, 193.6850700, 194.3905100, 195.7091600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+ 					values("0.4820319, 0.5415833, 0.6680331, 0.8806740, 1.2841257, 2.0988438, 3.7527794", \
+ 					  "0.4778221, 0.5418633, 0.6669598, 0.8792910, 1.2842343, 2.0964406, 3.7451127", \
+ 					  "0.4775947, 0.5482849, 0.6661409, 0.8746895, 1.2844807, 2.0948197, 3.7466537", \
+ 					  "0.4796468, 0.5442375, 0.6609485, 0.8806523, 1.2816939, 2.0947282, 3.7593750", \
+ 					  "0.4739821, 0.5375771, 0.6671092, 0.8788789, 1.2839865, 2.0991331, 3.7488377", \
+ 					  "0.4777208, 0.5395300, 0.6666255, 0.8801804, 1.2848379, 2.0978972, 3.7689012", \
+ 					  "0.4810136, 0.5447755, 0.6670780, 0.8777432, 1.2817009, 2.0987572, 3.7590877");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+ 					values("227.0659300, 227.1498300, 227.2764300, 227.4928300, 227.8371200, 228.3973800, 229.3934900", \
+ 					  "227.3456500, 227.4400000, 227.5736600, 227.7665300, 228.1109800, 228.6740800, 229.6821400", \
+ 					  "227.6908600, 227.7716600, 227.9053000, 228.1102200, 228.4570500, 229.0172900, 230.0253400", \
+ 					  "227.9273700, 228.0087200, 228.1767300, 228.3478300, 228.6910800, 229.2549400, 230.2638800", \
+ 					  "228.2057100, 228.2871600, 228.4381800, 228.6261600, 228.9908600, 229.5609200, 230.5421600", \
+ 					  "228.4647700, 228.5482000, 228.6800700, 228.8871200, 229.2314200, 229.7911400, 230.8029700", \
+ 					  "228.7753500, 228.8566900, 228.9913700, 229.1925900, 229.5450200, 230.1017000, 231.1074600");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+ 					values("0.4640943, 0.5137846, 0.6085411, 0.7672160, 1.0808292, 1.6606084, 2.8589209", \
+ 					  "0.4683517, 0.5206701, 0.6160289, 0.7780517, 1.0830000, 1.6601289, 2.8544951", \
+ 					  "0.4680197, 0.5208109, 0.6163349, 0.7790791, 1.0815537, 1.6608257, 2.8544966", \
+ 					  "0.4622485, 0.5179143, 0.6158877, 0.7778977, 1.0818351, 1.6594774, 2.8394997", \
+ 					  "0.4645776, 0.5178396, 0.6069143, 0.7778995, 1.0825781, 1.6613507, 2.8564775", \
+ 					  "0.4684518, 0.5181988, 0.6117744, 0.7779828, 1.0819431, 1.6610594, 2.8562572", \
+ 					  "0.4680223, 0.5189820, 0.6131470, 0.7789776, 1.0809933, 1.6608265, 2.8540159");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("204.2423100, 204.3095900, 204.4551500, 204.7162200, 205.1406900, 205.7864200, 207.1061400", \
+					  "206.8327800, 206.9199500, 207.0677000, 207.3037000, 207.7085200, 208.4124700, 209.7245000", \
+					  "209.0166300, 209.1037700, 209.2503200, 209.4923100, 209.8952900, 210.5982900, 211.9094400", \
+					  "210.9176100, 211.0097900, 211.1578800, 211.3940400, 211.7977700, 212.5009800, 213.8152400", \
+					  "212.6769200, 212.7623300, 212.9104500, 213.1458400, 213.5498100, 214.2966800, 215.6079700", \
+					  "214.4268800, 214.5133600, 214.6628200, 214.9042500, 215.3068300, 216.0080500, 217.3198700", \
+					  "216.0606800, 216.1459300, 216.3177400, 216.5463400, 216.9415000, 217.6551600, 218.9721000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("0.4759574, 0.5467756, 0.6549594, 0.8716309, 1.2830799, 2.0915270, 3.7357655", \
+					  "0.4788804, 0.5460247, 0.6631381, 0.8779241, 1.2845988, 2.0945956, 3.7467651", \
+					  "0.4817848, 0.5534643, 0.6663602, 0.8751017, 1.2782978, 2.0904642, 3.7460528", \
+					  "0.4774855, 0.5454592, 0.6611065, 0.8759903, 1.2842873, 2.0850992, 3.7385974", \
+					  "0.4817526, 0.5455944, 0.6612800, 0.8742627, 1.2855348, 2.0986266, 3.7407277", \
+					  "0.4787008, 0.5430820, 0.6576732, 0.8701117, 1.2808481, 2.0776110, 3.7419796", \
+					  "0.4782601, 0.5437465, 0.6663282, 0.8801984, 1.2824736, 2.0877997, 3.7530050");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("234.5358600, 234.5358658, 234.5885400, 234.7772000, 235.1345100, 235.8519300, 236.6490700", \
+					  "236.8728800, 236.9617200, 237.0859300, 237.3018200, 237.6481100, 238.2015500, 239.2040100", \
+					  "239.7428300, 239.8360300, 239.9575300, 240.1648900, 240.5454300, 241.1093200, 242.0795500", \
+					  "242.2687300, 242.3452400, 242.4847600, 242.6936300, 243.0346100, 243.5929800, 244.5985400", \
+					  "244.5618500, 244.6460000, 244.7733000, 244.9695600, 245.3213300, 245.8903600, 246.8910900", \
+					  "246.6997000, 246.7809600, 246.9195700, 247.1248300, 247.4700400, 248.0331300, 249.0383500", \
+					  "248.7169900, 248.7880400, 248.9222800, 249.1329400, 249.4665000, 250.0384800, 251.0346900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("0.4593507, 0.5209139, 0.6077169, 0.7738357, 1.0811252, 1.6568207, 2.8486813", \
+					  "0.4616635, 0.5144132, 0.6040781, 0.7817176, 1.0739352, 1.6586242, 2.8537706", \
+					  "0.4666282, 0.5147146, 0.6113500, 0.7703576, 1.0772732, 1.6521424, 2.8489746", \
+					  "0.4615153, 0.5155504, 0.6086475, 0.7800128, 1.0798744, 1.6568066, 2.8528473", \
+					  "0.4634132, 0.5144928, 0.6085095, 0.7705826, 1.0805772, 1.6515284, 2.8531515", \
+					  "0.4616881, 0.5258316, 0.6116049, 0.7706462, 1.0781793, 1.6531027, 2.8524119", \
+					  "0.4624443, 0.5140237, 0.6165392, 0.7756836, 1.0778985, 1.6577123, 2.8464151");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("204.2238900, 204.2769400, 204.6339200, 204.7293200, 205.2059600, 205.9202700, 207.1693200", \
+					  "206.8445200, 206.9316300, 207.0809600, 207.3202600, 207.7238600, 208.4277800, 209.7402600", \
+					  "209.0127000, 209.1028800, 209.2510800, 209.4889900, 209.8927500, 210.5953500, 211.9091000", \
+					  "210.9296000, 211.0183000, 211.1659800, 211.4059200, 211.8087800, 212.5133700, 213.8246200", \
+					  "212.6706800, 212.7516400, 212.9015400, 213.1459500, 213.5466300, 214.2510000, 215.5595500", \
+					  "214.4293600, 214.5166000, 214.6563700, 214.9050700, 215.3079200, 216.0104500, 217.3209000", \
+					  "216.0440700, 216.1557700, 216.2976600, 216.5220100, 216.9418600, 217.6239300, 218.9580900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("0.4785067, 0.5416472, 0.6664821, 0.8725059, 1.2784792, 2.0911617, 3.7430135", \
+					  "0.4781687, 0.5498123, 0.6637889, 0.8766480, 1.2859944, 2.0969284, 3.7387111", \
+					  "0.4776025, 0.5484294, 0.6660714, 0.8747629, 1.2830260, 2.0852431, 3.7525956", \
+					  "0.4779190, 0.5466224, 0.6615586, 0.8729345, 1.2845649, 2.0981499, 3.7414325", \
+					  "0.4816645, 0.5449443, 0.6600326, 0.8716121, 1.2764887, 2.0923949, 3.7416750", \
+					  "0.4820588, 0.5474225, 0.6610195, 0.8684624, 1.2779083, 2.0778514, 3.7380992", \
+					  "0.4831304, 0.5488072, 0.6660045, 0.8806115, 1.2844956, 2.0952342, 3.7531906");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("233.3437100, 233.4179900, 233.6518500, 233.8610200, 234.1920400, 234.6876300, 235.7118500", \
+					  "235.8018200, 235.8033700, 236.0065400, 236.1490600, 236.4897700, 237.0567700, 238.1271100", \
+					  "238.6705700, 238.6831900, 238.8736100, 239.0401100, 239.4312200, 239.9944500, 240.9965100", \
+					  "241.3061700, 241.3768000, 241.5106900, 241.7042700, 242.0664200, 242.6304200, 243.6328800", \
+					  "243.6826800, 243.7532800, 243.8871700, 244.1101700, 244.4432700, 245.0070400, 246.0095100", \
+					  "245.8880000, 245.9588300, 246.0907900, 246.3153500, 246.6485700, 247.2123400, 248.2146900", \
+					  "247.9537800, 248.0217900, 248.1609700, 248.3799900, 248.7171000, 249.2805000, 250.2829900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+					values("0.4680886, 0.5138949, 0.6078831, 0.7746834, 1.0791820, 1.6577335, 2.8350227", \
+					  "0.4615390, 0.5123958, 0.6049949, 0.7667198, 1.0795429, 1.6519232, 2.8486085", \
+					  "0.4616373, 0.5149950, 0.6039229, 0.7770122, 1.0750647, 1.6569857, 2.8383793", \
+					  "0.4617324, 0.5133131, 0.6048868, 0.7818698, 1.0811949, 1.6588646, 2.8541634", \
+					  "0.4616635, 0.5132993, 0.6049886, 0.7818812, 1.0812071, 1.6588463, 2.8542375", \
+					  "0.4616619, 0.5132688, 0.6039392, 0.7819118, 1.0812198, 1.6588255, 2.8543146", \
+					  "0.4638022, 0.5151231, 0.6158115, 0.7770472, 1.0753738, 1.6549204, 2.8445097");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 1.003258;
+			capacitance : 1.000044;
+			fall_capacitance : 0.996830;
+			max_transition : 25.000000;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1506240, -1.1149317, -1.0792393, -1.0592792, -1.0401175, -1.0201573, -1.0001972");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.2178006, 1.2254455, 1.2330905, 1.2726552, 1.3106373, 1.3502020, 1.3897667");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.091786;
+			capacitance : 0.110491;
+			fall_capacitance : 0.129195;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0123032, 0.0814860, 0.1752752, 0.2701395, 0.3612092, 0.4560735, 0.5509379");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0235553, -0.0613614, -0.1462781, -0.2298339, -0.3100474, -0.3936032, -0.4771590");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.098102;
+			capacitance : 0.137662;
+			fall_capacitance : 0.177222;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0872957, -0.0247861, -0.1368679, -0.2503785, -0.3593487, -0.4728592, -0.5863698");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0872703, 0.0247547, 0.1367798, 0.2503142, 0.3593073, 0.4728417, 0.5863762");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.866356;
+			capacitance : 2.199513;
+			fall_capacitance : 2.532670;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0058193, -0.0058606, -0.0059018, -0.0058670, -0.0058336, -0.0057989, -0.0057641");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0059187, 0.0059294, 0.0059401, 0.0058938, 0.0058493, 0.0058030, 0.0057567");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050027;
+			capacitance : 0.049989;
+			fall_capacitance : 0.049952;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0028994, -0.0029255, -0.0029515, -0.0029418, -0.0029325, -0.0029228, -0.0029131");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0028416, 0.0029087, 0.0029758, 0.0029617, 0.0029482, 0.0029340, 0.0029199");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+                         input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.046106;
+			capacitance : 0.046100;
+			fall_capacitance : 0.046093;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0047289, -0.0048165, -0.0049041, -0.0048826, -0.0048620, -0.0048405, -0.0048190");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0047438, 0.0048322, 0.0049207, 0.0048983, 0.0048769, 0.0048545, 0.0048321");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v95.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v95.lib
new file mode 100644
index 0000000..b44a9db
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v95.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ff_ss_n40C_1v95_1v95") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.950000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VDDA",1.950000);
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.950000);
+	voltage_map("VCCHIB",1.950000);
+	voltage_map("VDDIO",1.950000);
+	voltage_map("VDDIO_Q",1.950000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.950000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.195; 
+			 voh : 1.755; 
+			 vomax : 2.047; 
+			 vomin : -0.098; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.487; 
+			 vih : 1.462; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.585; 
+			 vih : 1.365; 
+			 vimax : 2.047; 
+			 vimin : -0.098; 
+		}
+	 operating_conditions ("ff_ss_1p95v_x_1p95v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.950000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 7.769840e-01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.7525030";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.7769840";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.016457;
+			capacitance : 0.015942;
+			fall_capacitance : 0.015426;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3490857, 0.6160001, 0.8829145, 1.0867848, 1.2825003, 1.4863706, 1.6902409");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2659893, -0.5718477, -0.8777062, -1.1027051, -1.3187040, -1.5437029, -1.7687018");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.022662;
+			capacitance : 0.022538;
+			fall_capacitance : 0.022415;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0091669, -0.0104194, -0.0116720, -0.0120373, -0.0123881, -0.0127535, -0.0131188");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0855280, 0.0858111, 0.0860942, 0.0858411, 0.0855982, 0.0853452, 0.0850922");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.029638;
+			capacitance : 0.029124;
+			fall_capacitance : 0.028610;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0037167, 0.0779830, 0.1596826, 0.1537759, 0.1481054, 0.1421987, 0.1362919");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1128386, 0.1283104, 0.1437823, 0.1426833, 0.1416284, 0.1405294, 0.1394305");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017464;
+			capacitance : 0.017441;
+			fall_capacitance : 0.017418;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0656102, 0.0668605, 0.0681108, 0.0700056, 0.0718246, 0.0737193, 0.0756141");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0435435, 0.0433661, 0.0431887, 0.0447298, 0.0462092, 0.0477504, 0.0492915");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.026487;
+			capacitance : 0.025878;
+			fall_capacitance : 0.025269;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0118530, 0.0288429, 0.0695388, 0.0718748, 0.0741175, 0.0764535, 0.0787896");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0987451, 0.1550782, 0.2114114, 0.3503917, 0.4838127, 0.6227930, 0.7617733");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.009964;
+			capacitance : 0.009973;
+			fall_capacitance : 0.009981;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0159674, -0.0160219, -0.0160765, -0.0160474, -0.0160195, -0.0159905, -0.0159614");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0160166, 0.0160309, 0.0160452, 0.0160000, 0.0159567, 0.0159115, 0.0158664");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 1.095210;
+			max_transition : 3.758241;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02187529, 0.04785285, 0.1046795, 0.2289895, 0.5009213, 1.09578");
+ 					values("4.0180714, 4.0272806, 4.0877119, 4.0808949, 4.0604978, 4.0829631, 4.1103657", \
+ 					  "2.7720458, 2.7726033, 2.7799406, 2.7907420, 2.8081810, 2.8326441, 2.8592443", \
+ 					  "2.8811894, 2.8833652, 2.8957201, 2.9076136, 2.9235499, 2.9429365, 2.9725326", \
+ 					  "2.9760172, 2.9789615, 2.9864390, 2.9972014, 3.0125325, 3.0343258, 3.0776200", \
+ 					  "3.0576277, 3.0598219, 3.0729841, 3.0841670, 3.1012142, 3.1241795, 3.1550118", \
+ 					  "3.1373673, 3.1401633, 3.1518887, 3.1622411, 3.1781014, 3.1975434, 3.2410481", \
+ 					  "3.2095706, 3.2124334, 3.2227328, 3.2356385, 3.2517188, 3.2722312, 3.3037182");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02187529, 0.04785285, 0.1046795, 0.2289895, 0.5009213, 1.09578");
+ 					values("2.5340782, 2.5354096, 2.5444921, 2.5570645, 2.5694253, 2.5777043, 2.6049364", \
+ 					  "4.0240090, 4.0286975, 4.0362175, 4.0475323, 4.0597625, 4.0740037, 4.0974485", \
+ 					  "4.0206987, 4.0289898, 4.0332303, 4.0467026, 4.0605054, 4.0733059, 4.0936508", \
+ 					  "4.0251804, 4.0288693, 4.0375472, 4.0415202, 4.0626573, 4.0763204, 4.0983454", \
+ 					  "4.0287730, 4.0329315, 4.0410626, 4.0521657, 4.0647177, 4.0803389, 4.1011244", \
+ 					  "4.0235081, 4.0276484, 4.0363051, 4.0459246, 4.0590474, 4.0780591, 4.0971180", \
+ 					  "4.0235077, 4.0280080, 4.0361122, 4.0468471, 4.0586189, 4.0739651, 4.0969780");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02187529, 0.04785285, 0.1046795, 0.2289895, 0.5009213, 1.09578");
+ 					values("4.1762275, 4.1819961, 4.1982810, 4.2041648, 4.2279136, 4.2256781, 4.2655041", \
+ 					  "2.9295687, 2.9322224, 2.9406807, 2.9512540, 2.9671203, 2.9777073, 3.0311211", \
+ 					  "3.0419304, 3.0447467, 3.0518827, 3.0652427, 3.0800460, 3.1029177, 3.1427784", \
+ 					  "3.1390552, 3.1423000, 3.1500943, 3.1627661, 3.1784369, 3.1950798, 3.2247646", \
+ 					  "3.2336868, 3.2327448, 3.2439199, 3.2556943, 3.2721215, 3.2977113, 3.3271981", \
+ 					  "3.3127930, 3.3163344, 3.3242788, 3.3356852, 3.3516388, 3.3647135, 3.4023513", \
+ 					  "3.3916802, 3.3945344, 3.4028952, 3.4145534, 3.4308428, 3.4500729, 3.4813450");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02187529, 0.04785285, 0.1046795, 0.2289895, 0.5009213, 1.09578");
+ 					values("2.0682310, 2.0754027, 2.0900386, 2.0913245, 2.1045654, 2.1204763, 2.1428950", \
+ 					  "3.5175326, 3.5103797, 3.5180526, 3.5290410, 3.5414783, 3.5574354, 3.5800156", \
+ 					  "3.5145708, 3.5193688, 3.5269290, 3.5372766, 3.5499047, 3.5657156, 3.5886261", \
+ 					  "3.5353111, 3.5397317, 3.5472460, 3.5573714, 3.5700160, 3.5861561, 3.6088378", \
+ 					  "3.5515349, 3.5567012, 3.5633605, 3.5744201, 3.5863851, 3.6028366, 3.6254495", \
+ 					  "3.5688891, 3.5730082, 3.5807197, 3.5911406, 3.6030916, 3.6195454, 3.6418355", \
+ 					  "3.5825769, 3.5871698, 3.5961720, 3.6048217, 3.6171450, 3.6335247, 3.6576167");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0218734, 0.04784455, 0.1046523, 0.2289101, 0.5007041, 1.09521");
+ 					values("-1.1532203, -1.1510809, -1.1456098, -1.1403696, -1.1378048, -1.1426965, -1.1751382", \
+ 					  "-1.1691595, -1.1676372, -1.1614625, -1.1570256, -1.1544052, -1.1603758, -1.1920754", \
+ 					  "-1.1532561, -1.1517025, -1.1454443, -1.1409122, -1.1381098, -1.1432382, -1.1761753", \
+ 					  "-1.1686975, -1.1668454, -1.1630833, -1.1563740, -1.1541315, -1.1613900, -1.1887466", \
+ 					  "-1.1689377, -1.1668367, -1.1629292, -1.1562516, -1.1538750, -1.1600985, -1.1889989", \
+ 					  "-1.1687221, -1.1668334, -1.1629863, -1.1566203, -1.1580473, -1.1642181, -1.1892490", \
+ 					  "-1.1740529, -1.1663580, -1.1449322, -1.1347367, -1.1541325, -1.1588999, -1.1884151");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0218734, 0.04784455, 0.1046523, 0.2289101, 0.5007041, 1.09521");
+ 					values("1.3065271, 1.3116258, 1.3195071, 1.3296276, 1.3399944, 1.3550158, 1.3752026", \
+ 					  "1.3229578, 1.3269323, 1.3419399, 1.3454997, 1.3513775, 1.3705653, 1.3893706", \
+ 					  "1.3045755, 1.3281126, 1.3185166, 1.3289726, 1.3343357, 1.3546440, 1.3728955", \
+ 					  "1.3228603, 1.3274681, 1.3446455, 1.3470283, 1.3471507, 1.3697806, 1.3896925", \
+ 					  "1.3228375, 1.3258290, 1.3344988, 1.3483254, 1.3518743, 1.3796057, 1.3888223", \
+ 					  "1.3230148, 1.3276105, 1.3490784, 1.3469614, 1.3471725, 1.3706090, 1.3845942", \
+ 					  "1.3232030, 1.3270769, 1.3345557, 1.3447970, 1.3531176, 1.3648552, 1.3890516");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.04784455, 0.1046523, 0.2289101, 0.5007041, 1.09521");
+					values("2.1625114, 2.0381096, 2.0451023, 2.0570393, 2.0675661, 2.0798414, 2.0994390", \
+					  "3.6470132, 3.6450933, 3.6598944, 3.6700690, 3.6807228, 3.6878773, 3.7144563", \
+					  "3.6472578, 3.6492207, 3.6587972, 3.6690811, 3.6809722, 3.6929904, 3.7137216", \
+					  "3.6529391, 3.6571380, 3.6667188, 3.6752741, 3.6863949, 3.7012077, 3.7179439", \
+					  "3.6548277, 3.6590778, 3.6672631, 3.6767698, 3.6844525, 3.7103502, 3.7275465", \
+					  "3.6648844, 3.6691392, 3.6757034, 3.6862197, 3.6978177, 3.7286411, 3.7310606", \
+					  "3.6653731, 3.6691765, 3.6765070, 3.6868364, 3.6946362, 3.7334523, 3.7319336");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.04784455, 0.1046523, 0.2289101, 0.5007041, 1.09521");
+					values("4.0003315, 4.0285300, 3.9303113, 3.9567507, 4.0253271, 4.0367240, 4.0590312", \
+					  "2.4801086, 2.4827566, 2.4898575, 2.5013175, 2.5167255, 2.5360727, 2.5696459", \
+					  "2.5369986, 2.5396579, 2.5464156, 2.5576982, 2.5744287, 2.5991156, 2.6277650", \
+					  "2.5918352, 2.5944680, 2.6017714, 2.6137864, 2.6300795, 2.6506440, 2.6826128", \
+					  "2.6438548, 2.6551758, 2.6582798, 2.6742048, 2.6890556, 2.6975987, 2.7379720", \
+					  "2.7128885, 2.7153013, 2.7240968, 2.7354364, 2.7511615, 2.7679846, 2.8026375", \
+					  "2.7716959, 2.7765750, 2.7832103, 2.7949943, 2.8118471, 2.8231339, 2.8630191");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.04784455, 0.1046523, 0.2289101, 0.5007041, 1.09521");
+					values("3.9869526, 3.9791650, 3.9799313, 3.9915535, 4.0071014, 4.0322927, 4.0562136", \
+					  "2.4798475, 2.4834071, 2.4893937, 2.5012960, 2.5159974, 2.5415416, 2.5666229", \
+					  "2.5354504, 2.5382042, 2.5462382, 2.5581872, 2.5732747, 2.5889913, 2.6343183", \
+					  "2.5926557, 2.5964643, 2.6016593, 2.6122119, 2.6333332, 2.6457094, 2.6822891", \
+					  "2.6501392, 2.6555310, 2.6636744, 2.6735738, 2.6923849, 2.7120567, 2.7365536", \
+					  "2.7084769, 2.7121634, 2.7190672, 2.7359616, 2.7507400, 2.7617446, 2.7985550", \
+					  "2.7701632, 2.7738753, 2.7805746, 2.7925899, 2.8108921, 2.8295515, 2.8600165");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.04784455, 0.1046523, 0.2289101, 0.5007041, 1.09521");
+					values("2.1633692, 2.1664145, 2.1834703, 2.1853134, 2.1969595, 2.2084117, 2.2342947", \
+					  "3.7543319, 3.7726237, 3.7662347, 3.7771739, 3.7982469, 3.8028317, 3.8311633", \
+					  "3.7638933, 3.7650863, 3.7752861, 3.7856805, 3.7966071, 3.8112946, 3.8291432", \
+					  "3.7663631, 3.7723717, 3.7751785, 3.7864516, 3.7975660, 3.8162880, 3.8302287", \
+					  "3.7678957, 3.7638998, 3.7708322, 3.7897099, 3.8082402, 3.8193710, 3.8355053", \
+					  "3.7599394, 3.7422782, 3.7739247, 3.7870132, 3.7959206, 3.8078382, 3.8260389", \
+					  "3.7725825, 3.7800353, 3.7836605, 3.7945198, 3.8056789, 3.8203841, 3.8387813");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("170.8611300, 170.9238400, 171.0187000, 171.2612500, 171.6844600, 172.3115200, 173.7494800", \
+ 					  "173.4766600, 173.5258100, 173.6343500, 173.8471300, 174.2145900, 174.9072300, 176.3733100", \
+ 					  "175.5764500, 175.5865200, 175.7816900, 175.9928400, 176.3544600, 177.0225600, 178.4984100", \
+ 					  "177.3985200, 177.4676800, 177.5792400, 177.7902800, 178.1588600, 178.8594100, 180.3223300", \
+ 					  "179.1378400, 179.2085800, 179.3146700, 179.5363300, 179.9445600, 180.6033500, 182.0579000", \
+ 					  "180.7878800, 180.8581200, 181.0080200, 181.2198300, 181.5883100, 182.2866400, 183.7434500", \
+ 					  "182.3586000, 182.4275700, 182.5805800, 182.7925300, 183.1620600, 183.8599400, 185.3170800");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("0.3159351, 0.3620171, 0.4599811, 0.6362480, 1.0073055, 1.8463663, 3.7516323", \
+ 					  "0.3157771, 0.3620626, 0.4525805, 0.6341976, 1.0070567, 1.8433575, 3.7490606", \
+ 					  "0.3152621, 0.3613504, 0.4610542, 0.6369084, 1.0051546, 1.8469163, 3.7508849", \
+ 					  "0.3157779, 0.3619304, 0.4601973, 0.6343929, 1.0050283, 1.8511297, 3.7533558", \
+ 					  "0.3185762, 0.3664225, 0.4583371, 0.6332795, 1.0035375, 1.8469859, 3.7516048", \
+ 					  "0.3153167, 0.3630894, 0.4609946, 0.6326023, 1.0027575, 1.8495840, 3.7503698", \
+ 					  "0.3161296, 0.3627038, 0.4545497, 0.6371639, 1.0050283, 1.8426706, 3.7520960");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("208.9338600, 208.9748100, 209.1765800, 209.3288200, 209.6025200, 210.2472100, 211.4979600", \
+ 					  "208.6764500, 208.7093800, 208.8306100, 209.0091700, 209.3559700, 209.9972400, 211.2669200", \
+ 					  "212.0417800, 212.0417862, 212.1901600, 212.3520600, 212.6760100, 213.3481300, 214.6147000", \
+ 					  "215.5386600, 215.5872800, 215.6927400, 215.8875800, 216.2291100, 216.8407200, 218.1325000", \
+ 					  "219.1110300, 219.1738800, 219.2874900, 219.4807500, 219.7985400, 220.4321000, 221.7025200", \
+ 					  "222.6530000, 222.7277200, 222.8453400, 223.0226100, 223.3709300, 223.9938700, 225.2707500", \
+ 					  "226.2149400, 226.2718700, 226.3870200, 226.5778200, 226.9092800, 227.5342300, 228.8159600");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("0.2962747, 0.3394826, 0.4225610, 0.5801068, 0.8964349, 1.5973792, 3.2101946", \
+ 					  "0.2941652, 0.3378326, 0.4218307, 0.5771465, 0.8948816, 1.5948991, 3.2026644", \
+ 					  "0.2934208, 0.3362312, 0.4162687, 0.5736682, 0.8960722, 1.5937246, 3.2089367", \
+ 					  "0.2935608, 0.3377920, 0.4162697, 0.5790258, 0.8943392, 1.5973892, 3.2099079", \
+ 					  "0.2979118, 0.3361219, 0.4162516, 0.5772738, 0.8957616, 1.5991239, 3.2058123", \
+ 					  "0.2953840, 0.3417901, 0.4171798, 0.5769188, 0.8953578, 1.5955393, 3.1960059", \
+ 					  "0.2971764, 0.3439040, 0.4232186, 0.5769477, 0.8956651, 1.5961349, 3.1969491");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("173.4581600, 173.5320400, 173.5961500, 173.9039800, 174.2013300, 174.8952200, 176.3501600", \
+ 					  "175.9845700, 176.0554200, 176.1786200, 176.3882600, 176.7554600, 177.4556600, 178.9140600", \
+ 					  "178.0635900, 178.1331800, 178.2573500, 178.4720500, 178.8389500, 179.5390400, 181.0000000", \
+ 					  "179.9295900, 179.9993300, 180.1234400, 180.3344200, 180.7029700, 181.4008800, 182.8571200", \
+ 					  "181.7033600, 181.7667200, 181.8984100, 182.1096400, 182.4786200, 183.1766000, 184.6275400", \
+ 					  "183.3727800, 183.4425100, 183.5661400, 183.7776700, 184.1467700, 184.8460700, 186.2715600", \
+ 					  "185.0106200, 185.0805700, 185.2049800, 185.4181300, 185.7850100, 186.4831500, 187.9435800");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("0.3161102, 0.3619076, 0.4543132, 0.6381734, 1.0061263, 1.8389281, 3.7512056", \
+ 					  "0.3158091, 0.3634706, 0.4605015, 0.6355617, 1.0070251, 1.8421331, 3.7518513", \
+ 					  "0.3155239, 0.3629374, 0.4556138, 0.6380733, 1.0076486, 1.8422239, 3.7479260", \
+ 					  "0.3140261, 0.3624040, 0.4540881, 0.6365639, 1.0055261, 1.8398938, 3.7453973", \
+ 					  "0.3155818, 0.3690230, 0.4580095, 0.6362915, 1.0053522, 1.8443842, 3.7525130", \
+ 					  "0.3161521, 0.3621004, 0.4592464, 0.6369246, 1.0077844, 1.8392645, 3.7518957", \
+ 					  "0.3150581, 0.3685438, 0.4607330, 0.6363806, 1.0054374, 1.8375147, 3.7525929");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("186.8379400, 186.8756200, 186.9951100, 187.0644000, 187.5185800, 188.1436700, 189.4179900", \
+ 					  "188.7129300, 188.7771600, 188.8830800, 189.0819000, 189.4045200, 190.0417700, 191.3141900", \
+ 					  "191.9321000, 191.9836600, 192.1002700, 192.2940800, 192.6228900, 193.2496600, 194.5250400", \
+ 					  "194.8916400, 194.9319900, 195.0489400, 195.2426100, 195.5733600, 196.1978200, 197.4730100", \
+ 					  "197.6210200, 197.7017200, 197.8190800, 198.0066200, 198.3389700, 198.9661800, 200.2396500", \
+ 					  "200.2615800, 200.3205000, 200.4371400, 200.6311600, 200.9610500, 201.5864300, 202.8607000", \
+ 					  "202.7333000, 202.7935000, 202.9547200, 203.1035300, 203.4353100, 204.0594600, 205.3437800");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0218753, 0.0478528, 0.10468, 0.22899, 0.500921, 1.09578");
+ 					values("0.2955085, 0.3373191, 0.4205357, 0.5770752, 0.8931697, 1.5974172, 3.2083833", \
+ 					  "0.2989536, 0.3369029, 0.4239821, 0.5794887, 0.8974915, 1.5986280, 3.2172214", \
+ 					  "0.2945800, 0.3392597, 0.4199655, 0.5743240, 0.8960184, 1.5968686, 3.2026028", \
+ 					  "0.2946051, 0.3391256, 0.4197700, 0.5743951, 0.8928629, 1.5968030, 3.2032399", \
+ 					  "0.2945358, 0.3369378, 0.4200473, 0.5792671, 0.8956437, 1.5987228, 3.2134040", \
+ 					  "0.2971451, 0.3390976, 0.4194723, 0.5744031, 0.8928815, 1.5967233, 3.2036425", \
+ 					  "0.2957765, 0.3391138, 0.4179250, 0.5743697, 0.8928927, 1.5968076, 3.2034588");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+ 					values("162.1099400, 162.1501200, 162.2755000, 162.5036700, 162.8720700, 163.5545500, 165.0310300", \
+ 					  "162.2340900, 162.3023300, 162.4276800, 162.6399600, 163.0081500, 163.7076800, 165.1657400", \
+ 					  "162.4207000, 162.4888200, 162.6150100, 162.8263700, 163.1945100, 163.8941700, 165.3525900", \
+ 					  "162.6087300, 162.6790300, 162.8022100, 163.0139200, 163.3853200, 164.0815400, 165.5388500", \
+ 					  "162.7943600, 162.8651100, 162.9884100, 163.2001600, 163.5700600, 164.2676300, 165.7257400", \
+ 					  "162.9429800, 163.0129400, 163.1360400, 163.3477800, 163.7214100, 164.4209000, 165.8734600", \
+ 					  "163.1305100, 163.2005100, 163.3240600, 163.5356300, 163.8825000, 164.5819600, 166.0509700");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+ 					values("0.3156859, 0.3633623, 0.4593719, 0.6377133, 1.0074884, 1.8385771, 3.7495296", \
+ 					  "0.3153648, 0.3624055, 0.4615107, 0.6370235, 1.0067845, 1.8470913, 3.7497729", \
+ 					  "0.3154493, 0.3624697, 0.4615250, 0.6371792, 1.0073480, 1.8466476, 3.7489785", \
+ 					  "0.3145228, 0.3675543, 0.4544565, 0.6369569, 1.0057597, 1.8413375, 3.7504693", \
+ 					  "0.3151496, 0.3687995, 0.4555468, 0.6367792, 1.0065159, 1.8423213, 3.7507419", \
+ 					  "0.3142548, 0.3613712, 0.4547309, 0.6367382, 1.0074530, 1.8427501, 3.7504759", \
+ 					  "0.3143293, 0.3613713, 0.4588578, 0.6365655, 1.0073566, 1.8412938, 3.7506478");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+ 					values("183.0622300, 183.1184400, 183.2309500, 183.4216500, 183.7664900, 184.3780100, 185.6586500", \
+ 					  "183.2975400, 183.3593900, 183.4725800, 183.6584000, 183.9985800, 184.6252400, 185.9050800", \
+ 					  "183.5005700, 183.5645100, 183.6808400, 183.8726700, 184.2023800, 184.8357100, 186.1152200", \
+ 					  "183.6788200, 183.7428400, 183.8564100, 184.0506500, 184.3863800, 185.0111900, 186.2860700", \
+ 					  "183.8594700, 183.9166100, 184.0425600, 184.2322300, 184.5677500, 185.1928000, 186.4783200", \
+ 					  "184.0303000, 184.0944900, 184.2034000, 184.3974600, 184.7331700, 185.3578900, 186.6376400", \
+ 					  "184.2001000, 184.2639300, 184.3738300, 184.5674000, 184.8982800, 185.5234600, 186.8075400");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+ 					values("0.2946315, 0.3418880, 0.4164171, 0.5793459, 0.8933824, 1.5958510, 3.2142524", \
+ 					  "0.2943777, 0.3352611, 0.4224212, 0.5766239, 0.8959037, 1.5947653, 3.2027045", \
+ 					  "0.2939694, 0.3361302, 0.4234574, 0.5775276, 0.8968072, 1.5959282, 3.2003737", \
+ 					  "0.2943323, 0.3365543, 0.4275985, 0.5797621, 0.8947637, 1.5953575, 3.2003558", \
+ 					  "0.2930873, 0.3391271, 0.4176005, 0.5786540, 0.8932925, 1.5916208, 3.2137377", \
+ 					  "0.2943594, 0.3355342, 0.4272934, 0.5799315, 0.8947507, 1.5951350, 3.2014889", \
+ 					  "0.2934333, 0.3354075, 0.4269037, 0.5796632, 0.8953828, 1.5952256, 3.2055472");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("168.7952800, 168.8817000, 168.9644500, 169.2632900, 169.5391600, 170.2245100, 171.7100100", \
+					  "170.7688900, 170.8388500, 170.9632200, 171.1748200, 171.5429400, 172.2414400, 173.7006700", \
+					  "172.2054700, 172.2752400, 172.3958300, 172.6072000, 172.9770000, 173.6762100, 175.1319700", \
+					  "173.4351800, 173.5108000, 173.6341900, 173.8399600, 174.2151300, 174.9227700, 176.3762600", \
+					  "174.6467100, 174.7204500, 174.8413800, 175.0555300, 175.4189000, 176.1194600, 177.5719100", \
+					  "175.7561300, 175.8092300, 175.9672400, 176.1598600, 176.5216400, 177.2132000, 178.6747100", \
+					  "176.8367100, 176.9054700, 177.0294100, 177.2063300, 177.6129500, 178.3044200, 179.7596200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("0.3155137, 0.3665754, 0.4576071, 0.6369416, 1.0056922, 1.8446689, 3.7501007", \
+					  "0.3153694, 0.3631098, 0.4551839, 0.6337932, 1.0020649, 1.8452074, 3.7582408", \
+					  "0.3157165, 0.3636703, 0.4572973, 0.6329897, 1.0056090, 1.8440387, 3.7480499", \
+					  "0.3142246, 0.3626100, 0.4591438, 0.6366137, 1.0058819, 1.8468994, 3.7573011", \
+					  "0.3158403, 0.3634608, 0.4612807, 0.6382679, 1.0072108, 1.8393853, 3.7493746", \
+					  "0.3154236, 0.3616310, 0.4540388, 0.6368597, 1.0088426, 1.8444946, 3.7489167", \
+					  "0.3164995, 0.3687351, 0.4538168, 0.6370182, 1.0044012, 1.8369098, 3.7507322");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("185.9556400, 186.9328500, 187.0981200, 187.3747900, 187.6659800, 188.2641800, 189.5733100", \
+					  "189.1357600, 189.2011600, 189.3055400, 189.4977800, 189.8342800, 190.4674600, 191.7392300", \
+					  "190.9672100, 191.0306100, 191.1426900, 191.3397900, 191.6747400, 192.3004500, 193.5769200", \
+					  "192.5155100, 192.5736500, 192.6948700, 192.8902800, 193.2183800, 193.8407500, 195.1181000", \
+					  "193.8598500, 193.9266200, 194.0236800, 194.2215000, 194.5582700, 195.1569100, 196.4214800", \
+					  "195.0892300, 195.1545600, 195.2687700, 195.4592600, 195.7978500, 196.3983600, 197.6978700", \
+					  "196.2387000, 196.2705500, 196.4059400, 196.5774500, 196.9318900, 197.5272000, 198.8192500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("0.2972863, 0.3367577, 0.4164341, 0.5786719, 0.8959211, 1.5969152, 3.2039551", \
+					  "0.2999596, 0.3366127, 0.4158382, 0.5800697, 0.8944188, 1.5960774, 3.2068046", \
+					  "0.2980348, 0.3419412, 0.4198112, 0.5761789, 0.8935865, 1.5915812, 3.2058398", \
+					  "0.2944338, 0.3355723, 0.4201482, 0.5738131, 0.8947107, 1.5962554, 3.2012692", \
+					  "0.2942431, 0.3370749, 0.4164379, 0.5752453, 0.8949876, 1.5951230, 3.2076710", \
+					  "0.2943550, 0.3365928, 0.4164792, 0.5771209, 0.8947060, 1.5945745, 3.1998408", \
+					  "0.2982867, 0.3419015, 0.4200042, 0.5781835, 0.8950306, 1.5914091, 3.1963074");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("168.8188100, 168.9045200, 169.0573300, 169.1813900, 169.5582200, 170.2584500, 171.7555200", \
+					  "170.7482200, 170.8550900, 170.9760100, 171.1875900, 171.5575300, 172.2558800, 173.7129500", \
+					  "172.2184900, 172.2883100, 172.4120000, 172.6237600, 172.9932000, 173.6896300, 175.1455900", \
+					  "173.4203500, 173.4920700, 173.6288200, 173.8551300, 174.2381100, 174.8919100, 176.3791200", \
+					  "174.6351600, 174.7075900, 174.8152100, 175.0594500, 175.4240500, 176.1269900, 177.5855500", \
+					  "175.7285600, 175.7995400, 175.9229900, 176.1946300, 176.5269100, 177.2021100, 178.6582800", \
+					  "176.8049600, 176.8754600, 176.9990000, 177.2114200, 177.6061400, 178.2779000, 179.7354700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("0.3158168, 0.3654318, 0.4547682, 0.6378893, 1.0063361, 1.8372046, 3.7498471", \
+					  "0.3154388, 0.3614070, 0.4533082, 0.6350662, 1.0093330, 1.8443038, 3.7431329", \
+					  "0.3171860, 0.3644131, 0.4601655, 0.6368651, 1.0058615, 1.8373894, 3.7470533", \
+					  "0.3148148, 0.3693228, 0.4613652, 0.6334366, 1.0050198, 1.8377636, 3.7412349", \
+					  "0.3160641, 0.3622898, 0.4584632, 0.6382211, 1.0073659, 1.8407496, 3.7493790", \
+					  "0.3153139, 0.3692576, 0.4590444, 0.6351929, 1.0044435, 1.8382085, 3.7492791", \
+					  "0.3154054, 0.3696059, 0.4589178, 0.6366978, 1.0053540, 1.8427415, 3.7512899");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("185.9555900, 186.0931900, 186.1928400, 186.3601700, 186.6904300, 187.3034300, 188.5199500", \
+					  "188.2161600, 188.2810500, 188.3942500, 188.5889800, 188.9238500, 189.5505300, 190.8243700", \
+					  "190.1189100, 190.1861700, 190.2998000, 190.4944300, 190.8213000, 191.4525300, 192.7208600", \
+					  "191.6541500, 191.7452700, 191.8270400, 192.0481100, 192.3845500, 192.9831500, 194.2848700", \
+					  "193.0491500, 193.1261400, 193.2358800, 193.4346200, 193.7630600, 194.3909100, 195.6634000", \
+					  "194.2594600, 194.3143500, 194.4285500, 194.6272800, 194.9594300, 195.5896600, 196.8654600", \
+					  "195.3972100, 195.4547600, 195.5678600, 195.7647700, 196.0979300, 196.7241900, 197.9982000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0218734, 0.0478445, 0.104652, 0.22891, 0.500704, 1.09521");
+					values("0.2948839, 0.3371913, 0.4207503, 0.5775091, 0.8970026, 1.5993643, 3.2144691", \
+					  "0.2987168, 0.3421600, 0.4208225, 0.5798279, 0.8949436, 1.5949264, 3.1949812", \
+					  "0.2952709, 0.3381799, 0.4199338, 0.5772319, 0.8966408, 1.5958889, 3.1917429", \
+					  "0.2941780, 0.3358893, 0.4229008, 0.5793962, 0.8933340, 1.5954614, 3.2141081", \
+					  "0.2963117, 0.3362957, 0.4199509, 0.5743372, 0.8969261, 1.5947120, 3.2220846", \
+					  "0.2949473, 0.3404884, 0.4220146, 0.5759153, 0.8950951, 1.5986265, 3.2224279", \
+					  "0.2943383, 0.3411279, 0.4202682, 0.5788473, 0.8949078, 1.5930790, 3.2109249");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 0.998286;
+			capacitance : 0.996066;
+			fall_capacitance : 0.993846;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.5485390, -1.5133020, -1.4780651, -1.4372626, -1.3980922, -1.3572897, -1.3164872");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6677199, 1.7330282, 1.7983364, 1.8631777, 1.9254253, 1.9902666, 2.0551078");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.105991;
+			capacitance : 0.120654;
+			fall_capacitance : 0.135317;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0185729, 0.1268654, 0.2723037, 0.4178645, 0.5576028, 0.7031637, 0.8487245");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0211041, -0.1215772, -0.2642586, -0.4064005, -0.5428567, -0.6849986, -0.8271405");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.098192;
+			capacitance : 0.137524;
+			fall_capacitance : 0.176857;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1218961, 0.0361920, 0.1942801, 0.3521660, 0.5037365, 0.6616224, 0.8195083");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1218918, -0.0361871, -0.1942660, -0.3521589, -0.5037360, -0.6616288, -0.8195216");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.866227;
+			capacitance : 2.199535;
+			fall_capacitance : 2.532842;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0080023, -0.0080916, -0.0081809, -0.0081224, -0.0080663, -0.0080078, -0.0079494");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0079950, 0.0080517, 0.0081085, 0.0080537, 0.0080011, 0.0079462, 0.0078914");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050034;
+			capacitance : 0.050007;
+			fall_capacitance : 0.049980;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0039547, -0.0039984, -0.0040421, -0.0040285, -0.0040153, -0.0040017, -0.0039880");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0040171, 0.0040518, 0.0040866, 0.0040640, 0.0040423, 0.0040197, 0.0039971");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045952;
+			capacitance : 0.045953;
+			fall_capacitance : 0.045953;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0066925, -0.0066858, -0.0066791, -0.0066550, -0.0066319, -0.0066078, -0.0065836");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0067000, 0.0067192, 0.0067384, 0.0067009, 0.0066650, 0.0066276, 0.0065902");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ff_ss_1p95v_x_1p95v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_100C_1v40_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_100C_1v40_5v50.lib
new file mode 100644
index 0000000..7624612
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_100C_1v40_5v50.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ff_100C_1v40_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ff_1p40v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.370580e+02;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "99.0734000";
+		}
+		leakage_power (lkgGroup1) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "137.0580000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.019340;
+			capacitance : 0.019041;
+			fall_capacitance : 0.018741;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.8346080, 6.8367121, 11.8388160, 16.6184150, 21.4556000, 26.2351990, 31.0147980");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.8014703, -2.0158571, -4.8331846, -7.2453536, -9.6865849, -12.0987540, -14.5109230");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.025217;
+			capacitance : 0.025030;
+			fall_capacitance : 0.024843;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1022116, 0.6598171, 1.4218457, 2.2889358, 3.1664727, 4.0335628, 4.9006528");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.7081049, 1.4969860, 2.2858670, 3.1495402, 4.0236192, 4.8872925, 5.7509657");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.034127;
+			capacitance : 0.033594;
+			fall_capacitance : 0.033061;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.6397036, 2.0964006, 2.5530977, 3.3790513, 4.2149562, 5.0409098, 5.8668634");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1961524, 1.8510260, 2.5058996, 3.3350394, 4.1741689, 5.0033088, 5.8324487");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017466;
+			capacitance : 0.017396;
+			fall_capacitance : 0.017326;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0160326, -0.0159139, -0.0157953, -0.0156070, -0.0154164, -0.0152282, -0.0150399");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0441824, 0.0436739, 0.0431654, 0.0431238, 0.0430817, 0.0430401, 0.0429984");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.029065;
+			capacitance : 0.028755;
+			fall_capacitance : 0.028446;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.4261788, 2.7517407, 5.0773027, 7.4551153, 9.8615763, 12.2393890, 14.6172020");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("2.4976258, 11.9924920, 21.4873590, 25.7978700, 30.1603160, 34.4708280, 38.7813390");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.008911;
+			capacitance : 0.008926;
+			fall_capacitance : 0.008942;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1076339, -0.1072002, -0.1067665, -0.1063778, -0.1059844, -0.1055957, -0.1052070");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1069109, 0.1065576, 0.1062044, 0.1059736, 0.1057400, 0.1055093, 0.1052785");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 3.631790;
+			max_transition : 3.749458;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
+ 					values("77.3606112, 77.3405372, 77.0606012, 76.7623332, 76.7388522, 77.1565522, 79.5015522", \
+ 					  "66.1674571, 66.0731781, 65.8555121, 65.5453781, 65.5277741, 66.0960631, 68.3354871", \
+ 					  "75.4472870, 75.3978820, 75.1740960, 74.7944410, 74.8833700, 75.3965080, 77.5433680", \
+ 					  "75.7251550, 75.5812910, 75.3800220, 75.0992190, 75.0325630, 75.6557110, 77.6676150", \
+ 					  "75.9316150, 75.8446920, 75.5928510, 75.2965950, 75.3022300, 75.8594780, 77.8694890", \
+ 					  "76.2537950, 76.0162840, 75.8164090, 75.6208710, 75.4737180, 76.1790490, 78.2611270", \
+ 					  "76.5448540, 76.3932530, 76.1985540, 75.9109030, 75.8522200, 76.4741400, 78.6410520");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
+ 					values("60.9360411, 60.9306221, 60.8601091, 60.7709301, 60.7696111, 61.6909021, 64.3245661", \
+ 					  "43.5825280, 43.4619300, 43.3019400, 43.3337480, 43.3416300, 44.2626160, 46.9166940", \
+ 					  "63.6803250, 63.6206090, 63.4182220, 63.3258860, 63.5298130, 64.3627580, 67.0331290", \
+ 					  "63.7834300, 63.6213720, 63.4549640, 63.4064470, 63.5202100, 64.4817740, 67.2619530", \
+ 					  "63.8282620, 63.7165670, 63.5493670, 63.0749270, 63.6172430, 64.5366470, 67.1271090", \
+ 					  "63.9830130, 63.8687920, 63.7057840, 63.6758980, 63.7675680, 64.6942500, 67.3497440", \
+ 					  "64.1965440, 64.0978590, 63.9234430, 63.8410510, 63.9892440, 64.9192300, 67.5256590");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
+ 					values("80.4315291, 80.3401791, 80.2662521, 80.2405641, 80.2615961, 81.2575701, 83.9948461", \
+ 					  "67.0554950, 66.8462380, 66.6889340, 66.7040950, 66.8704760, 67.8244420, 70.5131450", \
+ 					  "92.3879170, 92.2991200, 92.1268760, 92.0325830, 92.2141530, 93.1711860, 95.8842170", \
+ 					  "96.0383650, 96.0751410, 95.7707250, 95.7179270, 95.8875760, 96.8348800, 99.6477620", \
+ 					  "98.9525820, 98.8432530, 98.7794360, 98.6001140, 98.7923630, 99.8508430, 102.6602500", \
+ 					  "101.1161600, 101.2492000, 100.8191400, 100.9125500, 100.9340500, 101.9255700, 104.7358200", \
+ 					  "102.9772700, 102.8790900, 102.6978800, 102.6251200, 102.8009200, 103.7893500, 106.5365300");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.07136537, 0.1906476, 0.5093016, 1.360564, 3.63465");
+ 					values("85.2506262, 85.1450232, 84.8356112, 84.6308862, 84.6282632, 85.2263202, 87.3978532", \
+ 					  "74.0371551, 73.9249251, 73.6711841, 73.3954881, 73.3848711, 73.9159121, 76.1671941", \
+ 					  "83.3579180, 83.2364390, 82.9896010, 82.7119620, 82.6921930, 83.2570540, 85.4695270", \
+ 					  "83.8300540, 83.7312300, 83.4890700, 83.2054080, 83.1968660, 83.6516480, 85.8350400", \
+ 					  "84.1791570, 84.1790530, 83.8465690, 83.6690720, 83.5397580, 84.2021660, 86.2076660", \
+ 					  "84.6463590, 84.4780700, 84.3776090, 84.1148120, 83.9936040, 84.6007640, 86.8762980", \
+ 					  "85.2453040, 85.0144540, 84.7288280, 84.4604460, 84.4287830, 85.0852440, 86.9555750");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02671079, 0.07134665, 0.1905726, 0.5090344, 1.359671, 3.63179");
+ 					values("27.3653009, 27.2587759, 27.0069519, 26.7156209, 26.6051829, 27.0357659, 28.8106789", \
+ 					  "27.3338660, 26.8284960, 26.9648210, 26.6227460, 26.3491450, 27.0509850, 28.2958240", \
+ 					  "27.3511920, 27.2542870, 27.5791280, 26.7825730, 26.6665670, 26.8559600, 28.4509430", \
+ 					  "27.2407890, 27.1592250, 26.7871580, 26.7915070, 26.6429660, 26.9136030, 28.3972620", \
+ 					  "27.2770728, 27.1595988, 26.9104788, 26.6181478, 26.5094568, 26.8691068, 28.2955808", \
+ 					  "27.1712830, 27.0566710, 26.8044490, 26.5107480, 26.2582580, 26.7658240, 28.1900420", \
+ 					  "27.0328640, 27.2044260, 27.2322550, 26.6246370, 26.5680320, 26.7841300, 28.4008930");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02671079, 0.07134665, 0.1905726, 0.5090344, 1.359671, 3.63179");
+ 					values("54.6858391, 54.4381691, 54.4179421, 54.1789011, 54.3420311, 55.3863411, 58.0039491", \
+ 					  "54.8210990, 54.5874800, 54.8267920, 54.3379860, 54.4747650, 55.5235610, 58.2534300", \
+ 					  "54.8286030, 54.6833520, 54.0905030, 54.1620810, 54.6721970, 55.5490720, 57.8735150", \
+ 					  "54.7739320, 54.6600130, 54.8228960, 54.4129430, 54.5506380, 55.4882360, 58.3035700", \
+ 					  "54.5796924, 54.4824544, 54.7469054, 54.2285634, 54.5907804, 55.3695774, 57.8525884", \
+ 					  "54.7896240, 54.6528240, 54.8044420, 53.8692630, 54.7612930, 55.4760300, 57.7704030", \
+ 					  "54.7754860, 54.6743620, 54.6359140, 54.3850830, 54.6244270, 55.5517330, 58.3301050");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("60.6451290, 60.5496920, 60.4110460, 60.6207530, 60.6501040, 61.6671730, 63.4378740", \
+					  "59.3718500, 59.2707710, 59.1019890, 59.0648870, 59.1643350, 60.1694830, 62.8320330", \
+					  "91.5667060, 91.4682220, 91.3895000, 91.4689990, 91.4532820, 92.2944430, 94.8861410", \
+					  "100.6722100, 100.5572400, 100.3489400, 100.3044100, 100.4600400, 101.3843200, 103.9777100", \
+					  "109.9361200, 109.8373800, 109.4048300, 109.3120700, 109.4725100, 110.4388000, 113.0901400", \
+					  "118.7966400, 118.6975900, 118.5862000, 118.3587500, 118.6222400, 119.4430800, 122.1914200", \
+					  "127.6448500, 127.5414400, 127.3677400, 127.2989900, 127.3995100, 128.3297800, 130.9789100");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("77.4341440, 77.2523030, 77.1070170, 76.8188790, 76.9276470, 77.3134490, 79.4011960", \
+					  "77.5958316, 77.4291526, 77.2017166, 76.9318626, 76.9810876, 77.6380616, 79.5044506", \
+					  "92.0126890, 91.9030200, 91.6488410, 91.3797720, 91.3613420, 91.8978780, 93.9979900", \
+					  "102.8026300, 102.8229900, 102.4973400, 102.2278000, 102.1825400, 102.7372100, 104.6688700", \
+					  "113.3985600, 113.3995300, 113.1027200, 112.8389300, 112.9578200, 113.4830600, 115.4679500", \
+					  "123.9322700, 123.8372500, 123.5594700, 123.3082200, 123.2544600, 123.8766600, 125.9188900", \
+					  "134.1597400, 134.0396800, 133.8260600, 133.5139500, 133.5006100, 134.0816600, 136.1405800");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("77.5148300, 77.3568660, 76.9771760, 76.8715730, 76.8353730, 77.3152390, 79.4159620", \
+					  "77.7219596, 77.6148166, 77.1893726, 77.1359916, 77.0064356, 77.6493446, 79.6242506", \
+					  "92.0562650, 91.7972990, 91.8810790, 91.7343560, 91.3469990, 92.0110790, 94.0354880", \
+					  "102.8545000, 102.7603800, 102.4996100, 102.2495200, 102.2166800, 102.7763600, 104.7292900", \
+					  "113.4219200, 113.4815400, 113.1518300, 112.8881300, 112.8620500, 113.5081500, 115.5001300", \
+					  "123.8643200, 123.8245900, 123.6143100, 123.3285800, 123.3162500, 123.9049600, 125.9574300", \
+					  "134.1704400, 134.0771200, 133.8170100, 133.5548800, 133.4931600, 134.0675300, 136.1757500");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("60.6059800, 60.6934860, 60.6974620, 59.9295770, 60.2537200, 61.7052120, 64.0328570", \
+					  "59.5751460, 59.5748130, 59.3709900, 59.2776680, 59.3618300, 60.2876450, 62.8385840", \
+					  "91.8699140, 91.7593150, 91.5936290, 91.4957480, 91.6553990, 92.5781190, 95.1848040", \
+					  "101.0335100, 100.8664500, 100.7291800, 100.5523200, 100.8147700, 101.7214600, 104.3055900", \
+					  "109.9398500, 109.8354300, 109.7238700, 109.5925000, 109.7315600, 110.6478200, 113.3297000", \
+					  "119.0445800, 118.9945200, 118.8429200, 118.6326600, 118.8182700, 119.7418300, 122.4275800", \
+					  "127.9151400, 127.8313600, 127.6714400, 127.7084100, 127.6864200, 128.6078300, 131.2671800");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("224.1502800, 224.1731800, 224.1949300, 224.3354900, 224.5198800, 224.9301900, 226.2347300", \
+ 					  "226.8084800, 226.8583200, 226.9010800, 226.9783300, 227.2069300, 227.6591000, 228.9494300", \
+ 					  "229.0703100, 229.0703202, 229.1181600, 229.2402100, 229.4182900, 229.9200200, 231.1667900", \
+ 					  "230.9667600, 230.9667740, 231.0377000, 231.1352200, 231.3106100, 231.8161400, 233.0549800", \
+ 					  "232.6579000, 232.6792800, 232.7295200, 232.8269500, 233.0274100, 233.5083500, 234.7745200", \
+ 					  "234.6039200, 234.6122400, 234.6744500, 234.7732100, 234.9926500, 235.4542400, 236.6752900", \
+ 					  "236.2872500, 236.3155000, 236.3590100, 236.4568600, 236.6643100, 237.1377000, 238.4086300");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("0.1399213, 0.1577555, 0.2005724, 0.2893152, 0.5255733, 1.2123346, 3.1340905", \
+ 					  "0.1385835, 0.1587916, 0.2005543, 0.2884097, 0.5281130, 1.2110011, 3.1315730", \
+ 					  "0.1395537, 0.1611442, 0.1999500, 0.2899147, 0.5255709, 1.2111878, 3.1396374", \
+ 					  "0.1387473, 0.1586876, 0.2001791, 0.2882936, 0.5245287, 1.2136383, 3.1446548", \
+ 					  "0.1384183, 0.1616295, 0.1998642, 0.2896844, 0.5261064, 1.2109533, 3.1413461", \
+ 					  "0.1386193, 0.1594318, 0.2000715, 0.2895945, 0.5249953, 1.2115712, 3.1343148", \
+ 					  "0.1389881, 0.1613170, 0.2000034, 0.2893551, 0.5255176, 1.2119591, 3.1336165");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("207.3545700, 207.3613200, 207.4253300, 207.5320000, 207.7683000, 208.4108100, 210.1364200", \
+ 					  "208.6914900, 208.7423500, 208.7664400, 208.8742700, 209.1538100, 209.7959000, 211.5316300", \
+ 					  "211.0725700, 211.0725785, 211.1465700, 211.2553800, 211.4361300, 212.0783100, 213.8110300", \
+ 					  "213.4648200, 213.5454500, 213.5956000, 213.6491000, 213.9579200, 214.5997800, 216.3311600", \
+ 					  "215.9027400, 215.9264900, 215.9765300, 216.0854500, 216.3354700, 216.9772300, 218.7085600", \
+ 					  "218.1091400, 218.1322700, 218.1832200, 218.2924700, 218.5419000, 219.1841700, 220.9193500", \
+ 					  "220.0720400, 220.0955600, 220.1458600, 220.2546200, 220.5046900, 221.1462900, 222.8780000");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("0.1206732, 0.1391373, 0.1843744, 0.2917686, 0.5805314, 1.4172287, 3.7194621", \
+ 					  "0.1204288, 0.1380843, 0.1843192, 0.2923992, 0.5826523, 1.4242206, 3.7483256", \
+ 					  "0.1170804, 0.1387369, 0.1870725, 0.2919986, 0.5822346, 1.4262074, 3.7438195", \
+ 					  "0.1189847, 0.1378398, 0.1853198, 0.2913268, 0.5820980, 1.4230135, 3.7636338", \
+ 					  "0.1176684, 0.1376665, 0.1853052, 0.2915392, 0.5819929, 1.4230763, 3.7630494", \
+ 					  "0.1184329, 0.1388650, 0.1842577, 0.2923687, 0.5826286, 1.4242245, 3.7473553", \
+ 					  "0.1181820, 0.1379532, 0.1852007, 0.2912960, 0.5815852, 1.4237235, 3.7626293");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("234.3320500, 234.3349500, 234.3349534, 234.4981500, 234.6248400, 235.1770400, 236.4230200", \
+ 					  "237.1598000, 237.1823800, 237.2308100, 237.3284900, 237.5309800, 238.0175900, 239.2743600", \
+ 					  "240.2008500, 240.2322500, 240.2823000, 240.3788000, 240.5728000, 241.0791900, 242.3173000", \
+ 					  "243.2460900, 243.2690600, 243.3175200, 243.4149700, 243.6177900, 244.1333600, 245.3610100", \
+ 					  "246.3446300, 246.3857200, 246.4237500, 246.5212100, 246.7236000, 247.2125100, 248.4665300", \
+ 					  "249.5182500, 249.5182572, 249.5182724, 249.6037400, 249.8891500, 250.3475400, 251.5506600", \
+ 					  "252.6056700, 252.6291200, 252.7185100, 252.8165600, 252.9774900, 253.4561100, 254.7656700");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("0.1386406, 0.1578997, 0.1999553, 0.2904796, 0.5260003, 1.2114810, 3.1310188", \
+ 					  "0.1386861, 0.1612238, 0.2000613, 0.2893349, 0.5254756, 1.2095525, 3.1398759", \
+ 					  "0.1392316, 0.1576253, 0.2013755, 0.2875316, 0.5258712, 1.2128673, 3.1291023", \
+ 					  "0.1390716, 0.1614466, 0.2004994, 0.2893210, 0.5253991, 1.2101654, 3.1387872", \
+ 					  "0.1387397, 0.1614619, 0.2019985, 0.2892731, 0.5262535, 1.2137265, 3.1380309", \
+ 					  "0.1384423, 0.1611229, 0.1993294, 0.2904713, 0.5259138, 1.2121266, 3.1369241", \
+ 					  "0.1384700, 0.1611027, 0.2000941, 0.2898382, 0.5260436, 1.2108398, 3.1414082");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("198.2655700, 198.2736700, 198.3274700, 198.4322200, 198.6903300, 199.3379500, 201.0539200", \
+ 					  "199.2802200, 199.3525100, 199.4029600, 199.4828000, 199.7276200, 200.3723400, 202.1002400", \
+ 					  "200.4395900, 200.5058500, 200.5227300, 200.6314500, 200.8839000, 201.5256100, 203.2618800", \
+ 					  "201.5341800, 201.5626400, 201.6030600, 201.7286500, 201.9807500, 202.6028900, 204.3455100", \
+ 					  "202.4452800, 202.4638700, 202.5184500, 202.6282600, 202.8784000, 203.5199700, 205.2541100", \
+ 					  "203.1446900, 203.1654600, 203.2231000, 203.3271200, 203.5777000, 204.2190900, 205.9511500", \
+ 					  "203.7669000, 203.7895000, 203.8423000, 203.9506600, 204.2017000, 204.8428200, 206.5672900");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267143, 0.0713654, 0.190648, 0.509302, 1.36056, 3.63465");
+ 					values("0.1206079, 0.1384993, 0.1833905, 0.2918911, 0.5825804, 1.4175278, 3.7196055", \
+ 					  "0.1178863, 0.1379941, 0.1840588, 0.2910268, 0.5820390, 1.4269085, 3.7513650", \
+ 					  "0.1170768, 0.1388577, 0.1871644, 0.2920019, 0.5825850, 1.4264833, 3.7265302", \
+ 					  "0.1180637, 0.1378501, 0.1852921, 0.2925022, 0.5831602, 1.4270811, 3.7463423", \
+ 					  "0.1184374, 0.1389447, 0.1842703, 0.2923696, 0.5826341, 1.4242323, 3.7521612", \
+ 					  "0.1184292, 0.1379701, 0.1838559, 0.2904957, 0.5826182, 1.4235293, 3.7449177", \
+ 					  "0.1184576, 0.1391922, 0.1850791, 0.2913154, 0.5822475, 1.4231246, 3.7482564");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
+ 					values("220.9282000, 220.9510500, 220.9996500, 221.0968500, 221.2985200, 221.7777000, 223.0416000", \
+ 					  "220.9398700, 220.9627300, 221.0117900, 221.1091900, 221.3113100, 221.7889100, 223.0536900", \
+ 					  "220.9835100, 221.0059600, 221.0545600, 221.1521800, 221.3547200, 221.8326800, 223.0963400", \
+ 					  "221.0101400, 221.0332200, 221.0818300, 221.1796500, 221.3818500, 221.8600100, 223.1243500", \
+ 					  "221.0738000, 221.0967600, 221.1458000, 221.2429300, 221.4454000, 221.9230600, 223.1869900", \
+ 					  "221.1167800, 221.1397600, 221.1887100, 221.2857500, 221.4883200, 221.9658900, 223.2300000", \
+ 					  "221.1383900, 221.1617700, 221.2102600, 221.3077300, 221.5103900, 221.9882000, 223.2525800");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
+ 					values("0.1391140, 0.1613583, 0.2005032, 0.2877371, 0.5246075, 1.2084947, 3.1358285", \
+ 					  "0.1426681, 0.1589536, 0.2017334, 0.2893394, 0.5261417, 1.2120133, 3.1358607", \
+ 					  "0.1387573, 0.1612506, 0.2001040, 0.2892453, 0.5252738, 1.2117637, 3.1373661", \
+ 					  "0.1385798, 0.1611390, 0.1999026, 0.2895328, 0.5254358, 1.2105574, 3.1389054", \
+ 					  "0.1396508, 0.1601579, 0.2019587, 0.2889529, 0.5258930, 1.2125039, 3.1344682", \
+ 					  "0.1396785, 0.1601044, 0.2019633, 0.2889850, 0.5259086, 1.2124819, 3.1346818", \
+ 					  "0.1388224, 0.1609270, 0.1999394, 0.2885492, 0.5253003, 1.2126873, 3.1376060");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
+ 					values("195.9572000, 196.0276000, 196.0300600, 196.1866600, 196.4192800, 197.0311600, 198.7588700", \
+ 					  "195.9784700, 196.0065000, 196.0493600, 196.1659700, 196.4160000, 197.0529600, 198.7841900", \
+ 					  "196.0293800, 196.0524800, 196.1025600, 196.2124400, 196.4643400, 197.1058900, 198.8391300", \
+ 					  "196.0803500, 196.1037000, 196.1561900, 196.2633300, 196.5132100, 197.1543400, 198.8883300", \
+ 					  "196.1280700, 196.1531200, 196.2033000, 196.3134700, 196.5632200, 197.2047700, 198.9380100", \
+ 					  "196.1826000, 196.2052100, 196.2560800, 196.3655900, 196.6153500, 197.2568800, 198.9902500", \
+ 					  "196.2363400, 196.2589100, 196.3095400, 196.4187700, 196.6707000, 197.3121600, 199.0443600");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0267108, 0.0713466, 0.190573, 0.509034, 1.35967, 3.63179");
+ 					values("0.1197754, 0.1390830, 0.1842853, 0.2909107, 0.5826153, 1.4234485, 3.7395371", \
+ 					  "0.1178786, 0.1388681, 0.1841957, 0.2916233, 0.5816609, 1.4222870, 3.7494114", \
+ 					  "0.1170754, 0.1380505, 0.1865997, 0.2918877, 0.5823433, 1.4232751, 3.7443240", \
+ 					  "0.1188423, 0.1391990, 0.1841412, 0.2919451, 0.5819008, 1.4235279, 3.7494394", \
+ 					  "0.1170553, 0.1388302, 0.1841869, 0.2922740, 0.5824136, 1.4232985, 3.7492174", \
+ 					  "0.1170269, 0.1388326, 0.1870202, 0.2922765, 0.5824112, 1.4232999, 3.7433834", \
+ 					  "0.1190982, 0.1380438, 0.1842356, 0.2919006, 0.5823491, 1.4232712, 3.7494585");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("222.2782000, 222.2782059, 222.3520300, 222.4327500, 222.6106800, 223.0892400, 224.3763500", \
+					  "222.9004500, 222.9158400, 222.9585900, 223.0565700, 223.2579600, 223.7361500, 225.0171500", \
+					  "223.1678900, 223.1913100, 223.2396800, 223.3376800, 223.5401300, 224.0188200, 225.2855800", \
+					  "223.3363900, 223.3617100, 223.4104500, 223.5086400, 223.7108700, 224.1922600, 225.4593500", \
+					  "223.4454300, 223.4644100, 223.5159500, 223.6133700, 223.8193100, 224.2829000, 225.5626900", \
+					  "223.5113800, 223.5383200, 223.5833800, 223.6836800, 223.8822200, 224.3620300, 225.6288100", \
+					  "223.5555700, 223.5637700, 223.6309900, 223.7240600, 223.9236900, 224.4059400, 225.6733800");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1420737, 0.1588359, 0.2009439, 0.2894683, 0.5267055, 1.2137703, 3.1404332", \
+					  "0.1429988, 0.1587989, 0.2001253, 0.2898944, 0.5259520, 1.2144374, 3.1405147", \
+					  "0.1383460, 0.1610985, 0.1998292, 0.2898638, 0.5259359, 1.2113678, 3.1439924", \
+					  "0.1421857, 0.1614881, 0.2002262, 0.2897664, 0.5262411, 1.2134840, 3.1435305", \
+					  "0.1395641, 0.1614130, 0.2005312, 0.2879362, 0.5263298, 1.2111033, 3.1431209", \
+					  "0.1390051, 0.1613691, 0.1992785, 0.2879335, 0.5252588, 1.2162804, 3.1426151", \
+					  "0.1386178, 0.1597762, 0.1993217, 0.2893672, 0.5257145, 1.2139615, 3.1449134");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("196.9421700, 196.9607700, 197.0118000, 197.1050100, 197.3528000, 198.0018600, 199.7473800", \
+					  "197.9004900, 197.9242800, 197.9817100, 198.0907200, 198.3405300, 198.9610200, 200.7133400", \
+					  "198.7916400, 198.8157400, 198.8629900, 198.9605500, 199.2225000, 199.8671000, 201.5941900", \
+					  "199.6335300, 199.6572100, 199.7087900, 199.8173800, 200.0669200, 200.7098500, 202.4468100", \
+					  "200.4434900, 200.4671300, 200.5270100, 200.6358100, 200.8837600, 201.5235500, 203.2594600", \
+					  "201.2651100, 201.2887300, 201.3380700, 201.4529500, 201.6967500, 202.3439000, 204.0781300", \
+					  "202.0839100, 202.1075900, 202.1577200, 202.2701400, 202.5163600, 203.1618500, 204.8976600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1217083, 0.1382643, 0.1844416, 0.2925037, 0.5824314, 1.4226987, 3.7311327", \
+					  "0.1170151, 0.1380573, 0.1852760, 0.2924025, 0.5828371, 1.4236382, 3.7501939", \
+					  "0.1188411, 0.1392128, 0.1842743, 0.2905244, 0.5823279, 1.4251189, 3.7678718", \
+					  "0.1184556, 0.1388574, 0.1842712, 0.2924025, 0.5828150, 1.4248994, 3.7500567", \
+					  "0.1170003, 0.1380498, 0.1865375, 0.2909214, 0.5817063, 1.4241953, 3.7503467", \
+					  "0.1169175, 0.1380327, 0.1841683, 0.2905226, 0.5825434, 1.4246044, 3.7474774", \
+					  "0.1170485, 0.1380636, 0.1871412, 0.2924065, 0.5816624, 1.4248904, 3.7499328");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("222.2889700, 222.2994500, 222.3335100, 222.4689400, 222.6315400, 223.1112600, 224.3793200", \
+					  "222.9069800, 222.9298100, 222.9952800, 223.0758300, 223.2787800, 223.7570700, 225.0295500", \
+					  "223.1852700, 223.2090700, 223.2570200, 223.3552900, 223.5573500, 224.0361300, 225.3044900", \
+					  "223.3657700, 223.3889800, 223.4380900, 223.5298400, 223.7314600, 224.2161400, 225.4804500", \
+					  "223.4631000, 223.4769600, 223.5434000, 223.6410100, 223.8441000, 224.3039700, 225.5889200", \
+					  "223.5400700, 223.5574600, 223.6060100, 223.7033700, 223.9065800, 224.3842800, 225.6517600", \
+					  "223.5766300, 223.5918700, 223.6481300, 223.7420900, 223.9448000, 224.4368200, 225.6904700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1424960, 0.1592215, 0.2014128, 0.2895184, 0.5267071, 1.2134308, 3.1319589", \
+					  "0.1393432, 0.1612405, 0.2007929, 0.2888694, 0.5258202, 1.2144310, 3.1429559", \
+					  "0.1386037, 0.1590729, 0.1993185, 0.2909390, 0.5263219, 1.2120871, 3.1449554", \
+					  "0.1425581, 0.1588907, 0.2017223, 0.2898256, 0.5262656, 1.2133932, 3.1436400", \
+					  "0.1395730, 0.1616445, 0.2002360, 0.2893142, 0.5257204, 1.2113991, 3.1419041", \
+					  "0.1396316, 0.1613079, 0.2005283, 0.2880519, 0.5256215, 1.2127777, 3.1429548", \
+					  "0.1393662, 0.1614321, 0.1992973, 0.2910387, 0.5256186, 1.2144514, 3.1445242");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("196.9598700, 196.9873300, 196.9873429, 197.1707500, 197.4016500, 198.0509300, 199.7725100", \
+					  "197.9415300, 197.9455200, 198.0199900, 198.1305100, 198.3736800, 199.0163400, 200.7538900", \
+					  "198.8237100, 198.8438500, 198.8941300, 199.0032100, 199.2553400, 199.8972600, 201.6432200", \
+					  "199.6676800, 199.6954400, 199.7465200, 199.8629000, 200.0997200, 200.7421500, 202.4841300", \
+					  "200.4898400, 200.5120200, 200.5585900, 200.6672600, 200.9213200, 201.5658400, 203.2975900", \
+					  "201.3047900, 201.3244800, 201.3749200, 201.4889300, 201.7387100, 202.3816100, 204.1074700", \
+					  "202.1215700, 202.1471800, 202.1976500, 202.3172400, 202.5555700, 203.1985700, 204.9464300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1211078, 0.1383069, 0.1844759, 0.2924586, 0.5826503, 1.4223284, 3.7376804", \
+					  "0.1184557, 0.1408402, 0.1842636, 0.2924045, 0.5828279, 1.4248962, 3.7406878", \
+					  "0.1189087, 0.1383658, 0.1863682, 0.2908939, 0.5814337, 1.4273076, 3.7596175", \
+					  "0.1181141, 0.1386929, 0.1837929, 0.2917522, 0.5820175, 1.4245036, 3.7439611", \
+					  "0.1192744, 0.1387283, 0.1842682, 0.2905320, 0.5817098, 1.4288228, 3.7507943", \
+					  "0.1170660, 0.1379595, 0.1852031, 0.2901159, 0.5828023, 1.4248870, 3.7451051", \
+					  "0.1170438, 0.1380542, 0.1860906, 0.2923846, 0.5828159, 1.4248903, 3.7577594");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 0.858168;
+			capacitance : 0.861570;
+			fall_capacitance : 0.864971;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-9.8825340, -5.7621256, -1.6417170, -0.1779737, 1.3034051, 2.7671484, 4.2308917");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("14.7708220, 16.6091370, 18.4474520, 20.0321290, 21.6358990, 23.2205760, 24.8052530");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.406307;
+			capacitance : 0.380188;
+			fall_capacitance : 0.354068;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1723455, 3.5851721, 7.3426898, 11.0936700, 14.8898420, 18.6408220, 22.3918020");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1726810, -3.5799884, -7.3326579, -11.0785400, -14.8695520, -18.6154340, -22.3613160");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.377539;
+			capacitance : 0.382088;
+			fall_capacitance : 0.386638;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.9546003, 2.7811740, 6.5169484, 10.2485450, 14.0251000, 17.7566960, 21.4882920");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.9544745, -2.7812914, -6.5170573, -10.2487060, -14.0253150, -17.7569640, -21.4886130");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 5.562539;
+			capacitance : 6.564222;
+			fall_capacitance : 7.565906;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0591892, -0.0587280, -0.0582667, -0.0580631, -0.0578570, -0.0576534, -0.0574497");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0592291, 0.0587959, 0.0583628, 0.0582878, 0.0582120, 0.0581370, 0.0580621");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050036;
+			capacitance : 0.050036;
+			fall_capacitance : 0.050036;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0306493, -0.0301305, -0.0296117, -0.0295143, -0.0294158, -0.0293184, -0.0292211");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0306585, 0.0301472, 0.0296360, 0.0296237, 0.0296114, 0.0295992, 0.0295870");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.046012;
+			capacitance : 0.046017;
+			fall_capacitance : 0.046022;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0502204, -0.0494610, -0.0487016, -0.0485724, -0.0484417, -0.0483126, -0.0481834");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0501576, 0.0494857, 0.0488138, 0.0487681, 0.0487219, 0.0486762, 0.0486306");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p40v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_100C_1v60_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_100C_1v60_5v50.lib
new file mode 100644
index 0000000..7525022
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_100C_1v60_5v50.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ff_100C_1v60_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ff_1p60v_x_5p50v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.390550e+02;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "103.4190000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "139.0550000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.019237;
+			capacitance : 0.018923;
+			fall_capacitance : 0.018610;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.8348014, 3.3859983, 4.9371952, 6.4277845, 7.8587502, 9.3493395, 10.8399290");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8012446, -0.1950082, -1.1912610, -1.9825766, -2.7422395, -3.5335551, -4.3248708");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.025079;
+			capacitance : 0.024881;
+			fall_capacitance : 0.024684;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1022127, 0.0742904, 0.2507935, 0.5017038, 0.7425777, 0.9934880, 1.2443982");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7081072, 0.9056100, 1.1031128, 1.3577021, 1.6021078, 1.8566971, 2.1112864");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.033728;
+			capacitance : 0.033072;
+			fall_capacitance : 0.032417;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6390708, 1.6207273, 1.6023837, 1.8004796, 1.9906517, 2.1887476, 2.3868435");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1960433, 1.3248616, 1.4536798, 1.6780633, 1.8934714, 2.1178549, 2.3422384");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017521;
+			capacitance : 0.017399;
+			fall_capacitance : 0.017276;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0128675, -0.0131842, -0.0135010, -0.0132029, -0.0129167, -0.0126185, -0.0123204");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0525637, 0.0524198, 0.0522760, 0.0521324, 0.0519946, 0.0518510, 0.0517074");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.028800;
+			capacitance : 0.028492;
+			fall_capacitance : 0.028184;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4148286, 0.9192645, 1.4237004, 2.1674530, 2.8814556, 3.6252082, 4.3689609");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("2.5003472, 7.1608155, 11.8212840, 14.0230330, 16.1367120, 18.3384610, 20.5402100");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.008908;
+			capacitance : 0.008928;
+			fall_capacitance : 0.008947;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1078588, -0.1078148, -0.1077707, -0.1076391, -0.1075128, -0.1073811, -0.1072495");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1071311, 0.1074066, 0.1076820, 0.1074990, 0.1073234, 0.1071404, 0.1069574");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 3.635230;
+			max_transition : 3.752899;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.07137663, 0.1906927, 0.5094623, 1.3611, 3.63637");
+ 					values("77.1649355, 77.0653895, 76.8037515, 76.4694085, 76.5335025, 77.1112005, 79.4613965", \
+ 					  "74.3113630, 74.1941370, 74.0462340, 73.7026550, 73.6297060, 74.2553590, 76.3722210", \
+ 					  "74.7174820, 74.6281720, 74.3572700, 74.1016410, 74.0340430, 74.6591680, 76.8677340", \
+ 					  "75.0299060, 74.8484050, 74.9481030, 74.2687020, 74.3743470, 74.9557710, 77.0833810", \
+ 					  "75.1919500, 75.0380660, 74.8415010, 74.5962320, 74.5440720, 75.1090090, 77.1263750", \
+ 					  "75.3311650, 75.2321890, 74.9684760, 74.7205010, 74.6782980, 75.2668970, 77.4677200", \
+ 					  "75.8248880, 75.6184210, 75.4708730, 75.0908570, 75.1808750, 75.7637290, 77.8585180");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.07137663, 0.1906927, 0.5094623, 1.3611, 3.63637");
+ 					values("61.5911662, 61.4477602, 61.3460502, 61.3369322, 61.3913892, 62.3139632, 64.9880392", \
+ 					  "65.2114270, 65.0840010, 64.9521200, 64.8522140, 64.9796260, 65.9096460, 68.5525660", \
+ 					  "65.5023260, 65.3871400, 65.2263380, 65.1345440, 65.2650090, 66.2116820, 68.8185690", \
+ 					  "66.0819800, 65.8995430, 65.7342650, 65.6226600, 65.8980230, 66.6981330, 69.3675050", \
+ 					  "66.3596470, 66.3981720, 66.0872540, 65.9949940, 66.1372760, 67.0673660, 69.6956520", \
+ 					  "66.7000900, 66.6157130, 66.4335050, 66.3360270, 66.5617350, 67.4024450, 69.7556180", \
+ 					  "67.0841990, 66.9644270, 66.8051860, 66.7162990, 66.8681780, 67.7973860, 70.4395710");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.07137663, 0.1906927, 0.5094623, 1.3611, 3.63637");
+ 					values("82.0897455, 81.9203675, 81.6491195, 81.5978335, 81.4186295, 81.9704105, 84.2991315", \
+ 					  "79.4413700, 79.3674290, 79.0962920, 78.8200750, 78.7888990, 79.3749720, 81.4830630", \
+ 					  "80.1048190, 80.0102620, 79.7729450, 79.4394270, 79.4260000, 80.0592440, 82.2614410", \
+ 					  "80.9044570, 80.8018240, 80.5571030, 80.3020570, 80.2524090, 80.8478770, 83.0826870", \
+ 					  "81.7382200, 81.4714410, 81.6262960, 81.0130990, 80.9972370, 81.5822130, 83.6446540", \
+ 					  "82.1429310, 82.0384730, 81.7986300, 81.5128030, 81.4886630, 82.0384110, 84.1675900", \
+ 					  "82.6772050, 82.3594730, 82.3302330, 82.0689420, 82.0298620, 82.6029800, 84.7585820");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.07137663, 0.1906927, 0.5094623, 1.3611, 3.63637");
+ 					values("76.7739522, 76.7802392, 76.6412462, 76.6068772, 76.7373632, 77.6147242, 80.5247922", \
+ 					  "85.9136030, 85.7417890, 85.6526860, 85.5103900, 85.6371500, 86.6626770, 89.4567060", \
+ 					  "91.2495290, 91.1225750, 90.9784820, 90.9146650, 91.0513330, 92.0905020, 94.8579900", \
+ 					  "95.0804090, 94.9811900, 94.8173280, 94.7261090, 94.8918510, 95.8763830, 98.7185330", \
+ 					  "97.9945080, 97.8064920, 97.6123830, 97.5617780, 97.8164150, 98.7233350, 101.4264200", \
+ 					  "100.1664700, 100.0837500, 99.9299810, 99.8369650, 100.0044700, 100.9831200, 103.7686100", \
+ 					  "101.9894300, 101.9656600, 101.7275200, 101.6424700, 101.8192300, 102.8082900, 105.5986000");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02671501, 0.07136917, 0.1906628, 0.5093558, 1.360744, 3.63523");
+ 					values("27.3598474, 27.2250604, 27.0014894, 26.7185264, 26.6072234, 26.9479664, 28.4630744", \
+ 					  "27.3577900, 27.2289170, 26.9898080, 27.0975030, 26.3370110, 26.7097510, 28.2823800", \
+ 					  "27.3235203, 27.3165103, 27.5069493, 26.8741473, 26.7605783, 27.0981573, 28.6450343", \
+ 					  "27.2454560, 27.1892920, 26.8056080, 26.7750540, 26.6317610, 26.7990370, 28.4100970", \
+ 					  "27.2482120, 27.1203290, 26.8945200, 26.5005380, 26.2456840, 26.8428140, 28.2062360", \
+ 					  "27.3102650, 27.1786550, 27.6017770, 26.4998670, 26.4221090, 26.7739940, 28.1568020", \
+ 					  "26.8858390, 27.1845500, 27.2481400, 26.6256700, 26.5541180, 26.7768080, 28.3915650");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02671501, 0.07136917, 0.1906628, 0.5093558, 1.360744, 3.63523");
+ 					values("54.5560079, 54.5912709, 54.4300939, 54.3307849, 54.3493849, 55.4019249, 58.0131449", \
+ 					  "54.8345500, 54.6243020, 54.5591520, 54.4901420, 54.4868390, 55.4725120, 57.8915490", \
+ 					  "54.7224236, 54.6529696, 54.0048556, 54.1457636, 54.5569316, 55.4497316, 57.6908136", \
+ 					  "54.7856200, 54.6806800, 54.4798550, 54.6961020, 54.5628920, 55.4722340, 57.9490100", \
+ 					  "54.7231250, 54.6950090, 54.7658100, 53.9185810, 54.5286320, 55.8763800, 58.1783410", \
+ 					  "54.7781890, 54.9677980, 54.8602620, 53.9798380, 54.7686970, 55.5121430, 57.7947860", \
+ 					  "54.7884380, 54.7527440, 54.4007480, 54.3057340, 54.6214180, 55.8638410, 57.8741220");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("77.5283138, 77.0686328, 77.0223228, 76.9004828, 77.0080008, 77.3057968, 79.4272388", \
+					  "80.3054500, 80.1480410, 79.9202230, 79.7354680, 79.7831310, 80.2898650, 82.3126570", \
+					  "92.0032940, 91.8651820, 91.8831680, 91.4263180, 91.3520300, 91.9027420, 94.0059630", \
+					  "102.8086700, 102.6802700, 102.5701700, 102.1346100, 102.1865600, 102.7212000, 104.6666900", \
+					  "113.4354000, 113.4552000, 113.1061400, 112.8590300, 112.7759600, 113.4167100, 115.4667400", \
+					  "123.9728500, 123.8127000, 123.5654600, 123.2730000, 123.2484400, 123.8389900, 125.9380900", \
+					  "134.1893700, 134.0403300, 133.7909900, 133.5204600, 133.5025600, 134.0800100, 136.2401400");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("60.4793380, 60.5030760, 60.4002560, 60.4887610, 60.1350230, 61.6473340, 63.9086570", \
+					  "82.6837070, 82.5796790, 82.4144640, 82.2289880, 82.3902060, 83.3030520, 86.0522350", \
+					  "91.6363650, 91.6010530, 91.3138110, 91.3124230, 91.4538380, 92.2856510, 94.9051460", \
+					  "100.6791500, 100.5688200, 100.4072700, 100.3186200, 100.4691500, 101.4748400, 103.9684900", \
+					  "109.7493700, 109.6121400, 109.6752700, 109.2945200, 109.4788400, 110.4066600, 112.9844100", \
+					  "118.7412400, 118.7331500, 118.5789700, 118.3562300, 118.6523400, 119.4003500, 122.1570800", \
+					  "127.6311800, 127.5349400, 127.3693100, 127.2606000, 127.4086000, 128.3389500, 130.9766700");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("60.7239270, 60.5667130, 60.5330310, 60.1103690, 61.0506380, 61.2392720, 63.6063300", \
+					  "82.8051930, 82.6122500, 82.5978520, 82.5322310, 82.6552780, 83.5767500, 86.2221610", \
+					  "91.8726350, 91.7697310, 91.7059550, 91.5063650, 91.7015280, 92.6212790, 95.1795310", \
+					  "100.9473600, 100.8240000, 100.7625300, 100.6737800, 100.8176700, 101.7153000, 104.2126600", \
+					  "110.0311400, 109.9100500, 109.7565600, 109.6306200, 109.7374600, 110.6752400, 113.5091400", \
+					  "119.0480900, 119.0130800, 118.7064800, 118.7564600, 118.8223500, 119.8309900, 122.3916000", \
+					  "127.9155800, 127.8191200, 127.7953700, 127.5795700, 127.6920000, 128.7399900, 131.2617800");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.07138035, 0.1907076, 0.5095156, 1.361278, 3.63694");
+					values("77.4834678, 77.3912148, 76.9711688, 76.6669268, 76.3915698, 77.3257048, 79.6246718", \
+					  "80.4841820, 80.3324140, 79.9525240, 79.8630260, 79.6626240, 80.4097970, 82.4802170", \
+					  "92.1062530, 91.6840210, 91.8307440, 91.7236910, 91.4012480, 91.8951370, 94.0450430", \
+					  "102.8665500, 102.5241400, 102.5029600, 102.7435600, 102.2044900, 102.8633500, 104.6829000", \
+					  "113.4675300, 113.4041300, 113.2507400, 112.8655800, 112.8741200, 113.4226300, 115.5035900", \
+					  "123.9431600, 123.8521900, 123.5191100, 123.3277600, 123.3092800, 123.8858200, 125.8055700", \
+					  "134.1995400, 134.0448600, 133.8203000, 133.5652000, 133.5337000, 134.1198100, 136.2791100");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("223.3162100, 223.3458100, 223.3705600, 223.4848600, 223.6907800, 224.1654900, 225.4668500", \
+ 					  "225.0327100, 225.0654500, 225.1030700, 225.2113700, 225.4032600, 225.8741300, 227.1484500", \
+ 					  "226.1688100, 226.1874800, 226.2395800, 226.3372500, 226.5398800, 227.0185700, 228.2844800", \
+ 					  "227.0163900, 227.0836000, 227.0882800, 227.1857800, 227.3886500, 227.8673300, 229.1778600", \
+ 					  "227.7980800, 227.8349200, 227.8693900, 227.9820100, 228.1691800, 228.6482900, 229.9297700", \
+ 					  "228.5536200, 228.5536271, 228.6254000, 228.7226300, 228.9255600, 229.4032600, 230.6706900", \
+ 					  "229.2848100, 229.3034000, 229.3567300, 229.4497200, 229.6570600, 230.1399500, 231.3970400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("0.1394512, 0.1575428, 0.1995993, 0.2894152, 0.5253401, 1.2120895, 3.1315464", \
+ 					  "0.1392398, 0.1607707, 0.2022724, 0.2874340, 0.5251231, 1.2125841, 3.1291125", \
+ 					  "0.1390667, 0.1611956, 0.2002082, 0.2893545, 0.5258382, 1.2141764, 3.1401564", \
+ 					  "0.1383168, 0.1592121, 0.1997858, 0.2890002, 0.5258954, 1.2107469, 3.1457577", \
+ 					  "0.1395505, 0.1612996, 0.2000628, 0.2888722, 0.5262206, 1.2116290, 3.1419880", \
+ 					  "0.1383180, 0.1591750, 0.1997478, 0.2909856, 0.5259845, 1.2117251, 3.1455414", \
+ 					  "0.1389066, 0.1611332, 0.2000274, 0.2897190, 0.5256230, 1.2127335, 3.1434286");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("202.9405800, 202.9646900, 202.9935900, 203.1045600, 203.3408200, 203.9963500, 205.7075200", \
+ 					  "204.2332500, 204.2538400, 204.3072600, 204.4150700, 204.6534700, 205.3019900, 207.0317600", \
+ 					  "206.3365500, 206.3593300, 206.4101600, 206.5200400, 206.7961600, 207.4121000, 209.1777300", \
+ 					  "208.1723000, 208.2767600, 208.3272800, 208.4391900, 208.6150900, 209.3311500, 210.9813400", \
+ 					  "209.8577500, 209.8577652, 209.9310200, 210.0404000, 210.2903700, 210.9315500, 212.6685000", \
+ 					  "211.2665100, 211.2665177, 211.3398100, 211.4483200, 211.7001800, 212.3435400, 214.0765400", \
+ 					  "212.5365300, 212.5365372, 212.6100800, 212.7199500, 212.9698500, 213.6115700, 215.3479200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("0.1206382, 0.1386152, 0.1850505, 0.2909649, 0.5815563, 1.4182278, 3.7213075", \
+ 					  "0.1190140, 0.1405774, 0.1844347, 0.2911574, 0.5828303, 1.4273579, 3.7496264", \
+ 					  "0.1184583, 0.1388630, 0.1842741, 0.2924050, 0.5824017, 1.4240792, 3.7540122", \
+ 					  "0.1181041, 0.1380612, 0.1872094, 0.2924021, 0.5824641, 1.4247743, 3.7476688", \
+ 					  "0.1186959, 0.1392182, 0.1842396, 0.2920606, 0.5822775, 1.4272032, 3.7539731", \
+ 					  "0.1170039, 0.1380633, 0.1872100, 0.2920514, 0.5827626, 1.4247521, 3.7476555", \
+ 					  "0.1184477, 0.1386609, 0.1842745, 0.2924057, 0.5827970, 1.4256479, 3.7496468");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("226.8077300, 226.8157100, 226.8472200, 226.8863300, 227.0996200, 227.6247200, 228.9022400", \
+ 					  "229.1614900, 229.1843400, 229.2328500, 229.3305400, 229.5333700, 230.0115900, 231.2749100", \
+ 					  "231.8072100, 231.8301100, 231.8785700, 231.9752400, 232.1773800, 232.6553000, 233.9214300", \
+ 					  "234.3434000, 234.3662400, 234.4152700, 234.5128300, 234.7151300, 235.1943800, 236.4595800", \
+ 					  "236.5435600, 236.5669500, 236.6152600, 236.7134000, 236.9157400, 237.3943000, 238.6604800", \
+ 					  "238.5976400, 238.6206000, 238.6696300, 238.7681700, 238.9687900, 239.4475300, 240.7157900", \
+ 					  "240.4600300, 240.4830200, 240.5319300, 240.6294500, 240.8318100, 241.3098300, 242.5761600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("0.1397438, 0.1577490, 0.2011107, 0.2896913, 0.5251834, 1.2106960, 3.1394274", \
+ 					  "0.1399236, 0.1611353, 0.2007476, 0.2888636, 0.5258032, 1.2141282, 3.1297498", \
+ 					  "0.1391022, 0.1613601, 0.2005182, 0.2886661, 0.5262729, 1.2133958, 3.1388354", \
+ 					  "0.1428739, 0.1597417, 0.2020246, 0.2892499, 0.5277408, 1.2121680, 3.1349174", \
+ 					  "0.1384644, 0.1611247, 0.1998914, 0.2896556, 0.5257712, 1.2116151, 3.1428817", \
+ 					  "0.1397772, 0.1599149, 0.2020151, 0.2886513, 0.5244900, 1.2084974, 3.1436385", \
+ 					  "0.1428716, 0.1597331, 0.2020247, 0.2892496, 0.5263367, 1.2134901, 3.1395901");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("197.9400000, 197.9924500, 198.0168200, 198.0985200, 198.3759400, 199.0155000, 200.7399800", \
+ 					  "199.3145800, 199.3539800, 199.3874200, 199.5167800, 199.8038600, 200.4132400, 202.1273200", \
+ 					  "201.4193500, 201.4585300, 201.5312100, 201.6112600, 201.8998700, 202.5083300, 204.2633700", \
+ 					  "203.3979900, 203.4211800, 203.4717900, 203.5802700, 203.8322900, 204.4752400, 206.2653100", \
+ 					  "205.1399800, 205.1661200, 205.2183700, 205.3235200, 205.5779500, 206.2165300, 207.9510200", \
+ 					  "206.7002100, 206.7260700, 206.7757200, 206.8842400, 207.1357000, 207.7791900, 209.5132300", \
+ 					  "208.1223700, 208.1493400, 208.1964700, 208.3046100, 208.5545400, 209.1966600, 210.9357800");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0267164, 0.0713766, 0.190693, 0.509462, 1.3611, 3.63637");
+ 					values("0.1207346, 0.1389245, 0.1852805, 0.2924309, 0.5821470, 1.4193576, 3.7229528", \
+ 					  "0.1177751, 0.1389646, 0.1861348, 0.2908281, 0.5822783, 1.4285174, 3.7416013", \
+ 					  "0.1185994, 0.1417896, 0.1837873, 0.2906380, 0.5813918, 1.4257402, 3.7427084", \
+ 					  "0.1170845, 0.1380722, 0.1870460, 0.2920146, 0.5827651, 1.4247651, 3.7503445", \
+ 					  "0.1175473, 0.1386360, 0.1837043, 0.2924117, 0.5833023, 1.4240765, 3.7336378", \
+ 					  "0.1215304, 0.1380656, 0.1870164, 0.2920064, 0.5828070, 1.4240726, 3.7485148", \
+ 					  "0.1193935, 0.1380604, 0.1838540, 0.2901497, 0.5828572, 1.4263822, 3.7435317");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.026715, 0.0713692, 0.190663, 0.509356, 1.36074, 3.63523");
+ 					values("220.9282600, 220.9511900, 221.0002800, 221.0977500, 221.3000000, 221.7777000, 223.0437800", \
+ 					  "220.9400100, 220.9627800, 221.0118700, 221.1093500, 221.3115700, 221.7894800, 223.0557400", \
+ 					  "220.9946500, 221.0060500, 221.0546800, 221.1523900, 221.3549400, 221.8333000, 223.0990400", \
+ 					  "221.0223800, 221.0334600, 221.0818900, 221.1798300, 221.3822800, 221.8606300, 223.1263400", \
+ 					  "221.0750600, 221.0979900, 221.1471300, 221.2431100, 221.4456200, 221.9249100, 223.1890100", \
+ 					  "221.1118900, 221.1344800, 221.1829200, 221.2858400, 221.4885300, 221.9613400, 223.2321100", \
+ 					  "221.1427300, 221.1662300, 221.2147200, 221.3079600, 221.5106300, 221.9931500, 223.2544700");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.026715, 0.0713692, 0.190663, 0.509356, 1.36074, 3.63523");
+ 					values("0.1390935, 0.1587701, 0.2008712, 0.2895043, 0.5254284, 1.2124556, 3.1403444", \
+ 					  "0.1426715, 0.1589453, 0.2017520, 0.2894044, 0.5263872, 1.2128625, 3.1387581", \
+ 					  "0.1392272, 0.1612556, 0.2001252, 0.2893084, 0.5255293, 1.2127069, 3.1409211", \
+ 					  "0.1391174, 0.1611429, 0.1999283, 0.2895791, 0.5256651, 1.2115785, 3.1417329", \
+ 					  "0.1394366, 0.1605342, 0.2022841, 0.2890168, 0.5261444, 1.2135807, 3.1375482", \
+ 					  "0.1386692, 0.1609848, 0.2000706, 0.2890518, 0.5261589, 1.2137439, 3.1377605", \
+ 					  "0.1388999, 0.1609467, 0.1999773, 0.2886124, 0.5255527, 1.2136273, 3.1403853");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.026715, 0.0713692, 0.190663, 0.509356, 1.36074, 3.63523");
+ 					values("195.9860900, 195.9860917, 196.0307400, 196.1393500, 196.4380900, 197.0319800, 198.7678600", \
+ 					  "195.9788600, 196.0000600, 196.0518300, 196.1585400, 196.4166100, 197.0537500, 198.7845300", \
+ 					  "196.0295000, 196.0530800, 196.1031300, 196.2118700, 196.4649700, 197.1066000, 198.8429000", \
+ 					  "196.0804500, 196.1040500, 196.1566800, 196.2627300, 196.5139100, 197.1605900, 198.8957600", \
+ 					  "196.1280700, 196.1516600, 196.2038100, 196.3127100, 196.5637900, 197.2050800, 198.9406800", \
+ 					  "196.1819900, 196.2055900, 196.2564400, 196.3647900, 196.6158200, 197.2600500, 198.9917000", \
+ 					  "196.2365600, 196.2589700, 196.3098100, 196.4179400, 196.6711500, 197.3111900, 199.0468000");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.026715, 0.0713692, 0.190663, 0.509356, 1.36074, 3.63523");
+ 					values("0.1211929, 0.1387411, 0.1843066, 0.2924207, 0.5829501, 1.4240552, 3.7506402", \
+ 					  "0.1177735, 0.1392435, 0.1852286, 0.2920136, 0.5819442, 1.4232286, 3.7478873", \
+ 					  "0.1170729, 0.1380616, 0.1866311, 0.2919582, 0.5826517, 1.4243936, 3.7524059", \
+ 					  "0.1187867, 0.1392869, 0.1841579, 0.2920787, 0.5821608, 1.4247075, 3.7514494", \
+ 					  "0.1170554, 0.1380575, 0.1842069, 0.2923535, 0.5827203, 1.4246518, 3.7526684", \
+ 					  "0.1184774, 0.1388376, 0.1870840, 0.2923556, 0.5827181, 1.4243878, 3.7470080", \
+ 					  "0.1190562, 0.1380542, 0.1842469, 0.2919693, 0.5826565, 1.4246260, 3.7528993");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("222.2683400, 222.3722200, 222.3722306, 222.4354500, 222.6406200, 223.0919400, 224.3987500", \
+					  "222.8888100, 222.9285800, 222.9760700, 223.0719900, 223.2660600, 223.7527100, 225.0211800", \
+					  "223.1697900, 223.1932300, 223.2405600, 223.3396700, 223.5420700, 224.0207400, 225.2871200", \
+					  "223.3384300, 223.3620900, 223.4123400, 223.5106700, 223.7124600, 224.1915500, 225.4697900", \
+					  "223.4467600, 223.4582000, 223.5067600, 223.6214600, 223.8228200, 224.2855100, 225.5554800", \
+					  "223.5163800, 223.5367200, 223.5852900, 223.6824600, 223.8843700, 224.3643300, 225.6319100", \
+					  "223.5475300, 223.5849800, 223.6334900, 223.7305200, 223.9335300, 224.4104300, 225.6742700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1420568, 0.1592081, 0.2016513, 0.2895368, 0.5267002, 1.2137737, 3.1406877", \
+					  "0.1395708, 0.1606680, 0.2007672, 0.2893042, 0.5267488, 1.2137327, 3.1349487", \
+					  "0.1383186, 0.1597279, 0.1996736, 0.2899282, 0.5259639, 1.2111250, 3.1445557", \
+					  "0.1422457, 0.1587524, 0.2004916, 0.2897623, 0.5262415, 1.2113964, 3.1434522", \
+					  "0.1391024, 0.1616394, 0.2001295, 0.2895956, 0.5260251, 1.2118806, 3.1434921", \
+					  "0.1391092, 0.1585503, 0.2005311, 0.2879467, 0.5252560, 1.2144364, 3.1424132", \
+					  "0.1383310, 0.1611952, 0.2000417, 0.2899434, 0.5258215, 1.2111152, 3.1390825");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("196.9184500, 196.9566900, 197.0156400, 197.1246100, 197.3771800, 197.9913500, 199.7334100", \
+					  "197.8859500, 197.9087300, 197.9590400, 198.0906500, 198.3411800, 198.9839000, 200.7107900", \
+					  "198.7856600, 198.8062500, 198.8648100, 198.9714900, 199.2224800, 199.8668600, 201.6032000", \
+					  "199.6340200, 199.6569400, 199.7072700, 199.8175800, 200.0680600, 200.7085300, 202.4453900", \
+					  "200.4420300, 200.4693200, 200.5170100, 200.6438400, 200.8862900, 201.5236000, 203.2652000", \
+					  "201.2695900, 201.2865700, 201.3366900, 201.4531600, 201.6965100, 202.3435300, 204.0701900", \
+					  "202.0843500, 202.1072000, 202.1575800, 202.2683700, 202.5191000, 203.1615900, 204.8977600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1212626, 0.1382733, 0.1844900, 0.2925830, 0.5824724, 1.4221391, 3.7378789", \
+					  "0.1169164, 0.1381588, 0.1836766, 0.2924016, 0.5828083, 1.4241944, 3.7541628", \
+					  "0.1176031, 0.1389322, 0.1842959, 0.2921424, 0.5828267, 1.4261383, 3.7543662", \
+					  "0.1184568, 0.1388572, 0.1852835, 0.2924040, 0.5826240, 1.4249062, 3.7543666", \
+					  "0.1192326, 0.1380712, 0.1872099, 0.2904858, 0.5837009, 1.4248859, 3.7425685", \
+					  "0.1170411, 0.1379960, 0.1852154, 0.2924024, 0.5825523, 1.4247585, 3.7451100", \
+					  "0.1170393, 0.1380640, 0.1871395, 0.2924014, 0.5828279, 1.4248898, 3.7500345");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("222.2925800, 222.3027000, 222.3551500, 222.5331500, 222.6378200, 223.2107500, 224.4220200", \
+					  "222.8996700, 222.9284200, 222.9893000, 223.0695800, 223.2843300, 223.7516800, 225.0142300", \
+					  "223.1796000, 223.2032700, 223.2514000, 223.3493500, 223.5515800, 224.0303600, 225.2985100", \
+					  "223.3592700, 223.3827800, 223.4316800, 223.5287700, 223.7309200, 224.2060000, 225.4826100", \
+					  "223.4627300, 223.4872200, 223.5193600, 223.6292100, 223.8271300, 224.3106100, 225.5679200", \
+					  "223.5277300, 223.5506100, 223.6051400, 223.6967000, 223.8993900, 224.3784000, 225.6540100", \
+					  "223.5566300, 223.5921800, 223.6378100, 223.7261500, 223.9429200, 224.4228300, 225.6854000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1425954, 0.1592334, 0.2013107, 0.2900424, 0.5259173, 1.2123426, 3.1401775", \
+					  "0.1393578, 0.1613280, 0.2008009, 0.2888733, 0.5252694, 1.2144515, 3.1423644", \
+					  "0.1385423, 0.1592376, 0.1993726, 0.2909406, 0.5263022, 1.2120460, 3.1449385", \
+					  "0.1424977, 0.1587941, 0.2016539, 0.2894986, 0.5265659, 1.2129856, 3.1433731", \
+					  "0.1392770, 0.1611801, 0.2001621, 0.2882737, 0.5261506, 1.2143954, 3.1435239", \
+					  "0.1391186, 0.1613234, 0.2001903, 0.2880234, 0.5256220, 1.2144293, 3.1437966", \
+					  "0.1383305, 0.1603358, 0.1995935, 0.2898752, 0.5263199, 1.2106369, 3.1291321");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("196.9741800, 196.9956700, 197.0491200, 197.1588400, 197.4052300, 198.0385800, 199.7734400", \
+					  "197.9407400, 197.9637300, 198.0194500, 198.1041300, 198.3796500, 199.0220300, 200.7576500", \
+					  "198.8230900, 198.8461200, 198.8994900, 199.0033200, 199.2591900, 199.9023900, 201.6337000", \
+					  "199.6783500, 199.6960600, 199.7405000, 199.8496900, 200.0998900, 200.7470800, 202.4884500", \
+					  "200.4843200, 200.5058900, 200.5926100, 200.6684200, 200.9215900, 201.5648400, 203.2912200", \
+					  "201.3041300, 201.3236300, 201.3787100, 201.4834600, 201.7388100, 202.3767300, 204.1166700", \
+					  "202.1211000, 202.1434400, 202.1915700, 202.3068200, 202.5557100, 203.1985100, 204.9337700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0267171, 0.0713804, 0.190708, 0.509516, 1.36128, 3.63694");
+					values("0.1196397, 0.1384458, 0.1845807, 0.2923970, 0.5823020, 1.4219974, 3.7307255", \
+					  "0.1184485, 0.1388576, 0.1842637, 0.2906833, 0.5828059, 1.4248873, 3.7498049", \
+					  "0.1185713, 0.1393001, 0.1862929, 0.2901914, 0.5828075, 1.4241908, 3.7436858", \
+					  "0.1175917, 0.1374639, 0.1841360, 0.2924535, 0.5820218, 1.4271860, 3.7434726", \
+					  "0.1170455, 0.1380699, 0.1862288, 0.2924045, 0.5817107, 1.4264196, 3.7426315", \
+					  "0.1170894, 0.1412430, 0.1862936, 0.2917352, 0.5827897, 1.4288494, 3.7492889", \
+					  "0.1170445, 0.1380636, 0.1839594, 0.2924060, 0.5828120, 1.4248618, 3.7500119");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 0.865023;
+			capacitance : 0.861595;
+			rise_capacitance : 0.858166;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.8835558, -7.4166462, -4.9497368, -4.2160185, -3.5116490, -2.7779307, -2.0442125");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.7736740, 15.2235920, 15.6735110, 16.2684880, 16.8396650, 17.4346410, 18.0296180");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.103883;
+			capacitance : 0.117892;
+			fall_capacitance : 0.131901;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1734828, 0.9598967, 2.0932761, 3.2238648, 4.3092300, 5.4398187, 6.5704074");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1738196, -0.9583341, -2.0904877, -3.2193427, -4.3030434, -5.4318983, -6.5607532");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.084276;
+			capacitance : 0.125091;
+			fall_capacitance : 0.165906;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.9558507, 0.1692260, 1.2943027, 2.4198374, 3.5003507, 4.6258854, 5.7514202");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9557165, -0.1693541, -1.2944246, -2.4201220, -3.5007914, -4.6264887, -5.7521861");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.681318;
+			capacitance : 1.980608;
+			fall_capacitance : 2.279899;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0593879, -0.0594016, -0.0594154, -0.0591898, -0.0589732, -0.0587475, -0.0585219");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0594205, 0.0595544, 0.0596884, 0.0593953, 0.0591139, 0.0588208, 0.0585278");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050075;
+			capacitance : 0.050112;
+			fall_capacitance : 0.050149;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0307410, -0.0303392, -0.0299373, -0.0298881, -0.0298408, -0.0297915, -0.0297423");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0307547, 0.0304801, 0.0302055, 0.0301388, 0.0300748, 0.0300082, 0.0299415");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045946;
+			capacitance : 0.045963;
+			fall_capacitance : 0.045979;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0503813, -0.0501007, -0.0498200, -0.0496407, -0.0494685, -0.0492892, -0.0491099");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0504140, 0.0501480, 0.0498820, 0.0496920, 0.0495095, 0.0493194, 0.0491293");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p60v_x_5p50v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_n40C_1v35_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_n40C_1v35_5v50.lib
new file mode 100644
index 0000000..a5b80b6
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_n40C_1v35_5v50.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ff_n40C_1v35_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.350000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.350000);
+	voltage_map("VCCHIB",1.350000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.135; 
+			 voh : 1.215; 
+			 vomax : 1.418; 
+			 vomin : -0.068; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.405; 
+			 vih : 0.945; 
+			 vimax : 1.418; 
+			 vimin : -0.068; 
+		}
+	 operating_conditions ("ss_ff_1p35v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.350000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.189050e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup2) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "9.8763300";
+		}
+		leakage_power (lkgGroup1) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "11.8905000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.019327;
+			capacitance : 0.018951;
+			fall_capacitance : 0.018576;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.6839752, 7.1783122, 12.6726490, 17.9120560, 23.2145890, 28.4539950, 33.6934020");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.8731686, -2.3486111, -5.5703909, -8.3853127, -11.2341490, -14.0490710, -16.8639930");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.025177;
+			capacitance : 0.024960;
+			fall_capacitance : 0.024743;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1042942, 0.7666411, 1.6375765, 2.6066357, 3.5873704, 4.5564297, 5.5254889");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.7003991, 1.6282487, 2.5560983, 3.5485032, 4.5528648, 5.5452696, 6.5376745");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.034154;
+			capacitance : 0.033666;
+			fall_capacitance : 0.033178;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.6915397, 2.2112132, 2.7308867, 3.6582427, 4.5967718, 5.5241278, 6.4514839");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.1964585, 1.9903762, 2.7842938, 3.7454132, 4.7181123, 5.6792317, 6.6403510");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017066;
+			capacitance : 0.016933;
+			fall_capacitance : 0.016800;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0205294, -0.0194024, -0.0182754, -0.0181212, -0.0179652, -0.0178110, -0.0176568");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0422193, 0.0414727, 0.0407262, 0.0406505, 0.0405738, 0.0404981, 0.0404224");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			fall_capacitance : 0.028105;
+			capacitance : 0.028590;
+			rise_capacitance : 0.029075;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.4365496, 3.0752811, 5.7140126, 8.3626095, 11.0431170, 13.6917140, 16.3403110");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("3.1646827, 7.7745902, 12.3844980, 15.6277800, 18.9101380, 22.1534200, 25.3967020");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.008870;
+			capacitance : 0.008885;
+			fall_capacitance : 0.008899;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1075360, -0.1068412, -0.1061464, -0.1057933, -0.1054360, -0.1050830, -0.1047300");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1068207, 0.1062211, 0.1056215, 0.1053810, 0.1051376, 0.1048972, 0.1046567");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 4.661760;
+			max_transition : 3.751097;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02790069, 0.07784486, 0.2171925, 0.6059822, 1.690732, 4.71726");
+ 					values("55.4323583, 55.3353463, 55.2860523, 55.2740373, 55.3467393, 56.4226083, 59.6719953", \
+ 					  "40.3406900, 40.2341020, 40.1093680, 40.1144890, 40.3618610, 41.3952080, 44.5608210", \
+ 					  "59.3625290, 59.2600210, 59.1506220, 59.1653530, 59.3153510, 60.4137230, 63.5950250", \
+ 					  "60.0131610, 59.9121240, 59.7658800, 59.7107430, 59.9456110, 61.0517610, 64.2189150", \
+ 					  "60.4816470, 60.3898460, 60.1764610, 60.1486010, 60.4346230, 61.5254380, 64.7409560", \
+ 					  "60.8876700, 60.7791460, 60.6807450, 60.6258170, 60.8571800, 61.9448170, 65.0459620", \
+ 					  "61.4075110, 61.3070080, 61.1438400, 61.1136560, 61.3386420, 62.4301510, 65.5715340");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02790069, 0.07784486, 0.2171925, 0.6059822, 1.690732, 4.71726");
+ 					values("70.8699613, 70.6556403, 70.3974023, 70.2204393, 70.3679743, 71.0672973, 73.6557893", \
+ 					  "58.6042410, 58.5099410, 58.2726170, 58.0569220, 58.1323200, 58.8775920, 61.9922530", \
+ 					  "69.0364500, 68.8856190, 68.7200300, 68.4235240, 68.6208030, 69.4553790, 72.5076040", \
+ 					  "69.3391390, 69.2055720, 68.9879820, 68.7744720, 68.8551510, 69.7611970, 72.4288960", \
+ 					  "69.5931370, 69.5025980, 69.2537560, 69.0537560, 69.1529260, 69.9023270, 72.7988990", \
+ 					  "69.8684240, 69.7887930, 69.5690520, 69.3707240, 69.4275330, 70.2471480, 73.1153680", \
+ 					  "70.1581780, 70.0101370, 69.7843870, 69.6627760, 69.6669670, 70.5084600, 73.1044740");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02790069, 0.07784486, 0.2171925, 0.6059822, 1.690732, 4.71726");
+ 					values("81.8287163, 81.7751973, 81.6456543, 81.4445583, 81.3725193, 82.2724383, 84.8533943", \
+ 					  "69.7240960, 69.6079460, 69.3710450, 69.1577090, 69.4105900, 70.0173130, 72.9034280", \
+ 					  "80.1998120, 80.3745540, 79.8003750, 79.9764840, 79.7007600, 80.5881820, 83.3328960", \
+ 					  "80.5649090, 80.4741690, 80.3630220, 80.0318080, 80.0986920, 80.9168840, 83.6161140", \
+ 					  "81.0548160, 81.0026490, 80.8354630, 80.5275920, 80.5981740, 81.4193780, 84.1123000", \
+ 					  "81.6369470, 81.5148850, 81.3210610, 81.2887260, 81.1578100, 82.1153910, 84.7678660", \
+ 					  "81.9908170, 81.9027970, 81.6061800, 81.3182160, 81.5541590, 82.3019360, 85.1941570");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02790069, 0.07784486, 0.2171925, 0.6059822, 1.690732, 4.71726");
+ 					values("71.2151163, 71.0936093, 71.0678583, 70.9611313, 71.2529393, 72.3704483, 75.6944563", \
+ 					  "60.2402580, 60.0923830, 59.9763170, 59.9592470, 60.2216830, 61.2788790, 64.5642650", \
+ 					  "82.8920470, 82.7925510, 82.5612080, 82.6083090, 82.8486650, 83.9695190, 87.2792950", \
+ 					  "86.0185120, 85.8987330, 85.8053140, 85.7442030, 85.9997840, 87.2295360, 90.4619920", \
+ 					  "88.5301280, 88.4240670, 88.2898200, 88.2398740, 88.4340170, 89.5815160, 92.8553620", \
+ 					  "90.5022310, 90.3942750, 90.2712840, 90.2277630, 90.4615620, 91.6011780, 94.8680990", \
+ 					  "92.1606960, 92.0597350, 91.9353410, 91.8829730, 92.1562060, 93.3194150, 96.5581710");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02784571, 0.07753836, 0.2159111, 0.6012198, 1.674139, 4.66176");
+ 					values("52.1965353, 52.1052023, 52.0199373, 51.9042313, 52.1457603, 53.1919473, 56.3781383", \
+ 					  "52.4161300, 52.2798190, 52.2056530, 52.1400800, 52.3757810, 53.5155220, 56.5583690", \
+ 					  "52.4498600, 52.2615080, 52.1882810, 52.1265220, 52.3181080, 53.3210630, 56.4660120", \
+ 					  "52.3148470, 52.3183410, 52.0928650, 52.0440670, 52.2770610, 53.1049480, 56.4748420", \
+ 					  "52.2681480, 52.1235800, 52.0102360, 51.9570460, 52.1705730, 53.2679910, 56.3907570", \
+ 					  "52.3165090, 52.3779150, 52.1833380, 51.8910540, 52.3289360, 53.1809910, 56.4162050", \
+ 					  "52.3778030, 52.2748300, 52.1543570, 52.0449860, 52.3245540, 53.4228110, 56.4528320");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02784571, 0.07753836, 0.2159111, 0.6012198, 1.674139, 4.66176");
+ 					values("22.5299910, 22.3869020, 22.1728020, 21.8981210, 21.8700810, 22.3977110, 24.5139450", \
+ 					  "22.3558290, 22.2314090, 21.9996020, 21.7695100, 21.7400940, 22.2659880, 24.3276630", \
+ 					  "22.3817130, 22.1906330, 21.9705280, 21.6831470, 21.7545150, 22.3233580, 24.4025880", \
+ 					  "22.3609430, 22.2370440, 21.9929580, 21.7511510, 21.7317690, 22.2507530, 24.3889270", \
+ 					  "22.4996713, 22.3661413, 22.1441523, 21.9087503, 21.8828123, 22.3629943, 24.5031023", \
+ 					  "22.3987880, 22.2755580, 22.0317830, 21.7902090, 21.7700750, 22.2880220, 24.4292750", \
+ 					  "22.4063670, 22.2863130, 22.0404270, 21.7990590, 21.7823160, 22.2990570, 24.4400710");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789675, 0.07782285, 0.2171004, 0.6056396, 1.689537, 4.71326");
+					values("70.6661647, 70.5085197, 70.2577277, 70.0380487, 70.2532727, 70.8938507, 73.5192227", \
+					  "73.1252170, 72.9380200, 72.8455250, 72.5507740, 72.5969390, 73.3936830, 76.3025920", \
+					  "91.0531510, 90.8111290, 90.4059600, 90.5622110, 90.3748190, 91.4379200, 94.2003420", \
+					  "103.9518300, 103.9230900, 103.5606100, 103.4589600, 103.5384800, 104.0252500, 107.3647500", \
+					  "116.7888600, 116.8377100, 116.4813700, 116.3387500, 116.3833700, 117.3532200, 120.0457900", \
+					  "129.6380200, 129.4064300, 129.2913800, 129.0166000, 129.1594500, 129.8443000, 132.8711000", \
+					  "142.0358600, 141.9515600, 141.6888300, 141.5038900, 141.5346700, 142.5973800, 145.2352100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789675, 0.07782285, 0.2171004, 0.6056396, 1.689537, 4.71326");
+					values("57.4717970, 57.3544530, 57.2931270, 57.2424870, 57.4821010, 58.2968520, 61.7526500", \
+					  "57.0836770, 57.0918580, 56.7059230, 56.6137960, 57.0612850, 58.2395850, 61.4050670", \
+					  "90.5396380, 90.3150050, 90.1656250, 90.2664400, 90.4394340, 91.5901870, 94.8691960", \
+					  "100.4009900, 100.2977100, 100.0686800, 99.8500310, 100.1987000, 101.3841200, 104.4574600", \
+					  "110.0425800, 110.0848200, 109.6175700, 109.8966600, 109.8624300, 111.2167000, 114.5355800", \
+					  "119.6708700, 119.5864800, 119.7336100, 119.4888200, 119.5641500, 120.6151800, 123.9483700", \
+					  "129.5687300, 129.6130100, 129.1708000, 129.3800800, 129.5627900, 130.6466000, 134.1151200");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789675, 0.07782285, 0.2171004, 0.6056396, 1.689537, 4.71326");
+					values("70.7078117, 70.6510937, 70.2595247, 70.1867287, 70.1965057, 70.9510547, 73.6182967", \
+					  "73.1154540, 72.9497470, 72.7575050, 72.5644440, 72.6310920, 73.4889330, 76.2436810", \
+					  "91.0917520, 90.6549700, 90.5540300, 90.4967420, 90.2723940, 91.0565100, 94.0031520", \
+					  "103.9418300, 103.7457600, 103.6309000, 103.8569500, 103.5258200, 104.2214700, 106.9566500", \
+					  "117.0538500, 116.6680400, 116.6733900, 116.2352000, 116.4266700, 117.2228400, 120.0934500", \
+					  "129.6082800, 129.4091400, 129.2457400, 128.9813500, 129.1419100, 129.8805400, 132.7878600", \
+					  "141.8600500, 141.8990500, 141.6502600, 141.6891000, 141.5388800, 142.2537100, 145.1446000");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789675, 0.07782285, 0.2171004, 0.6056396, 1.689537, 4.71326");
+					values("57.4589180, 56.2972230, 56.2017090, 55.9095120, 56.0664560, 57.3108630, 60.6398670", \
+					  "56.2857520, 56.1485570, 56.0846150, 56.0214570, 56.2064060, 57.3419080, 60.4838390", \
+					  "89.7525110, 89.6278260, 89.5121480, 89.4869660, 89.6876810, 90.7496720, 93.9786230", \
+					  "99.5148690, 99.3240980, 99.2245940, 99.2288680, 99.3688740, 100.5092600, 103.7449800", \
+					  "109.2109800, 109.0756400, 108.9519700, 108.9302400, 109.1381400, 110.2504300, 113.3945900", \
+					  "118.8646700, 118.7577500, 118.6419600, 118.5985500, 118.8196500, 119.9237500, 123.1051900", \
+					  "128.6028200, 128.5450200, 128.4289300, 128.3744200, 128.5707700, 129.7067500, 132.8455700");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("175.3790900, 175.4418800, 175.4835100, 175.6271600, 175.7393900, 176.2807500, 177.7251600", \
+ 					  "178.7260800, 178.7457900, 178.7881100, 178.8729000, 179.0644900, 179.5613300, 180.9612200", \
+ 					  "181.8783600, 181.9085000, 181.9480500, 182.0354900, 182.2241800, 182.7213200, 184.1233100", \
+ 					  "184.8397900, 184.8597300, 184.9014200, 184.9867500, 185.1769900, 185.6755600, 187.0791000", \
+ 					  "187.6210800, 187.6402700, 187.6824300, 187.7675800, 187.9587500, 188.4554200, 189.8497100", \
+ 					  "190.3223100, 190.3425900, 190.3841700, 190.4715400, 190.6601900, 191.1563900, 192.5603900", \
+ 					  "193.0126000, 193.0513600, 193.0932400, 193.1714500, 193.3690600, 193.8608600, 195.2393700");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("0.0945839, 0.1103016, 0.1453753, 0.2243395, 0.4548861, 1.1548202, 3.1713108", \
+ 					  "0.0957023, 0.1105279, 0.1465556, 0.2247723, 0.4560437, 1.1569415, 3.1722576", \
+ 					  "0.0931185, 0.1100365, 0.1437704, 0.2251611, 0.4563646, 1.1542812, 3.1772034", \
+ 					  "0.0940351, 0.1106996, 0.1444770, 0.2235854, 0.4559040, 1.1569150, 3.1749443", \
+ 					  "0.0957035, 0.1114065, 0.1464324, 0.2246565, 0.4558275, 1.1566694, 3.1804128", \
+ 					  "0.0943869, 0.1105346, 0.1449364, 0.2249702, 0.4559753, 1.1562199, 3.1783740", \
+ 					  "0.0933781, 0.1100911, 0.1446968, 0.2250540, 0.4559305, 1.1571937, 3.1753045");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("166.4203500, 166.4391800, 166.4689600, 166.5606500, 166.8148900, 167.4754100, 169.3251800", \
+ 					  "168.9524500, 168.9714000, 169.0172300, 169.1157900, 169.3601100, 170.0131400, 171.8711600", \
+ 					  "171.4873700, 171.5059500, 171.5442200, 171.6301100, 171.8707900, 172.5406900, 174.4010900", \
+ 					  "173.6781600, 173.6967800, 173.6967850, 173.7845700, 174.0254400, 174.7394700, 176.6027000", \
+ 					  "175.6937700, 175.7201300, 175.7201310, 175.8335100, 176.0996800, 176.7604800, 178.5914600", \
+ 					  "177.5616900, 177.5798600, 177.6254700, 177.7174200, 177.9656200, 178.6206100, 180.4889300", \
+ 					  "179.3524800, 179.3711400, 179.4172400, 179.5031900, 179.7509100, 180.4119000, 182.2787100");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("0.0793797, 0.0964273, 0.1358231, 0.2334341, 0.5135973, 1.3582763, 3.7786694", \
+ 					  "0.0800042, 0.0961138, 0.1360587, 0.2324254, 0.5166339, 1.3651529, 3.7789869", \
+ 					  "0.0801683, 0.0967830, 0.1355796, 0.2339943, 0.5161205, 1.3583824, 3.7549301", \
+ 					  "0.0802100, 0.0967635, 0.1354942, 0.2339289, 0.5164789, 1.3706615, 3.7442942", \
+ 					  "0.0820337, 0.0961869, 0.1357007, 0.2338678, 0.5166332, 1.3681110, 3.7517189", \
+ 					  "0.0805470, 0.0966675, 0.1355929, 0.2339995, 0.5157474, 1.3691476, 3.7792631", \
+ 					  "0.0802091, 0.0967663, 0.1353378, 0.2339223, 0.5153449, 1.3566516, 3.7522145");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("188.8174000, 188.8788900, 188.8788987, 188.9049500, 189.1129100, 189.6335200, 191.0017900", \
+ 					  "192.2047700, 192.2242900, 192.2664700, 192.3513500, 192.5427700, 193.0397400, 194.4416000", \
+ 					  "195.8270800, 195.8464500, 195.8888300, 195.9735100, 196.1648100, 196.6616600, 198.0594500", \
+ 					  "199.4576000, 199.4773400, 199.5192600, 199.6038900, 199.7949700, 200.2927200, 201.6922000", \
+ 					  "203.0799500, 203.0998200, 203.1415500, 203.2262800, 203.4172700, 203.9150400, 205.3145200", \
+ 					  "206.7016100, 206.7218100, 206.7631900, 206.8474500, 207.0392400, 207.5359500, 208.9403800", \
+ 					  "210.3782300, 210.3979900, 210.4393000, 210.5244600, 210.7153800, 211.2127100, 212.6148900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("0.0943123, 0.1106071, 0.1445041, 0.2246601, 0.4553237, 1.1536172, 3.1779253", \
+ 					  "0.0937599, 0.1104865, 0.1464006, 0.2246218, 0.4561352, 1.1567730, 3.1798246", \
+ 					  "0.0937654, 0.1108020, 0.1465110, 0.2250411, 0.4562270, 1.1570362, 3.1788259", \
+ 					  "0.0940544, 0.1119652, 0.1457237, 0.2247310, 0.4561539, 1.1548509, 3.1780456", \
+ 					  "0.0940481, 0.1119728, 0.1457913, 0.2247496, 0.4561341, 1.1547082, 3.1777649", \
+ 					  "0.0943428, 0.1099598, 0.1454792, 0.2251905, 0.4559665, 1.1569780, 3.1795768", \
+ 					  "0.0941515, 0.1101255, 0.1448289, 0.2251881, 0.4560894, 1.1570123, 3.1794749");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("165.7471200, 165.7471238, 165.8098700, 165.8913500, 166.1092600, 166.7544200, 168.6548900", \
+ 					  "166.4153800, 166.4382300, 166.4822800, 166.5708900, 166.8132500, 167.4814700, 169.3395300", \
+ 					  "166.7917400, 166.8107400, 166.8112600, 166.9474300, 167.1891700, 167.8526500, 169.7149800", \
+ 					  "166.9380700, 166.9516900, 166.9921200, 167.0896500, 167.3366700, 167.9878500, 169.8478900", \
+ 					  "166.8875500, 166.9014600, 166.9324900, 167.0291400, 167.2788600, 167.9520500, 169.7967500", \
+ 					  "166.6405600, 166.6605000, 166.6999100, 166.7973500, 167.0384600, 167.7026900, 169.5595100", \
+ 					  "166.2841700, 166.3043900, 166.3482600, 166.4438300, 166.6824000, 167.3488600, 169.2081500");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0279007, 0.0778449, 0.217193, 0.605982, 1.69073, 4.71726");
+ 					values("0.0794705, 0.0959506, 0.1357822, 0.2332181, 0.5152085, 1.3692696, 3.7705412", \
+ 					  "0.0802169, 0.0973210, 0.1357587, 0.2339889, 0.5168028, 1.3601132, 3.7412873", \
+ 					  "0.0805479, 0.0967442, 0.1345424, 0.2336792, 0.5179892, 1.3660911, 3.7883519", \
+ 					  "0.0796884, 0.0982961, 0.1354911, 0.2329868, 0.5159250, 1.3706237, 3.7502725", \
+ 					  "0.0804760, 0.0961351, 0.1360574, 0.2339943, 0.5168449, 1.3597123, 3.7516044", \
+ 					  "0.0805546, 0.0966828, 0.1360592, 0.2339909, 0.5163915, 1.3690117, 3.7480592", \
+ 					  "0.0796806, 0.0983008, 0.1354864, 0.2331792, 0.5160379, 1.3615881, 3.7546721");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278457, 0.0775384, 0.215911, 0.60122, 1.67414, 4.66176");
+ 					values("172.5920800, 172.6118900, 172.6534700, 172.7422900, 172.9317600, 173.4192000, 174.7987100", \
+ 					  "172.5948800, 172.6146500, 172.6558700, 172.7405000, 172.9299700, 173.4219700, 174.8073000", \
+ 					  "172.6463400, 172.6662000, 172.7076900, 172.7920800, 172.9817400, 173.4735800, 174.8526000", \
+ 					  "172.6947300, 172.7142500, 172.7562700, 172.8406300, 173.0306400, 173.5222800, 174.9060900", \
+ 					  "172.7074400, 172.7273400, 172.7687600, 172.8532100, 173.0428200, 173.5346300, 174.9137100", \
+ 					  "172.7721000, 172.7916200, 172.8336400, 172.9180800, 173.1079600, 173.5996900, 174.9836000", \
+ 					  "172.7926000, 172.8121100, 172.8542100, 172.9385400, 173.1284600, 173.6202100, 175.0041200");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278457, 0.0775384, 0.215911, 0.60122, 1.67414, 4.66176");
+ 					values("0.0934864, 0.1095690, 0.1463097, 0.2244495, 0.4530608, 1.1460931, 3.1416880", \
+ 					  "0.0941839, 0.1100526, 0.1449308, 0.2244500, 0.4530571, 1.1455851, 3.1423497", \
+ 					  "0.0934598, 0.1097112, 0.1459381, 0.2243895, 0.4531188, 1.1460535, 3.1419765", \
+ 					  "0.0936846, 0.1104331, 0.1462220, 0.2239109, 0.4531782, 1.1453074, 3.1463612", \
+ 					  "0.0934518, 0.1097285, 0.1459295, 0.2243943, 0.4531099, 1.1460447, 3.1419465", \
+ 					  "0.0936874, 0.1104366, 0.1462314, 0.2239117, 0.4531702, 1.1452796, 3.1472402", \
+ 					  "0.0936727, 0.1104319, 0.1462265, 0.2239136, 0.4531747, 1.1452840, 3.1472838");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278457, 0.0775384, 0.215911, 0.60122, 1.67414, 4.66176");
+ 					values("164.0711800, 164.0914700, 164.1348200, 164.2301200, 164.4669300, 165.1237400, 166.9650900", \
+ 					  "164.0919400, 164.1099100, 164.1537000, 164.2494000, 164.4874300, 165.1423400, 166.9807100", \
+ 					  "164.1461000, 164.1717400, 164.2074800, 164.3035000, 164.5482700, 165.2029100, 167.0389900", \
+ 					  "164.1938500, 164.2119500, 164.2533500, 164.3492200, 164.5918200, 165.2430400, 167.0812300", \
+ 					  "164.2455800, 164.2636400, 164.3069500, 164.4027800, 164.6474900, 165.3021100, 167.1338200", \
+ 					  "164.3051900, 164.3158900, 164.3583000, 164.4543900, 164.6922200, 165.3473400, 167.1847800", \
+ 					  "164.3524900, 164.3705900, 164.4134100, 164.5093600, 164.7451700, 165.3997300, 167.2413500");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278457, 0.0775384, 0.215911, 0.60122, 1.67414, 4.66176");
+ 					values("0.0809642, 0.0980404, 0.1356132, 0.2331312, 0.5122099, 1.3565044, 3.6994665", \
+ 					  "0.0796749, 0.0969824, 0.1343930, 0.2325402, 0.5121987, 1.3434617, 3.7042824", \
+ 					  "0.0800473, 0.0957796, 0.1358221, 0.2331663, 0.5124789, 1.3569353, 3.7378105", \
+ 					  "0.0821668, 0.0967405, 0.1355720, 0.2331494, 0.5119007, 1.3569736, 3.7510972", \
+ 					  "0.0793503, 0.0982383, 0.1343689, 0.2323384, 0.5117543, 1.3564785, 3.7078045", \
+ 					  "0.0799552, 0.0966238, 0.1358303, 0.2330986, 0.5126760, 1.3567178, 3.7039320", \
+ 					  "0.0812978, 0.0965840, 0.1354272, 0.2331354, 0.5118017, 1.3565153, 3.7495971");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("173.5715500, 173.6051000, 173.6470700, 173.7312500, 173.9212100, 174.4490800, 175.8370600", \
+					  "174.0466500, 174.0659200, 174.1063900, 174.1929300, 174.3763500, 174.8803400, 176.2836200", \
+					  "174.2614400, 174.2614518, 174.3235700, 174.4080300, 174.5978200, 175.0965300, 176.4971500", \
+					  "174.3477900, 174.3486000, 174.3911900, 174.4788300, 174.6582500, 175.1536900, 176.5653600", \
+					  "174.3989200, 174.3993200, 174.4416700, 174.5218700, 174.7131400, 175.2192100, 176.6170900", \
+					  "174.4263600, 174.4438000, 174.4835600, 174.5726600, 174.7635100, 175.2640500, 176.6598400", \
+					  "174.4415500, 174.4732000, 174.5272600, 174.5815700, 174.7832200, 175.2933700, 176.6716300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("0.0932880, 0.1093434, 0.1452049, 0.2251914, 0.4560249, 1.1572993, 3.1717999", \
+					  "0.0942654, 0.1119993, 0.1453205, 0.2251166, 0.4560848, 1.1554239, 3.1770808", \
+					  "0.0937595, 0.1114145, 0.1464085, 0.2245956, 0.4558844, 1.1561515, 3.1796061", \
+					  "0.0943725, 0.1105205, 0.1464070, 0.2245956, 0.4558866, 1.1564647, 3.1795706", \
+					  "0.0933499, 0.1120081, 0.1442371, 0.2253062, 0.4559172, 1.1560225, 3.1772240", \
+					  "0.0934674, 0.1098072, 0.1461496, 0.2251173, 0.4558284, 1.1565155, 3.1773908", \
+					  "0.0934679, 0.1099731, 0.1454123, 0.2251617, 0.4558338, 1.1563744, 3.1773386");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("164.6579100, 164.6900500, 164.7334500, 164.8453200, 165.0421000, 165.7235200, 167.5807200", \
+					  "165.7797700, 165.7924600, 165.8349200, 165.9316800, 166.1722000, 166.8331200, 168.6929900", \
+					  "166.7936800, 166.8130800, 166.8637300, 166.9577500, 167.1983400, 167.8591600, 169.7205100", \
+					  "167.7924900, 167.8027000, 167.8471300, 167.9417400, 168.1824200, 168.8433400, 170.6999100", \
+					  "168.7515800, 168.7700600, 168.8121500, 168.9094100, 169.1500400, 169.8110500, 171.6694600", \
+					  "169.7158500, 169.7348500, 169.7775200, 169.8791000, 170.1196900, 170.7807100, 172.6365500", \
+					  "170.6529100, 170.6714000, 170.7134600, 170.8106900, 171.0517700, 171.7124000, 173.5705000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("0.0802435, 0.0968944, 0.1359453, 0.2340072, 0.5158938, 1.3582672, 3.7335837", \
+					  "0.0802157, 0.0966640, 0.1354624, 0.2339181, 0.5160774, 1.3680682, 3.7480503", \
+					  "0.0796257, 0.0958788, 0.1360374, 0.2338994, 0.5160882, 1.3672207, 3.7712500", \
+					  "0.0805557, 0.0966681, 0.1360233, 0.2339222, 0.5160677, 1.3681887, 3.7462602", \
+					  "0.0805405, 0.0966190, 0.1360362, 0.2339396, 0.5160425, 1.3697607, 3.7491077", \
+					  "0.0793477, 0.0980793, 0.1354889, 0.2339788, 0.5153171, 1.3684452, 3.7430961", \
+					  "0.0805351, 0.0966139, 0.1360375, 0.2339523, 0.5160827, 1.3693792, 3.7493466");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("173.5246000, 173.5392100, 173.6237500, 173.6428900, 173.8302600, 174.3534600, 175.7846100", \
+					  "174.0578700, 174.0776400, 174.1190900, 174.2041900, 174.3957800, 174.8920500, 176.2944100", \
+					  "174.2727600, 174.2889900, 174.3080100, 174.3922000, 174.6075600, 175.0804900, 176.4771200", \
+					  "174.3319600, 174.3768500, 174.3939900, 174.4731600, 174.6768400, 175.1664300, 176.5696700", \
+					  "174.3952700, 174.4055700, 174.4515100, 174.5320000, 174.7231000, 175.2200700, 176.6154900", \
+					  "174.4322900, 174.4521100, 174.5100500, 174.5783700, 174.7698000, 175.2653800, 176.6742100", \
+					  "174.4547400, 174.4745900, 174.5174800, 174.6011000, 174.7919400, 175.3074500, 176.7128500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("0.0931532, 0.1097068, 0.1450868, 0.2252439, 0.4557360, 1.1564862, 3.1714471", \
+					  "0.0941896, 0.1100993, 0.1449873, 0.2251657, 0.4559491, 1.1563837, 3.1774567", \
+					  "0.0937581, 0.1098051, 0.1464912, 0.2250353, 0.4553768, 1.1564741, 3.1769567", \
+					  "0.0950146, 0.1099817, 0.1466043, 0.2244935, 0.4557122, 1.1564710, 3.1750407", \
+					  "0.0937627, 0.1105753, 0.1441404, 0.2253085, 0.4558917, 1.1553679, 3.1773302", \
+					  "0.0934562, 0.1097696, 0.1454165, 0.2251185, 0.4557286, 1.1565171, 3.1848537", \
+					  "0.0943301, 0.1099783, 0.1454384, 0.2251646, 0.4558512, 1.1562198, 3.1775120");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("164.6614300, 164.7115700, 164.7615200, 164.8784900, 165.1186400, 165.7673300, 167.6103700", \
+					  "165.7924400, 165.8112000, 165.8520100, 165.9379600, 166.1935300, 166.8401700, 168.7275700", \
+					  "166.8117400, 166.8299300, 166.8778700, 166.9970700, 167.2128300, 167.8712100, 169.7357700", \
+					  "167.7911600, 167.8131300, 167.8447900, 167.9494200, 168.2305300, 168.8948100, 170.7128800", \
+					  "168.7442900, 168.7664100, 168.8101300, 168.9085800, 169.1418000, 169.8050800, 171.6668400", \
+					  "169.6965900, 169.7171700, 169.7562300, 169.8565500, 170.0960900, 170.7585300, 172.6214100", \
+					  "170.6355900, 170.6531800, 170.7067000, 170.7930200, 171.0336800, 171.6944800, 173.5566700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278967, 0.0778229, 0.2171, 0.60564, 1.68954, 4.71326");
+					values("0.0799647, 0.0978793, 0.1351632, 0.2338801, 0.5157327, 1.3608998, 3.7333447", \
+					  "0.0801467, 0.0966711, 0.1357676, 0.2339144, 0.5149707, 1.3702752, 3.8084766", \
+					  "0.0816062, 0.0968149, 0.1345537, 0.2340278, 0.5171581, 1.3659581, 3.7411696", \
+					  "0.0796637, 0.0982534, 0.1360286, 0.2338836, 0.5151125, 1.3624876, 3.7390477", \
+					  "0.0814093, 0.0966750, 0.1354705, 0.2334896, 0.5160787, 1.3607760, 3.7476626", \
+					  "0.0812076, 0.0964022, 0.1357956, 0.2336949, 0.5160588, 1.3694954, 3.7521422", \
+					  "0.0796611, 0.0983480, 0.1354693, 0.2329354, 0.5157499, 1.3603414, 3.7526351");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 0.850130;
+			capacitance : 0.853444;
+			fall_capacitance : 0.856757;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-9.5567347, -5.2303028, -0.9038707, 0.6668145, 2.2564237, 3.8271090, 5.3977942");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("14.0372240, 16.3463500, 18.6554760, 20.3127180, 21.9899270, 23.6471690, 25.3044110");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.461334;
+			capacitance : 0.427789;
+			fall_capacitance : 0.394243;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1726923, 4.0616443, 8.2959811, 12.5224960, 16.7999330, 21.0264480, 25.2529630");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.1727968, -4.0573125, -8.2874220, -12.5096100, -16.7826670, -21.0048550, -25.2270430");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.428039;
+			capacitance : 0.425815;
+			fall_capacitance : 0.423590;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.9504970, 3.2254635, 7.4014241, 11.5701240, 15.7890480, 19.9577480, 24.1264470");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.9503185, -3.2255909, -7.4015004, -11.5702590, -15.7892430, -19.9580010, -24.1267600");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 6.176268;
+			capacitance : 7.288383;
+			fall_capacitance : 8.400499;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0603667, -0.0596538, -0.0589410, -0.0588915, -0.0588415, -0.0587921, -0.0587426");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0604156, 0.0599971, 0.0595785, 0.0594205, 0.0592606, 0.0591025, 0.0589445");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050075;
+			capacitance : 0.050075;
+			fall_capacitance : 0.050075;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0309518, -0.0304054, -0.0298591, -0.0297783, -0.0296966, -0.0296158, -0.0295351");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0309763, 0.0305317, 0.0300872, 0.0300176, 0.0299472, 0.0298776, 0.0298080");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.046011;
+			capacitance : 0.046003;
+			fall_capacitance : 0.045996;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0507030, -0.0498248, -0.0489466, -0.0487812, -0.0486137, -0.0484482, -0.0482828");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0505626, 0.0497834, 0.0490041, 0.0488659, 0.0487261, 0.0485879, 0.0484497");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p35v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_n40C_1v60_5v50.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_n40C_1v60_5v50.lib
new file mode 100644
index 0000000..3601b30
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ff_n40C_1v60_5v50.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ff_n40C_1v60_5v50") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",5.500000);
+	voltage_map("VDDIO",5.500000);
+	voltage_map("VDDIO_Q",5.500000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",5.500000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.550; 
+			 voh : 4.950; 
+			 vomax : 5.775; 
+			 vomin : -0.275; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 1.375; 
+			 vih : 4.125; 
+			 vimax : 5.775; 
+			 vimin : -0.275; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ff_1p60v_x_5p50v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 1.189490e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "9.7367800";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "11.8949000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.019286;
+			capacitance : 0.018897;
+			fall_capacitance : 0.018507;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6841415, 3.3900071, 5.0958725, 6.7323434, 8.3033554, 9.9398263, 11.5762970");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.8728872, -0.2516666, -1.3762204, -2.2863589, -3.1600917, -4.0702301, -4.9803685");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.025099;
+			capacitance : 0.024872;
+			fall_capacitance : 0.024645;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1042824, 0.1070113, 0.3183050, 0.6017580, 0.8738729, 1.1573259, 1.4407789");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7003996, 0.9429764, 1.1855532, 1.4803523, 1.7633594, 2.0581585, 2.3529577");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.033835;
+			capacitance : 0.033239;
+			fall_capacitance : 0.032644;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.1962174, 1.3700782, 1.5439389, 1.8102377, 2.0658845, 2.3321832, 2.5984820");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.6898179, 1.6164474, 1.5430769, 1.7954757, 2.0377785, 2.2901774, 2.5425762");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017320;
+			capacitance : 0.017177;
+			fall_capacitance : 0.017035;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0132806, -0.0132663, -0.0132519, -0.0129688, -0.0126970, -0.0124139, -0.0121307");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0528811, 0.0524054, 0.0519296, 0.0517349, 0.0515481, 0.0513534, 0.0511587");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.028885;
+			capacitance : 0.028443;
+			fall_capacitance : 0.028002;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4005767, 0.9987502, 1.5969237, 2.4216014, 3.2132921, 4.0379698, 4.8626476");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.0998615, 5.2541834, 7.4085052, 8.3948677, 9.3417756, 10.3281380, 11.3145010");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.008897;
+			capacitance : 0.008905;
+			fall_capacitance : 0.008912;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1070895, 0.1071316, 0.1071738, 0.1069710, 0.1067764, 0.1065737, 0.1063709");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1077616, -0.1080114, -0.1082612, -0.1078396, -0.1074349, -0.1070133, -0.1065918");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 4.664620;
+			max_transition : 3.761350;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02788149, 0.07773772, 0.2167443, 0.6043154, 1.684921, 4.69781");
+ 					values("55.0730986, 54.8986476, 54.7853986, 54.7538126, 54.9957856, 55.9785686, 59.2069936", \
+ 					  "59.4265680, 59.3132970, 59.1670190, 59.1527110, 59.3147540, 60.4351710, 63.6272560", \
+ 					  "60.0373710, 59.9356540, 59.8354070, 59.7814230, 60.0640930, 61.0911250, 64.2692290", \
+ 					  "60.5147460, 60.3094870, 60.2034880, 60.1592600, 60.3850370, 61.4388820, 64.6332020", \
+ 					  "60.7175840, 60.6143190, 60.5387200, 60.4563210, 60.6262400, 61.7731630, 64.9334820", \
+ 					  "60.9567470, 60.8577150, 60.7391710, 60.6677970, 60.8992930, 61.9930770, 65.1442030", \
+ 					  "61.1022550, 60.9929610, 60.8796140, 60.8261150, 61.0508660, 62.1461630, 65.3222890");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02788149, 0.07773772, 0.2167443, 0.6043154, 1.684921, 4.69781");
+ 					values("70.3862827, 70.3653897, 70.1222297, 69.7619837, 70.0554157, 70.8504117, 73.8106827", \
+ 					  "67.8284510, 67.7446780, 67.4867450, 67.2963490, 67.3400160, 68.1703240, 71.1995530", \
+ 					  "68.1991480, 68.0979720, 67.8474850, 67.6559360, 67.7204130, 68.4921980, 71.1735600", \
+ 					  "68.5579160, 68.4267710, 68.2081410, 68.0078770, 68.0709790, 68.8230240, 71.8581510", \
+ 					  "68.8996850, 68.7478750, 68.5228820, 68.3128140, 68.3898980, 69.1942410, 72.3223770", \
+ 					  "69.3403250, 69.2658710, 69.0078900, 68.8092150, 68.9196890, 69.6371570, 72.4292080", \
+ 					  "69.6589450, 69.5321580, 69.3077890, 69.1068040, 69.1726950, 69.9360010, 72.7608250");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02788149, 0.07773772, 0.2167443, 0.6043154, 1.684921, 4.69781");
+ 					values("75.3672217, 75.2282567, 74.9324097, 74.8151707, 74.9010947, 75.7284887, 78.3529097", \
+ 					  "72.9347900, 72.5546910, 72.4242890, 72.3283660, 72.3124270, 73.2413500, 76.1293880", \
+ 					  "73.9971700, 74.0283180, 73.6590230, 73.4851490, 73.5242770, 74.3083510, 77.1008670", \
+ 					  "74.9764900, 74.9884530, 74.6094850, 74.4574190, 74.4927800, 75.0322100, 78.0443770", \
+ 					  "75.5093140, 75.6929030, 75.0862150, 75.4234940, 75.2744050, 76.1065930, 78.9612470", \
+ 					  "76.5485040, 76.4144510, 76.1506410, 76.0910920, 76.0970380, 76.7386290, 79.7337270", \
+ 					  "77.3133430, 77.1716440, 76.8651880, 76.7106730, 76.7836420, 77.6855870, 80.1666720");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02788149, 0.07773772, 0.2167443, 0.6043154, 1.684921, 4.69781");
+ 					values("69.9121526, 69.8132666, 69.6637326, 69.5905276, 69.8381216, 70.8345326, 74.2930786", \
+ 					  "79.7250670, 79.6371440, 79.5271970, 79.4734760, 79.7025850, 80.8449000, 84.1645300", \
+ 					  "84.5910490, 84.3931450, 84.2638250, 84.2367770, 84.4319340, 85.6181930, 88.9180470", \
+ 					  "87.7952220, 87.7412380, 87.5660790, 87.5893320, 87.7446930, 88.8813540, 92.1620420", \
+ 					  "90.3617390, 90.3055410, 90.1857270, 90.1524830, 90.3865390, 91.5122910, 94.7673400", \
+ 					  "92.3696330, 92.3114780, 92.2078660, 92.1310460, 92.3579890, 93.4985910, 96.7400120", \
+ 					  "94.0365290, 93.9571610, 93.7935910, 93.7593340, 93.9862560, 95.1466340, 98.3653020");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02784856, 0.07755421, 0.2159773, 0.6014656, 1.674995, 4.66462");
+ 					values("22.5237307, 22.3396557, 22.0892567, 21.8584867, 21.8400157, 22.5547957, 24.5093267", \
+ 					  "22.3426210, 22.2162130, 21.9852940, 21.7572830, 21.7282220, 22.2524860, 24.3120970", \
+ 					  "22.4766674, 22.2780134, 22.1157414, 21.8620614, 21.8731054, 22.4365264, 24.5007224", \
+ 					  "22.3481010, 22.2231570, 21.9790350, 21.7380160, 21.7195030, 22.2378520, 24.3771360", \
+ 					  "22.3828540, 22.2487340, 22.0280790, 21.7902730, 21.7666410, 22.2477120, 24.4149600", \
+ 					  "22.3875550, 22.2631130, 22.0189580, 21.7773470, 21.7591170, 22.2767380, 24.4530800", \
+ 					  "22.4036220, 22.2789580, 22.0339680, 21.7908190, 21.7740010, 22.2914500, 24.3785030");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02784856, 0.07755421, 0.2159773, 0.6014656, 1.674995, 4.66462");
+ 					values("52.2072855, 52.1179195, 52.0002015, 51.9449955, 52.1741595, 53.2876885, 56.4067835", \
+ 					  "52.4488440, 52.3409820, 52.2236040, 52.4271110, 52.2453680, 53.4822190, 56.5754700", \
+ 					  "52.2678473, 52.1688183, 52.0480233, 52.0472873, 52.0808613, 53.3669053, 56.5333653", \
+ 					  "52.3994420, 52.2988040, 52.1304740, 52.0570810, 52.8215840, 53.3707870, 56.4946490", \
+ 					  "52.3455580, 52.2492990, 52.1208110, 52.0771450, 52.2915500, 53.3974500, 56.5570470", \
+ 					  "52.2676830, 52.2852910, 52.1659070, 52.1765060, 52.2781750, 53.1664710, 56.4837410", \
+ 					  "52.3949740, 52.2874630, 52.1647760, 52.1212240, 52.3359690, 53.4055020, 56.5576820");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789731, 0.07782599, 0.2171136, 0.6056884, 1.689708, 4.71383");
+					values("70.7333397, 70.6094257, 70.4414207, 70.2019597, 70.2555907, 71.0537367, 73.6031837", \
+					  "76.9299970, 76.7077100, 76.5673150, 76.3862720, 76.4586940, 77.2836030, 80.0718660", \
+					  "91.0277270, 91.0500150, 90.4187700, 90.5355930, 90.3865590, 91.1565960, 93.9923900", \
+					  "103.9484700, 103.6952500, 103.5149000, 103.3668600, 103.5365000, 104.3742200, 107.1428200", \
+					  "117.1945700, 116.8086900, 116.7162600, 116.5997600, 116.4842400, 117.2164000, 120.1145800", \
+					  "129.6874000, 129.3744800, 129.3167100, 129.0970800, 129.1391300, 129.8263300, 132.7706600", \
+					  "142.0307600, 141.9016400, 141.6779300, 141.6905600, 141.5455900, 142.4458100, 145.1350800");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789731, 0.07782599, 0.2171136, 0.6056884, 1.689708, 4.71383");
+					values("57.5938220, 57.4128690, 57.2039040, 57.4278020, 57.4551620, 58.3874160, 61.7157400", \
+					  "80.6284480, 80.7254820, 80.6198510, 80.3398180, 80.0510700, 82.0061940, 84.8829960", \
+					  "90.5764560, 90.2318360, 90.1424910, 90.2159460, 90.7308600, 91.6966290, 94.6802080", \
+					  "100.2418600, 100.1152500, 100.3762400, 100.0308000, 100.2038800, 101.2098200, 104.5187800", \
+					  "110.1770300, 110.1550300, 109.9422600, 109.8349500, 110.1882200, 111.7018200, 114.1515700", \
+					  "119.8717400, 119.8100800, 120.1608000, 119.5892800, 119.7097800, 120.7911100, 124.0767100", \
+					  "129.4161600, 129.4454000, 129.6316000, 129.5415200, 129.2713000, 130.7123700, 133.7799700");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789731, 0.07782599, 0.2171136, 0.6056884, 1.689708, 4.71383");
+					values("70.7439007, 70.5115937, 70.2778437, 70.3792207, 70.1369007, 70.9344087, 73.8457667", \
+					  "76.7964650, 76.6818970, 76.6556330, 76.3477890, 76.4187460, 77.2173230, 80.0118510", \
+					  "90.5534400, 91.0205040, 90.3924440, 90.2467680, 90.3226520, 90.9457860, 93.9339720", \
+					  "104.1737600, 103.8182700, 103.7228500, 103.6409500, 103.4793600, 103.9405300, 107.0861000", \
+					  "116.8511000, 116.6500000, 116.3904300, 116.2305500, 116.3964800, 117.3137000, 119.7425500", \
+					  "129.6814000, 129.4338200, 129.2005300, 129.1882700, 129.1621100, 129.8231100, 132.8392800", \
+					  "142.0168600, 141.8900000, 141.6663600, 141.4677500, 141.5242800, 142.2883500, 145.1269100");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02789731, 0.07782599, 0.2171136, 0.6056884, 1.689708, 4.71383");
+					values("57.6008370, 57.4363780, 57.2767070, 57.2838680, 57.3467280, 58.5685630, 61.7473950", \
+					  "80.7989160, 80.7272170, 80.6539140, 80.2645800, 80.7129290, 81.5865430, 84.8427020", \
+					  "90.5335460, 90.6246470, 90.2948760, 90.3137700, 90.3257820, 91.5014240, 95.1483750", \
+					  "100.3668600, 100.2215500, 100.1191100, 99.8852460, 100.1464700, 101.6016200, 104.3738500", \
+					  "110.0403000, 110.0824800, 109.5845400, 109.8436700, 109.8966500, 111.2661200, 114.5709300", \
+					  "119.7487700, 119.6092100, 119.7826400, 119.4909900, 119.5662900, 120.8203100, 124.2499400", \
+					  "129.5705100, 129.6160100, 129.1106900, 129.4013600, 129.7268000, 130.6081800, 134.1265000");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("174.3685800, 174.3685837, 174.3869000, 174.5405000, 174.6930300, 175.1628100, 176.5499700", \
+ 					  "176.4621400, 176.4818500, 176.5241000, 176.6085900, 176.7988600, 177.2937700, 178.6882400", \
+ 					  "178.0405900, 178.0542600, 178.0958000, 178.1812100, 178.3717800, 178.8674700, 180.2590800", \
+ 					  "179.3998500, 179.4196900, 179.4609700, 179.5461100, 179.7365900, 180.2319200, 181.6219400", \
+ 					  "180.6826000, 180.7089800, 180.7448500, 180.8293300, 181.0208200, 181.5159900, 182.9064600", \
+ 					  "181.9669300, 181.9865600, 182.0279700, 182.1131000, 182.3038100, 182.7989300, 184.1961100", \
+ 					  "183.0526900, 183.0726300, 183.1140400, 183.1989800, 183.3895300, 183.8848200, 185.2819200");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("0.0944545, 0.1113145, 0.1450077, 0.2228972, 0.4549080, 1.1498293, 3.1535377", \
+ 					  "0.0943008, 0.1113766, 0.1449475, 0.2235815, 0.4556951, 1.1530108, 3.1753542", \
+ 					  "0.0934675, 0.1097845, 0.1458334, 0.2249024, 0.4564790, 1.1534911, 3.1622982", \
+ 					  "0.0943626, 0.1099314, 0.1454375, 0.2249287, 0.4548802, 1.1530953, 3.1694847", \
+ 					  "0.0933580, 0.1104317, 0.1451110, 0.2245907, 0.4542726, 1.1527377, 3.1749074", \
+ 					  "0.0942124, 0.1104995, 0.1447268, 0.2247492, 0.4551294, 1.1537427, 3.1594360", \
+ 					  "0.0942510, 0.1100313, 0.1451579, 0.2249378, 0.4550000, 1.1529271, 3.1665432");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("166.1899100, 166.1955500, 166.2381900, 166.3340800, 166.5999000, 167.2272000, 169.0909100", \
+ 					  "168.5837500, 168.6171500, 168.6360500, 168.7703700, 168.9806100, 169.6531200, 171.5030500", \
+ 					  "170.8127500, 170.8316000, 170.9292100, 170.9702100, 171.2648200, 171.8661200, 173.7327700", \
+ 					  "172.7640900, 172.7706800, 172.8144800, 172.9145700, 173.1386900, 173.8159100, 175.6504800", \
+ 					  "174.4649300, 174.4835000, 174.5302400, 174.6223400, 174.8623100, 175.5172700, 177.3863400", \
+ 					  "176.0630500, 176.0819000, 176.1240000, 176.2213600, 176.4602600, 177.1203800, 178.9762800", \
+ 					  "177.5015900, 177.5203800, 177.5630200, 177.6596000, 177.8981900, 178.5594500, 180.4123300");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("0.0793092, 0.0967247, 0.1366598, 0.2328620, 0.5122136, 1.3557711, 3.7301575", \
+ 					  "0.0805551, 0.0971251, 0.1346880, 0.2333427, 0.5147621, 1.3526495, 3.7389465", \
+ 					  "0.0793326, 0.0982931, 0.1352942, 0.2332754, 0.5152218, 1.3644936, 3.7518095", \
+ 					  "0.0804555, 0.0967894, 0.1348190, 0.2319535, 0.5151075, 1.3590997, 3.7377759", \
+ 					  "0.0796773, 0.0973277, 0.1351923, 0.2340806, 0.5158160, 1.3649737, 3.7925560", \
+ 					  "0.0801656, 0.0967418, 0.1368514, 0.2323313, 0.5151708, 1.3663634, 3.7355026", \
+ 					  "0.0793558, 0.0981423, 0.1345046, 0.2319389, 0.5154568, 1.3564848, 3.7309422");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("176.9075100, 176.9241000, 177.0200100, 177.0566200, 177.2701000, 177.8038800, 179.1329500", \
+ 					  "179.6928500, 179.7121200, 179.7543700, 179.7859900, 180.0298700, 180.4720600, 181.9208000", \
+ 					  "182.6241300, 182.6391400, 182.6852300, 182.7599200, 182.9606600, 183.4457600, 184.8534300", \
+ 					  "185.2658200, 185.3128600, 185.3548900, 185.3962900, 185.6303100, 186.0821600, 187.5172400", \
+ 					  "187.7198900, 187.7394700, 187.7811100, 187.8663500, 188.0577100, 188.5531000, 189.9437100", \
+ 					  "189.9767500, 189.9966700, 190.0383200, 190.1229600, 190.3136600, 190.8087900, 192.1996900", \
+ 					  "192.1038400, 192.1237200, 192.1655600, 192.2500100, 192.4414900, 192.9367000, 194.3247600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("0.0939281, 0.1111424, 0.1451752, 0.2242423, 0.4540821, 1.1506663, 3.1614626", \
+ 					  "0.0936893, 0.1104638, 0.1463445, 0.2242264, 0.4551043, 1.1525810, 3.1703733", \
+ 					  "0.0942649, 0.1113945, 0.1452288, 0.2247002, 0.4549737, 1.1531133, 3.1665393", \
+ 					  "0.0957013, 0.1113916, 0.1465437, 0.2246402, 0.4552147, 1.1532115, 3.1653489", \
+ 					  "0.0947348, 0.1113800, 0.1468225, 0.2242216, 0.4546311, 1.1526893, 3.1750094", \
+ 					  "0.0934823, 0.1096375, 0.1462956, 0.2248372, 0.4551261, 1.1532364, 3.1661362", \
+ 					  "0.0933643, 0.1097516, 0.1451131, 0.2245914, 0.4542761, 1.1518292, 3.1703904");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("165.5601900, 165.5952700, 165.6160900, 165.7447500, 165.9786800, 166.6422000, 168.4489200", \
+ 					  "166.8581600, 166.8776400, 166.8836900, 167.0159500, 167.2344200, 167.9143700, 169.7333400", \
+ 					  "168.5142100, 168.5142136, 168.5568900, 168.6219900, 168.8919900, 169.5216300, 171.4444800", \
+ 					  "169.8341800, 169.9037400, 169.9037552, 169.9990300, 170.2337000, 170.9421400, 172.7435100", \
+ 					  "170.9025100, 170.9213800, 170.9633500, 171.0599500, 171.3003600, 171.9585300, 173.8145800", \
+ 					  "171.7328400, 171.7535300, 171.7956200, 171.8784400, 172.1190000, 172.7903800, 174.6534700", \
+ 					  "172.4205700, 172.4398700, 172.4830000, 172.5796200, 172.8194100, 173.4808400, 175.3321500");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0278815, 0.0777377, 0.216744, 0.604315, 1.68492, 4.69781");
+ 					values("0.0792248, 0.0977281, 0.1366358, 0.2303596, 0.5128153, 1.3555006, 3.7296051", \
+ 					  "0.0823601, 0.0967231, 0.1358382, 0.2337958, 0.5147025, 1.3653604, 3.7263952", \
+ 					  "0.0802585, 0.0966838, 0.1359779, 0.2337349, 0.5146442, 1.3661166, 3.7369663", \
+ 					  "0.0805539, 0.0968423, 0.1359741, 0.2328472, 0.5144017, 1.3653674, 3.7371284", \
+ 					  "0.0802109, 0.0958406, 0.1354139, 0.2330765, 0.5145264, 1.3634390, 3.7318997", \
+ 					  "0.0794967, 0.0966550, 0.1352926, 0.2336851, 0.5151003, 1.3628135, 3.7548005", \
+ 					  "0.0801520, 0.0967838, 0.1345457, 0.2332703, 0.5142834, 1.3650129, 3.7590189");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278486, 0.0775542, 0.215977, 0.601466, 1.675, 4.66462");
+ 					values("172.5954200, 172.6207200, 172.6627900, 172.7471900, 172.9371500, 173.4147300, 174.8139900", \
+ 					  "172.5981900, 172.6179200, 172.6591800, 172.7439100, 172.9333500, 173.4256600, 174.8118900", \
+ 					  "172.6500500, 172.6699600, 172.7113800, 172.7958900, 172.9854900, 173.4776200, 174.8574600", \
+ 					  "172.6980700, 172.7175800, 172.7595800, 172.8440400, 173.0339700, 173.5259600, 174.9107700", \
+ 					  "172.7110800, 172.7308800, 172.7723700, 172.8568600, 173.0465700, 173.5386500, 174.9186000", \
+ 					  "172.7754200, 172.7949100, 172.8369500, 172.9213200, 173.1113200, 173.6034000, 174.9882600", \
+ 					  "172.7964300, 172.8159900, 172.8578800, 172.9423900, 173.1324800, 173.6244100, 175.0121500");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278486, 0.0775542, 0.215977, 0.601466, 1.675, 4.66462");
+ 					values("0.0934860, 0.1104328, 0.1462286, 0.2239487, 0.4533316, 1.1458818, 3.1482841", \
+ 					  "0.0941828, 0.1100554, 0.1449364, 0.2244885, 0.4532139, 1.1461747, 3.1442706", \
+ 					  "0.0934505, 0.1097440, 0.1458982, 0.2244402, 0.4532031, 1.1466053, 3.1439715", \
+ 					  "0.0936813, 0.1104344, 0.1462307, 0.2239483, 0.4533307, 1.1458987, 3.1482734", \
+ 					  "0.0934446, 0.1097529, 0.1459006, 0.2244417, 0.4532137, 1.1466000, 3.1439291", \
+ 					  "0.0936856, 0.1104383, 0.1462403, 0.2239489, 0.4533241, 1.1458737, 3.1491205", \
+ 					  "0.0936784, 0.1104351, 0.1462351, 0.2239494, 0.4533281, 1.1458844, 3.1442597");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278486, 0.0775542, 0.215977, 0.601466, 1.675, 4.66462");
+ 					values("164.0757000, 164.0938200, 164.1367400, 164.2327300, 164.4748000, 165.1288700, 166.9663700", \
+ 					  "164.0961600, 164.1143300, 164.1577300, 164.2536500, 164.4921600, 165.1468300, 166.9871900", \
+ 					  "164.1554900, 164.1757400, 164.2181900, 164.3077900, 164.5532300, 165.2015100, 167.0413400", \
+ 					  "164.1955800, 164.2140000, 164.2612500, 164.3534400, 164.5931500, 165.2470200, 167.0892700", \
+ 					  "164.2578800, 164.2762900, 164.3169600, 164.4069700, 164.6520900, 165.3004100, 167.1407000", \
+ 					  "164.3026200, 164.3201300, 164.3616500, 164.4585300, 164.7049700, 165.3519900, 167.1909500", \
+ 					  "164.3573000, 164.3739900, 164.4117600, 164.5135100, 164.7494900, 165.4034900, 167.2481600");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0278486, 0.0775542, 0.215977, 0.601466, 1.675, 4.66462");
+ 					values("0.0809652, 0.0966916, 0.1355125, 0.2332558, 0.5125060, 1.3570758, 3.7613503", \
+ 					  "0.0796733, 0.0982354, 0.1343447, 0.2323466, 0.5124669, 1.3443366, 3.7381711", \
+ 					  "0.0815789, 0.0967791, 0.1348471, 0.2332133, 0.5126056, 1.3565502, 3.7578909", \
+ 					  "0.0816000, 0.0970530, 0.1356133, 0.2331965, 0.5124862, 1.3571517, 3.7499204", \
+ 					  "0.0820723, 0.0968443, 0.1355670, 0.2323759, 0.5119493, 1.3546664, 3.7060388", \
+ 					  "0.0805503, 0.0966177, 0.1358440, 0.2331443, 0.5119604, 1.3567595, 3.7069692", \
+ 					  "0.0803576, 0.0967518, 0.1352883, 0.2331856, 0.5119606, 1.3536716, 3.7531382");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("173.5883200, 173.5883256, 173.6037800, 173.6779600, 173.9312000, 174.3177800, 175.7341600", \
+					  "174.0358000, 174.0657900, 174.1072300, 174.1925900, 174.3767500, 174.8795700, 176.2702500", \
+					  "174.2630400, 174.2807900, 174.3229600, 174.4077600, 174.5939800, 175.0688500, 176.4662200", \
+					  "174.3274300, 174.3610600, 174.3950700, 174.4745800, 174.6768100, 175.1531600, 176.5576600", \
+					  "174.3794900, 174.3993600, 174.4412100, 174.5259900, 174.7225600, 175.2187600, 176.6081100", \
+					  "174.4180800, 174.4457700, 174.4873300, 174.5729700, 174.7636000, 175.2640300, 176.6484700", \
+					  "174.4436300, 174.4634700, 174.5048800, 174.5899900, 174.7828400, 175.2796900, 176.6825600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("0.0933597, 0.1107486, 0.1451089, 0.2253305, 0.4557249, 1.1565826, 3.1717231", \
+					  "0.0940060, 0.1119998, 0.1453244, 0.2251217, 0.4561351, 1.1555177, 3.1769440", \
+					  "0.0947714, 0.1104893, 0.1464108, 0.2246028, 0.4553102, 1.1565794, 3.1768868", \
+					  "0.0937612, 0.1100167, 0.1463872, 0.2246031, 0.4560294, 1.1565923, 3.1710639", \
+					  "0.0933199, 0.1097896, 0.1451664, 0.2249194, 0.4558094, 1.1557547, 3.1819665", \
+					  "0.0934783, 0.1097389, 0.1461331, 0.2251399, 0.4558207, 1.1566302, 3.1777372", \
+					  "0.0943319, 0.1099771, 0.1454110, 0.2251707, 0.4558671, 1.1565033, 3.1778948");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("164.6826500, 164.6838300, 164.7580200, 164.8189900, 165.0782300, 165.7516700, 167.5760600", \
+					  "165.7725500, 165.7902800, 165.8332600, 165.9303600, 166.1707200, 166.8386000, 168.6906900", \
+					  "166.7921200, 166.8165500, 166.8533500, 166.9537500, 167.1938700, 167.8518900, 169.7171500", \
+					  "167.7817600, 167.7965300, 167.8423900, 167.9368900, 168.1763400, 168.8410500, 170.7000300", \
+					  "168.7493700, 168.7675400, 168.8100000, 168.9071900, 169.1476100, 169.8065400, 171.6666800", \
+					  "169.7181200, 169.7364200, 169.7794300, 169.8765900, 170.1165400, 170.7732100, 172.6315900", \
+					  "170.6503700, 170.6685100, 170.7110300, 170.8082900, 171.0485400, 171.7097700, 173.5679700");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("0.0799596, 0.0977064, 0.1349770, 0.2339981, 0.5147572, 1.3603234, 3.7299337", \
+					  "0.0805502, 0.0966668, 0.1360384, 0.2339274, 0.5161148, 1.3685517, 3.7467345", \
+					  "0.0796272, 0.0966755, 0.1353543, 0.2339891, 0.5156870, 1.3683239, 3.7926577", \
+					  "0.0805515, 0.0982607, 0.1360365, 0.2329309, 0.5158289, 1.3679915, 3.7462913", \
+					  "0.0805392, 0.0966205, 0.1360357, 0.2339610, 0.5160581, 1.3680305, 3.7497339", \
+					  "0.0809436, 0.0967524, 0.1357330, 0.2339887, 0.5153586, 1.3566445, 3.7878332", \
+					  "0.0805363, 0.0966158, 0.1360369, 0.2339625, 0.5160268, 1.3692460, 3.7497578");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("173.4972800, 173.5169500, 173.5618700, 173.6395800, 173.9518600, 174.3976800, 175.7915600", \
+					  "174.0578700, 174.0781500, 174.1190700, 174.2042000, 174.3949800, 174.8928600, 176.2939200", \
+					  "174.2731100, 174.2928100, 174.3349000, 174.4197400, 174.6111300, 175.1042600, 176.4774100", \
+					  "174.3298700, 174.3502800, 174.3916000, 174.4779600, 174.6688600, 175.1665800, 176.5708200", \
+					  "174.3956400, 174.4101000, 174.4574100, 174.5424100, 174.7338100, 175.2394100, 176.6205600", \
+					  "174.4408300, 174.4705000, 174.5181900, 174.6100500, 174.7912100, 175.2785400, 176.6947800", \
+					  "174.4557500, 174.4841600, 174.5169000, 174.6020800, 174.7930300, 175.2901500, 176.6928200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("0.0930962, 0.1097159, 0.1452171, 0.2247695, 0.4556021, 1.1548013, 3.1694964", \
+					  "0.0941898, 0.1100937, 0.1449873, 0.2251732, 0.4559796, 1.1564982, 3.1778387", \
+					  "0.0937547, 0.1104886, 0.1464100, 0.2246044, 0.4560254, 1.1555941, 3.1774692", \
+					  "0.0940083, 0.1103865, 0.1451169, 0.2253163, 0.4559115, 1.1564448, 3.1777845", \
+					  "0.0937614, 0.1105903, 0.1464096, 0.2246040, 0.4560266, 1.1560776, 3.1777374", \
+					  "0.0934567, 0.1099721, 0.1454181, 0.2251706, 0.4558824, 1.1566280, 3.1778968", \
+					  "0.0943284, 0.1099787, 0.1454086, 0.2251722, 0.4558808, 1.1564828, 3.1778975");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("164.6854700, 164.6914300, 164.7791000, 164.8432400, 165.0523000, 165.7590200, 167.6199200", \
+					  "165.7818000, 165.8006300, 165.8421500, 165.9501100, 166.1784100, 166.8421400, 168.7047700", \
+					  "166.8040700, 166.8313000, 166.8638300, 166.9659600, 167.2156500, 167.8713900, 169.7363500", \
+					  "167.8028700, 167.8215700, 167.9001800, 167.9580800, 168.1981600, 168.8596000, 170.7114600", \
+					  "168.7589700, 168.7770500, 168.8192600, 168.9164900, 169.1573500, 169.8181600, 171.6782500", \
+					  "169.7074100, 169.7252400, 169.7746200, 169.8701300, 170.1054800, 170.7666700, 172.6318700", \
+					  "170.6575900, 170.6733900, 170.7198600, 170.8162500, 171.0564000, 171.7177200, 173.5783100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0278973, 0.077826, 0.217114, 0.605688, 1.68971, 4.71383");
+					values("0.0799465, 0.0965174, 0.1349445, 0.2339299, 0.5159659, 1.3572702, 3.7423913", \
+					  "0.0805477, 0.0966774, 0.1360404, 0.2340056, 0.5155832, 1.3678948, 3.7929055", \
+					  "0.0805532, 0.0958191, 0.1360306, 0.2340058, 0.5158278, 1.3665491, 3.7680934", \
+					  "0.0809579, 0.0967428, 0.1357382, 0.2332105, 0.5153297, 1.3561321, 3.7431045", \
+					  "0.0805566, 0.0966826, 0.1350941, 0.2339079, 0.5161438, 1.3664199, 3.7425534", \
+					  "0.0805492, 0.0966610, 0.1354756, 0.2340409, 0.5161049, 1.3684759, 3.7904710", \
+					  "0.0793578, 0.0982928, 0.1354918, 0.2332630, 0.5151730, 1.3555266, 3.7430552");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 0.850236;
+			capacitance : 0.854172;
+			fall_capacitance : 0.858108;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-9.5581017, -7.0577694, -4.5574373, -3.7543516, -2.9833894, -2.1803038, -1.3772181");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("14.0415010, 14.7424620, 15.4434240, 16.1498350, 16.8279890, 17.5343990, 18.2408100");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.120580;
+			capacitance : 0.132244;
+			fall_capacitance : 0.143907;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1740940, 1.1028611, 2.3798162, 3.6548627, 4.8789072, 6.1539536, 7.4290001");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1742044, -1.1013418, -2.3768880, -3.6506008, -4.8733650, -6.1470777, -7.4207905");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.098191;
+			capacitance : 0.137527;
+			fall_capacitance : 0.176863;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.9520430, 0.3083069, 1.5686568, 2.8246467, 4.0303970, 5.2863869, 6.5423769");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.9518691, -0.3084894, -1.5688479, -2.8250043, -4.0309143, -5.2870706, -6.5432269");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.866084;
+			capacitance : 2.198842;
+			fall_capacitance : 2.531600;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0606004, -0.0606374, -0.0606745, -0.0603521, -0.0600426, -0.0597202, -0.0593978");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0606595, 0.0605584, 0.0604574, 0.0601694, 0.0598929, 0.0596049, 0.0593168");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050205;
+			capacitance : 0.050205;
+			fall_capacitance : 0.050205;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0310983, -0.0306604, -0.0302225, -0.0301143, -0.0300105, -0.0299024, -0.0297942");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0311099, 0.0307515, 0.0303931, 0.0302857, 0.0301827, 0.0300753, 0.0299679");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045954;
+			capacitance : 0.045954;
+			fall_capacitance : 0.045954;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0509028, -0.0506098, -0.0503169, -0.0499884, -0.0496731, -0.0493447, -0.0490163");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0506454, 0.0505165, 0.0503876, 0.0500869, 0.0497983, 0.0494977, 0.0491970");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ff_1p60v_x_5p50v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v40_1v65.lib
new file mode 100644
index 0000000..138d88b
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v40_1v65.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ss_100C_1v40_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ss_1p40v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.839960e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "28.3996000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "19.4790000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.017143;
+			capacitance : 0.016826;
+			fall_capacitance : 0.016508;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.2148495, 0.7385545, 1.2622594, 1.6288851, 1.9999280, 2.3665537, 2.7331794");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1659279, -0.7518048, -1.3376817, -1.7055104, -2.0777707, -2.4455994, -2.8134281");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.023344;
+			capacitance : 0.023278;
+			fall_capacitance : 0.023212;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0097146, -0.0095791, -0.0094435, -0.0095697, -0.0096974, -0.0098236, -0.0099498");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0545538, 0.0579752, 0.0613967, 0.0613315, 0.0612656, 0.0612004, 0.0611352");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.030222;
+			capacitance : 0.029805;
+			fall_capacitance : 0.029388;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0202749, 0.0361697, 0.0926142, 0.0906405, 0.0886430, 0.0866692, 0.0846955");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0719552, 0.0866620, 0.1013687, 0.1005829, 0.0997876, 0.0990017, 0.0982158");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017404;
+			capacitance : 0.017374;
+			fall_capacitance : 0.017344;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0293393, 0.0351532, 0.0409670, 0.0411116, 0.0412579, 0.0414024, 0.0415470");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0231546, 0.0228958, 0.0226370, 0.0225419, 0.0224457, 0.0223506, 0.0222555");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.027213;
+			capacitance : 0.026981;
+			fall_capacitance : 0.026749;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0133066, 0.0186857, 0.0506780, 0.0506069, 0.0505350, 0.0504640, 0.0503930");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0657122, 0.3446830, 0.6236538, 0.7310713, 0.8397830, 0.9472006, 1.0546181");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010098;
+			capacitance : 0.010105;
+			fall_capacitance : 0.010112;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0112908, -0.0113352, -0.0113796, -0.0113661, -0.0113524, -0.0113389, -0.0113253");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0112897, 0.0113200, 0.0113503, 0.0113409, 0.0113314, 0.0113220, 0.0113126");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.655184;
+			max_transition : 3.748662;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("2.6433416, 2.6464320, 2.6712940, 2.6561989, 2.6610717, 2.6815432, 2.7010719", \
+ 					  "2.2406520, 2.2438395, 2.2489097, 2.2542331, 2.2639181, 2.2786971, 2.2975813", \
+ 					  "3.9637863, 3.9651993, 3.9703666, 3.9787132, 3.9881280, 4.0002658, 4.0210010", \
+ 					  "3.9569363, 3.9600567, 3.9651949, 3.9709711, 3.9932116, 3.9947655, 4.0268982", \
+ 					  "3.9565577, 3.9590475, 3.9641380, 3.9713389, 3.9851321, 3.9940603, 4.0145300", \
+ 					  "3.9560451, 3.9585492, 3.9634407, 3.9701042, 3.9798785, 3.9937641, 4.0141840", \
+ 					  "3.9591195, 3.9620958, 3.9669938, 3.9744063, 3.9832486, 3.9972292, 4.0176048");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("3.4200531, 3.4258206, 3.4283613, 3.4220649, 3.4371505, 3.4847058, 3.4905188", \
+ 					  "2.8448370, 2.8422590, 2.8498423, 2.8576249, 2.8717473, 2.8860417, 2.9098161", \
+ 					  "2.2867055, 2.2874291, 2.2910565, 2.2956561, 2.3113662, 2.3285724, 2.3548819", \
+ 					  "2.3392466, 2.3402790, 2.3443164, 2.3540878, 2.3665104, 2.3836486, 2.4075494", \
+ 					  "2.3861720, 2.3868795, 2.3908432, 2.3984569, 2.4128067, 2.4295995, 2.4518788", \
+ 					  "2.4308034, 2.4326231, 2.4371924, 2.4461247, 2.4590781, 2.4727379, 2.5023215", \
+ 					  "2.4683736, 2.4704019, 2.4734273, 2.4822908, 2.4950066, 2.5120208, 2.5351941");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("1.5493491, 1.5497045, 1.5566152, 1.5447527, 1.5738488, 1.5785709, 1.5941331", \
+ 					  "1.1134601, 1.1154839, 1.1197817, 1.1258911, 1.1404689, 1.1532516, 1.1596872", \
+ 					  "2.8342873, 2.8365433, 2.8411247, 2.8468532, 2.8542676, 2.8662285, 2.8805597", \
+ 					  "2.8406409, 2.8527883, 2.8570130, 2.8537340, 2.8617124, 2.8726398, 2.8968219", \
+ 					  "2.8588887, 2.8588770, 2.8631745, 2.8696583, 2.8776150, 2.8884100, 2.9029071", \
+ 					  "2.8700787, 2.8718193, 2.8764140, 2.8831401, 2.8912610, 2.9016954, 2.9163226", \
+ 					  "2.8855742, 2.8883471, 2.8926115, 2.8990089, 2.9070936, 2.9179651, 2.9326565");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("3.5586930, 3.5699137, 3.5718509, 3.5810027, 3.5869374, 3.6301604, 3.6291826", \
+ 					  "2.9755272, 2.9770485, 2.9802950, 2.9874549, 3.0016376, 3.0188246, 3.0452383", \
+ 					  "2.4142292, 2.4160914, 2.4196858, 2.4278812, 2.4403702, 2.4572761, 2.4794585", \
+ 					  "2.4661213, 2.4677612, 2.4708553, 2.4810462, 2.4929874, 2.5102559, 2.5336521", \
+ 					  "2.5135113, 2.5135506, 2.5195130, 2.5258944, 2.5397668, 2.5568785, 2.5811230", \
+ 					  "2.5550987, 2.5561918, 2.5612327, 2.5697179, 2.5819397, 2.6009913, 2.6242539", \
+ 					  "2.5905352, 2.5922838, 2.5958712, 2.6036944, 2.6167287, 2.6336886, 2.6582278");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("-0.8384309, -0.8374036, -0.8351867, -0.8319337, -0.8258181, -0.8262246, -0.8329858", \
+ 					  "-0.8488493, -0.8482745, -0.8459485, -0.8421357, -0.8618623, -0.8362364, -0.8442591", \
+ 					  "-0.8444449, -0.8487129, -0.8471271, -0.8435753, -0.8374940, -0.8367036, -0.8435679", \
+ 					  "-0.8365817, -0.8416219, -0.8722365, -0.8446473, -0.8657711, -0.8377758, -0.8529507", \
+ 					  "-0.8382889, -0.8368159, -0.8347495, -0.8315207, -0.8440688, -0.8208825, -0.8409550", \
+ 					  "-0.8459426, -0.8644300, -0.8678559, -0.8364305, -0.8619207, -0.8392616, -0.8531895", \
+ 					  "-0.8398291, -0.8494986, -0.8473805, -0.8437296, -0.8386110, -0.8370431, -0.8446694");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("0.9327105, 0.9353626, 0.9329036, 0.9591669, 0.9651808, 0.9633318, 0.9792941", \
+ 					  "0.9462399, 0.9470373, 0.9452515, 0.9663916, 0.9745893, 0.9742675, 0.9911161", \
+ 					  "0.9651454, 0.9552214, 0.9496888, 0.9695516, 0.9568218, 0.9837306, 0.9859317", \
+ 					  "0.9445384, 0.9466148, 0.9576834, 0.9693097, 0.9761853, 0.9750709, 0.9846411", \
+ 					  "0.9319783, 0.9370910, 0.9418737, 0.9540568, 0.9501386, 0.9625099, 0.9805192", \
+ 					  "0.9536771, 0.9324062, 0.9419850, 0.9711261, 0.9558288, 0.9743966, 0.9902765", \
+ 					  "0.9553431, 0.9491027, 0.9492368, 0.9490518, 0.9666067, 0.9733852, 0.9837682");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+					values("1.5899478, 1.5907978, 1.6088882, 1.6011880, 1.6094251, 1.6187156, 1.6313082", \
+					  "1.1819208, 1.1759770, 1.1801302, 1.1858001, 1.2020998, 1.2027846, 1.2154246", \
+					  "2.7709475, 2.7720944, 2.7765316, 2.7825548, 2.7909808, 2.7992409, 2.8117823", \
+					  "2.7712203, 2.7741774, 2.7781759, 2.7840746, 2.7917696, 2.8009485, 2.8134290", \
+					  "2.7780137, 2.7803226, 2.7845391, 2.7912150, 2.7979951, 2.8077141, 2.8199281", \
+					  "2.7832318, 2.7855058, 2.7900392, 2.7960741, 2.8034465, 2.8132319, 2.8253459", \
+					  "2.7884283, 2.7908439, 2.7952684, 2.8014329, 2.8085327, 2.8185627, 2.8305292");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+					values("3.4543296, 3.4693837, 3.4737867, 3.4808212, 3.4942594, 3.5041732, 3.5292417", \
+					  "2.8045787, 2.8057707, 2.8103525, 2.8191662, 2.8336195, 2.8482448, 2.8669099", \
+					  "2.3175485, 2.3185514, 2.3220295, 2.3299095, 2.3443619, 2.3613058, 2.3815912", \
+					  "2.3361139, 2.3388190, 2.3416596, 2.3496196, 2.3631500, 2.3800014, 2.4039837", \
+					  "2.3541835, 2.3561110, 2.3597008, 2.3683471, 2.3805565, 2.3916726, 2.4166160", \
+					  "2.3752068, 2.3759725, 2.3810181, 2.3881771, 2.4043764, 2.4189203, 2.4473739", \
+					  "2.4009672, 2.4012205, 2.4064199, 2.4136613, 2.4278429, 2.4450583, 2.4700077");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+					values("1.5645298, 1.5613778, 1.5654310, 1.5667654, 1.5783616, 1.5868667, 1.5989543", \
+					  "1.1427460, 1.1452260, 1.1498176, 1.1645706, 1.1628489, 1.1721949, 1.1841966", \
+					  "2.7348605, 2.7372375, 2.7416108, 2.7514228, 2.7546012, 2.7641481, 2.7764787", \
+					  "2.7398301, 2.7421370, 2.7457628, 2.7521947, 2.7599806, 2.7695075, 2.7816915", \
+					  "2.7442811, 2.7469721, 2.7515798, 2.7573302, 2.7646915, 2.7738554, 2.7859986", \
+					  "2.7494107, 2.7521182, 2.7560330, 2.7620406, 2.7697642, 2.7788648, 2.7912108", \
+					  "2.7541409, 2.7547795, 2.7595072, 2.7670659, 2.7742823, 2.7835698, 2.7941130");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02007831, 0.04031387, 0.08094344, 0.1625208, 0.3263143, 0.655184");
+					values("3.4559433, 3.4567823, 3.4594952, 3.4629813, 3.4869962, 3.4933207, 3.5206880", \
+					  "2.7923385, 2.7959238, 2.7975609, 2.8055140, 2.8192989, 2.8373435, 2.8553207", \
+					  "2.3055876, 2.3074617, 2.3110262, 2.3193702, 2.3320944, 2.3509818, 2.3737334", \
+					  "2.3233878, 2.3264674, 2.3308417, 2.3405748, 2.3491478, 2.3687933, 2.3895473", \
+					  "2.3408105, 2.3435863, 2.3471340, 2.3545460, 2.3694148, 2.3859053, 2.4109458", \
+					  "2.3624492, 2.3648440, 2.3688519, 2.3773459, 2.3920538, 2.4061445, 2.4249149", \
+					  "2.3869021, 2.3899838, 2.3934309, 2.4017459, 2.4136240, 2.4334827, 2.4491607");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("240.0958000, 240.1666600, 240.3337200, 240.6237100, 241.1182700, 241.8846900, 243.0888100", \
+ 					  "242.2674500, 242.3652500, 242.5721300, 242.8181500, 243.2600200, 243.9131200, 245.1946600", \
+ 					  "243.8768500, 243.9823000, 244.1301800, 244.3862300, 244.8695400, 245.5494400, 246.8044600", \
+ 					  "245.1760400, 245.2775300, 245.4534400, 245.7320000, 246.1671000, 246.8732400, 248.1017100", \
+ 					  "246.2982700, 246.4009300, 246.5772900, 246.8456700, 247.2897900, 247.9941900, 249.2037400", \
+ 					  "247.3925300, 247.4759800, 247.6515100, 247.9572500, 248.3661800, 249.0870100, 250.3200000", \
+ 					  "248.4018800, 248.5109000, 248.6839900, 248.9636900, 249.3976000, 250.1038800, 251.3324400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5902262, 0.6404332, 0.7632175, 0.9776344, 1.3487663, 2.0525606, 3.5293646", \
+ 					  "0.5772832, 0.6364190, 0.7581515, 0.9705546, 1.3421680, 2.0593447, 3.5297059", \
+ 					  "0.5775380, 0.6452494, 0.7591315, 0.9669083, 1.3381918, 2.0515629, 3.5272452", \
+ 					  "0.5829954, 0.6368568, 0.7620204, 0.9775820, 1.3487557, 2.0615250, 3.5293239", \
+ 					  "0.5834402, 0.6411741, 0.7732344, 0.9712694, 1.3460745, 2.0596653, 3.5375856", \
+ 					  "0.5771121, 0.6412132, 0.7732912, 0.9730141, 1.3460966, 2.0509738, 3.5328358", \
+ 					  "0.5781630, 0.6484317, 0.7598981, 0.9761134, 1.3482859, 2.0631861, 3.5258931");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("264.4842700, 264.5779500, 264.7623500, 264.9880200, 265.4634000, 266.1714700, 267.4566100", \
+ 					  "264.2212000, 264.3264700, 264.5054200, 264.7455500, 265.1699200, 265.8984200, 267.2120200", \
+ 					  "266.9098600, 267.0192300, 267.1823200, 267.4335600, 267.8620800, 268.5910700, 269.9025900", \
+ 					  "269.9222000, 270.0242000, 270.2035700, 270.4395600, 270.8623000, 271.5963500, 272.9258900", \
+ 					  "273.0171800, 273.1189600, 273.2826100, 273.5450800, 273.9512000, 274.6913600, 276.0094700", \
+ 					  "276.1772500, 276.2760100, 276.4409100, 276.6988400, 277.1285500, 277.8472200, 279.1657900", \
+ 					  "279.3315700, 279.4318400, 279.5952400, 279.8581700, 280.2812800, 281.0045300, 282.3225300");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5181071, 0.5821585, 0.7031178, 0.9182630, 1.3136452, 2.1012918, 3.7411381", \
+ 					  "0.5150938, 0.5806652, 0.7010819, 0.9202255, 1.3136482, 2.0995718, 3.7361843", \
+ 					  "0.5206931, 0.5843098, 0.7006715, 0.9195319, 1.3113942, 2.0987989, 3.7368850", \
+ 					  "0.5135273, 0.5802086, 0.7014379, 0.9184094, 1.3129756, 2.1000097, 3.7392969", \
+ 					  "0.5143893, 0.5805531, 0.7029302, 0.9161160, 1.3118322, 2.0997295, 3.7476471", \
+ 					  "0.5154874, 0.5795461, 0.7002207, 0.9180504, 1.3106650, 2.0994810, 3.7471777", \
+ 					  "0.5138250, 0.5804975, 0.7025579, 0.9160543, 1.3113303, 2.0998243, 3.7479011");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("245.1636500, 245.2800900, 245.4391400, 245.5873900, 246.0188000, 246.8510400, 247.9195900", \
+ 					  "247.0673300, 247.1721800, 247.3408900, 247.6192000, 248.0825900, 248.7881500, 250.0150900", \
+ 					  "248.6151500, 248.7246900, 248.8981200, 249.1782700, 249.6121600, 250.3174100, 251.5464500", \
+ 					  "249.9091400, 250.0136400, 250.1866400, 250.4733900, 250.9059100, 251.6134100, 252.8453500", \
+ 					  "251.0777200, 251.1538600, 251.3609700, 251.5962200, 252.0523600, 252.7472100, 253.9729600", \
+ 					  "252.0988600, 252.1980800, 252.3752600, 252.6883200, 253.0923100, 253.7973400, 255.0227700", \
+ 					  "253.0257200, 253.1332700, 253.2787800, 253.5783200, 253.9931100, 254.6985300, 255.9269500");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5783614, 0.6437500, 0.7633014, 0.9690608, 1.3487907, 2.0608917, 3.5290040", \
+ 					  "0.5854652, 0.6533868, 0.7592007, 0.9665518, 1.3487825, 2.0615536, 3.5228762", \
+ 					  "0.5783712, 0.6474300, 0.7602038, 0.9764814, 1.3484609, 2.0631976, 3.5259899", \
+ 					  "0.5736920, 0.6403071, 0.7603353, 0.9735753, 1.3472670, 2.0542978, 3.5361769", \
+ 					  "0.5833458, 0.6420438, 0.7619235, 0.9741751, 1.3382301, 2.0548838, 3.5300438", \
+ 					  "0.5857422, 0.6500527, 0.7622735, 0.9734706, 1.3509482, 2.0532307, 3.5362995", \
+ 					  "0.5771224, 0.6563972, 0.7623788, 0.9708575, 1.3488469, 2.0617781, 3.5267234");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("245.2027000, 245.2027054, 245.4217500, 245.5939500, 246.1111100, 246.7644200, 248.1498000", \
+ 					  "246.7529000, 246.8371200, 247.0033300, 247.2608000, 247.6873800, 248.4113100, 249.7454000", \
+ 					  "249.9758400, 250.0698000, 250.2328300, 250.4949100, 250.9173100, 251.6453300, 252.9562600", \
+ 					  "253.0414100, 253.1493500, 253.3064100, 253.5653000, 253.9848900, 254.7104700, 256.0482200", \
+ 					  "255.9609700, 256.0436200, 256.2074100, 256.4715700, 256.8938600, 257.6191800, 258.9403300", \
+ 					  "258.7835300, 258.8813900, 259.0436700, 259.3152100, 259.7381900, 260.4636200, 261.7860600", \
+ 					  "261.5008200, 261.5900000, 261.7565300, 262.0232100, 262.4435900, 263.1689400, 264.4864900");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5179578, 0.5789811, 0.7090431, 0.9168474, 1.3126121, 2.1013717, 3.7505767", \
+ 					  "0.5146916, 0.5831477, 0.7102736, 0.9184989, 1.3115624, 2.0991706, 3.7502184", \
+ 					  "0.5201499, 0.5847830, 0.7003836, 0.9182912, 1.3115641, 2.0985547, 3.7404049", \
+ 					  "0.5160555, 0.5811233, 0.7099447, 0.9128567, 1.3129855, 2.1014606, 3.7506327", \
+ 					  "0.5146365, 0.5865289, 0.7021372, 0.9162252, 1.3110164, 2.0996180, 3.7476329", \
+ 					  "0.5147191, 0.5930093, 0.7067286, 0.9192566, 1.3139929, 2.0975361, 3.7330303", \
+ 					  "0.5133818, 0.5806252, 0.6972310, 0.9123646, 1.3129147, 2.1017248, 3.7528358");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("229.4452200, 229.5484100, 229.6738200, 229.9946800, 230.4408000, 231.1453100, 232.3691200", \
+ 					  "229.5091500, 229.6112200, 229.7872800, 230.0576200, 230.4925500, 231.2042100, 232.4319900", \
+ 					  "229.6766200, 229.7821700, 229.9501900, 230.2275700, 230.6711300, 231.3739200, 232.6005600", \
+ 					  "229.8864500, 229.9826900, 230.1505600, 230.4281100, 230.8756100, 231.5780100, 232.8050800", \
+ 					  "230.0361100, 230.1435600, 230.3114900, 230.5888500, 231.0324200, 231.7351000, 232.9616300", \
+ 					  "230.2378200, 230.2938200, 230.4664300, 230.7445600, 231.1888900, 231.8905700, 233.1183300", \
+ 					  "230.3893300, 230.5297100, 230.6974500, 230.9752700, 231.4196800, 232.1214500, 233.3488900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5847806, 0.6503689, 0.7614427, 0.9617077, 1.3450956, 2.0553381, 3.5331480", \
+ 					  "0.5731659, 0.6410832, 0.7680385, 0.9712694, 1.3421909, 2.0588080, 3.5298344", \
+ 					  "0.5865317, 0.6497460, 0.7611672, 0.9686980, 1.3453053, 2.0555906, 3.5312340", \
+ 					  "0.5733863, 0.6497524, 0.7610437, 0.9689138, 1.3452052, 2.0545659, 3.5321919", \
+ 					  "0.5866345, 0.6497335, 0.7612150, 0.9685676, 1.3452895, 2.0557707, 3.5311096", \
+ 					  "0.5838738, 0.6466399, 0.7615891, 0.9618469, 1.3458554, 2.0525968, 3.5334833", \
+ 					  "0.5833605, 0.6508382, 0.7614898, 0.9617967, 1.3452502, 2.0527577, 3.5333991");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("234.8467500, 234.9338500, 235.0891000, 235.3816800, 235.7842300, 236.5247600, 237.8250600", \
+ 					  "235.1007400, 235.2008400, 235.3584000, 235.6250700, 236.0646100, 236.7756900, 238.0938500", \
+ 					  "235.3291000, 235.4292000, 235.5954400, 235.8503800, 236.2776600, 237.0014000, 238.3311300", \
+ 					  "235.5464500, 235.6446600, 235.8060000, 236.0875600, 236.5145700, 237.2219000, 238.5423800", \
+ 					  "235.7476800, 235.8512600, 236.0054900, 236.2764600, 236.7028200, 237.4218000, 238.7452000", \
+ 					  "235.9227700, 236.0223700, 236.1909800, 236.4486800, 236.8761900, 237.6089200, 238.9281200", \
+ 					  "236.1256000, 236.2253500, 236.3891100, 236.6523400, 237.0711600, 237.8032900, 239.1203700");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5144800, 0.5823047, 0.7027712, 0.9137971, 1.3095789, 2.0962301, 3.7475414", \
+ 					  "0.5140645, 0.5778019, 0.7039324, 0.9131835, 1.3098438, 2.0951800, 3.7436481", \
+ 					  "0.5156663, 0.5816539, 0.7017128, 0.9139067, 1.3114146, 2.0985143, 3.7412944", \
+ 					  "0.5141005, 0.5858271, 0.7091899, 0.9136319, 1.3094889, 2.0964774, 3.7485237", \
+ 					  "0.5118574, 0.5781171, 0.7058009, 0.9130455, 1.3108694, 2.1015090, 3.7432981", \
+ 					  "0.5199984, 0.5869658, 0.7038279, 0.9141402, 1.3089237, 2.0958049, 3.7452708", \
+ 					  "0.5127221, 0.5763909, 0.7039767, 0.9203496, 1.3135363, 2.1016131, 3.7481032");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("240.9367400, 240.9367447, 241.1110800, 241.4158800, 241.7756900, 242.4762900, 243.7138800", \
+					  "243.0057600, 243.1114600, 243.2860500, 243.5620600, 243.9973100, 244.7021600, 245.9286500", \
+					  "244.6339000, 244.7166600, 244.9587000, 245.1927800, 245.6532400, 246.3319200, 247.5576700", \
+					  "245.9947600, 246.1332000, 246.2730300, 246.5499700, 246.9865900, 247.6893700, 248.9181600", \
+					  "247.2281400, 247.3347800, 247.4894200, 247.7723600, 248.2097700, 248.9100300, 250.1475400", \
+					  "248.3838600, 248.4627000, 248.6371200, 248.8700700, 249.3447700, 250.0160000, 251.2752800", \
+					  "249.4236900, 249.5928900, 249.7083900, 249.9805900, 250.4236500, 251.1247000, 252.3728700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("0.5774151, 0.6507401, 0.7595408, 0.9635218, 1.3431827, 2.0516098, 3.5292154", \
+					  "0.5751387, 0.6402317, 0.7705454, 0.9755099, 1.3434741, 2.0517741, 3.5254484", \
+					  "0.5700742, 0.6395091, 0.7599202, 0.9637132, 1.3408843, 2.0468454, 3.5311625", \
+					  "0.5849369, 0.6511624, 0.7579709, 0.9614294, 1.3474236, 2.0596247, 3.5315593", \
+					  "0.5792428, 0.6442072, 0.7614601, 0.9667099, 1.3397108, 2.0514992, 3.5302805", \
+					  "0.5767359, 0.6495147, 0.7612151, 0.9694396, 1.3444906, 2.0571873, 3.5307146", \
+					  "0.5740703, 0.6467145, 0.7698962, 0.9664093, 1.3442741, 2.0568236, 3.5281658");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("243.2891500, 243.3706100, 243.6225700, 243.7919300, 244.2831700, 245.0395900, 246.3698900", \
+					  "245.3365900, 245.4523300, 245.6168600, 245.8697000, 246.2865600, 247.0304700, 248.3500300", \
+					  "247.2927700, 247.4034800, 247.5670700, 247.8377300, 248.2421700, 248.9840100, 250.3018900", \
+					  "248.8039900, 248.8969200, 249.0623700, 249.3289100, 249.7544400, 250.4786500, 251.7963900", \
+					  "250.0893000, 250.1823000, 250.3428200, 250.6229500, 251.0347800, 251.7670600, 253.0800600", \
+					  "251.2123000, 251.3008300, 251.4682900, 251.7376200, 252.1611600, 252.8842600, 254.2022900", \
+					  "252.2523600, 252.3373200, 252.5107400, 252.7800700, 253.1940900, 253.9274000, 255.2442900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("0.5147343, 0.5784573, 0.7038270, 0.9177478, 1.3124557, 2.0983044, 3.7442018", \
+					  "0.5143484, 0.5808809, 0.7008751, 0.9138240, 1.3102830, 2.1033197, 3.7350123", \
+					  "0.5178075, 0.5878368, 0.7028223, 0.9142990, 1.3137723, 2.0977828, 3.7456380", \
+					  "0.5199396, 0.5870903, 0.7036289, 0.9115674, 1.3088810, 2.0970046, 3.7453796", \
+					  "0.5130093, 0.5767482, 0.7041913, 0.9132299, 1.3106520, 2.0990958, 3.7422785", \
+					  "0.5125830, 0.5870721, 0.7095455, 0.9198972, 1.3137953, 2.1005904, 3.7452228", \
+					  "0.5150352, 0.5788293, 0.7036664, 0.9141668, 1.3084074, 2.0965936, 3.7455430");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("240.6482300, 240.8704900, 240.9783800, 241.3500200, 241.6071500, 242.4957900, 243.7201400", \
+					  "242.9197000, 242.9928300, 243.1788500, 243.4560100, 243.8926000, 244.5908600, 245.8261900", \
+					  "244.5211200, 244.6426800, 244.8198300, 245.0937000, 245.5623200, 246.2397500, 247.4048100", \
+					  "245.8622500, 245.9796600, 246.1538300, 246.4319400, 246.8757400, 247.5713900, 248.7973100", \
+					  "247.0554300, 247.1694200, 247.3371400, 247.6143900, 248.0578000, 248.7605900, 249.9859900", \
+					  "248.1885700, 248.3273200, 248.5098800, 248.7888700, 249.2176900, 249.9207300, 251.1493200", \
+					  "249.2941500, 249.4141100, 249.5870000, 249.8636000, 250.2991100, 251.0036300, 252.2328900");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("0.5781453, 0.6431244, 0.7551881, 0.9657954, 1.3436869, 2.0515638, 3.5306849", \
+					  "0.5790958, 0.6467664, 0.7580294, 0.9617291, 1.3475875, 2.0596462, 3.5297512", \
+					  "0.5755804, 0.6467402, 0.7595539, 0.9631700, 1.3398107, 2.0506532, 3.5318921", \
+					  "0.5869907, 0.6473088, 0.7691503, 0.9743473, 1.3404847, 2.0535678, 3.5169807", \
+					  "0.5776672, 0.6498982, 0.7614417, 0.9682390, 1.3449591, 2.0549766, 3.5285392", \
+					  "0.5743076, 0.6405307, 0.7600386, 0.9660921, 1.3436654, 2.0593585, 3.5249665", \
+					  "0.5745512, 0.6406839, 0.7691588, 0.9696958, 1.3385171, 2.0520226, 3.5339749");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("243.0561800, 243.2591400, 243.3691300, 243.7161000, 244.0891100, 244.8285300, 246.1433700", \
+					  "245.2317700, 245.3237800, 245.4818300, 245.7374300, 246.1707400, 246.8948700, 248.2136900", \
+					  "247.1289200, 247.2160100, 247.3800300, 247.6233200, 248.0817300, 248.8049200, 250.1187700", \
+					  "248.6003400, 248.6897300, 248.8555400, 249.1250400, 249.5358400, 250.2594900, 251.5853600", \
+					  "249.8235300, 249.9173500, 250.0821400, 250.3451000, 250.7677800, 251.4926200, 252.8109900", \
+					  "250.8972200, 250.9919500, 251.1588700, 251.4243200, 251.8527500, 252.5777100, 253.8901400", \
+					  "251.8837300, 251.9833800, 252.1661600, 252.4097600, 252.8371100, 253.5628300, 254.8870300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200783, 0.0403139, 0.0809434, 0.162521, 0.326314, 0.655184");
+					values("0.5240497, 0.5842150, 0.7014548, 0.9190810, 1.3123947, 2.0959205, 3.7451642", \
+					  "0.5129990, 0.5833616, 0.7016118, 0.9121136, 1.3142895, 2.1048483, 3.7466732", \
+					  "0.5169890, 0.5785589, 0.7016697, 0.9171792, 1.3115540, 2.0937085, 3.7472856", \
+					  "0.5154022, 0.5827113, 0.7021422, 0.9135442, 1.3126504, 2.0941231, 3.7417083", \
+					  "0.5137096, 0.5768855, 0.7002074, 0.9196604, 1.3123559, 2.0914164, 3.7453836", \
+					  "0.5198491, 0.5870734, 0.7036228, 0.9140819, 1.3088866, 2.0970121, 3.7453542", \
+					  "0.5129322, 0.5784188, 0.6996796, 0.9195996, 1.3137823, 2.1003652, 3.7486618");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 1.022879;
+			capacitance : 1.024176;
+			rise_capacitance : 1.025473;
+			max_transition : 25.0;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.2269816, 1.3534027, 1.4798239, 1.5172596, 1.5551463, 1.5925820, 1.6300177");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.1817050, -1.0934147, -1.0051245, -0.8382901, -0.6694457, -0.5026113, -0.3357769");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.340279;
+			capacitance : 0.325769;
+			fall_capacitance : 0.311258;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0121878, 0.2759997, 0.5641871, 0.8524422, 1.1441701, 1.4324251, 1.7206801");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0166842, -0.2599144, -0.5365131, -0.8116300, -1.0900616, -1.3651785, -1.6402954");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.377541;
+			capacitance : 0.382088;
+			fall_capacitance : 0.386635;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0861957, 0.2495499, 0.5852955, 0.9211460, 1.2610430, 1.5968936, 1.9327441");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0861999, -0.2495511, -0.5853021, -0.9211513, -1.2610469, -1.5968962, -1.9327454");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 5.563687;
+			capacitance : 6.565224;
+			fall_capacitance : 7.566761;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0053989, -0.0053832, -0.0053676, -0.0053900, -0.0054126, -0.0054350, -0.0054574");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0054108, 0.0053924, 0.0053739, 0.0053862, 0.0053986, 0.0054108, 0.0054231");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.049861;
+			capacitance : 0.049861;
+			fall_capacitance : 0.049862;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0027371, -0.0027525, -0.0027680, -0.0027597, -0.0027513, -0.0027431, -0.0027348");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0027347, 0.0027569, 0.0027791, 0.0027723, 0.0027655, 0.0027587, 0.0027519");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045995;
+			capacitance : 0.045986;
+			fall_capacitance : 0.045978;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0045165, -0.0045435, -0.0045706, -0.0045551, -0.0045395, -0.0045241, -0.0045087");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0045096, 0.0045323, 0.0045549, 0.0045449, 0.0045348, 0.0045248, 0.0045147");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p40v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_1v65.lib
new file mode 100644
index 0000000..a7c98b4
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_1v65.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.839960e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "28.3996000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "21.4765000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.016291;
+			capacitance : 0.015952;
+			fall_capacitance : 0.015612;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2148479, 0.4373061, 0.6597642, 0.7909553, 0.9168987, 1.0480898, 1.1792808");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1659196, -0.4506834, -0.7354472, -0.8663473, -0.9920114, -1.1229115, -1.2538116");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.022656;
+			capacitance : 0.022528;
+			fall_capacitance : 0.022400;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0097145, -0.0088902, -0.0080658, -0.0083739, -0.0086696, -0.0089777, -0.0092857");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0545980, 0.0584811, 0.0623642, 0.0621087, 0.0618635, 0.0616080, 0.0613525");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.029617;
+			capacitance : 0.029193;
+			fall_capacitance : 0.028769;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0203688, 0.0271279, 0.0746245, 0.0793296, 0.0838465, 0.0885515, 0.0932566");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0720601, 0.0885510, 0.1050419, 0.1041915, 0.1033751, 0.1025248, 0.1016744");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017504;
+			capacitance : 0.017395;
+			fall_capacitance : 0.017287;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0526744, 0.0552513, 0.0578281, 0.0581216, 0.0584033, 0.0586968, 0.0589902");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0295991, 0.0291970, 0.0287949, 0.0286743, 0.0285585, 0.0284379, 0.0283172");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.026009;
+			capacitance : 0.025648;
+			fall_capacitance : 0.025286;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0133598, 0.0010231, 0.0154060, 0.0242052, 0.0326525, 0.0414517, 0.0502510");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0657604, 0.0739645, 0.0821685, 0.1951898, 0.3036903, 0.4167116, 0.5297330");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010072;
+			capacitance : 0.010103;
+			fall_capacitance : 0.010133;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0113570, -0.0114722, -0.0115874, -0.0115598, -0.0115334, -0.0115059, -0.0114783");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0113504, 0.0114461, 0.0115418, 0.0115187, 0.0114964, 0.0114733, 0.0114502");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.655756;
+			max_transition : 3.750946;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("1.5493491, 1.5497045, 1.5566152, 1.5447527, 1.5738488, 1.5785709, 1.5941331", \
+ 					  "1.1134601, 1.1154839, 1.1197817, 1.1258911, 1.1404689, 1.1532516, 1.1596872", \
+ 					  "2.8342873, 2.8365433, 2.8411247, 2.8468532, 2.8542676, 2.8662285, 2.8805597", \
+ 					  "2.8406409, 2.8527883, 2.8570130, 2.8537340, 2.8617124, 2.8726398, 2.8968219", \
+ 					  "2.8588887, 2.8588770, 2.8631745, 2.8696583, 2.8776150, 2.8884100, 2.9029071", \
+ 					  "2.8700787, 2.8718193, 2.8764140, 2.8831401, 2.8912610, 2.9016954, 2.9163226", \
+ 					  "2.8855742, 2.8883471, 2.8926115, 2.8990089, 2.9070936, 2.9179651, 2.9326565");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("3.5586930, 3.5699137, 3.5718509, 3.5810027, 3.5869374, 3.6301604, 3.6291826", \
+ 					  "2.9755272, 2.9770485, 2.9802950, 2.9874549, 3.0016376, 3.0188246, 3.0452383", \
+ 					  "2.4142292, 2.4160914, 2.4196858, 2.4278812, 2.4403702, 2.4572761, 2.4794585", \
+ 					  "2.4661213, 2.4677612, 2.4708553, 2.4810462, 2.4929874, 2.5102559, 2.5336521", \
+ 					  "2.5135113, 2.5135506, 2.5195130, 2.5258944, 2.5397668, 2.5568785, 2.5811230", \
+ 					  "2.5550987, 2.5561918, 2.5612327, 2.5697179, 2.5819397, 2.6009913, 2.6242539", \
+ 					  "2.5905352, 2.5922838, 2.5958712, 2.6036944, 2.6167287, 2.6336886, 2.6582278");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("2.6433416, 2.6464320, 2.6712940, 2.6561989, 2.6610717, 2.6815432, 2.7010719", \
+ 					  "2.2406520, 2.2438395, 2.2489097, 2.2542331, 2.2639181, 2.2786971, 2.2975813", \
+ 					  "3.9637863, 3.9651993, 3.9703666, 3.9787132, 3.9881280, 4.0002658, 4.0210010", \
+ 					  "3.9569363, 3.9600567, 3.9651949, 3.9709711, 3.9932116, 3.9947655, 4.0268982", \
+ 					  "3.9565577, 3.9590475, 3.9641380, 3.9713389, 3.9851321, 3.9940603, 4.0145300", \
+ 					  "3.9560451, 3.9585492, 3.9634407, 3.9701042, 3.9798785, 3.9937641, 4.0141840", \
+ 					  "3.9591195, 3.9620958, 3.9669938, 3.9744063, 3.9832486, 3.9972292, 4.0176048");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("3.4200531, 3.4258206, 3.4283613, 3.4220649, 3.4371505, 3.4847058, 3.4905188", \
+ 					  "2.8448370, 2.8422590, 2.8498423, 2.8576249, 2.8717473, 2.8860417, 2.9098161", \
+ 					  "2.2867055, 2.2874291, 2.2910565, 2.2956561, 2.3113662, 2.3285724, 2.3548819", \
+ 					  "2.3392466, 2.3402790, 2.3443164, 2.3540878, 2.3665104, 2.3836486, 2.4075494", \
+ 					  "2.3861720, 2.3868795, 2.3908432, 2.3984569, 2.4128067, 2.4295995, 2.4518788", \
+ 					  "2.4308034, 2.4326231, 2.4371924, 2.4461247, 2.4590781, 2.4727379, 2.5023215", \
+ 					  "2.4683736, 2.4704019, 2.4734273, 2.4822908, 2.4950066, 2.5120208, 2.5351941");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("-0.8305101, -0.8294873, -0.8271053, -0.8233203, -0.8276984, -0.8178429, -0.8288400", \
+ 					  "-0.8409069, -0.8404225, -0.8377259, -0.8392820, -0.8536427, -0.8176821, -0.8579162", \
+ 					  "-0.8309924, -0.8209641, -0.8509983, -0.8261408, -0.8475398, -0.8174583, -0.8310534", \
+ 					  "-0.8407962, -0.8461238, -0.8570640, -0.8182255, -0.8589205, -0.8296544, -0.8444133", \
+ 					  "-0.8415405, -0.8339409, -0.8677435, -0.8401600, -0.8604646, -0.8282302, -0.8444445", \
+ 					  "-0.8382012, -0.8326252, -0.8415525, -0.8204172, -0.8460517, -0.8327269, -0.8454402", \
+ 					  "-0.8287278, -0.8352465, -0.8391113, -0.8356759, -0.8307925, -0.8328823, -0.8451011");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+ 					values("0.9248290, 0.9270717, 0.9300764, 0.9358013, 0.9444592, 0.9539852, 0.9673744", \
+ 					  "0.9364250, 0.9385845, 0.9430817, 0.9489600, 0.9663653, 0.9656036, 0.9828076", \
+ 					  "0.9425571, 0.9357680, 0.9312161, 0.9315290, 0.9405053, 0.9636465, 0.9620207", \
+ 					  "0.9354711, 0.9380763, 0.9476094, 0.9607419, 0.9511959, 0.9628003, 0.9774568", \
+ 					  "0.9355845, 0.9378398, 0.9428136, 0.9490207, 0.9638309, 0.9651885, 0.9833516", \
+ 					  "0.9475479, 0.9240490, 0.9425246, 0.9609097, 0.9470664, 0.9654511, 0.9809429", \
+ 					  "0.9464974, 0.9403843, 0.9404062, 0.9401767, 0.9579263, 0.9647056, 0.9760620");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+					values("1.5879501, 1.5914174, 1.5959255, 1.5975897, 1.6098605, 1.6186239, 1.6335579", \
+					  "2.7754468, 2.7779771, 2.7822462, 2.7881883, 2.7958371, 2.8051264, 2.8088400", \
+					  "2.7719895, 2.7740670, 2.7788397, 2.7847019, 2.7921081, 2.8011786, 2.8132791", \
+					  "2.7749010, 2.7755424, 2.7803853, 2.7860507, 2.7950736, 2.8030833, 2.8153964", \
+					  "2.7794381, 2.7821666, 2.7864360, 2.7922940, 2.8006677, 2.8090997, 2.8214182", \
+					  "2.7846221, 2.7866852, 2.7908923, 2.7972052, 2.8047690, 2.8139253, 2.8268035", \
+					  "2.7902971, 2.7920602, 2.7968192, 2.8026127, 2.8096802, 2.8193680, 2.8316119");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+					values("3.4512638, 3.4670947, 3.4743849, 3.4814934, 3.4853776, 3.4955617, 3.5276249", \
+					  "2.3018525, 2.3032201, 2.3056314, 2.3134947, 2.3248619, 2.3438098, 2.3646697", \
+					  "2.3155115, 2.3171716, 2.3199975, 2.3272927, 2.3419105, 2.3595325, 2.3766364", \
+					  "2.3340143, 2.3353773, 2.3387371, 2.3476084, 2.3593783, 2.3786400, 2.4039566", \
+					  "2.3522037, 2.3534907, 2.3578704, 2.3670532, 2.3799870, 2.3983664, 2.4161803", \
+					  "2.3751398, 2.3760746, 2.3800003, 2.3855082, 2.4029554, 2.4177294, 2.4382764", \
+					  "2.3989315, 2.3993574, 2.4044417, 2.4103369, 2.4250829, 2.4426336, 2.4652064");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+					values("3.4563013, 3.4280140, 3.4750571, 3.4599158, 3.5094953, 3.4832951, 3.5153395", \
+					  "2.2882989, 2.2884051, 2.2923241, 2.3005304, 2.3132416, 2.3299179, 2.3521781", \
+					  "2.3049540, 2.3051673, 2.3092613, 2.3158584, 2.3309197, 2.3474230, 2.3678468", \
+					  "2.3226763, 2.3234459, 2.3276929, 2.3339791, 2.3469217, 2.3639287, 2.3861713", \
+					  "2.3398061, 2.3402645, 2.3431266, 2.3509619, 2.3654689, 2.3819330, 2.4024233", \
+					  "2.3619433, 2.3613704, 2.3653588, 2.3741345, 2.3865398, 2.4020248, 2.4250990", \
+					  "2.3863901, 2.3857953, 2.3890711, 2.3973746, 2.4082457, 2.4266409, 2.4469949");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
+					values("1.5585810, 1.5633218, 1.5660965, 1.5758877, 1.5816968, 1.5885206, 1.6032078", \
+					  "2.7466369, 2.7394571, 2.7530192, 2.7492592, 2.7568976, 2.7756074, 2.7884247", \
+					  "2.7371504, 2.7391229, 2.7478510, 2.7491466, 2.7569039, 2.7705410, 2.7825472", \
+					  "2.7418913, 2.7443054, 2.7490824, 2.7542328, 2.7623826, 2.7712140, 2.7835369", \
+					  "2.7465669, 2.7485952, 2.7535166, 2.7592206, 2.7665006, 2.7762879, 2.7881772", \
+					  "2.7511901, 2.7541244, 2.7579310, 2.7640752, 2.7715981, 2.7808580, 2.7930802", \
+					  "2.7540815, 2.7570175, 2.7609529, 2.7690389, 2.7744293, 2.7836507, 2.7961353");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("240.0958000, 240.1666600, 240.3337200, 240.6237100, 241.1182700, 241.8846900, 243.0888100", \
+ 					  "242.2674500, 242.3652500, 242.5721300, 242.8181500, 243.2600200, 243.9131200, 245.1946600", \
+ 					  "243.8768500, 243.9823000, 244.1301800, 244.3862300, 244.8695400, 245.5494400, 246.8044600", \
+ 					  "245.1760400, 245.2775300, 245.4534400, 245.7320000, 246.1671000, 246.8732400, 248.1017100", \
+ 					  "246.2982700, 246.4009300, 246.5772900, 246.8456700, 247.2897900, 247.9941900, 249.2037400", \
+ 					  "247.3925300, 247.4759800, 247.6515100, 247.9572500, 248.3661800, 249.0870100, 250.3200000", \
+ 					  "248.4018800, 248.5109000, 248.6839900, 248.9636900, 249.3976000, 250.1038800, 251.3324400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5902262, 0.6404332, 0.7632175, 0.9776344, 1.3487663, 2.0525606, 3.5293646", \
+ 					  "0.5772832, 0.6364190, 0.7581515, 0.9705546, 1.3421680, 2.0593447, 3.5297059", \
+ 					  "0.5775380, 0.6452494, 0.7591315, 0.9669083, 1.3381918, 2.0515629, 3.5272452", \
+ 					  "0.5829954, 0.6368568, 0.7620204, 0.9775820, 1.3487557, 2.0615250, 3.5293239", \
+ 					  "0.5834402, 0.6411741, 0.7732344, 0.9712694, 1.3460745, 2.0596653, 3.5375856", \
+ 					  "0.5771121, 0.6412132, 0.7732912, 0.9730141, 1.3460966, 2.0509738, 3.5328358", \
+ 					  "0.5781630, 0.6484317, 0.7598981, 0.9761134, 1.3482859, 2.0631861, 3.5258931");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("264.4842700, 264.5779500, 264.7623500, 264.9880200, 265.4634000, 266.1714700, 267.4566100", \
+ 					  "264.2212000, 264.3264700, 264.5054200, 264.7455500, 265.1699200, 265.8984200, 267.2120200", \
+ 					  "266.9098600, 267.0192300, 267.1823200, 267.4335600, 267.8620800, 268.5910700, 269.9025900", \
+ 					  "269.9222000, 270.0242000, 270.2035700, 270.4395600, 270.8623000, 271.5963500, 272.9258900", \
+ 					  "273.0171800, 273.1189600, 273.2826100, 273.5450800, 273.9512000, 274.6913600, 276.0094700", \
+ 					  "276.1772500, 276.2760100, 276.4409100, 276.6988400, 277.1285500, 277.8472200, 279.1657900", \
+ 					  "279.3315700, 279.4318400, 279.5952400, 279.8581700, 280.2812800, 281.0045300, 282.3225300");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5181071, 0.5821585, 0.7031178, 0.9182630, 1.3136452, 2.1012918, 3.7411381", \
+ 					  "0.5150938, 0.5806652, 0.7010819, 0.9202255, 1.3136482, 2.0995718, 3.7361843", \
+ 					  "0.5206931, 0.5843098, 0.7006715, 0.9195319, 1.3113942, 2.0987989, 3.7368850", \
+ 					  "0.5135273, 0.5802086, 0.7014379, 0.9184094, 1.3129756, 2.1000097, 3.7392969", \
+ 					  "0.5143893, 0.5805531, 0.7029302, 0.9161160, 1.3118322, 2.0997295, 3.7476471", \
+ 					  "0.5154874, 0.5795461, 0.7002207, 0.9180504, 1.3106650, 2.0994810, 3.7471777", \
+ 					  "0.5138250, 0.5804975, 0.7025579, 0.9160543, 1.3113303, 2.0998243, 3.7479011");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("245.1636500, 245.2800900, 245.4391400, 245.5873900, 246.0188000, 246.8510400, 247.9195900", \
+ 					  "247.0673300, 247.1721800, 247.3408900, 247.6192000, 248.0825900, 248.7881500, 250.0150900", \
+ 					  "248.6151500, 248.7246900, 248.8981200, 249.1782700, 249.6121600, 250.3174100, 251.5464500", \
+ 					  "249.9091400, 250.0136400, 250.1866400, 250.4733900, 250.9059100, 251.6134100, 252.8453500", \
+ 					  "251.0777200, 251.1538600, 251.3609700, 251.5962200, 252.0523600, 252.7472100, 253.9729600", \
+ 					  "252.0988600, 252.1980800, 252.3752600, 252.6883200, 253.0923100, 253.7973400, 255.0227700", \
+ 					  "253.0257200, 253.1332700, 253.2787800, 253.5783200, 253.9931100, 254.6985300, 255.9269500");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5783614, 0.6437500, 0.7633014, 0.9690608, 1.3487907, 2.0608917, 3.5290040", \
+ 					  "0.5854652, 0.6533868, 0.7592007, 0.9665518, 1.3487825, 2.0615536, 3.5228762", \
+ 					  "0.5783712, 0.6474300, 0.7602038, 0.9764814, 1.3484609, 2.0631976, 3.5259899", \
+ 					  "0.5736920, 0.6403071, 0.7603353, 0.9735753, 1.3472670, 2.0542978, 3.5361769", \
+ 					  "0.5833458, 0.6420438, 0.7619235, 0.9741751, 1.3382301, 2.0548838, 3.5300438", \
+ 					  "0.5857422, 0.6500527, 0.7622735, 0.9734706, 1.3509482, 2.0532307, 3.5362995", \
+ 					  "0.5771224, 0.6563972, 0.7623788, 0.9708575, 1.3488469, 2.0617781, 3.5267234");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("245.2027000, 245.2027054, 245.4217500, 245.5939500, 246.1111100, 246.7644200, 248.1498000", \
+ 					  "246.7529000, 246.8371200, 247.0033300, 247.2608000, 247.6873800, 248.4113100, 249.7454000", \
+ 					  "249.9758400, 250.0698000, 250.2328300, 250.4949100, 250.9173100, 251.6453300, 252.9562600", \
+ 					  "253.0414100, 253.1493500, 253.3064100, 253.5653000, 253.9848900, 254.7104700, 256.0482200", \
+ 					  "255.9609700, 256.0436200, 256.2074100, 256.4715700, 256.8938600, 257.6191800, 258.9403300", \
+ 					  "258.7835300, 258.8813900, 259.0436700, 259.3152100, 259.7381900, 260.4636200, 261.7860600", \
+ 					  "261.5008200, 261.5900000, 261.7565300, 262.0232100, 262.4435900, 263.1689400, 264.4864900");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5179578, 0.5789811, 0.7090431, 0.9168474, 1.3126121, 2.1013717, 3.7505767", \
+ 					  "0.5146916, 0.5831477, 0.7102736, 0.9184989, 1.3115624, 2.0991706, 3.7502184", \
+ 					  "0.5201499, 0.5847830, 0.7003836, 0.9182912, 1.3115641, 2.0985547, 3.7404049", \
+ 					  "0.5160555, 0.5811233, 0.7099447, 0.9128567, 1.3129855, 2.1014606, 3.7506327", \
+ 					  "0.5146365, 0.5865289, 0.7021372, 0.9162252, 1.3110164, 2.0996180, 3.7476329", \
+ 					  "0.5147191, 0.5930093, 0.7067286, 0.9192566, 1.3139929, 2.0975361, 3.7330303", \
+ 					  "0.5133818, 0.5806252, 0.6972310, 0.9123646, 1.3129147, 2.1017248, 3.7528358");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("229.4213700, 229.5392400, 229.7117700, 229.9888000, 230.4248700, 231.1247600, 232.3581600", \
+ 					  "229.4967300, 229.5987700, 229.7748800, 230.0453700, 230.4800400, 231.1918100, 232.4195900", \
+ 					  "229.6625600, 229.7711300, 229.9391200, 230.2165900, 230.6603200, 231.3629200, 232.5975700", \
+ 					  "229.8750400, 229.9770900, 230.1452500, 230.4226400, 230.8665900, 231.5690900, 232.7959800", \
+ 					  "230.0270300, 230.1326400, 230.3022500, 230.5796900, 231.0232200, 231.7260300, 232.9526000", \
+ 					  "230.2271000, 230.3334800, 230.4662600, 230.7427100, 231.1855100, 231.8871900, 233.1526200", \
+ 					  "230.3833800, 230.4910000, 230.6883000, 230.9661700, 231.4105800, 232.0834500, 233.3117900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5860564, 0.6500426, 0.7679500, 0.9754733, 1.3421122, 2.0554132, 3.5327119", \
+ 					  "0.5731728, 0.6411009, 0.7680566, 0.9712942, 1.3421860, 2.0588105, 3.5297778", \
+ 					  "0.5865030, 0.6498069, 0.7610398, 0.9692880, 1.3452583, 2.0548954, 3.5328229", \
+ 					  "0.5733993, 0.6410072, 0.7610657, 0.9615734, 1.3451819, 2.0544826, 3.5323187", \
+ 					  "0.5867257, 0.6497307, 0.7612146, 0.9685410, 1.3452883, 2.0557760, 3.5311014", \
+ 					  "0.5838726, 0.6508338, 0.7586777, 0.9640750, 1.3502676, 2.0526332, 3.5334022", \
+ 					  "0.5769058, 0.6427804, 0.7614870, 0.9617953, 1.3452719, 2.0561819, 3.5251728");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("234.8224200, 234.9320600, 235.0868000, 235.3799100, 235.7751300, 236.4986800, 237.8326500", \
+ 					  "235.0892900, 235.1876600, 235.3493600, 235.6185900, 236.0532000, 236.7650500, 238.0852900", \
+ 					  "235.3179000, 235.4160700, 235.5861100, 235.8415100, 236.2657100, 236.9906200, 238.3212600", \
+ 					  "235.5355800, 235.6333400, 235.7963100, 236.0614100, 236.4872100, 237.2112000, 238.5302800", \
+ 					  "235.7327000, 235.8296000, 235.9917600, 236.2687800, 236.6862900, 237.4113100, 238.7356800", \
+ 					  "235.9127400, 236.0074100, 236.1797600, 236.4385500, 236.8616700, 237.5963000, 238.9161000", \
+ 					  "236.1176100, 236.2106100, 236.3799200, 236.6405600, 237.0581900, 237.7892200, 239.1083200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+ 					values("0.5203263, 0.5813463, 0.7037596, 0.9136802, 1.3092080, 2.0988082, 3.7478145", \
+ 					  "0.5140310, 0.5777800, 0.7039298, 0.9131696, 1.3098421, 2.0951940, 3.7436547", \
+ 					  "0.5166355, 0.5812231, 0.7017046, 0.9192862, 1.3122409, 2.0988129, 3.7453884", \
+ 					  "0.5139457, 0.5774989, 0.7060634, 0.9153533, 1.3122985, 2.0961435, 3.7470249", \
+ 					  "0.5211305, 0.5886066, 0.7048961, 0.9130449, 1.3138101, 2.1014739, 3.7432466", \
+ 					  "0.5198111, 0.5869671, 0.7038086, 0.9141410, 1.3089473, 2.0958026, 3.7452423", \
+ 					  "0.5126645, 0.5763413, 0.7039733, 0.9204098, 1.3135609, 2.1015982, 3.7480952");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("240.7719800, 240.8969200, 241.0468800, 241.3826200, 241.8958600, 242.4692100, 243.7655800", \
+					  "243.0177500, 243.1227400, 243.2885300, 243.5652400, 244.0352200, 244.7371500, 245.9691200", \
+					  "244.6628300, 244.7852400, 244.9335500, 245.2064800, 245.6504500, 246.3852700, 247.5791800", \
+					  "245.9821100, 246.0859900, 246.2618600, 246.5371800, 246.9725800, 247.7038100, 248.9094900", \
+					  "247.2194200, 247.3234700, 247.4938300, 247.7727300, 248.2085200, 248.9067000, 250.1373900", \
+					  "248.3379500, 248.4447600, 248.6138700, 248.9042300, 249.3341900, 250.0440800, 251.2778400", \
+					  "249.4234100, 249.5510500, 249.7369600, 249.9980400, 250.4160900, 251.1178000, 252.3722200");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("0.5774493, 0.6434773, 0.7550203, 0.9670510, 1.3482195, 2.0526432, 3.5311738", \
+					  "0.5775382, 0.6431433, 0.7589225, 0.9642722, 1.3395864, 2.0606810, 3.5198713", \
+					  "0.5855236, 0.6440449, 0.7555751, 0.9646714, 1.3416740, 2.0581332, 3.5352841", \
+					  "0.5742389, 0.6383869, 0.7576557, 0.9695898, 1.3370999, 2.0541888, 3.5328362", \
+					  "0.5792333, 0.6430970, 0.7672666, 0.9747869, 1.3439695, 2.0615993, 3.5258174", \
+					  "0.5854287, 0.6505768, 0.7611685, 0.9684975, 1.3449373, 2.0565023, 3.5199901", \
+					  "0.5788250, 0.6508465, 0.7618333, 0.9631238, 1.3436849, 2.0577047, 3.5319749");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("243.3515600, 243.3515702, 243.6369200, 243.8217500, 244.2788200, 244.8859100, 246.3087300", \
+					  "245.3338400, 245.4299300, 245.5937500, 245.8595200, 246.2845400, 247.0112600, 248.3248200", \
+					  "247.2890800, 247.3795500, 247.5626400, 247.8065000, 248.2347000, 248.9625800, 250.2971500", \
+					  "248.7765000, 248.8871200, 249.0508000, 249.3166000, 249.7284000, 250.4721400, 251.7956000", \
+					  "250.0818800, 250.1777500, 250.3414300, 250.6071200, 251.0347500, 251.7585600, 253.0831900", \
+					  "251.2047100, 251.2959200, 251.4618100, 251.7268500, 252.1534300, 252.8788100, 254.1958100", \
+					  "252.2441800, 252.3316300, 252.5038100, 252.7689000, 253.1844500, 253.9195200, 255.2393100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("0.5121804, 0.5795188, 0.7039191, 0.9191335, 1.3131193, 2.0993411, 3.7425347", \
+					  "0.5204113, 0.5871808, 0.7036908, 0.9142940, 1.3094056, 2.0981825, 3.7476071", \
+					  "0.5132503, 0.5844182, 0.7042289, 0.9181908, 1.3117355, 2.1002113, 3.7478900", \
+					  "0.5198454, 0.5806693, 0.7011875, 0.9143654, 1.3121695, 2.0981215, 3.7458694", \
+					  "0.5200020, 0.5870869, 0.7009436, 0.9142629, 1.3140791, 2.0980711, 3.7457264", \
+					  "0.5125813, 0.5870915, 0.7096151, 0.9200708, 1.3142506, 2.1017412, 3.7481369", \
+					  "0.5148409, 0.5788967, 0.7037860, 0.9140809, 1.3087834, 2.0973439, 3.7509462");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("240.7313100, 240.7512300, 241.0553500, 241.2020000, 241.7841400, 242.3406900, 243.5615700", \
+					  "242.8810700, 243.0199100, 243.1932800, 243.4690600, 243.9101600, 244.6122100, 245.8436100", \
+					  "244.5116000, 244.5891600, 244.7588700, 245.0796900, 245.4767400, 246.1796400, 247.4472800", \
+					  "245.8730000, 245.9616200, 246.1639800, 246.4051900, 246.8438000, 247.5519800, 248.8051500", \
+					  "247.0618500, 247.1584800, 247.3264500, 247.6051000, 248.0472300, 248.7501500, 249.9796500", \
+					  "248.2240400, 248.2557000, 248.4320600, 248.7101400, 249.1783300, 249.9138800, 251.1452200", \
+					  "249.3076200, 249.3967200, 249.5227100, 249.8431100, 250.2870000, 250.9832800, 252.2229700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("0.5770000, 0.6479502, 0.7599253, 0.9680824, 1.3458327, 2.0527500, 3.5291960", \
+					  "0.5737802, 0.6392595, 0.7575550, 0.9684473, 1.3405195, 2.0479716, 3.5198906", \
+					  "0.5859382, 0.6431686, 0.7595959, 0.9615166, 1.3385729, 2.0588571, 3.5274941", \
+					  "0.5810769, 0.6437212, 0.7693142, 0.9685489, 1.3406294, 2.0597486, 3.5196310", \
+					  "0.5870894, 0.6499928, 0.7604768, 0.9630634, 1.3418201, 2.0500126, 3.5329868", \
+					  "0.5741261, 0.6381343, 0.7560959, 0.9752864, 1.3433608, 2.0599604, 3.5292707", \
+					  "0.5750904, 0.6422762, 0.7613125, 0.9692208, 1.3392073, 2.0506259, 3.5287993");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("243.1164000, 243.2212800, 243.3663700, 243.7533300, 244.0785600, 244.7674500, 246.0953100", \
+					  "245.2241900, 245.3300300, 245.4816000, 245.7636000, 246.1820500, 246.8871700, 248.2167900", \
+					  "247.1297200, 247.2193000, 247.3859400, 247.6565400, 248.0705100, 248.7823600, 250.1165000", \
+					  "248.5884900, 248.6807500, 248.8465600, 249.1074200, 249.5444000, 250.2741300, 251.5818900", \
+					  "249.8263400, 249.8997800, 250.0842100, 250.3453200, 250.7676400, 251.4948700, 252.8172700", \
+					  "250.9026700, 250.9962900, 251.1607700, 251.4269700, 251.8483200, 252.5731900, 253.8967000", \
+					  "251.8983100, 251.9947200, 252.1560400, 252.4180300, 252.8450100, 253.5571800, 254.8961200");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
+					values("0.5171978, 0.5827096, 0.7014519, 0.9129523, 1.3124475, 2.0985980, 3.7433896", \
+					  "0.5166051, 0.5792301, 0.6987558, 0.9138467, 1.3083860, 2.1007203, 3.7473771", \
+					  "0.5154437, 0.5788451, 0.7003569, 0.9109306, 1.3088235, 2.0980921, 3.7482391", \
+					  "0.5190632, 0.5873930, 0.7039072, 0.9192752, 1.3101710, 2.1002633, 3.7438590", \
+					  "0.5128216, 0.5866696, 0.7002839, 0.9178022, 1.3134153, 2.0996027, 3.7485446", \
+					  "0.5199771, 0.5870953, 0.7036854, 0.9142640, 1.3092923, 2.0980786, 3.7482510", \
+					  "0.5136390, 0.5801909, 0.6957987, 0.9140974, 1.3121509, 2.1016797, 3.7479295");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 1.023417;
+			capacitance : 1.024576;
+			rise_capacitance : 1.025735;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1823661, -1.1433486, -1.1043311, -1.0884983, -1.0732987, -1.0574659, -1.0416330");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.2277002, 1.2450434, 1.2623866, 1.3104263, 1.3565444, 1.4045841, 1.4526238");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.082726;
+			capacitance : 0.101418;
+			fall_capacitance : 0.120111;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0125249, 0.0745030, 0.1615310, 0.2482729, 0.3315452, 0.4182871, 0.5050290");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0170601, -0.0668283, -0.1507166, -0.2337580, -0.3134777, -0.3965191, -0.4795605");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.084279;
+			capacitance : 0.125088;
+			fall_capacitance : 0.165897;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0865657, 0.0142996, 0.1151648, 0.2164186, 0.3136221, 0.4148758, 0.5161296");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0865540, -0.0143048, -0.1151637, -0.2164188, -0.3136238, -0.4148790, -0.5161342");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.681498;
+			capacitance : 1.981095;
+			fall_capacitance : 2.280692;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0054536, -0.0055491, -0.0056445, -0.0056067, -0.0055705, -0.0055327, -0.0054949");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0054711, 0.0055393, 0.0056075, 0.0055795, 0.0055526, 0.0055246, 0.0054966");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.049959;
+			capacitance : 0.049948;
+			fall_capacitance : 0.049937;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0027958, -0.0028113, -0.0028268, -0.0028204, -0.0028142, -0.0028077, -0.0028013");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0027967, 0.0028193, 0.0028419, 0.0028341, 0.0028267, 0.0028190, 0.0028112");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045951;
+			capacitance : 0.045943;
+			fall_capacitance : 0.045935;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0045649, -0.0046147, -0.0046645, -0.0046548, -0.0046455, -0.0046359, -0.0046262");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0045762, 0.0046375, 0.0046987, 0.0046803, 0.0046626, 0.0046442, 0.0046257");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib
new file mode 100644
index 0000000..a7637e9
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_3v00") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 100.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",3.000000);
+	voltage_map("VDDIO",3.000000);
+	voltage_map("VDDIO_Q",3.000000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",3.000000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.300; 
+			 voh : 2.700; 
+			 vomax : 3.150; 
+			 vomin : -0.150; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.750; 
+			 vih : 2.250; 
+			 vimax : 3.150; 
+			 vimin : -0.150; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_3p00v_100C") { 
+		process : 1.000000;
+		temperature : 100.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		dont_use : true;
+		interface_timing : true;
+		is_macro_cell : true; 
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 4.412920e+01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "39.9396000";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "44.1292000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.018530;
+			capacitance : 0.018145;
+			fall_capacitance : 0.017760;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7682027, 1.2303418, 1.6924810, 2.0580826, 2.4090601, 2.7746616, 3.1402632");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3310423, -0.6828809, -1.0347194, -1.3714595, -1.6947299, -2.0314700, -2.3682100");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.025026;
+			capacitance : 0.024880;
+			fall_capacitance : 0.024734;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0248246, -0.0276893, -0.0305541, -0.0236905, -0.0171014, -0.0102378, -0.0033742");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2184154, 0.2184637, 0.2185120, 0.2260642, 0.2333144, 0.2408666, 0.2484188");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.032815;
+			capacitance : 0.032188;
+			fall_capacitance : 0.031560;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.1128488, 0.2895091, 0.4661695, 0.4496940, 0.4338775, 0.4174020, 0.4009265");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3496800, 0.3570385, 0.3643970, 0.3668768, 0.3692574, 0.3717372, 0.3742169");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017514;
+			capacitance : 0.017389;
+			fall_capacitance : 0.017265;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0329886, 0.0361248, 0.0392609, 0.0395406, 0.0398091, 0.0400887, 0.0403684");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0378411, 0.0375226, 0.0372041, 0.0370904, 0.0369813, 0.0368676, 0.0367539");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.029344;
+			capacitance : 0.029027;
+			fall_capacitance : 0.028709;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0033488, 0.0969611, 0.1905735, 0.1935610, 0.1964290, 0.1994165, 0.2024040");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2612715, 1.0097486, 1.7582257, 2.2090677, 2.6418759, 3.0927178, 3.5435598");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.009877;
+			capacitance : 0.009892;
+			fall_capacitance : 0.009906;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0362824, -0.0363778, -0.0364732, -0.0364164, -0.0363620, -0.0363052, -0.0362485");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0363647, 0.0363409, 0.0363171, 0.0362651, 0.0362152, 0.0361633, 0.0361113");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 1.709760;
+			max_transition : 3.750847;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02355895, 0.05550239, 0.1307578, 0.3080516, 0.7257371, 1.70976");
+ 					values("13.2149996, 13.1301946, 13.2451326, 13.1465266, 13.2255016, 13.2877216, 13.3906946", \
+ 					  "11.4215260, 11.4050080, 11.3870070, 11.3925300, 11.4167880, 11.4982730, 11.6027290", \
+ 					  "11.7300750, 11.7127970, 11.6928880, 11.7037440, 11.7357270, 11.7547280, 11.9163620", \
+ 					  "11.9786770, 11.9615910, 11.9427050, 11.9505790, 11.9824830, 12.0459240, 12.1563040", \
+ 					  "12.1777100, 12.1612130, 12.1425940, 12.1554150, 12.1842520, 12.2547060, 12.3657260", \
+ 					  "12.3760980, 12.3621230, 12.3414740, 12.3516280, 12.3913880, 12.4485930, 12.5445300", \
+ 					  "12.5528270, 12.5360830, 12.5165440, 12.5273980, 12.5585780, 12.5752540, 12.7443650");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02355895, 0.05550239, 0.1307578, 0.3080516, 0.7257371, 1.70976");
+ 					values("7.7930039, 7.7889672, 7.7644660, 7.8341696, 7.8191576, 7.9199496, 8.0909226", \
+ 					  "10.3394690, 10.3250490, 10.3402370, 10.3528830, 10.3835120, 10.4608290, 10.6236650", \
+ 					  "10.8608870, 10.8489010, 10.8437410, 10.8688180, 10.8964530, 10.9745490, 11.1559100", \
+ 					  "11.2856700, 11.2751230, 11.2733590, 11.3021980, 11.3308410, 11.4130260, 11.5787650", \
+ 					  "11.6416040, 11.6347160, 11.6372830, 11.6556400, 11.6866820, 11.7460600, 11.9358240", \
+ 					  "11.9341630, 11.9276810, 11.9294730, 11.9481330, 11.9828130, 12.0307170, 12.2273970", \
+ 					  "12.1550480, 12.1415950, 12.1453460, 12.1675060, 12.1929440, 12.2806810, 12.4476870");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02355895, 0.05550239, 0.1307578, 0.3080516, 0.7257371, 1.70976");
+ 					values("7.8789116, 7.8987346, 7.8648446, 7.9354156, 7.9328636, 7.9956746, 8.1537356", \
+ 					  "10.0393160, 10.0443580, 10.0524850, 10.0647300, 10.0829550, 10.1724790, 10.3188390", \
+ 					  "10.0418400, 10.0220250, 10.0268920, 10.0419260, 10.0857360, 10.1533680, 10.3201870", \
+ 					  "10.0315960, 10.0134590, 10.0229050, 10.0352380, 10.0809880, 10.1415980, 10.3131220", \
+ 					  "10.0515560, 10.0516730, 10.0549330, 10.0717700, 10.1103320, 10.1809890, 10.3358380", \
+ 					  "10.1123740, 10.1040720, 10.1085070, 10.1252300, 10.1590690, 10.2315010, 10.3952090", \
+ 					  "10.1362700, 10.1328430, 10.1435800, 10.1603410, 10.1933120, 10.2673210, 10.4255080");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02355895, 0.05550239, 0.1307578, 0.3080516, 0.7257371, 1.70976");
+ 					values("12.3914386, 12.4090066, 12.4540326, 12.3207166, 12.4070346, 12.5059866, 12.6101466", \
+ 					  "10.5035360, 10.4866890, 10.4717310, 10.4799850, 10.5159700, 10.5749210, 10.6904800", \
+ 					  "10.6315350, 10.6120950, 10.6044160, 10.6073380, 10.6308040, 10.7145250, 10.8240680", \
+ 					  "10.7437780, 10.7289470, 10.7085890, 10.7146900, 10.7524550, 10.8120420, 10.9142040", \
+ 					  "10.8425930, 10.8263690, 10.8051700, 10.8232900, 10.8515850, 10.8980520, 11.0245720", \
+ 					  "10.9121400, 10.8957570, 10.8764040, 10.8888510, 10.9161720, 10.9744410, 11.1059540", \
+ 					  "10.9915230, 10.9727770, 10.9569650, 10.9595670, 11.0001160, 11.0626750, 11.1812490");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0235642, 0.05552716, 0.1308453, 0.3083266, 0.726547, 1.71205");
+ 					values("5.1070572, 5.0980557, 5.0986941, 5.1133734, 5.1485748, 5.2194699, 5.3671135", \
+ 					  "5.1114495, 5.1107439, 5.1353080, 5.1036332, 5.1891087, 5.2056371, 5.3936317", \
+ 					  "5.0953750, 5.0868714, 5.0897150, 5.1080086, 5.1375995, 5.2052966, 5.3550449", \
+ 					  "5.1385779, 5.1310258, 5.1326274, 5.1531565, 5.1849445, 5.2505847, 5.4107617", \
+ 					  "5.1398855, 5.1473283, 5.1341514, 5.1498254, 5.2043695, 5.2497646, 5.4072515", \
+ 					  "5.1399813, 5.1296155, 5.1346293, 5.1501841, 5.1824079, 5.2507131, 5.4006443", \
+ 					  "5.1409372, 5.1279606, 5.1367851, 5.1748081, 5.1729406, 5.2413859, 5.3899259");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0235642, 0.05552716, 0.1308453, 0.3083266, 0.726547, 1.71205");
+ 					values("-1.8487390, -1.8535585, -1.8745326, -1.8773017, -1.8858524, -1.9336345, -1.9100693", \
+ 					  "-1.8841163, -1.9041862, -1.9242431, -1.9211837, -1.9179263, -1.9242179, -1.9650885", \
+ 					  "-1.8495814, -1.8676529, -1.8895406, -1.8850288, -1.8826283, -1.8892775, -1.9299867", \
+ 					  "-1.8841686, -1.9026445, -1.9221081, -1.9215989, -1.9182323, -1.9253865, -1.9637579", \
+ 					  "-1.8835137, -1.9036712, -1.9241429, -1.9249487, -1.9165964, -1.9257449, -1.9725166", \
+ 					  "-1.8927390, -1.9113934, -1.9328308, -1.9321068, -1.9267689, -1.9361147, -1.9787355", \
+ 					  "-1.8872731, -1.9034834, -1.9245814, -1.9221354, -1.9184942, -1.9254283, -1.9645544");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02356551, 0.05553332, 0.1308671, 0.308395, 0.7267486, 1.71262");
+					values("7.3459298, 7.3178048, 7.3101548, 7.2942118, 7.4948768, 7.4545308, 7.6085068", \
+					  "11.4606830, 11.4393650, 11.4620350, 11.4797780, 11.5103570, 11.5724610, 11.7082930", \
+					  "11.8542360, 11.8460900, 11.8524580, 11.8671440, 11.9003530, 11.9687780, 12.1153730", \
+					  "12.2535910, 12.2371190, 12.2506840, 12.2659840, 12.3023970, 12.3563690, 12.5180220", \
+					  "12.6510860, 12.6416590, 12.6442950, 12.6641100, 12.6929310, 12.7623680, 12.9104520", \
+					  "13.0537120, 13.0451740, 13.0477930, 13.0663670, 13.0993520, 13.1689050, 13.3213020", \
+					  "13.4618760, 13.4553470, 13.4565060, 13.4731670, 13.5063820, 13.5735040, 13.7240120");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02356551, 0.05553332, 0.1308671, 0.308395, 0.7267486, 1.71262");
+					values("12.5492583, 12.4237754, 12.5701210, 12.4520626, 12.5865462, 12.5592529, 12.6779332", \
+					  "10.0395970, 10.0229070, 10.0119170, 10.0145480, 10.0553130, 10.1194630, 10.2069940", \
+					  "11.3376560, 11.3217440, 11.2962100, 11.3074880, 11.3477160, 11.3880910, 11.5070510", \
+					  "12.4987680, 12.4766930, 12.4611590, 12.4692520, 12.5031990, 12.5571480, 12.6805050", \
+					  "13.6420040, 13.6247280, 13.5793880, 13.6190710, 13.6533860, 13.7139490, 13.8369080", \
+					  "14.7197950, 14.7023440, 14.6803520, 14.6992240, 14.7256860, 14.7693840, 14.8917750", \
+					  "15.7637340, 15.7437800, 15.7230360, 15.7379530, 15.7698190, 15.8348700, 15.9493040");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02356551, 0.05553332, 0.1308671, 0.308395, 0.7267486, 1.71262");
+					values("7.4105828, 7.3702018, 7.4452148, 7.3911628, 7.4374158, 7.5226258, 7.6771548", \
+					  "11.5158890, 11.5081580, 11.5098200, 11.5265690, 11.5572830, 11.6260100, 11.7937030", \
+					  "11.9185510, 11.9099610, 11.9124240, 11.9298190, 11.8670600, 12.0032740, 12.1728470", \
+					  "12.3250220, 12.3175450, 12.3168830, 12.3327860, 12.3645700, 12.4327790, 12.5840290", \
+					  "12.7150060, 12.7177570, 12.7079900, 12.7328760, 12.7645940, 12.8319460, 12.9838600", \
+					  "13.1242570, 13.1114100, 13.1139970, 13.1295820, 13.1626760, 13.2340580, 13.3830720", \
+					  "13.5288390, 13.5214500, 13.5237130, 13.5393940, 13.5709830, 13.6407320, 13.7924470");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02356551, 0.05553332, 0.1308671, 0.308395, 0.7267486, 1.71262");
+					values("12.5265317, 12.5567853, 12.5251526, 12.5738064, 12.5338583, 12.5807080, 12.6976041", \
+					  "10.0514610, 10.0359220, 10.0232540, 10.0270070, 10.0526540, 10.1309600, 10.2481170", \
+					  "11.3548450, 11.3320940, 11.3168060, 11.3270410, 11.3604350, 11.4187550, 11.5231020", \
+					  "12.5134890, 12.4974560, 12.4824150, 12.4904050, 12.5267700, 12.5545090, 12.6948320", \
+					  "13.6759280, 13.6579300, 13.6391740, 13.6489800, 13.6827080, 13.7156250, 13.8405660", \
+					  "14.7293670, 14.7095550, 14.6942680, 14.7003560, 14.7314660, 14.7909790, 14.9136150", \
+					  "15.7769610, 15.7670660, 15.7440050, 15.7475960, 15.7870370, 15.8566370, 15.9136630");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("221.4570400, 221.4570542, 221.5375000, 221.6874700, 222.0367300, 222.6387900, 223.9069700", \
+ 					  "223.6022900, 223.6480200, 223.7385000, 223.9087000, 224.2181400, 224.7998200, 226.0557900", \
+ 					  "225.2327200, 225.2758100, 225.3668300, 225.5382000, 225.8465900, 226.4291900, 227.6852500", \
+ 					  "226.5748500, 226.6211000, 226.7096600, 226.8779000, 227.1883900, 227.7708000, 229.0258200", \
+ 					  "227.7345900, 227.7808700, 227.8708400, 228.0413900, 228.3505200, 228.9324100, 230.1874000", \
+ 					  "228.7944300, 228.8401100, 228.9309200, 229.0997800, 229.4070600, 229.9924500, 231.2479500", \
+ 					  "229.7960600, 229.8410900, 229.9316400, 230.1005300, 230.4140900, 230.9940500, 232.2511100");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("0.2446082, 0.2831317, 0.3542573, 0.5052068, 0.8115439, 1.5212158, 3.2921068", \
+ 					  "0.2438468, 0.2793314, 0.3552015, 0.5049959, 0.8110312, 1.5194690, 3.2946466", \
+ 					  "0.2473732, 0.2827680, 0.3571564, 0.5051937, 0.8132520, 1.5189660, 3.2929917", \
+ 					  "0.2447745, 0.2810402, 0.3542844, 0.5016753, 0.8099538, 1.5176743, 3.2968743", \
+ 					  "0.2476701, 0.2843856, 0.3542367, 0.5057342, 0.8133607, 1.5179071, 3.2950409", \
+ 					  "0.2471185, 0.2814256, 0.3523355, 0.5059314, 0.8147656, 1.5209678, 3.2884773", \
+ 					  "0.2458739, 0.2840950, 0.3546178, 0.5035940, 0.8126838, 1.5185648, 3.3017755");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("204.6227600, 204.6333400, 204.7642900, 204.9264100, 205.2632100, 205.9265100, 207.4886000", \
+ 					  "205.8319200, 205.8866200, 205.9748900, 206.1520200, 206.4687300, 207.1591300, 208.6859900", \
+ 					  "208.0756000, 208.1629700, 208.2548000, 208.4288900, 208.7140800, 209.4371600, 210.9312000", \
+ 					  "210.4826400, 210.5253600, 210.6137900, 210.7902000, 211.1277800, 211.8031700, 213.3367900", \
+ 					  "212.8845600, 212.8845749, 212.9391700, 213.1114500, 213.4425500, 214.1204900, 215.7427600", \
+ 					  "214.9997200, 215.0045200, 215.1059300, 215.2799300, 215.6099000, 216.2872200, 217.8176000", \
+ 					  "216.9743100, 216.9844300, 217.0739300, 217.2400900, 217.5703600, 218.2481200, 219.7972000");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("0.2105540, 0.2495433, 0.3301046, 0.4879900, 0.8300157, 1.6707681, 3.7508467", \
+ 					  "0.2108786, 0.2492079, 0.3274407, 0.4876249, 0.8290350, 1.6721483, 3.7429073", \
+ 					  "0.2129746, 0.2512671, 0.3287936, 0.4890765, 0.8304525, 1.6722029, 3.7473193", \
+ 					  "0.2102966, 0.2491346, 0.3305174, 0.4850034, 0.8311185, 1.6713163, 3.7508294", \
+ 					  "0.2119315, 0.2489335, 0.3290364, 0.4849593, 0.8305652, 1.6684829, 3.7456860", \
+ 					  "0.2118543, 0.2489474, 0.3280583, 0.4876250, 0.8308943, 1.6705924, 3.7502579", \
+ 					  "0.2120180, 0.2487164, 0.3273045, 0.4895312, 0.8341386, 1.6729214, 3.7493506");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("222.6300200, 222.6300278, 222.7741800, 222.9185900, 223.2439000, 223.8090000, 225.0771200", \
+ 					  "225.3814400, 225.4268900, 225.5161600, 225.6851300, 225.9988700, 226.5787900, 227.8345200", \
+ 					  "228.0642800, 228.1092600, 228.1994100, 228.3710600, 228.6795500, 229.2605100, 230.5163800", \
+ 					  "230.3160900, 230.3625600, 230.4524100, 230.6209300, 230.9294900, 231.5145500, 232.7678200", \
+ 					  "232.3377500, 232.3839700, 232.4740100, 232.6443400, 232.9535700, 233.5360000, 234.7930500", \
+ 					  "234.2142300, 234.2590300, 234.3490300, 234.5176000, 234.8305900, 235.4116600, 236.6681300", \
+ 					  "235.9528000, 235.9978500, 236.0879000, 236.2595700, 236.5681600, 237.1491400, 238.4048800");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("0.2477033, 0.2808908, 0.3530550, 0.5038189, 0.8097371, 1.5189554, 3.2934198", \
+ 					  "0.2490243, 0.2827397, 0.3523467, 0.5018840, 0.8105266, 1.5203807, 3.3020380", \
+ 					  "0.2458887, 0.2813818, 0.3523606, 0.5054316, 0.8096527, 1.5211276, 3.2958216", \
+ 					  "0.2476238, 0.2835376, 0.3540812, 0.5034398, 0.8101024, 1.5203037, 3.2896141", \
+ 					  "0.2478467, 0.2841453, 0.3558027, 0.5045359, 0.8113527, 1.5193080, 3.2969358", \
+ 					  "0.2465324, 0.2818135, 0.3536700, 0.5038272, 0.8127774, 1.5209433, 3.2916208", \
+ 					  "0.2458965, 0.2813807, 0.3523666, 0.5054358, 0.8096635, 1.5211382, 3.2934016");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("195.8608800, 195.9426600, 196.0110400, 196.1692800, 196.5088800, 197.1808500, 198.7612000", \
+ 					  "197.0441000, 197.0852000, 197.1885600, 197.3473200, 197.6809600, 198.3588600, 199.8946400", \
+ 					  "198.5656200, 198.6107500, 198.7054800, 198.8806800, 199.2084600, 199.8887500, 201.4221100", \
+ 					  "200.4538500, 200.4969300, 200.5707500, 200.7632500, 201.0933400, 201.7717800, 203.3114900", \
+ 					  "202.4394900, 202.4865500, 202.5762000, 202.7472300, 203.0866100, 203.7321700, 205.3003000", \
+ 					  "204.4170500, 204.4552300, 204.5474800, 204.7231800, 205.0536700, 205.7011000, 207.2742400", \
+ 					  "206.2905800, 206.3470400, 206.4381200, 206.6006500, 206.9297800, 207.6063200, 209.1633600");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0235589, 0.0555024, 0.130758, 0.308052, 0.725737, 1.70976");
+ 					values("0.2102261, 0.2473638, 0.3268851, 0.4871222, 0.8301376, 1.6712869, 3.7459977", \
+ 					  "0.2111685, 0.2480279, 0.3264978, 0.4859481, 0.8291517, 1.6717221, 3.7495806", \
+ 					  "0.2120961, 0.2495342, 0.3274780, 0.4876376, 0.8316275, 1.6729585, 3.7457446", \
+ 					  "0.2121932, 0.2506516, 0.3267262, 0.4863925, 0.8301512, 1.6723133, 3.7498786", \
+ 					  "0.2136189, 0.2499747, 0.3313328, 0.4877055, 0.8309898, 1.6696778, 3.7435477", \
+ 					  "0.2108556, 0.2482800, 0.3275008, 0.4858434, 0.8311998, 1.6708392, 3.7456789", \
+ 					  "0.2106087, 0.2482065, 0.3260690, 0.4891958, 0.8293417, 1.6684685, 3.7388199");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0235642, 0.0555272, 0.130845, 0.308327, 0.726547, 1.71205");
+ 					values("216.6786600, 216.7241900, 216.8147800, 216.9869900, 217.2955200, 217.8782800, 219.1357300", \
+ 					  "216.7066400, 216.7529500, 216.8430900, 217.0135100, 217.3230500, 217.9068300, 219.1642400", \
+ 					  "216.7649300, 216.8121100, 216.9011300, 217.0727400, 217.3821500, 217.9660800, 219.2227400", \
+ 					  "216.8240100, 216.8694800, 216.9616700, 217.1298800, 217.4397600, 218.0236900, 219.2801200", \
+ 					  "216.8873700, 216.9320800, 217.0221900, 217.1906700, 217.5043400, 218.0851900, 219.3436500", \
+ 					  "216.9494700, 216.9950500, 217.0830800, 217.2545700, 217.5630100, 218.1472100, 219.4051900", \
+ 					  "216.9956900, 217.0415700, 217.1316700, 217.3022600, 217.6116100, 218.1956400, 219.4530300");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0235642, 0.0555272, 0.130845, 0.308327, 0.726547, 1.71205");
+ 					values("0.2464770, 0.2827743, 0.3547710, 0.5062903, 0.8089873, 1.5198858, 3.3062149", \
+ 					  "0.2499796, 0.2846199, 0.3538662, 0.5054486, 0.8114641, 1.5211876, 3.2987542", \
+ 					  "0.2499609, 0.2848218, 0.3536665, 0.5058805, 0.8117058, 1.5213025, 3.2992589", \
+ 					  "0.2488055, 0.2826146, 0.3583523, 0.5057567, 0.8131343, 1.5205655, 3.2920426", \
+ 					  "0.2453658, 0.2816477, 0.3542320, 0.5045486, 0.8117989, 1.5195660, 3.2989154", \
+ 					  "0.2490095, 0.2828511, 0.3545316, 0.5060404, 0.8120070, 1.5220472, 3.2963081", \
+ 					  "0.2483965, 0.2848456, 0.3536144, 0.5059352, 0.8117283, 1.5213336, 3.2993115");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0235642, 0.0555272, 0.130845, 0.308327, 0.726547, 1.71205");
+ 					values("192.6162000, 192.6599300, 192.7515600, 192.9444100, 193.2540500, 193.9348200, 195.4926600", \
+ 					  "192.7198200, 192.7634500, 192.8552300, 193.0303300, 193.3610200, 194.0385100, 195.5796600", \
+ 					  "192.8133600, 192.8582900, 192.9488700, 193.1237400, 193.4574800, 194.1354700, 195.6767200", \
+ 					  "192.8666900, 192.9108600, 193.0020100, 193.1781000, 193.5078900, 194.1870100, 195.7284900", \
+ 					  "192.9540000, 192.9981400, 193.0890400, 193.2645300, 193.5951100, 194.2727000, 195.8132300", \
+ 					  "193.0231500, 193.0673100, 193.1584700, 193.3337200, 193.6643300, 194.3419500, 195.8829000", \
+ 					  "193.0907100, 193.1206600, 193.2268100, 193.4033800, 193.7351600, 194.4120500, 195.9544700");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0235642, 0.0555272, 0.130845, 0.308327, 0.726547, 1.71205");
+ 					values("0.2110814, 0.2487465, 0.3274430, 0.4872028, 0.8316435, 1.6707864, 3.7494239", \
+ 					  "0.2114362, 0.2504962, 0.3307288, 0.4871830, 0.8313631, 1.6733895, 3.7476782", \
+ 					  "0.2108291, 0.2491277, 0.3289922, 0.4866811, 0.8311655, 1.6727397, 3.7500674", \
+ 					  "0.2133522, 0.2508802, 0.3268101, 0.4890425, 0.8330688, 1.6723891, 3.7478185", \
+ 					  "0.2132906, 0.2492886, 0.3304679, 0.4876137, 0.8310225, 1.6729699, 3.7509356", \
+ 					  "0.2113995, 0.2496043, 0.3305462, 0.4874404, 0.8311603, 1.6732864, 3.7488950", \
+ 					  "0.2121989, 0.2491368, 0.3305132, 0.4876221, 0.8311880, 1.6725312, 3.7494687");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("220.4199800, 220.4771300, 220.5552400, 220.7690000, 221.0598800, 221.6271600, 222.9058300", \
+					  "221.5994200, 221.6510400, 221.7324800, 221.9114900, 222.2223100, 222.7905200, 224.0689800", \
+					  "222.5766900, 222.6183400, 222.7111200, 222.8808400, 223.1890900, 223.7754300, 225.0324800", \
+					  "223.3999500, 223.4458900, 223.5332800, 223.7065800, 224.0164200, 224.5983400, 225.8531400", \
+					  "224.1654500, 224.2070000, 224.2972400, 224.4854000, 224.7781500, 225.3720600, 226.6204900", \
+					  "224.8695200, 224.9155100, 225.0031200, 225.1741600, 225.4835600, 226.0878200, 227.3445900", \
+					  "225.5507500, 225.5990800, 225.6801100, 225.8577800, 226.1624300, 226.7436200, 227.9759300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("0.2447593, 0.2813907, 0.3584236, 0.5052909, 0.8110639, 1.5213172, 3.2923104", \
+					  "0.2450971, 0.2819333, 0.3549816, 0.5063144, 0.8137612, 1.5229429, 3.3040957", \
+					  "0.2486762, 0.2818790, 0.3516347, 0.5060590, 0.8121262, 1.5212909, 3.2992311", \
+					  "0.2444553, 0.2805014, 0.3542571, 0.5052072, 0.8116381, 1.5225270, 3.2936691", \
+					  "0.2465144, 0.2834283, 0.3560675, 0.5046636, 0.8126518, 1.5228803, 3.2974456", \
+					  "0.2476260, 0.2835621, 0.3547501, 0.5036293, 0.8106929, 1.5213603, 3.3020473", \
+					  "0.2455614, 0.2830884, 0.3525306, 0.5029664, 0.8128189, 1.5209762, 3.3015599");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("195.3327700, 195.3752400, 195.4769400, 195.6485400, 195.9319500, 196.6685200, 198.1937000", \
+					  "196.4113900, 196.4562100, 196.5459500, 196.7223500, 197.0527800, 197.7313000, 199.2713500", \
+					  "197.2391700, 197.2830900, 197.3736400, 197.5491800, 197.8802100, 198.5596500, 200.1021600", \
+					  "197.9877200, 198.0305600, 198.1232300, 198.2991100, 198.6295100, 199.3078500, 200.8499500", \
+					  "198.6707800, 198.6985200, 198.8043700, 198.9755300, 199.3066100, 199.9859900, 201.5288900", \
+					  "199.3016500, 199.3443400, 199.4332300, 199.6098700, 199.9410700, 200.6339100, 202.1617300", \
+					  "199.8906600, 199.9346800, 200.0215900, 200.1981900, 200.5285000, 201.2074300, 202.7488600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("0.2108954, 0.2489586, 0.3293847, 0.4877315, 0.8305022, 1.6716706, 3.7521315", \
+					  "0.2125084, 0.2491702, 0.3302935, 0.4885152, 0.8309152, 1.6721313, 3.7545687", \
+					  "0.2122600, 0.2494226, 0.3264837, 0.4891588, 0.8325378, 1.6752517, 3.7488586", \
+					  "0.2127643, 0.2495574, 0.3306525, 0.4872136, 0.8313685, 1.6737506, 3.7495500", \
+					  "0.2099119, 0.2485534, 0.3269524, 0.4891522, 0.8325172, 1.6752714, 3.7490091", \
+					  "0.2116607, 0.2494417, 0.3284041, 0.4888667, 0.8306675, 1.6740970, 3.7531871", \
+					  "0.2122584, 0.2494355, 0.3284015, 0.4888825, 0.8303612, 1.6708559, 3.7562926");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("220.4323800, 220.4620800, 220.5581100, 220.7396800, 221.0652800, 221.6088900, 222.8648600", \
+					  "221.5911100, 221.6344900, 221.7309000, 221.8971400, 222.2088500, 222.7879500, 224.0535100", \
+					  "222.5600500, 222.5953800, 222.6865800, 222.8576800, 223.1782600, 223.7593000, 225.0193300", \
+					  "223.3713200, 223.4181100, 223.5074400, 223.6787300, 223.9944500, 224.5787300, 225.8379700", \
+					  "224.1413900, 224.1825300, 224.2666600, 224.4384700, 224.7474200, 225.3464300, 226.5937600", \
+					  "224.8785300, 224.9251000, 225.0150400, 225.1592100, 225.4942600, 226.0522800, 227.3294100", \
+					  "225.5218300, 225.5671600, 225.6574300, 225.8277200, 226.1393800, 226.7224800, 227.9804400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("0.2480150, 0.2826860, 0.3548308, 0.5058966, 0.8116844, 1.5225815, 3.3003156", \
+					  "0.2460317, 0.2823240, 0.3544505, 0.5031359, 0.8119789, 1.5212422, 3.3004668", \
+					  "0.2498599, 0.2830484, 0.3545997, 0.5059899, 0.8142374, 1.5224825, 3.2919705", \
+					  "0.2485348, 0.2827681, 0.3557943, 0.5069790, 0.8116350, 1.5198550, 3.3004623", \
+					  "0.2482580, 0.2835184, 0.3548941, 0.5028501, 0.8109682, 1.5218901, 3.3077574", \
+					  "0.2463840, 0.2828602, 0.3531445, 0.5031150, 0.8132512, 1.5213735, 3.2991274", \
+					  "0.2454744, 0.2841606, 0.3545513, 0.5048432, 0.8117340, 1.5210009, 3.2972105");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("195.2964800, 195.3586900, 195.4283300, 195.6107400, 195.9393600, 196.6090400, 198.1477900", \
+					  "196.3301100, 196.3766700, 196.4680400, 196.6438200, 196.9749500, 197.6485200, 199.1948900", \
+					  "197.1288400, 197.1738900, 197.2624700, 197.4330400, 197.7697400, 198.4478600, 199.9921900", \
+					  "197.8547700, 197.9027100, 197.9910400, 198.1659400, 198.4984800, 199.1768400, 200.7162600", \
+					  "198.5116900, 198.5567500, 198.6382800, 198.8174200, 199.1683100, 199.8321300, 201.3733000", \
+					  "199.1471700, 199.1921300, 199.2698800, 199.4589000, 199.7757700, 200.4691700, 202.0112200", \
+					  "199.7172100, 199.7591100, 199.8499900, 200.0319200, 200.3638700, 201.0379900, 202.5801000");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0235655, 0.0555333, 0.130867, 0.308395, 0.726749, 1.71262");
+					values("0.2125937, 0.2498903, 0.3296009, 0.4856567, 0.8315932, 1.6731957, 3.7537586", \
+					  "0.2109968, 0.2496811, 0.3274036, 0.4868631, 0.8317194, 1.6740355, 3.7467038", \
+					  "0.2147363, 0.2494128, 0.3286039, 0.4843742, 0.8346398, 1.6748110, 3.7504022", \
+					  "0.2119929, 0.2486178, 0.3304572, 0.4877473, 0.8312700, 1.6718738, 3.7567784", \
+					  "0.2124271, 0.2492876, 0.3313890, 0.4891359, 0.8309846, 1.6738422, 3.7533492", \
+					  "0.2120730, 0.2494981, 0.3264563, 0.4877432, 0.8345241, 1.6724568, 3.7478478", \
+					  "0.2116239, 0.2484839, 0.3264632, 0.4891546, 0.8325117, 1.6742892, 3.7545429");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 0.991811;
+			capacitance : 0.990998;
+			rise_capacitance : 0.990185;
+			max_transition : 25.0;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("3.8599072, 4.3273360, 4.7947648, 4.8647744, 4.9319836, 5.0019931, 5.0720027");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.5654403, -3.4383916, -3.3113430, -2.8879423, -2.4814778, -2.0580772, -1.6346766");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.097971;
+			capacitance : 0.113117;
+			fall_capacitance : 0.128263;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0447131, 0.2780692, 0.6008516, 0.9228701, 1.2320079, 1.5540264, 1.8760449");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0457285, -0.2755732, -0.5968749, -0.9171088, -1.2245334, -1.5447673, -1.8650012");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.084276;
+			capacitance : 0.125090;
+			fall_capacitance : 0.165904;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.2842618, 0.0499197, 0.3841011, 0.7189387, 1.0403828, 1.3752204, 1.7100580");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2842241, -0.0499423, -0.3841087, -0.7189735, -1.0404436, -1.3753083, -1.7101730");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.681037;
+			capacitance : 1.980833;
+			fall_capacitance : 2.280628;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0177292, -0.0178683, -0.0180075, -0.0179927, -0.0179785, -0.0179636, -0.0179488");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0176341, 0.0177841, 0.0179341, 0.0178618, 0.0177925, 0.0177203, 0.0176481");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050068;
+			capacitance : 0.050068;
+			fall_capacitance : 0.050067;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0089763, -0.0089928, -0.0090093, -0.0089883, -0.0089681, -0.0089471, -0.0089261");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0090022, 0.0090397, 0.0090773, 0.0090437, 0.0090115, 0.0089779, 0.0089443");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045975;
+			capacitance : 0.045969;
+			fall_capacitance : 0.045964;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0149454, -0.0150015, -0.0150576, -0.0149766, -0.0148989, -0.0148179, -0.0147369");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0149414, 0.0149623, 0.0149833, 0.0149235, 0.0148661, 0.0148063, 0.0147465");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_3p00v_100C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v35_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v35_1v65.lib
new file mode 100644
index 0000000..8b0fbb8
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v35_1v65.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ss_n40C_1v35_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.350000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.350000);
+	voltage_map("VCCHIB",1.350000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.135; 
+			 voh : 1.215; 
+			 vomax : 1.418; 
+			 vomin : -0.068; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.405; 
+			 vih : 0.945; 
+			 vimax : 1.418; 
+			 vimin : -0.068; 
+		}
+	 operating_conditions ("ss_ss_1p35v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.350000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		dont_use : true;
+		is_macro_cell : true; 
+                interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 5.466440e-01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.5056540";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.5466440";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.016054;
+			capacitance : 0.015559;
+			fall_capacitance : 0.015064;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.2310727, 0.7885993, 1.3461260, 1.7817784, 2.2226796, 2.6583319, 3.0939843");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1806530, -0.8680677, -1.5554824, -2.0405896, -2.5315415, -3.0166487, -3.5017560");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.022109;
+			capacitance : 0.022034;
+			fall_capacitance : 0.021958;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0078073, -0.0078091, -0.0078109, -0.0080007, -0.0081928, -0.0083825, -0.0085723");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0499768, 0.0550816, 0.0601864, 0.0601200, 0.0600527, 0.0599862, 0.0599197");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.028722;
+			capacitance : 0.028277;
+			fall_capacitance : 0.027832;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0134946, 0.0387026, 0.0908999, 0.0890262, 0.0871300, 0.0852564, 0.0833828");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0660449, 0.0820265, 0.0980082, 0.0973942, 0.0967729, 0.0961590, 0.0955450");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017143;
+			capacitance : 0.016960;
+			fall_capacitance : 0.016777;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0141303, 0.0207208, 0.0273112, 0.0273248, 0.0273386, 0.0273522, 0.0273658");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0194499, 0.0201767, 0.0209035, 0.0208389, 0.0207736, 0.0207091, 0.0206446");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.025823;
+			capacitance : 0.025177;
+			fall_capacitance : 0.024532;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0114368, 0.0229196, 0.0572761, 0.0570148, 0.0567504, 0.0564891, 0.0562278");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0624262, 0.2759851, 0.4895440, 0.5209762, 0.5527872, 0.5842195, 0.6156518");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010016;
+			capacitance : 0.010022;
+			fall_capacitance : 0.010028;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0112820, -0.0112728, -0.0112636, -0.0112602, -0.0112567, -0.0112532, -0.0112498");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0112362, 0.0112439, 0.0112516, 0.0112474, 0.0112431, 0.0112389, 0.0112347");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.609408;
+			max_transition : 3.748978;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("1.6733371, 1.6772817, 1.6792836, 1.6722477, 1.6847401, 1.6961735, 1.7101564", \
+ 					  "1.3284326, 1.3369379, 1.3341309, 1.3464477, 1.3471262, 1.3544552, 1.3643255", \
+ 					  "2.6293475, 2.6321462, 2.6362137, 2.6418973, 2.6482779, 2.6561505, 2.6659523", \
+ 					  "2.6302274, 2.6325374, 2.6365366, 2.6427120, 2.6484234, 2.6570768, 2.6670395", \
+ 					  "2.6266780, 2.6282557, 2.6335607, 2.6390448, 2.6450320, 2.6525843, 2.6632915", \
+ 					  "2.6241437, 2.6270750, 2.6302578, 2.6368968, 2.6428725, 2.6508101, 2.6604493", \
+ 					  "2.6253795, 2.6286306, 2.6319246, 2.6379346, 2.6445679, 2.6522365, 2.6623205");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("3.6073929, 3.6214715, 3.5810260, 3.6262531, 3.6443822, 3.6392858, 3.6664392", \
+ 					  "3.2622480, 3.2687680, 3.2726590, 3.2742371, 3.2826395, 3.2931411, 3.3177230", \
+ 					  "2.8667147, 2.8690674, 2.8731685, 2.8789384, 2.8869632, 2.8980898, 2.9193971", \
+ 					  "2.9417877, 2.9479392, 2.9538282, 2.9545372, 2.9626269, 2.9749898, 2.9954188", \
+ 					  "3.0239663, 3.0201553, 3.0238112, 3.0357444, 3.0443905, 3.0573788, 3.0718856", \
+ 					  "3.1033097, 3.0952059, 3.0994985, 3.1157078, 3.1242164, 3.1286473, 3.1613434", \
+ 					  "3.1739021, 3.1666655, 3.1715956, 3.1848122, 3.1936684, 3.1973779, 3.2330731");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("3.8001552, 3.8031213, 3.8079612, 3.8240469, 3.8176306, 3.8266826, 3.8624068", \
+ 					  "3.4555806, 3.4579166, 3.4617759, 3.4676089, 3.4763632, 3.4890959, 3.5075396", \
+ 					  "3.0711542, 3.0731936, 3.0776863, 3.0834994, 3.0913267, 3.1019597, 3.1250018", \
+ 					  "3.1751030, 3.1774553, 3.1807827, 3.1861042, 3.1950510, 3.2091435, 3.2276464", \
+ 					  "3.2640399, 3.2667716, 3.2712125, 3.2771576, 3.2854810, 3.2975291, 3.3211784", \
+ 					  "3.3531604, 3.3560064, 3.3599349, 3.3649806, 3.3742893, 3.3891039, 3.4116815", \
+ 					  "3.4392788, 3.4414080, 3.4452937, 3.4533791, 3.4605370, 3.4749105, 3.4981688");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("1.6457154, 1.6507042, 1.6514369, 1.6532707, 1.6643203, 1.6706557, 1.6785144", \
+ 					  "1.2826196, 1.2852004, 1.2899740, 1.2946452, 1.3010109, 1.3049342, 1.3169611", \
+ 					  "2.5739660, 2.5767756, 2.5811657, 2.5866893, 2.5928404, 2.6001996, 2.6090757", \
+ 					  "2.5661574, 2.5674933, 2.5720569, 2.5764360, 2.5820422, 2.5892574, 2.5980275", \
+ 					  "2.5603504, 2.5602636, 2.5649190, 2.5698768, 2.5760483, 2.5832494, 2.5913143", \
+ 					  "2.5516039, 2.5540676, 2.5584931, 2.5627816, 2.5696229, 2.5766576, 2.5850945", \
+ 					  "2.5456872, 2.5477636, 2.5526168, 2.5583168, 2.5644098, 2.5710640, 2.5795345");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("-0.7490119, -0.7475846, -0.7454628, -0.7433380, -0.7422410, -0.7426446, -0.7515505", \
+ 					  "-0.7599054, -0.7587741, -0.7568407, -0.7547807, -0.7540367, -0.7561376, -0.7632501", \
+ 					  "-0.7601191, -0.7587514, -0.7559089, -0.7610894, -0.7525225, -0.7565729, -0.7444017", \
+ 					  "-0.7603371, -0.7587343, -0.7295142, -0.7513964, -0.7562137, -0.7522929, -0.7655318", \
+ 					  "-0.7487539, -0.7475978, -0.7449728, -0.7156012, -0.7427270, -0.7443150, -0.7366172", \
+ 					  "-0.7600988, -0.7585278, -0.7560156, -0.7548007, -0.7542693, -0.7570293, -0.7649352", \
+ 					  "-0.7525163, -0.7718568, -0.7386445, -0.7578279, -0.7583308, -0.7548772, -0.7581512");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("0.9632891, 0.9665647, 0.9667118, 0.9753411, 0.9808190, 0.9873386, 0.9936934", \
+ 					  "0.9754395, 0.9747635, 0.9818683, 0.9867946, 0.9919023, 0.9988674, 1.0062016", \
+ 					  "0.9751223, 0.9649925, 0.9816625, 0.9818537, 0.9925752, 0.9985739, 1.0059316", \
+ 					  "0.9742378, 0.9679767, 0.9807893, 0.9870661, 0.9922122, 0.9986551, 1.0061398", \
+ 					  "0.9643227, 0.9660954, 0.9703298, 0.9615599, 0.9811418, 0.9873814, 0.9947289", \
+ 					  "0.9750375, 0.9771064, 0.9818575, 0.9868535, 0.9925366, 0.9985446, 1.0059796", \
+ 					  "0.9749571, 0.9611292, 0.9816274, 0.9866651, 0.9924041, 0.9984119, 1.0059582");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.03940144, 0.07821106, 0.1552474, 0.3081629, 0.611697");
+					values("1.5721558, 1.4719135, 1.4783916, 1.4928590, 1.4850714, 1.4942775, 1.5017069", \
+					  "1.1011457, 1.0955145, 1.1081206, 1.1051368, 1.1107684, 1.1170104, 1.1327107", \
+					  "2.6330966, 2.6322759, 2.6392677, 2.6406568, 2.6466706, 2.6538264, 2.6636993", \
+					  "2.6350896, 2.6356619, 2.6416183, 2.6452900, 2.6514659, 2.6579741, 2.6648954", \
+					  "2.6354763, 2.6359913, 2.6410115, 2.6452564, 2.6504560, 2.6568279, 2.6645400", \
+					  "2.6379365, 2.6411416, 2.6442576, 2.6497678, 2.6554601, 2.6616188, 2.6694200", \
+					  "2.6386883, 2.6418453, 2.6444775, 2.6496743, 2.6561624, 2.6623738, 2.6690011");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.03940144, 0.07821106, 0.1552474, 0.3081629, 0.611697");
+					values("3.5189948, 3.5561298, 3.5445874, 3.5415876, 3.5275826, 3.5465138, 3.5698634", \
+					  "3.1382826, 3.1409532, 3.1446505, 3.1516907, 3.1571861, 3.1675972, 3.1905209", \
+					  "2.4087097, 2.4112810, 2.4148424, 2.4168762, 2.4295210, 2.4423976, 2.4605836", \
+					  "2.4249600, 2.4274213, 2.4323989, 2.4396435, 2.4448223, 2.4608785, 2.4829958", \
+					  "2.4427173, 2.4460165, 2.4491281, 2.4554269, 2.4627292, 2.4773922, 2.4992545", \
+					  "2.4593487, 2.4605626, 2.4642521, 2.4719560, 2.4793789, 2.4933972, 2.5131143", \
+					  "2.4751401, 2.4772729, 2.4819491, 2.4892831, 2.4960919, 2.5080250, 2.5273867");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.03940144, 0.07821106, 0.1552474, 0.3081629, 0.611697");
+					values("1.5711733, 1.4710730, 1.4794352, 1.4821664, 1.4902198, 1.4932393, 1.5022573", \
+					  "1.1018547, 1.0970257, 1.1006985, 1.1052255, 1.1113942, 1.1175908, 1.1331916", \
+					  "2.6338938, 2.6318899, 2.6368512, 2.6419893, 2.6472394, 2.6533587, 2.6647703", \
+					  "2.6361786, 2.6365733, 2.6411573, 2.6457430, 2.6523196, 2.6583776, 2.6663561", \
+					  "2.6355550, 2.6363150, 2.6403760, 2.6454190, 2.6514136, 2.6576649, 2.6662023", \
+					  "2.6386887, 2.6416846, 2.6451012, 2.6501401, 2.6562825, 2.6623330, 2.6697462", \
+					  "2.6391378, 2.6419242, 2.6455494, 2.6504200, 2.6565070, 2.6625501, 2.6698830");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.03940144, 0.07821106, 0.1552474, 0.3081629, 0.611697");
+					values("3.5398687, 3.4923571, 3.5207412, 3.5143154, 3.5326174, 3.5300218, 3.5766186", \
+					  "3.1382253, 3.1398943, 3.1448216, 3.1510308, 3.1596197, 3.1765150, 3.1986228", \
+					  "2.4086345, 2.4100450, 2.4138868, 2.4214862, 2.4291914, 2.4429666, 2.4670441", \
+					  "2.4243459, 2.4289618, 2.4309760, 2.4385398, 2.4453358, 2.4562186, 2.4793427", \
+					  "2.4409955, 2.4454768, 2.4482521, 2.4538269, 2.4619035, 2.4774815, 2.5041093", \
+					  "2.4575680, 2.4611489, 2.4646626, 2.4722177, 2.4750427, 2.4917566, 2.5166457", \
+					  "2.4755805, 2.4794648, 2.4820079, 2.4891291, 2.4971687, 2.5109762, 2.5407118");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("236.2585100, 236.2801000, 236.4474900, 236.6893900, 237.0617400, 237.8370700, 239.0712300", \
+ 					  "239.9007400, 240.0019800, 240.1489200, 240.3951100, 240.7811100, 241.5498300, 242.7976300", \
+ 					  "243.6190400, 243.7277000, 243.8781900, 244.1183300, 244.5002000, 245.2559600, 246.5165200", \
+ 					  "247.2619400, 247.3680400, 247.5183600, 247.7360700, 248.1399400, 248.8177700, 250.1600100", \
+ 					  "250.8976100, 250.9618200, 251.1081300, 251.3700700, 251.7748800, 252.5076200, 253.7924200", \
+ 					  "254.4832100, 254.5506300, 254.6975700, 254.9546100, 255.3592300, 256.0440200, 257.3780800", \
+ 					  "257.9608600, 258.0074200, 258.1535300, 258.4275200, 258.8339200, 259.5110700, 260.8735200");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4802828, 0.5480713, 0.6637563, 0.8762370, 1.2783631, 2.0879996, 3.7466443", \
+ 					  "0.4769827, 0.5478023, 0.6640687, 0.8772110, 1.2784113, 2.0948223, 3.7466621", \
+ 					  "0.4799365, 0.5421547, 0.6632396, 0.8718877, 1.2771073, 2.0869433, 3.7482497", \
+ 					  "0.4809527, 0.5452285, 0.6611634, 0.8778698, 1.2755033, 2.0773501, 3.7477199", \
+ 					  "0.4810884, 0.5475092, 0.6628723, 0.8719757, 1.2718556, 2.0975497, 3.7361056", \
+ 					  "0.4777287, 0.5456276, 0.6612487, 0.8753425, 1.2785017, 2.0884017, 3.7446197", \
+ 					  "0.4777558, 0.5451443, 0.6616237, 0.8722094, 1.2829726, 2.0975142, 3.7459196");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("358.7642000, 358.8490600, 358.9881900, 359.1788100, 359.5072000, 360.0739800, 361.0678900", \
+ 					  "357.1375900, 357.2839900, 357.3402400, 357.6224100, 357.8998900, 358.4632400, 359.4685400", \
+ 					  "359.5215500, 359.6367200, 359.7306800, 359.9483600, 360.2837900, 360.8516900, 361.8566800", \
+ 					  "362.5372400, 362.6050500, 362.7318100, 362.9860100, 363.3568600, 363.8553800, 364.8635000", \
+ 					  "365.8510900, 365.9208700, 366.0427700, 366.2775900, 366.6170000, 367.1852400, 368.1897500", \
+ 					  "369.2326500, 369.3111800, 369.4379700, 369.6575600, 369.9846000, 370.5466700, 371.5753400", \
+ 					  "372.6887300, 372.7674000, 372.8912600, 373.1096300, 373.4110400, 374.0144900, 375.0196200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4641650, 0.5142400, 0.6058047, 0.7758839, 1.0785220, 1.6516910, 2.8391198", \
+ 					  "0.4605465, 0.5118864, 0.6059651, 0.7812044, 1.0796543, 1.6549110, 2.8435878", \
+ 					  "0.4590799, 0.5168847, 0.6061971, 0.7777162, 1.0776324, 1.6577972, 2.8444653", \
+ 					  "0.4654160, 0.5131988, 0.6060465, 0.7796458, 1.0758595, 1.6533719, 2.8557712", \
+ 					  "0.4607921, 0.5117789, 0.6050065, 0.7804517, 1.0767743, 1.6536972, 2.8332385", \
+ 					  "0.4602684, 0.5130393, 0.6054077, 0.7764880, 1.0789885, 1.6546862, 2.8412006", \
+ 					  "0.4616988, 0.5152561, 0.6076450, 0.7799667, 1.0786900, 1.6582548, 2.8527176");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("237.0928900, 237.2173500, 237.4145700, 237.6074700, 238.0018700, 238.7261000, 240.1534700", \
+ 					  "240.7942400, 240.8814300, 241.0260700, 241.2685100, 241.6719800, 242.3754500, 243.6899800", \
+ 					  "244.5949900, 244.6812700, 244.8284400, 245.0709900, 245.4747800, 246.1788700, 247.4915300", \
+ 					  "248.3452000, 248.4318300, 248.5766700, 248.8201600, 249.2234600, 249.9273000, 251.2431600", \
+ 					  "252.1078100, 252.1950100, 252.3425200, 252.5803800, 252.9882600, 253.6872000, 254.9990400", \
+ 					  "255.7362700, 255.8265600, 255.9740700, 256.2125600, 256.6154900, 257.3202400, 258.6365000", \
+ 					  "259.3936300, 259.4782400, 259.6228500, 259.8671400, 260.2722900, 260.9668600, 262.2861400");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4807510, 0.5437811, 0.6567710, 0.8724855, 1.2829515, 2.0808808, 3.7468322", \
+ 					  "0.4798046, 0.5437595, 0.6645176, 0.8713199, 1.2814699, 2.0780730, 3.7480858", \
+ 					  "0.4815991, 0.5472531, 0.6638472, 0.8748927, 1.2814031, 2.0867239, 3.7515360", \
+ 					  "0.4808667, 0.5450425, 0.6581536, 0.8711631, 1.2770518, 2.0905655, 3.7482888", \
+ 					  "0.4779810, 0.5432865, 0.6598495, 0.8713062, 1.2739673, 2.0779409, 3.7446886", \
+ 					  "0.4780849, 0.5455644, 0.6612413, 0.8718848, 1.2834913, 2.0877351, 3.7466923", \
+ 					  "0.4776349, 0.5412942, 0.6587047, 0.8808369, 1.2788023, 2.0894515, 3.7314107");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("274.9635000, 275.0905700, 275.2322000, 275.3750200, 275.8080800, 276.2657200, 277.2846700", \
+ 					  "272.2021600, 272.2885600, 272.4142400, 272.6216900, 272.9591400, 273.4985900, 274.5324300", \
+ 					  "273.5180800, 273.6060200, 273.7448000, 273.9280900, 274.2849900, 274.8186600, 275.8115700", \
+ 					  "275.5951700, 275.6808600, 275.8046100, 276.0500300, 276.3875400, 276.9624200, 277.9633200", \
+ 					  "278.0769500, 278.0769501, 278.1384300, 278.3508300, 278.6849800, 279.2514000, 280.2491700", \
+ 					  "280.7165600, 280.7992700, 280.9417200, 281.1280000, 281.4836400, 282.0464700, 283.0704200", \
+ 					  "283.6852700, 283.7693100, 283.9109900, 284.1235900, 284.4515200, 285.0480000, 286.0114700");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4604387, 0.5148773, 0.6059455, 0.7699785, 1.0790890, 1.6544077, 2.8410150", \
+ 					  "0.4620925, 0.5140173, 0.6061513, 0.7795666, 1.0743874, 1.6537695, 2.8475438", \
+ 					  "0.4607808, 0.5133475, 0.6066613, 0.7774672, 1.0762427, 1.6572906, 2.8389455", \
+ 					  "0.4591877, 0.5165986, 0.6068202, 0.7765391, 1.0794757, 1.6544904, 2.8464933", \
+ 					  "0.4595033, 0.5124241, 0.6124614, 0.7729661, 1.0719214, 1.6534423, 2.8424916", \
+ 					  "0.4588943, 0.5198999, 0.6165642, 0.7765413, 1.0758204, 1.6496490, 2.8428180", \
+ 					  "0.4646327, 0.5165627, 0.6105274, 0.7748649, 1.0762443, 1.6504518, 2.8466931");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("212.0366200, 212.1221600, 212.2814200, 212.5135300, 212.9172100, 213.6298700, 214.9413700", \
+ 					  "212.1306400, 212.2147600, 212.3619000, 212.6042500, 213.0083200, 213.7124100, 215.0271100", \
+ 					  "212.3810500, 212.4696000, 212.6172800, 212.8592200, 213.2627400, 213.9648400, 215.2771500", \
+ 					  "212.6368500, 212.7221600, 212.8682400, 213.1116300, 213.5159500, 214.2195100, 215.5337700", \
+ 					  "212.9035000, 212.9640300, 213.1393200, 213.3535600, 213.7571500, 214.4593500, 215.7716200", \
+ 					  "213.1541000, 213.2583500, 213.3891700, 213.6455600, 214.0504400, 214.7541000, 216.0665100", \
+ 					  "213.4054300, 213.4766400, 213.6367700, 213.8663100, 214.2703400, 214.9742800, 216.2890100");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4806203, 0.5446279, 0.6586556, 0.8783169, 1.2765016, 2.0911268, 3.7454257", \
+ 					  "0.4773667, 0.5475850, 0.6638391, 0.8747469, 1.2785595, 2.0948467, 3.7489780", \
+ 					  "0.4807197, 0.5443854, 0.6606137, 0.8791852, 1.2764645, 2.0977826, 3.7393031", \
+ 					  "0.4813835, 0.5470568, 0.6640244, 0.8759861, 1.2762422, 2.0944826, 3.7464778", \
+ 					  "0.4833473, 0.5442511, 0.6606082, 0.8790969, 1.2765878, 2.0978108, 3.7396903", \
+ 					  "0.4811730, 0.5446781, 0.6598411, 0.8786319, 1.2832948, 2.0950628, 3.7370702", \
+ 					  "0.4774512, 0.5477396, 0.6639591, 0.8747524, 1.2783991, 2.0947151, 3.7489745");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("250.4238000, 250.5002500, 250.6363600, 250.8429300, 251.1855000, 251.7470800, 252.7552000", \
+ 					  "250.7658500, 250.8479000, 250.9825900, 251.1892800, 251.5319000, 252.0939700, 253.0995600", \
+ 					  "251.0411700, 251.1207200, 251.2562700, 251.4729500, 251.8101500, 252.3699400, 253.3770400", \
+ 					  "251.3136500, 251.3936600, 251.5200800, 251.7466300, 252.0777100, 252.6418800, 253.6486100", \
+ 					  "251.6145500, 251.6903200, 251.8273700, 252.0393100, 252.3763100, 252.9378700, 253.9435400", \
+ 					  "251.9145100, 252.0089000, 252.1413800, 252.3422000, 252.6962000, 253.2577500, 254.2653900", \
+ 					  "252.1765900, 252.2529600, 252.3906100, 252.5997000, 252.9373800, 253.5013600, 254.5041600");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4625137, 0.5124880, 0.6038406, 0.7799179, 1.0752532, 1.6535655, 2.8384877", \
+ 					  "0.4621467, 0.5162052, 0.6106239, 0.7788942, 1.0746261, 1.6528219, 2.8493234", \
+ 					  "0.4600989, 0.5119060, 0.6047023, 0.7766353, 1.0779403, 1.6510548, 2.8480986", \
+ 					  "0.4608376, 0.5132105, 0.6093319, 0.7782663, 1.0751979, 1.6549331, 2.8520852", \
+ 					  "0.4612813, 0.5143000, 0.6122136, 0.7772661, 1.0756067, 1.6528855, 2.8490952", \
+ 					  "0.4589666, 0.5119087, 0.6090284, 0.7795099, 1.0780216, 1.6499746, 2.8538667", \
+ 					  "0.4638613, 0.5154788, 0.6089749, 0.7691649, 1.0772380, 1.6542661, 2.8483975");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("225.6652900, 225.6652909, 225.8320800, 226.0124200, 226.4734200, 227.1802900, 228.4959800", \
+					  "228.1460900, 228.2345000, 228.3747700, 228.6496400, 229.0323800, 229.7377500, 231.0517000", \
+					  "230.3287400, 230.4162800, 230.5611700, 230.8097600, 231.2087200, 231.9144100, 233.2344700", \
+					  "232.2707600, 232.3583700, 232.5081600, 232.7403200, 233.1506400, 233.8065700, 235.1769400", \
+					  "234.0438400, 234.1351000, 234.2793000, 234.4833700, 234.9274400, 235.6323000, 236.9506400", \
+					  "235.7221400, 235.8039800, 235.9525300, 236.1955600, 236.6038200, 237.3088500, 238.6286400", \
+					  "237.3693900, 237.4562300, 237.6023400, 237.8903500, 238.2509300, 238.9570000, 240.2753400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("0.4807675, 0.5444720, 0.6588766, 0.8737622, 1.2814658, 2.0924587, 3.7503116", \
+					  "0.4776051, 0.5449484, 0.6643752, 0.8798629, 1.2810639, 2.0929782, 3.7466457", \
+					  "0.4798268, 0.5439347, 0.6647347, 0.8728949, 1.2834466, 2.0823911, 3.7604249", \
+					  "0.4775315, 0.5442919, 0.6607637, 0.8809441, 1.2770522, 2.0905686, 3.7575774", \
+					  "0.4793670, 0.5451019, 0.6603783, 0.8745834, 1.2868709, 2.0933746, 3.7596992", \
+					  "0.4812432, 0.5421818, 0.6584517, 0.8811699, 1.2831037, 2.0959630, 3.7600456", \
+					  "0.4819993, 0.5470299, 0.6647397, 0.8791234, 1.2832670, 2.0916056, 3.7468686");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("257.0641600, 258.2848400, 258.3751400, 258.5837300, 258.9208600, 259.4898100, 260.4900300", \
+					  "260.7720800, 260.8483400, 260.9902500, 261.1934900, 261.5374200, 262.1073900, 263.1071300", \
+					  "263.6372800, 263.7218300, 263.8502100, 264.0555000, 264.3986600, 264.9667000, 265.9761000", \
+					  "266.1284700, 266.2105800, 266.3400700, 266.5572900, 266.8947200, 267.4623300, 268.4684800", \
+					  "268.4018900, 268.4868200, 268.6092600, 268.8270200, 269.1525700, 269.7201800, 270.7435800", \
+					  "270.5421900, 270.6280800, 270.7569400, 270.9676000, 271.2999100, 271.8664400, 272.8865800", \
+					  "272.5844600, 272.6659700, 272.7966000, 273.0138200, 273.3538300, 273.9219000, 274.9253300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("0.4624488, 0.5160784, 0.6067769, 0.7767532, 1.0790235, 1.6600921, 2.8524687", \
+					  "0.4608910, 0.5129456, 0.6127090, 0.7802512, 1.0740436, 1.6561970, 2.8506468", \
+					  "0.4617422, 0.5145869, 0.6070578, 0.7804406, 1.0795366, 1.6578338, 2.8499267", \
+					  "0.4604309, 0.5123492, 0.6052055, 0.7765127, 1.0766207, 1.6595408, 2.8576461", \
+					  "0.4613491, 0.5131910, 0.6041851, 0.7750976, 1.0780939, 1.6596050, 2.8505798", \
+					  "0.4613065, 0.5140177, 0.6107927, 0.7779011, 1.0766020, 1.6553308, 2.8622773", \
+					  "0.4593191, 0.5133422, 0.6041401, 0.7740007, 1.0784976, 1.6569889, 2.8439602");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("225.6820200, 225.6820298, 225.8400800, 226.1573800, 226.5396700, 227.2167700, 228.4436500", \
+					  "228.2241500, 228.2419900, 228.4592000, 228.6409700, 229.1064600, 229.7307900, 231.0726500", \
+					  "230.3427600, 230.4243900, 230.5662900, 230.8217800, 231.2213500, 231.9266200, 233.2516400", \
+					  "232.2679400, 232.3531600, 232.5014300, 232.7289600, 233.1474300, 233.8570100, 235.1562200", \
+					  "234.0223200, 234.1147600, 234.2591900, 234.4842000, 234.9042900, 235.6090900, 236.9136200", \
+					  "235.7084800, 235.8230700, 235.9539900, 236.1952600, 236.5896000, 237.3064500, 238.6202600", \
+					  "237.3722500, 237.4522800, 237.6541900, 237.8424400, 238.2532100, 239.0008500, 240.2728100");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("0.4801164, 0.5452797, 0.6576672, 0.8736080, 1.2812186, 2.0927371, 3.7612113", \
+					  "0.4778662, 0.5475262, 0.6599413, 0.8786771, 1.2757371, 2.1020303, 3.7453747", \
+					  "0.4800564, 0.5509214, 0.6588053, 0.8738406, 1.2843173, 2.0875959, 3.7558610", \
+					  "0.4773912, 0.5452885, 0.6564001, 0.8774553, 1.2847474, 2.0846718, 3.7596615", \
+					  "0.4780316, 0.5456372, 0.6615249, 0.8727327, 1.2855013, 2.0938218, 3.7470342", \
+					  "0.4774852, 0.5398751, 0.6600877, 0.8747130, 1.2801218, 2.0760900, 3.7572541", \
+					  "0.4810399, 0.5470245, 0.6607183, 0.8721327, 1.2771513, 2.0936923, 3.7539092");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("256.4248700, 258.3105400, 258.3819000, 258.7759700, 258.9306700, 259.6337900, 260.7323200", \
+					  "260.7813800, 260.8637700, 261.0048000, 261.2107700, 261.5465700, 262.1167400, 263.1258000", \
+					  "263.6567700, 263.7290500, 263.8649100, 264.0713600, 264.4119100, 264.9719000, 265.9963900", \
+					  "266.1458600, 266.2265800, 266.3624900, 266.5641400, 266.9146400, 267.4795500, 268.4874400", \
+					  "268.4069800, 268.5021900, 268.6186600, 268.8227300, 269.1722300, 269.7528600, 270.7639100", \
+					  "270.5462800, 270.6437400, 270.7647300, 270.9751100, 271.3161100, 271.8938400, 272.9073900", \
+					  "272.6029600, 272.6815800, 272.8130300, 273.0284300, 273.3701800, 273.9351100, 274.9440900");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198498, 0.0394014, 0.0782111, 0.155247, 0.308163, 0.611697");
+					values("0.4598107, 0.5159466, 0.6060897, 0.7810514, 1.0788953, 1.6559102, 2.8507987", \
+					  "0.4605106, 0.5126689, 0.6099827, 0.7750811, 1.0778245, 1.6607605, 2.8501241", \
+					  "0.4618572, 0.5115447, 0.6080781, 0.7726371, 1.0732218, 1.6548463, 2.8574734", \
+					  "0.4603043, 0.5123568, 0.6125278, 0.7772098, 1.0777259, 1.6556461, 2.8576219", \
+					  "0.4659479, 0.5132380, 0.6080596, 0.7815580, 1.0771783, 1.6612079, 2.8541216", \
+					  "0.4657659, 0.5140101, 0.6115864, 0.7762181, 1.0766140, 1.6589707, 2.8542915", \
+					  "0.4627080, 0.5136000, 0.6120706, 0.7762030, 1.0784940, 1.6540758, 2.8501925");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			fall_capacitance : 0.998115;
+			capacitance : 1.000395;
+			rise_capacitance : 1.002676;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.1396765, -1.0636806, -0.9876848, -0.9075022, -0.8263537, -0.7461711, -0.6659886");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.2061962, 1.3096745, 1.4131529, 1.4545899, 1.4965261, 1.5379631, 1.5794000");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.373408;
+			capacitance : 0.356980;
+			fall_capacitance : 0.340551;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0111302, 0.3029680, 0.6170662, 0.9323870, 1.2515069, 1.5668277, 1.8821485");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0223237, -0.2565886, -0.5355008, -0.8113761, -1.0905752, -1.3664505, -1.6423258");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.428040;
+			capacitance : 0.425814;
+			fall_capacitance : 0.423589;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0858066, 0.2895064, 0.6648195, 1.0399884, 1.4196774, 1.7948463, 2.1700153");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0858078, -0.2895070, -0.6648219, -1.0399812, -1.4196606, -1.7948199, -2.1699793");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 6.175754;
+			capacitance : 7.289343;
+			fall_capacitance : 8.402932;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0055042, -0.0054642, -0.0054242, -0.0054667, -0.0055096, -0.0055520, -0.0055945");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0055162, 0.0054746, 0.0054330, 0.0054246, 0.0054160, 0.0054076, 0.0053992");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.049869;
+			capacitance : 0.049878;
+			fall_capacitance : 0.049887;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0027648, -0.0027709, -0.0027771, -0.0027781, -0.0027791, -0.0027801, -0.0027811");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0028085, 0.0027984, 0.0027883, 0.0027830, 0.0027777, 0.0027725, 0.0027673");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.046026;
+			capacitance : 0.046028;
+			fall_capacitance : 0.046029;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0045658, -0.0045768, -0.0045877, -0.0045775, -0.0045672, -0.0045570, -0.0045468");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0045957, 0.0045924, 0.0045891, 0.0045775, 0.0045659, 0.0045544, 0.0045428");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p35v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v40_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v40_1v65.lib
new file mode 100644
index 0000000..3a96943
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v40_1v65.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ss_n40C_1v40_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.400000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.400000);
+	voltage_map("VCCHIB",1.400000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.140; 
+			 voh : 1.260; 
+			 vomax : 1.470; 
+			 vomin : -0.070; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.420; 
+			 vih : 0.980; 
+			 vimax : 1.470; 
+			 vimin : -0.070; 
+		}
+	 operating_conditions ("ss_ss_1p40v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.400000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 4.976550e+00;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.6038210";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "4.9765500";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.016054;
+			capacitance : 0.015559;
+			fall_capacitance : 0.015064;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.2310830, 0.7886061, 1.3461293, 1.7817860, 2.2226917, 2.6583485, 3.0940053");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.1806550, -0.8680673, -1.5554796, -2.0405811, -2.5315272, -3.0166287, -3.5017302");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			fall_capacitance : 0.021958;
+			capacitance : 0.022034;
+			rise_capacitance : 0.022109;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0499704, 0.0550727, 0.0601750, 0.0600998, 0.0600238, 0.0599486, 0.0598734");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0077754, -0.0077884, -0.0078014, -0.0079901, -0.0081811, -0.0083698, -0.0085585");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.028722;
+			capacitance : 0.028277;
+			fall_capacitance : 0.027832;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0135223, 0.0386826, 0.0908875, 0.0890134, 0.0871167, 0.0852427, 0.0833686");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0660737, 0.0820343, 0.0979948, 0.0973817, 0.0967612, 0.0961480, 0.0955349");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 5.00;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017231;
+			capacitance : 0.017070;
+			fall_capacitance : 0.016909;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0197273, 0.0249520, 0.0301766, 0.0301877, 0.0301988, 0.0302099, 0.0302209");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0214336, 0.0218018, 0.0221699, 0.0221205, 0.0220705, 0.0220212, 0.0219718");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.025823;
+			capacitance : 0.025177;
+			fall_capacitance : 0.024532;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0112308, 0.0232221, 0.0576750, 0.0573947, 0.0571111, 0.0568309, 0.0565506");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0624351, 0.2755895, 0.4887439, 0.5198776, 0.5513864, 0.5825201, 0.6136538");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.010016;
+			capacitance : 0.010022;
+			fall_capacitance : 0.010028;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0112899, -0.0112866, -0.0112833, -0.0112760, -0.0112686, -0.0112613, -0.0112540");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0112599, 0.0112604, 0.0112608, 0.0112625, 0.0112643, 0.0112660, 0.0112677");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.608836;
+			max_transition : 3.748775;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("1.4599757, 1.4578903, 1.4523466, 1.4658306, 1.4753757, 1.4798094, 1.4880912", \
+ 					  "1.1879480, 1.2022452, 1.2060538, 1.1995167, 1.2054483, 1.2243709, 1.2200105", \
+ 					  "2.7284659, 2.7306189, 2.7363563, 2.7400508, 2.7466033, 2.7542408, 2.7609078", \
+ 					  "2.7344865, 2.7371219, 2.7414527, 2.7463459, 2.7529188, 2.7597297, 2.7679280", \
+ 					  "2.7391939, 2.7431868, 2.7465499, 2.7508495, 2.7579638, 2.7644456, 2.7728922", \
+ 					  "2.7452552, 2.7480915, 2.7521080, 2.7576066, 2.7635110, 2.7699913, 2.7777433", \
+ 					  "2.7529331, 2.7552476, 2.7595302, 2.7646123, 2.7708443, 2.7771466, 2.7861402");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("3.6311933, 3.6308856, 3.6866522, 3.6583588, 3.6534303, 3.6650250, 3.6852395", \
+ 					  "3.3295222, 3.3320519, 3.3369017, 3.3439683, 3.3535128, 3.3619073, 3.3780873", \
+ 					  "2.6102493, 2.6116075, 2.6157670, 2.6223516, 2.6297092, 2.6429369, 2.6649895", \
+ 					  "2.6800005, 2.6823405, 2.6870715, 2.6912136, 2.7001506, 2.7131752, 2.7311590", \
+ 					  "2.7435419, 2.7462069, 2.7493622, 2.7550518, 2.7637009, 2.7771424, 2.7978060", \
+ 					  "2.8050314, 2.8073154, 2.8119757, 2.8158574, 2.8261594, 2.8373684, 2.8585955", \
+ 					  "2.8604621, 2.8627257, 2.8667021, 2.8721680, 2.8811385, 2.8942638, 2.9138575");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("3.5676098, 3.5150531, 3.5224600, 3.5529937, 3.5783324, 3.5749722, 3.5902438", \
+ 					  "3.2279164, 3.2303392, 3.2339264, 3.2405218, 3.2489153, 3.2594689, 3.2830884", \
+ 					  "2.5023570, 2.5049093, 2.5086083, 2.5134404, 2.5215277, 2.5353647, 2.5531484", \
+ 					  "2.5640909, 2.5666192, 2.5693308, 2.5772268, 2.5870627, 2.6018107, 2.6175811", \
+ 					  "2.6202757, 2.6225378, 2.6273126, 2.6316020, 2.6429989, 2.6526383, 2.6728613", \
+ 					  "2.6737967, 2.6756377, 2.6808957, 2.6881392, 2.6976121, 2.7059980, 2.7255140", \
+ 					  "2.7319395, 2.7270959, 2.7384338, 2.7434251, 2.7454367, 2.7526785, 2.7768217");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("1.8363662, 1.8399198, 1.8409201, 1.8472542, 1.8552565, 1.8635875, 1.8760214", \
+ 					  "1.5924237, 1.5946789, 1.5994758, 1.6047997, 1.5990120, 1.6200765, 1.6311063", \
+ 					  "3.1252162, 3.1261552, 3.1327595, 3.1386814, 3.1428117, 3.1537175, 3.1646383", \
+ 					  "3.1237970, 3.1265147, 3.1345493, 3.1392651, 3.1427207, 3.1508466, 3.1619915", \
+ 					  "3.1252195, 3.1279660, 3.1321644, 3.1378223, 3.1448425, 3.1524436, 3.1631820", \
+ 					  "3.1267469, 3.1307585, 3.1343454, 3.1400633, 3.1485199, 3.1550034, 3.1666284", \
+ 					  "3.1297562, 3.1333245, 3.1373177, 3.1433222, 3.1503901, 3.1578037, 3.1693053");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198436, 0.03937684, 0.07813783, 0.1550536, 0.3076821, 0.610552");
+ 					values("0.9624168, 0.9656381, 0.9671663, 0.9749462, 0.9791598, 0.9863813, 0.9925791", \
+ 					  "0.9745305, 0.9768133, 0.9807594, 0.9858822, 0.9914584, 0.9978805, 1.0051660", \
+ 					  "0.9743298, 0.9760803, 0.9805146, 0.9852656, 0.9915402, 0.9977830, 1.0049064", \
+ 					  "0.9742564, 0.9761276, 0.9797272, 0.9855411, 0.9914598, 0.9979096, 1.0051388", \
+ 					  "0.9634716, 0.9652474, 0.9696773, 0.9746546, 0.9803388, 0.9866649, 0.9937273", \
+ 					  "0.9743876, 0.9761544, 0.9806362, 0.9861825, 0.9915572, 0.9979477, 1.0050799", \
+ 					  "0.9743075, 0.9768559, 0.9807115, 0.9854717, 0.9918569, 0.9973717, 1.0046591");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198436, 0.03937684, 0.07813783, 0.1550536, 0.3076821, 0.610552");
+ 					values("-0.7482338, -0.7483450, -0.7440964, -0.7426080, -0.7415272, -0.7438575, -0.7509413", \
+ 					  "-0.7594227, -0.7584141, -0.7565224, -0.7545046, -0.7435930, -0.7559918, -0.7628447", \
+ 					  "-0.7598426, -0.7580757, -0.7553828, -0.7656286, -0.7518122, -0.7558821, -0.7441161", \
+ 					  "-0.7600500, -0.7583680, -0.7551967, -0.7508792, -0.7445228, -0.7511650, -0.7641436", \
+ 					  "-0.7480961, -0.7470857, -0.7525837, -0.7206795, -0.7441845, -0.7543430, -0.7455534", \
+ 					  "-0.7473633, -0.7581614, -0.7560872, -0.7545423, -0.7543760, -0.7564108, -0.7644011", \
+ 					  "-0.7695924, -0.7594572, -0.7311949, -0.7432829, -0.7524053, -0.7552398, -0.7557190");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.03936454, 0.07810122, 0.1549567, 0.3074419, 0.60998");
+					values("1.4689847, 1.4722280, 1.4784886, 1.4933884, 1.4874606, 1.4925566, 1.5007505", \
+					  "1.2046733, 1.1993626, 1.2118316, 1.2168033, 1.2233306, 1.2204829, 1.2349077", \
+					  "2.6304187, 2.6329342, 2.6398812, 2.6452284, 2.6509391, 2.6536774, 2.6648152", \
+					  "2.6342379, 2.6377696, 2.6425737, 2.6459648, 2.6531685, 2.6584381, 2.6682745", \
+					  "2.6336741, 2.6370702, 2.6420363, 2.6459492, 2.6530922, 2.6580274, 2.6682395", \
+					  "2.6391411, 2.6416551, 2.6454058, 2.6508093, 2.6564406, 2.6624896, 2.6708366", \
+					  "2.6397038, 2.6421035, 2.6461854, 2.6513520, 2.6569096, 2.6631327, 2.6702787");
+				}
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.03936454, 0.07810122, 0.1549567, 0.3074419, 0.60998");
+					values("3.5203445, 3.4952894, 3.5211945, 3.5097867, 3.5453522, 3.5461324, 3.5694592", \
+					  "3.1377647, 3.1401571, 3.1439551, 3.1493366, 3.1599139, 3.1725574, 3.1945103", \
+					  "2.4087221, 2.4107465, 2.4145512, 2.4204989, 2.4292406, 2.4378368, 2.4602004", \
+					  "2.4240059, 2.4273552, 2.4310961, 2.4361188, 2.4448150, 2.4600697, 2.4745336", \
+					  "2.4421491, 2.4459965, 2.4487595, 2.4546318, 2.4621204, 2.4791961, 2.5002010", \
+					  "2.4585160, 2.4620286, 2.4639128, 2.4699765, 2.4787535, 2.4950539, 2.5115979", \
+					  "2.4745566, 2.4797792, 2.4817425, 2.4878060, 2.4956619, 2.5158133, 2.5290668");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.03936454, 0.07810122, 0.1549567, 0.3074419, 0.60998");
+					values("3.5107920, 3.4764121, 3.5461485, 3.5149575, 3.5328462, 3.5288416, 3.5685238", \
+					  "3.1375604, 3.1398904, 3.1442949, 3.1516104, 3.1624112, 3.1767294, 3.1989334", \
+					  "2.4075366, 2.4106431, 2.4124275, 2.4197302, 2.4278927, 2.4394278, 2.4652199", \
+					  "2.4236808, 2.4260305, 2.4300557, 2.4363638, 2.4468160, 2.4560061, 2.4769845", \
+					  "2.4404415, 2.4435391, 2.4472965, 2.4524241, 2.4635099, 2.4764754, 2.4992348", \
+					  "2.4585310, 2.4594070, 2.4636302, 2.4706964, 2.4786497, 2.4909920, 2.5124525", \
+					  "2.4748016, 2.4774414, 2.4812832, 2.4865789, 2.4954166, 2.5104877, 2.5301389");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.03936454, 0.07810122, 0.1549567, 0.3074419, 0.60998");
+					values("1.5692045, 1.5724855, 1.5784059, 1.5786917, 1.5826486, 1.5938905, 1.6017609", \
+					  "1.2987139, 1.2972275, 1.3014468, 1.3058087, 1.3120286, 1.3202577, 1.3300632", \
+					  "2.7283377, 2.7214734, 2.7246443, 2.7302806, 2.7473729, 2.7416708, 2.7607348", \
+					  "2.7308164, 2.7319061, 2.7367214, 2.7414347, 2.7480212, 2.7536827, 2.7615583", \
+					  "2.7286810, 2.7312510, 2.7347638, 2.7400872, 2.7458816, 2.7542168, 2.7595983", \
+					  "2.7269716, 2.7328016, 2.7365243, 2.7420121, 2.7565302, 2.7546188, 2.7629073", \
+					  "2.7226524, 2.7251400, 2.7288402, 2.7341833, 2.7400796, 2.7457470, 2.7535003");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("233.5573200, 233.6181500, 233.8764500, 234.0489700, 234.4840800, 235.2594100, 236.4320400", \
+ 					  "235.8301300, 235.9212500, 236.0613300, 236.3031200, 236.7059700, 237.4081600, 238.7217500", \
+ 					  "237.9405000, 238.0111000, 238.0783900, 238.3104200, 238.7244300, 239.4266700, 240.7417100", \
+ 					  "239.6286300, 239.7311800, 239.8724600, 240.1076500, 240.5242900, 241.2273500, 242.5425400", \
+ 					  "241.3056000, 241.3951800, 241.5383400, 241.7806400, 242.1811300, 242.8872400, 244.2037900", \
+ 					  "242.8732600, 242.9671600, 243.0744800, 243.3492900, 243.7674600, 244.4543000, 245.7459800", \
+ 					  "244.4208500, 244.4501500, 244.6556400, 244.8504400, 245.2436800, 245.9471800, 247.3045100");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4803202, 0.5442724, 0.6661217, 0.8760220, 1.2842579, 2.0866264, 3.7272749", \
+ 					  "0.4751502, 0.5389647, 0.6668253, 0.8791886, 1.2817483, 2.0775024, 3.7487746", \
+ 					  "0.4814523, 0.5431531, 0.6672117, 0.8723446, 1.2732384, 2.0793198, 3.7459928", \
+ 					  "0.4848205, 0.5418370, 0.6584461, 0.8742728, 1.2814537, 2.0849273, 3.7466874", \
+ 					  "0.4786141, 0.5484501, 0.6655171, 0.8694534, 1.2805876, 2.0731405, 3.7452192", \
+ 					  "0.4828494, 0.5400910, 0.6619611, 0.8743896, 1.2741218, 2.1025253, 3.7267105", \
+ 					  "0.4809000, 0.5452022, 0.6596471, 0.8772719, 1.2776841, 2.0840281, 3.7258721");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("340.1277700, 340.2143700, 340.2798000, 340.6052300, 340.8875100, 341.4517900, 342.6160600", \
+ 					  "330.5987400, 330.6697100, 330.7918300, 331.0244100, 331.4613900, 331.9260900, 332.9239200", \
+ 					  "334.0802600, 334.1572200, 334.2810800, 334.5171800, 334.8510800, 335.4084900, 336.4227200", \
+ 					  "338.2013200, 338.2899600, 338.3368000, 338.5601100, 338.9671700, 339.5134600, 340.5269500", \
+ 					  "342.3344900, 342.4029800, 342.5365900, 342.7443600, 343.0957100, 343.6604200, 344.6662600", \
+ 					  "346.5099200, 346.5680400, 346.7430600, 346.9305900, 347.2800100, 347.8546400, 348.8440500", \
+ 					  "350.6860700, 350.8117200, 350.9111400, 351.1266000, 351.4428200, 352.0380900, 353.0183800");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4642760, 0.5116186, 0.6078995, 0.7810451, 1.0794297, 1.6555912, 2.8439620", \
+ 					  "0.4695572, 0.5151724, 0.6142701, 0.7782630, 1.0654484, 1.6505035, 2.8458056", \
+ 					  "0.4675772, 0.5166527, 0.6119707, 0.7757511, 1.0810978, 1.6545547, 2.8391409", \
+ 					  "0.4648434, 0.5134281, 0.6099464, 0.7798679, 1.0777508, 1.6525501, 2.8496365", \
+ 					  "0.4629186, 0.5179620, 0.6107351, 0.7799637, 1.0728652, 1.6472258, 2.8322611", \
+ 					  "0.4622860, 0.5153733, 0.6053763, 0.7766273, 1.0767820, 1.6543700, 2.8353628", \
+ 					  "0.4595374, 0.5148721, 0.6160918, 0.7766190, 1.0770010, 1.6466781, 2.8458005");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("234.8925800, 235.0889900, 235.1877800, 235.3820700, 235.8233200, 236.4917700, 237.7928200", \
+ 					  "237.2418100, 237.3290900, 237.4769800, 237.7197500, 238.1231600, 238.8254900, 240.1359900", \
+ 					  "239.2705500, 239.3523700, 239.4992600, 239.7420900, 240.1464800, 240.8502600, 242.1601600", \
+ 					  "240.9842100, 241.0709800, 241.2198500, 241.4602100, 241.8643400, 242.5686900, 243.8803200", \
+ 					  "242.6692700, 242.7507300, 242.8977000, 243.1400800, 243.5443500, 244.2487500, 245.5618400", \
+ 					  "244.2401700, 244.3394200, 244.4746500, 244.7247900, 245.1209600, 245.8233200, 247.1462300", \
+ 					  "245.7311700, 245.8172000, 245.9628400, 246.2052700, 246.6099400, 247.3121600, 248.6262900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4801688, 0.5431534, 0.6666501, 0.8729176, 1.2816450, 2.0924751, 3.7281347", \
+ 					  "0.4801730, 0.5478935, 0.6650505, 0.8738211, 1.2768254, 2.0758888, 3.7353316", \
+ 					  "0.4806224, 0.5417827, 0.6646090, 0.8787224, 1.2800951, 2.0928306, 3.7363286", \
+ 					  "0.4811328, 0.5469405, 0.6630121, 0.8749803, 1.2759965, 2.0908848, 3.7404257", \
+ 					  "0.4807344, 0.5466257, 0.6629915, 0.8748844, 1.2798546, 2.0910611, 3.7464809", \
+ 					  "0.4811429, 0.5467561, 0.6632838, 0.8722235, 1.2804038, 2.0860007, 3.7466914", \
+ 					  "0.4762382, 0.5410430, 0.6631655, 0.8766883, 1.2791758, 2.1023487, 3.7417811");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("260.7521600, 260.8993300, 261.0373100, 261.1574200, 261.7248400, 262.1560500, 263.2108400", \
+ 					  "262.2850600, 262.3309300, 262.4643400, 262.7017800, 263.0335400, 263.5831000, 264.6003700", \
+ 					  "265.8828700, 265.9624000, 266.0975400, 266.3152500, 266.6586500, 267.2081200, 268.2157300", \
+ 					  "269.6597200, 269.7430800, 269.8755300, 270.0992400, 270.4414000, 270.9920800, 271.9884000", \
+ 					  "273.4196300, 273.4782600, 273.6021800, 273.8115400, 274.1566000, 274.7481500, 275.7070100", \
+ 					  "277.0858000, 277.1508300, 277.2829600, 277.5031800, 277.8342900, 278.4028900, 279.4186600", \
+ 					  "280.5540800, 280.6392300, 280.7714700, 280.9807400, 281.3163500, 281.9005300, 282.8849400");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4635737, 0.5116354, 0.6157043, 0.7811412, 1.0796041, 1.6548167, 2.8436276", \
+ 					  "0.4612195, 0.5145277, 0.6068093, 0.7717951, 1.0795699, 1.6559647, 2.8413374", \
+ 					  "0.4622146, 0.5145651, 0.6063494, 0.7781864, 1.0721386, 1.6519365, 2.8369391", \
+ 					  "0.4646613, 0.5138230, 0.6058594, 0.7746122, 1.0758079, 1.6503526, 2.8453062", \
+ 					  "0.4617217, 0.5168617, 0.6046598, 0.7700571, 1.0760669, 1.6582216, 2.8525518", \
+ 					  "0.4615422, 0.5142973, 0.6096084, 0.7743282, 1.0807826, 1.6530137, 2.8434208", \
+ 					  "0.4599766, 0.5140561, 0.6063403, 0.7750973, 1.0744725, 1.6533506, 2.8497020");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("212.0348600, 212.1459200, 212.2938800, 212.5355000, 212.9397000, 213.6210500, 214.9576500", \
+ 					  "212.1313100, 212.2154800, 212.3627100, 212.6053100, 213.0101300, 213.7152700, 215.0326400", \
+ 					  "212.3816400, 212.4700000, 212.6181300, 212.8601600, 213.2642200, 213.9675800, 215.2826800", \
+ 					  "212.6367500, 212.7218300, 212.8733200, 213.1126400, 213.5174200, 214.2222100, 215.5395600", \
+ 					  "212.9041700, 212.9923900, 213.1125300, 213.3546500, 213.7587400, 214.4634800, 215.7770600", \
+ 					  "213.1546500, 213.2420500, 213.4059700, 213.6465400, 214.0518400, 214.7568000, 216.0720800", \
+ 					  "213.4034800, 213.4922400, 213.6327900, 213.8755100, 214.2863500, 214.9846800, 216.3068900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("0.4805915, 0.5467470, 0.6607149, 0.8790010, 1.2783351, 2.1004600, 3.7499959", \
+ 					  "0.4773623, 0.5476198, 0.6639761, 0.8751673, 1.2795233, 2.0974824, 3.7553507", \
+ 					  "0.4807198, 0.5456280, 0.6607466, 0.8795655, 1.2775171, 2.1003472, 3.7465746", \
+ 					  "0.4807068, 0.5471391, 0.6636217, 0.8764712, 1.2771892, 2.0971354, 3.7523602", \
+ 					  "0.4833414, 0.5451020, 0.6607154, 0.8794965, 1.2776669, 2.0937814, 3.7467940", \
+ 					  "0.4811467, 0.5461402, 0.6602650, 0.8790340, 1.2846464, 2.0976225, 3.7429571", \
+ 					  "0.4812234, 0.5440719, 0.6644471, 0.8750714, 1.2767550, 2.0956588, 3.7518522");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("250.4227700, 250.5036000, 250.6339200, 250.8629100, 251.1837400, 251.7511500, 252.7549700", \
+ 					  "250.7675600, 250.8497800, 250.9824500, 251.1960400, 251.5326100, 252.0948500, 253.1009500", \
+ 					  "251.0434500, 251.1242800, 251.2547600, 251.4747700, 251.8100900, 252.3700000, 253.3766700", \
+ 					  "251.3205900, 251.3950200, 251.5188800, 251.7387300, 252.0776800, 252.6423900, 253.6498000", \
+ 					  "251.6164000, 251.6914900, 251.8260400, 252.0395700, 252.3761100, 252.9433500, 253.9445900", \
+ 					  "251.9155900, 252.0102700, 252.1412100, 252.3482300, 252.6962200, 253.2438000, 254.2665200", \
+ 					  "252.1775900, 252.2519700, 252.3893800, 252.6042500, 252.9432900, 253.5048800, 254.5091000");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198436, 0.0393768, 0.0781378, 0.155054, 0.307682, 0.610552");
+ 					values("0.4605951, 0.5125347, 0.6091131, 0.7790658, 1.0793040, 1.6587286, 2.8433051", \
+ 					  "0.4621239, 0.5162294, 0.6122865, 0.7793083, 1.0768862, 1.6547410, 2.8537772", \
+ 					  "0.4603382, 0.5123316, 0.6044311, 0.7784282, 1.0787298, 1.6529598, 2.8525586", \
+ 					  "0.4594930, 0.5132471, 0.6093995, 0.7772178, 1.0759783, 1.6568459, 2.8562144", \
+ 					  "0.4620447, 0.5144666, 0.6122544, 0.7790233, 1.0761707, 1.6537036, 2.8537535", \
+ 					  "0.4589465, 0.5119480, 0.6042843, 0.7798524, 1.0787287, 1.6562307, 2.8587177", \
+ 					  "0.4638145, 0.5125359, 0.6090404, 0.7774574, 1.0796979, 1.6560547, 2.8403797");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("225.6643100, 225.6643143, 225.9186700, 226.0546200, 226.3775500, 227.2095100, 228.4498100", \
+					  "228.1448000, 228.2330200, 228.3801500, 228.6252700, 229.0639900, 229.7502600, 231.0822700", \
+					  "230.3275200, 230.4149800, 230.5595100, 230.8022600, 231.2069800, 231.9078200, 233.2267100", \
+					  "232.2645400, 232.3326200, 232.4566100, 232.7193000, 233.1218100, 233.8403500, 235.1436900", \
+					  "234.0437400, 234.0966600, 234.2789000, 234.5220200, 234.9255100, 235.5907900, 236.9426000", \
+					  "235.7217100, 235.8145300, 235.9525600, 236.1944400, 236.6022000, 237.3089100, 238.6230400", \
+					  "237.3694100, 237.4657800, 237.6032900, 237.8459000, 238.2494300, 238.9517700, 240.2719300");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("0.4807400, 0.5453282, 0.6577331, 0.8783641, 1.2794642, 2.0929454, 3.7459844", \
+					  "0.4776103, 0.5417785, 0.6567230, 0.8719004, 1.2744330, 2.0912050, 3.7542152", \
+					  "0.4798268, 0.5438759, 0.6645260, 0.8714759, 1.2819490, 2.0964059, 3.7511989", \
+					  "0.4738718, 0.5454843, 0.6613871, 0.8736525, 1.2836019, 2.1005426, 3.7421772", \
+					  "0.4793846, 0.5455025, 0.6601485, 0.8686374, 1.2849521, 2.0870983, 3.7470547", \
+					  "0.4812277, 0.5411145, 0.6582412, 0.8755734, 1.2816990, 2.0923483, 3.7401682", \
+					  "0.4819991, 0.5446852, 0.6645331, 0.8715817, 1.2817593, 2.0797966, 3.7513930");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("258.1502700, 258.3248000, 258.4481500, 258.5618700, 259.0570900, 259.5303100, 260.6444400", \
+					  "260.7723400, 260.8447000, 260.9709200, 261.1863600, 261.5418900, 262.1003400, 263.1117600", \
+					  "263.6348000, 263.7052000, 263.8394400, 264.0578600, 264.3857900, 264.9599900, 265.9595900", \
+					  "266.1271000, 266.2028500, 266.3388700, 266.5511000, 266.8878900, 267.4552800, 268.4618600", \
+					  "268.3902700, 268.4633700, 268.5904400, 268.8202600, 269.1446600, 269.7130400, 270.7206200", \
+					  "270.5355100, 270.6079600, 270.7352000, 270.9654700, 271.2918700, 271.8594500, 272.8654600", \
+					  "272.5808500, 272.6603200, 272.7928500, 273.0116400, 273.3489700, 273.9151300, 274.9224600");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("0.4638897, 0.5143625, 0.6066849, 0.7766547, 1.0756899, 1.6529307, 2.8485894", \
+					  "0.4616117, 0.5130067, 0.6065261, 0.7798784, 1.0780721, 1.6536355, 2.8470124", \
+					  "0.4629568, 0.5119608, 0.6152702, 0.7676403, 1.0751680, 1.6553346, 2.8505867", \
+					  "0.4610516, 0.5138528, 0.6123746, 0.7760047, 1.0759726, 1.6568065, 2.8423059", \
+					  "0.4608366, 0.5183499, 0.6094661, 0.7745907, 1.0748850, 1.6568754, 2.8414611", \
+					  "0.4613239, 0.5173926, 0.6068492, 0.7749235, 1.0771687, 1.6528463, 2.8467522", \
+					  "0.4603658, 0.5128084, 0.6105418, 0.7786442, 1.0773895, 1.6542855, 2.8367115");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("225.5056300, 225.6749100, 225.7764700, 226.0041300, 226.5403900, 227.1724600, 228.5765700", \
+					  "228.2245400, 228.3117500, 228.4587700, 228.7031700, 229.0514200, 229.8093400, 231.1232500", \
+					  "230.3163500, 230.3992900, 230.5347000, 230.7889400, 231.1943900, 231.9007300, 233.2123900", \
+					  "232.2604000, 232.3545400, 232.5018800, 232.7447200, 233.1303500, 233.8528200, 235.1624600", \
+					  "234.0227400, 234.1128700, 234.2606300, 234.4988400, 234.9072600, 235.6075600, 236.9257600", \
+					  "235.7173200, 235.8023500, 235.9505900, 236.1942500, 236.5897700, 237.3005700, 238.6139800", \
+					  "237.3994100, 237.4766000, 237.6209000, 237.8626100, 238.2655400, 238.9681100, 240.3068000");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("0.4760605, 0.5458181, 0.6617613, 0.8717664, 1.2796534, 2.0891815, 3.7521306", \
+					  "0.4778513, 0.5431649, 0.6597682, 0.8757545, 1.2835920, 2.0765205, 3.7541903", \
+					  "0.4769948, 0.5476672, 0.6578725, 0.8779660, 1.2845521, 2.0957844, 3.7438022", \
+					  "0.4798353, 0.5401049, 0.6557153, 0.8786922, 1.2784341, 2.0807971, 3.7518677", \
+					  "0.4779770, 0.5455676, 0.6613142, 0.8718229, 1.2727243, 2.0893955, 3.7493817", \
+					  "0.4790487, 0.5432398, 0.6598661, 0.8757138, 1.2687043, 2.0719120, 3.7575001", \
+					  "0.4814326, 0.5455691, 0.6613147, 0.8717475, 1.2839850, 2.0894770, 3.7508889");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("256.4964100, 256.4964142, 256.5480200, 256.8975200, 257.0927200, 257.7449200, 258.7950100", \
+					  "258.7733800, 258.8546700, 258.9974900, 259.2063000, 259.5445500, 260.1075300, 261.1113800", \
+					  "261.7097700, 261.7904900, 261.9318300, 262.1415000, 262.4682300, 263.0428600, 264.0375700", \
+					  "264.2856600, 264.3317300, 264.4747500, 264.6851300, 265.0516800, 265.5853600, 266.6245700", \
+					  "266.6515400, 266.7268900, 266.8701300, 267.0803400, 267.4175100, 267.9748800, 268.9901600", \
+					  "268.9009800, 268.9763500, 269.1124300, 269.3301700, 269.6681300, 270.2292400, 271.2401400", \
+					  "271.0124000, 271.0878300, 271.2306800, 271.4410800, 271.7784200, 272.3417900, 273.3514500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198405, 0.0393645, 0.0781012, 0.154957, 0.307442, 0.60998");
+					values("0.4625262, 0.5121822, 0.6089254, 0.7724966, 1.0746717, 1.6555241, 2.8551312", \
+					  "0.4591031, 0.5123929, 0.6136680, 0.7749484, 1.0764053, 1.6525866, 2.8475180", \
+					  "0.4608539, 0.5145714, 0.6060519, 0.7777272, 1.0719303, 1.6533976, 2.8468103", \
+					  "0.4609484, 0.5124433, 0.6047131, 0.7755724, 1.0782045, 1.6528523, 2.8511247", \
+					  "0.4604707, 0.5122680, 0.6037252, 0.7755992, 1.0788574, 1.6525897, 2.8506459", \
+					  "0.4610033, 0.5134392, 0.6092747, 0.7763273, 1.0774636, 1.6560118, 2.8523062", \
+					  "0.4610408, 0.5116108, 0.6097075, 0.7767629, 1.0782695, 1.6505367, 2.8511011");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 1.002591;
+			capacitance : 0.999728;
+			fall_capacitance : 0.996865;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-1.1398346, -1.0638108, -0.9877870, -0.9075805, -0.8264077, -0.7462012, -0.6659948");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("1.2075906, 1.2211871, 1.2347835, 1.3013860, 1.3687909, 1.4353933, 1.5019958");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.373358;
+			capacitance : 0.356955;
+			fall_capacitance : 0.340551;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0111987, 0.3028896, 0.6169779, 0.9322972, 1.2514155, 1.5667347, 1.8820540");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0224044, -0.2565028, -0.5354100, -0.8112982, -1.0905103, -1.3663985, -1.6422867");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.428040;
+			capacitance : 0.425814;
+			fall_capacitance : 0.423589;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0858957, 0.2894192, 0.6647342, 1.0398994, 1.4195848, 1.7947500, 2.1699153");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0859005, -0.2894136, -0.6647278, -1.0398822, -1.4195566, -1.7947110, -2.1698654");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 6.175608;
+			capacitance : 7.289911;
+			fall_capacitance : 8.404213;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0055089, -0.0054694, -0.0054299, -0.0055185, -0.0056082, -0.0056968, -0.0057854");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0055052, 0.0054749, 0.0054445, 0.0054358, 0.0054270, 0.0054183, 0.0054096");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.049807;
+			capacitance : 0.049814;
+			fall_capacitance : 0.049822;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0028090, -0.0027985, -0.0027880, -0.0027777, -0.0027671, -0.0027567, -0.0027463");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0027872, 0.0027918, 0.0027963, 0.0027888, 0.0027812, 0.0027737, 0.0027662");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			fall_capacitance : 0.046030;
+			capacitance : 0.046029;
+			rise_capacitance : 0.046028;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("-0.0045601, -0.0045670, -0.0045739, -0.0045686, -0.0045633, -0.0045581, -0.0045528");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.8400000, 1.6700000, 2.5000000, 3.3400000, 4.1700000, 5.0000000");
+					values("0.0046123, 0.0046036, 0.0045948, 0.0045845, 0.0045741, 0.0045638, 0.0045535");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p40v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v60_1v65.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v60_1v65.lib
new file mode 100644
index 0000000..7833488
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_ss_ss_n40C_1v60_1v65.lib
@@ -0,0 +1,2507 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_ss_ss_n40C_1v60_1v65") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : -40.000000;
+	nom_voltage : 1.600000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.600000);
+	voltage_map("VCCHIB",1.600000);
+	voltage_map("VDDA",1.650000);
+	voltage_map("VDDIO",1.650000);
+	voltage_map("VDDIO_Q",1.650000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",1.650000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.165; 
+			 voh : 1.485; 
+			 vomax : 1.732; 
+			 vomin : -0.083; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.160; 
+			 voh : 1.440; 
+			 vomax : 1.680; 
+			 vomin : -0.080; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.412; 
+			 vih : 1.237; 
+			 vimax : 1.732; 
+			 vimin : -0.083; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.480; 
+			 vih : 1.120; 
+			 vimax : 1.680; 
+			 vimin : -0.080; 
+		}
+	 operating_conditions ("ss_ss_1p60v_x_1p65v_n40C") { 
+		process : 1.000000;
+		temperature : -40.000000;
+		voltage : 1.600000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	  lu_table_template(ccsn_dc) {
+	    variable_1 : input_voltage;
+	    variable_2 : output_voltage;
+	  }
+	  lu_table_template(ccsn_pnlh) {
+	    variable_1 : input_noise_height;
+	    variable_2 : input_noise_width;
+	    variable_3 : total_output_net_capacitance;
+	    variable_4 : time;
+	  }
+	  lu_table_template(ccsn_ovrf) {
+	    variable_1 : input_net_transition;
+	    variable_2 : total_output_net_capacitance;
+	    variable_3 : time;
+	  }
+
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 6.038210e-01;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.6038210";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "0.5510410";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.015426;
+			capacitance : 0.015124;
+			fall_capacitance : 0.014822;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2310741, 0.4433809, 0.6556877, 0.8058139, 0.9499350, 1.1000611, 1.2501872");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.1806463, -0.4943794, -0.8081125, -0.9700479, -1.1255059, -1.2874412, -1.4493766");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00310569";
+				miller_cap_rise : "0.00212921";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("2.72058, 0.481476, 0.458565, 0.448703, 0.436618, 0.429384, 0.421068, 0.411394, 0.400107, 0.387099, 0.372417, 0.356135, 0.338292, 0.318894, 0.297935, 0.275405, 0.251292, 0.225584, 0.198268, 0.169334, 0.138769, 0.106564, 0.0727065, 0.0371883, -2.11022e-09, -0.0775228, -0.1583, -0.439617, -1.74955", \
+					  "2.56319, 0.247513, 0.235472, 0.23175, 0.227527, 0.225173, 0.222616, 0.219814, 0.216708, 0.213219, 0.209234, 0.204588, 0.199041, 0.192281, 0.184002, 0.174017, 0.162243, 0.148635, 0.133165, 0.115808, 0.0965423, 0.0753471, 0.0522037, 0.0270937, -6.88762e-10, -0.0586444, -0.122303, -0.359922, -1.72273", \
+					  "2.48333, 0.128936, 0.118971, 0.117234, 0.115332, 0.114305, 0.113216, 0.112056, 0.110813, 0.10947, 0.108006, 0.106393, 0.10459, 0.102539, 0.10015, 0.0972717, 0.093635, 0.0887945, 0.0822775, 0.0738197, 0.0633159, 0.0507148, 0.0359811, 0.0190852, -5.73416e-10, -0.0438214, -0.094328, -0.298033, -1.70167", \
+					  "2.46116, 0.0973886, 0.0857827, 0.0845265, 0.0831664, 0.0824391, 0.0816745, 0.080867, 0.0800096, 0.0790937, 0.078108, 0.077038, 0.0758637, 0.0745574, 0.0730783, 0.0713627, 0.069301, 0.0666764, 0.063044, 0.0578053, 0.0505864, 0.0412477, 0.029729, 0.0159907, 8.09366e-10, -0.0381308, -0.083657, -0.274313, -1.69402", \
+					  "2.44207, 0.0738498, 0.0565432, 0.0556896, 0.0547771, 0.0542943, 0.0537908, 0.0532636, 0.0527093, 0.0521235, 0.0515009, 0.0508345, 0.0501151, 0.0493305, 0.0484634, 0.047489, 0.0463677, 0.0450305, 0.0433295, 0.0408814, 0.0369775, 0.0310791, 0.0229933, 0.0126484, 8.33839e-09, -0.0320083, -0.0722188, -0.24876, -1.686", \
+					  "2.43381, 0.0653914, 0.0436654, 0.0429856, 0.0422639, 0.0418842, 0.0414899, 0.041079, 0.0406492, 0.0401975, 0.0397204, 0.0392132, 0.0386702, 0.0380834, 0.0374424, 0.0367317, 0.0359288, 0.0349959, 0.0338605, 0.0323361, 0.0298891, 0.0257279, 0.0194329, 0.0108761, 1.01201e-08, -0.0287735, -0.0661939, -0.235237, -1.68183", \
+					  "2.42651, 0.0587387, 0.0321006, 0.0315768, 0.0310252, 0.0307367, 0.0304386, 0.0301294, 0.0298077, 0.0294716, 0.0291189, 0.0287467, 0.0283515, 0.0279284, 0.0274712, 0.0269712, 0.0264155, 0.0257843, 0.0250428, 0.0241134, 0.0227448, 0.0202136, 0.0157386, 0.00903015, 9.91614e-09, -0.0254168, -0.0599566, -0.22119, -1.67757", \
+					  "2.42022, 0.0546959, 0.0219742, 0.0215884, 0.0211869, 0.0209784, 0.0207639, 0.0205427, 0.0203139, 0.0200764, 0.019829, 0.0195698, 0.019297, 0.0190079, 0.0186989, 0.0183654, 0.0180007, 0.0175949, 0.0171319, 0.0165807, 0.0158565, 0.0146044, 0.011911, 0.00710419, 1.13183e-08, -0.021933, -0.0535014, -0.206616, -1.67324", \
+					  "2.41505, 0.0529413, 0.0134517, 0.013182, 0.0129112, 0.0127717, 0.012629, 0.0124828, 0.0123326, 0.0121778, 0.0120178, 0.0118516, 0.0116784, 0.0114967, 0.0113049, 0.0111008, 0.0108812, 0.0106418, 0.0103756, 0.0100711, 0.00970278, 0.0091764, 0.00798859, 0.00509652, 1.53556e-08, -0.0183165, -0.0468263, -0.191546, -1.66889", \
+					  "2.41117, 0.0536766, 0.00683798, 0.00663825, 0.00647802, 0.00639636, 0.00631342, 0.00622902, 0.00614298, 0.00605507, 0.00596501, 0.00587247, 0.00577703, 0.00567818, 0.00557525, 0.00546739, 0.00535342, 0.00523173, 0.00509995, 0.00495431, 0.0047879, 0.00458247, 0.00423347, 0.00305454, 1.19232e-08, -0.014561, -0.0399365, -0.176073, -1.66456", \
+					  "2.4089, 0.0572981, 0.00271785, 0.00240415, 0.00232854, 0.00229104, 0.00225324, 0.0022151, 0.00217657, 0.00213757, 0.00209803, 0.00205787, 0.00201696, 0.00197518, 0.00193233, 0.00188821, 0.0018425, 0.00179479, 0.00174451, 0.00169078, 0.00163214, 0.00156556, 0.0014801, 0.00124941, -1.2149e-08, -0.01067, -0.032858, -0.160349, -1.6603", \
+					  "2.40832, 0.0638804, 0.00174953, 0.000560027, 0.000529339, 0.000517744, 0.000506175, 0.00049459, 0.00048298, 0.000471334, 0.000459642, 0.000447887, 0.000436051, 0.000424113, 0.000412046, 0.000399815, 0.000387368, 0.000374646, 0.000361561, 0.000347986, 0.00033371, 0.000318347, 0.000300866, 0.000272839, -1.10597e-07, -0.00672525, -0.0256814, -0.144558, -1.65615", \
+					  "2.4088, 0.0733559, 0.00378151, 0.000130849, 8.28054e-05, 8.03381e-05, 7.80844e-05, 7.58501e-05, 7.36264e-05, 7.14069e-05, 6.91944e-05, 6.69802e-05, 6.47663e-05, 6.25513e-05, 6.03264e-05, 5.80946e-05, 5.58482e-05, 5.35747e-05, 5.12673e-05, 4.89172e-05, 4.64961e-05, 4.39545e-05, 4.11976e-05, 3.75506e-05, -6.60072e-07, -0.00317999, -0.0186481, -0.128874, -1.65212", \
+					  "2.40963, 0.0830548, 0.00787258, 0.000274875, 1.02756e-05, 8.51086e-06, 7.97299e-06, 7.52052e-06, 7.09045e-06, 6.67011e-06, 6.25749e-06, 5.84816e-06, 5.44218e-06, 5.038e-06, 4.63538e-06, 4.23047e-06, 3.83187e-06, 3.42552e-06, 3.01369e-06, 2.60623e-06, 2.18489e-06, 1.75323e-06, 1.30289e-06, 7.51087e-07, -3.89225e-06, -0.000955485, -0.0121619, -0.113444, -1.64823", \
+					  "2.41056, 0.093248, 0.0132825, 0.00130016, 1.09758e-06, -7.7574e-06, -9.26143e-06, -1.0251e-05, -1.11121e-05, -1.19159e-05, -1.26859e-05, -1.34323e-05, -1.41643e-05, -1.48878e-05, -1.5602e-05, -1.63123e-05, -1.70151e-05, -1.77181e-05, -1.84174e-05, -1.9119e-05, -1.98194e-05, -2.0515e-05, -2.122e-05, -2.19276e-05, -2.30681e-05, -0.000197404, -0.00670173, -0.0983913, -1.64451", \
+					  "2.41152, 0.103824, 0.0195615, 0.00379017, 1.14308e-07, -5.49912e-05, -6.42327e-05, -6.99729e-05, -7.48447e-05, -7.93225e-05, -8.35714e-05, -8.7671e-05, -9.16665e-05, -9.55835e-05, -9.94447e-05, -0.000103263, -0.000107051, -0.00011081, -0.000114551, -0.000118276, -0.000121997, -0.000125702, -0.000129404, -0.000133102, -0.000136839, -0.000168563, -0.00289631, -0.0838892, -1.64104", \
+					  "2.4125, 0.112855, 0.026376, 0.00736973, 1.69432e-08, -0.000347993, -0.000412647, -0.000446516, -0.000473146, -0.000496588, -0.000518181, -0.00053855, -0.000558049, -0.000576899, -0.000595245, -0.000613197, -0.000630828, -0.000648202, -0.000665363, -0.000682345, -0.000699179, -0.000715887, -0.000732492, -0.000749004, -0.000765446, -0.000801202, -0.00156391, -0.0704812, -1.63816", \
+					  "2.41351, 0.123874, 0.0334515, 0.0113948, 7.56349e-09, -0.00146654, -0.00186689, -0.00203168, -0.00214283, -0.00223281, -0.00231122, -0.0023822, -0.00244796, -0.00250983, -0.00256869, -0.00262517, -0.0026797, -0.00273263, -0.00278421, -0.00283464, -0.00288409, -0.00293268, -0.00298054, -0.00302774, -0.00307437, -0.0031665, -0.00338743, -0.0594593, -1.63665", \
+					  "2.41454, 0.135174, 0.0405769, 0.0154954, 6.65234e-09, -0.0034082, -0.00483725, -0.00541019, -0.00573632, -0.00597452, -0.00616912, -0.00633736, -0.00648778, -0.00662531, -0.00675303, -0.00687306, -0.00698689, -0.0070956, -0.00720005, -0.00730088, -0.0073986, -0.00749363, -0.0075863, -0.0076769, -0.00776566, -0.00793846, -0.00812567, -0.0516034, -1.63689", \
+					  "2.41559, 0.146715, 0.0476109, 0.0195194, 6.54732e-09, -0.00561032, -0.00870559, -0.0101601, -0.0109184, -0.0114181, -0.0117997, -0.0121147, -0.0123868, -0.012629, -0.012849, -0.0130519, -0.0132412, -0.0134195, -0.0135886, -0.01375, -0.0139048, -0.014054, -0.0141982, -0.0143381, -0.0144742, -0.0147364, -0.0149903, -0.046777, -1.63854", \
+					  "2.41666, 0.158455, 0.0544716, 0.023411, 6.51951e-09, -0.0078118, -0.0128574, -0.0156841, -0.0171949, -0.0181187, -0.0187782, -0.0192974, -0.0197309, -0.0201066, -0.0204408, -0.0207437, -0.0210222, -0.0212811, -0.021524, -0.0217535, -0.0219716, -0.0221801, -0.0223802, -0.0225729, -0.0227591, -0.0231149, -0.0234525, -0.0449923, -1.64134", \
+					  "2.41775, 0.170354, 0.0611174, 0.0271511, 6.49987e-09, -0.00994132, -0.0170018, -0.0215183, -0.0241504, -0.0257234, -0.0267838, -0.0275797, -0.0282213, -0.0287627, -0.0292344, -0.0296548, -0.0300359, -0.030386, -0.030711, -0.0310153, -0.0313021, -0.0315742, -0.0318336, -0.0320819, -0.0323204, -0.0327728, -0.0331977, -0.0465336, -1.64507", \
+					  "2.41885, 0.182362, 0.0675303, 0.0307355, 6.48187e-09, -0.0119807, -0.0210308, -0.0273733, -0.0314362, -0.0339313, -0.0355559, -0.0367226, -0.0376298, -0.0383749, -0.0390104, -0.0395672, -0.0400651, -0.0405171, -0.0409325, -0.041318, -0.0416787, -0.0420184, -0.0423403, -0.0426467, -0.0429395, -0.0434909, -0.0440045, -0.051625, -1.64961", \
+					  "2.41996, 0.194429, 0.0737055, 0.0341661, 6.46485e-09, -0.0139268, -0.0249071, -0.0331026, -0.0387867, -0.0424741, -0.0448642, -0.0465226, -0.0477679, -0.0487623, -0.0495921, -0.0503068, -0.0509368, -0.0515021, -0.0520167, -0.0524901, -0.0529298, -0.0533412, -0.0537287, -0.0540955, -0.0544445, -0.0550973, -0.0557007, -0.0600386, -1.65489", \
+					  "2.42108, 0.206501, 0.079645, 0.037448, 6.44868e-09, -0.0157815, -0.0286201, -0.0386424, -0.0460308, -0.0511233, -0.0544989, -0.0567982, -0.0584723, -0.0597722, -0.0608327, -0.0617297, -0.0625093, -0.0632007, -0.0638236, -0.0643921, -0.0649162, -0.0654035, -0.0658597, -0.0662895, -0.0666964, -0.067453, -0.0681473, -0.0710147, -1.66087", \
+					  "2.42336, 0.230433, 0.0908418, 0.0435907, 6.41872e-09, -0.0192323, -0.0355611, -0.0490762, -0.0598775, -0.0681037, -0.074022, -0.0781262, -0.0810122, -0.0831445, -0.0848066, -0.0861608, -0.0873025, -0.0882905, -0.0891627, -0.0899451, -0.0906559, -0.0913085, -0.0919127, -0.0924762, -0.0930051, -0.093977, -0.0948569, -0.0972431, -1.67497", \
+					  "2.42567, 0.253711, 0.101183, 0.049216, 6.3916e-09, -0.022368, -0.0418941, -0.0586492, -0.0727022, -0.0841212, -0.0929988, -0.0995504, -0.104224, -0.107587, -0.110107, -0.112084, -0.113697, -0.115057, -0.116232, -0.117267, -0.118193, -0.119032, -0.119801, -0.12051, -0.12117, -0.12237, -0.123442, -0.126157, -1.69185", \
+					  "2.43262, 0.316436, 0.12776, 0.063476, 6.32401e-09, -0.0302129, -0.0578118, -0.0828401, -0.105337, -0.125332, -0.142835, -0.157824, -0.170247, -0.180096, -0.187572, -0.193138, -0.197332, -0.200589, -0.203205, -0.205371, -0.20721, -0.208805, -0.210213, -0.211471, -0.21261, -0.214608, -0.216326, -0.220417, -1.75104", \
+					  "2.44326, 0.395429, 0.160663, 0.0807646, 6.24413e-09, -0.0395353, -0.0768317, -0.111913, -0.1448, -0.175514, -0.204067, -0.230463, -0.254684, -0.276682, -0.296354, -0.313538, -0.328049, -0.339814, -0.349033, -0.356157, -0.361704, -0.366113, -0.369704, -0.372699, -0.375251, -0.379413, -0.382719, -0.389823, -1.85845");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1279195, 0.2165430, 0.3014458, 0.3903324, 0.5188068");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2011521, 0.2896157, 0.3744889, 0.4630133, 0.5915650");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1059817, 0.1702057, 0.2360834, 0.3095841, 0.4227223");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1780062, 0.2421888, 0.3075527, 0.3814703, 0.4945266");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29492");
+						index_2("20.0513");
+						index_3("0.001");
+						index_4("10.1857876, 10.8449767, 14.6061987, 16.0250749, 16.5816955");
+						values("0.501668, 0.802668, 1.00334, 0.802668, 0.501668");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35511");
+						index_2("11.6707");
+						index_3("0.001");
+						index_4("5.9589070, 6.3967742, 8.7800854, 9.6328839, 10.0070233");
+						values("0.518026, 0.828841, 1.03605, 0.828841, 0.518026");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40857");
+						index_2("7.77843");
+						index_3("0.001");
+						index_4("3.9921793, 4.3203762, 5.8859451, 6.5867238, 6.8645535");
+						values("0.523088, 0.83694, 1.04618, 0.83694, 0.523088");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29492");
+						index_2("10.0256");
+						index_3("0.001");
+						index_4("5.1748296, 5.6009823, 7.5021940, 8.1020043, 8.3961467");
+						values("0.241313, 0.386101, 0.482626, 0.386101, 0.241313");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35511");
+						index_2("5.83537");
+						index_3("0.001");
+						index_4("3.0446380, 3.3338315, 4.4601166, 4.8753408, 5.0767669");
+						values("0.247147, 0.395436, 0.494295, 0.395436, 0.247147");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.40857");
+						index_2("3.88922");
+						index_3("0.001");
+						index_4("2.0522034, 2.2726328, 3.0415620, 3.3371957, 3.4913772");
+						values("0.246917, 0.395068, 0.493835, 0.395068, 0.246917");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35511");
+						index_2("17.5061");
+						index_3("0.001");
+						index_4("8.8406700, 9.4231511, 13.0449385, 14.3770191, 14.9125049");
+						values("0.753776, 1.20604, 1.50755, 1.20604, 0.753776");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22354");
+						index_2("13.2692");
+						index_3("0.001");
+						index_4("6.7292986, 7.2154120, 10.1366542, 11.1774171, 11.5942203");
+						values("1.1325, 0.822006, 0.615007, 0.822006, 1.1325");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29261");
+						index_2("7.68556");
+						index_3("0.001");
+						index_4("3.9194942, 4.2488852, 6.0733243, 6.7049370, 6.9849973");
+						values("1.12, 0.802, 0.59, 0.802, 1.12");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35382");
+						index_2("5.15946");
+						index_3("0.001");
+						index_4("2.6460610, 2.8976479, 4.1379032, 4.6250926, 4.8355266");
+						values("1.11392, 0.79228, 0.57785, 0.79228, 1.11392");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22354");
+						index_2("6.63462");
+						index_3("0.001");
+						index_4("3.4473414, 3.7906742, 5.1882148, 5.6453099, 5.8655614");
+						values("1.38902, 1.23244, 1.12805, 1.23244, 1.38902");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29261");
+						index_2("3.84278");
+						index_3("0.001");
+						index_4("2.0259057, 2.2554552, 3.1073673, 3.3917464, 3.5416404");
+						values("1.38905, 1.23248, 1.12811, 1.23248, 1.38905");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35382");
+						index_2("2.57973");
+						index_3("0.001");
+						index_4("1.3785539, 1.5556778, 2.1201326, 2.3446073, 2.4615217");
+						values("1.39077, 1.23523, 1.13153, 1.23523, 1.39077");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29261");
+						index_2("11.5283");
+						index_3("0.001");
+						index_4("5.7905592, 6.2240592, 8.9053301, 10.0114932, 10.4135639");
+						values("0.913349, 0.471358, 0.176697, 0.471358, 0.913349");
+					}
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.021688;
+			capacitance : 0.021693;
+			fall_capacitance : 0.021698;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0078234, -0.0075637, -0.0073040, -0.0073921, -0.0074767, -0.0075649, -0.0076530");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0500195, 0.0557166, 0.0614136, 0.0611574, 0.0609114, 0.0606552, 0.0603990");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "!FILT_IN_H";
+				miller_cap_fall : "0.00337345";
+				miller_cap_rise : "0.00234767";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("3.14136, 0.48263, 0.456069, 0.44675, 0.435447, 0.428742, 0.421083, 0.412204, 0.40181, 0.389681, 0.375775, 0.360157, 0.342875, 0.323937, 0.303329, 0.28103, 0.257015, 0.231259, 0.203736, 0.174418, 0.14328, 0.110296, 0.0754379, 0.0386813, -4.40085e-09, -0.0810299, -0.165933, -0.474854, -2.86935", \
+					  "2.91712, 0.248488, 0.23243, 0.228812, 0.224719, 0.222444, 0.219978, 0.217283, 0.214306, 0.210975, 0.207188, 0.202797, 0.197582, 0.191242, 0.183441, 0.173935, 0.162601, 0.14937, 0.134194, 0.11703, 0.0978374, 0.0765769, 0.0532095, 0.0276967, -4.01677e-09, -0.060366, -0.126417, -0.383203, -2.84206", \
+					  "2.8039, 0.129616, 0.116716, 0.115019, 0.113163, 0.112161, 0.111101, 0.109972, 0.108764, 0.107461, 0.106043, 0.104483, 0.102745, 0.100774, 0.0984864, 0.0957462, 0.0923071, 0.0877416, 0.0815443, 0.0733969, 0.0631594, 0.0507563, 0.03613, 0.0192281, -2.53923e-09, -0.044551, -0.0964252, -0.313159, -2.81984", \
+					  "2.77246, 0.0980404, 0.0839009, 0.0826737, 0.0813464, 0.0806372, 0.0798921, 0.0791058, 0.0782718, 0.0773816, 0.0764249, 0.0753877, 0.0742513, 0.0729898, 0.0715652, 0.0699186, 0.0679498, 0.065462, 0.0620389, 0.0570666, 0.0501159, 0.0410101, 0.0296632, 0.0160122, -6.35765e-09, -0.0385717, -0.0851429, -0.286646, -2.81169", \
+					  "2.74529, 0.0744114, 0.0550684, 0.054236, 0.0533469, 0.0528768, 0.0523867, 0.051874, 0.0513352, 0.0507663, 0.0501621, 0.0495159, 0.0488193, 0.0480605, 0.0472235, 0.0462848, 0.0452078, 0.0439289, 0.0423139, 0.0400112, 0.0363197, 0.0306491, 0.0227664, 0.0125731, -3.19107e-09, -0.0321939, -0.0731436, -0.25833, -2.80309", \
+					  "2.73351, 0.0658958, 0.0424015, 0.0417398, 0.0410377, 0.0406686, 0.0402855, 0.0398864, 0.0394692, 0.039031, 0.0385685, 0.0380774, 0.037552, 0.0369849, 0.0363662, 0.0356816, 0.0349098, 0.0340159, 0.0329335, 0.0314939, 0.0291989, 0.0252416, 0.0191499, 0.0107637, -2.3448e-09, -0.0288472, -0.0668619, -0.243458, -2.7986", \
+					  "2.72307, 0.0591935, 0.0310494, 0.0305407, 0.0300054, 0.0297257, 0.0294367, 0.0291371, 0.0288255, 0.0285003, 0.0281591, 0.0277994, 0.0274178, 0.0270096, 0.0265691, 0.026088, 0.0255543, 0.0249495, 0.0242416, 0.0233598, 0.0220773, 0.019703, 0.0154185, 0.00888937, 2.36493e-09, -0.0253908, -0.060386, -0.228098, -2.794", \
+					  "2.71405, 0.0551654, 0.0211349, 0.0207615, 0.0203734, 0.0201719, 0.0199648, 0.0197513, 0.0195306, 0.0193016, 0.0190631, 0.0188136, 0.0185511, 0.0182731, 0.0179763, 0.0176565, 0.0173072, 0.0169193, 0.0164779, 0.0159546, 0.015273, 0.014111, 0.0115756, 0.00694494, 3.99354e-09, -0.0218214, -0.0537136, -0.212267, -2.78932", \
+					  "2.7066, 0.0534776, 0.0128238, 0.0125636, 0.0123034, 0.0121695, 0.0120326, 0.0118924, 0.0117483, 0.0116, 0.0114467, 0.0112877, 0.0111221, 0.0109485, 0.0107655, 0.0105709, 0.0103619, 0.0101343, 0.00988184, 0.00959389, 0.0092474, 0.0087588, 0.00766722, 0.00493098, 1.09039e-09, -0.0181347, -0.0468455, -0.19602, -2.78461", \
+					  "2.70098, 0.0543465, 0.00642611, 0.00623161, 0.00607934, 0.0060018, 0.00592307, 0.00584301, 0.00576143, 0.00567813, 0.00559285, 0.00550528, 0.00541504, 0.00532166, 0.00522452, 0.00512284, 0.00501555, 0.00490117, 0.00477753, 0.00464125, 0.00448606, 0.00429583, 0.00397962, 0.00290245, -1.25432e-09, -0.0143265, -0.0397904, -0.179479, -2.77992", \
+					  "2.69762, 0.0581712, 0.00252387, 0.00220441, 0.00213378, 0.00209885, 0.00206365, 0.00202815, 0.00199231, 0.00195605, 0.00191932, 0.00188203, 0.00184408, 0.00180535, 0.00176568, 0.00172486, 0.00168263, 0.00163862, 0.00159232, 0.00154294, 0.0014892, 0.00142845, 0.00135125, 0.00114905, -1.83516e-08, -0.0104036, -0.0325798, -0.162819, -2.7753", \
+					  "2.69663, 0.0649612, 0.001729, 0.000502171, 0.000473311, 0.000462783, 0.000452286, 0.000441779, 0.000431253, 0.000420699, 0.000410106, 0.000399463, 0.000388753, 0.000377955, 0.000367049, 0.000355998, 0.000344768, 0.000333303, 0.000321519, 0.000309313, 0.000296499, 0.000282749, 0.000267165, 0.000242609, -1.21525e-07, -0.00645949, -0.0253134, -0.146232, -2.77078", \
+					  "2.69706, 0.0746307, 0.00386509, 0.000122205, 7.29671e-05, 7.07423e-05, 6.87387e-05, 6.67551e-05, 6.47804e-05, 6.28116e-05, 6.08479e-05, 5.88843e-05, 5.69194e-05, 5.49572e-05, 5.29888e-05, 5.10067e-05, 4.90162e-05, 4.70047e-05, 4.49647e-05, 4.28842e-05, 4.07398e-05, 3.84984e-05, 3.60704e-05, 3.28701e-05, -6.82302e-07, -0.00297944, -0.0182433, -0.129887, -2.7664", \
+					  "2.69796, 0.0844498, 0.00803625, 0.000283734, 8.96586e-06, 7.18154e-06, 6.6709e-06, 6.2478e-06, 5.84987e-06, 5.46155e-06, 5.08095e-06, 4.70196e-06, 4.32718e-06, 3.95671e-06, 3.58495e-06, 3.21348e-06, 2.84237e-06, 2.46924e-06, 2.09922e-06, 1.71569e-06, 1.33228e-06, 9.35539e-07, 5.22988e-07, 2.34499e-08, -4.03995e-06, -0.000867419, -0.0117752, -0.11392, -2.76216", \
+					  "2.69896, 0.0947524, 0.0135283, 0.0013411, 9.53269e-07, -8.24118e-06, -9.79994e-06, -1.08243e-05, -1.17147e-05, -1.25438e-05, -1.33382e-05, -1.41096e-05, -1.48669e-05, -1.56116e-05, -1.63483e-05, -1.70785e-05, -1.78053e-05, -1.85303e-05, -1.92532e-05, -1.9972e-05, -2.06941e-05, -2.14151e-05, -2.21343e-05, -2.28603e-05, -2.39704e-05, -0.000178775, -0.00638774, -0.0984413, -2.7581", \
+					  "2.7, 0.105434, 0.0198954, 0.00387994, 9.98778e-08, -5.72079e-05, -6.68343e-05, -7.28056e-05, -7.78682e-05, -8.25173e-05, -8.69278e-05, -9.11809e-05, -9.53259e-05, -9.93913e-05, -0.000103396, -0.000107356, -0.000111282, -0.000115183, -0.000119064, -0.000122929, -0.000126778, -0.000130616, -0.000134455, -0.000138287, -0.000142153, -0.000171243, -0.00270942, -0.0836191, -2.75433", \
+					  "2.70107, 0.114454, 0.0268029, 0.00751083, 1.5561e-08, -0.000361024, -0.000428438, -0.000463623, -0.000491227, -0.000515491, -0.00053782, -0.00055887, -0.000579007, -0.000598465, -0.000617398, -0.000635916, -0.000654099, -0.000672015, -0.000689703, -0.000707205, -0.000724554, -0.000741767, -0.00075887, -0.00077588, -0.000792815, -0.000829166, -0.00152353, -0.0700091, -2.75134", \
+					  "2.70216, 0.12555, 0.0339754, 0.0115871, 7.44894e-09, -0.00150577, -0.00191993, -0.00209013, -0.0022046, -0.00229709, -0.00237758, -0.00245038, -0.00251777, -0.00258113, -0.00264139, -0.00269918, -0.00275497, -0.00280909, -0.00286181, -0.00291336, -0.00296388, -0.00301352, -0.0030624, -0.00311061, -0.00315821, -0.00325221, -0.00346155, -0.058924, -2.75022", \
+					  "2.70328, 0.136923, 0.0412013, 0.01574, 6.67149e-09, -0.00347341, -0.00493571, -0.0055232, -0.00585708, -0.00610051, -0.00629911, -0.00647065, -0.00662392, -0.00676395, -0.00689394, -0.00701605, -0.00713181, -0.00724234, -0.00734851, -0.00745096, -0.00755024, -0.00764676, -0.00774088, -0.00783287, -0.00792298, -0.00809838, -0.00828621, -0.0511183, -2.75141", \
+					  "2.70442, 0.148536, 0.048339, 0.0198183, 6.59326e-09, -0.0056995, -0.00884683, -0.0103286, -0.0111012, -0.0116099, -0.0119979, -0.0123179, -0.0125942, -0.0128399, -0.013063, -0.0132686, -0.0134605, -0.0136411, -0.0138124, -0.0139759, -0.0141327, -0.0142837, -0.0144297, -0.0145712, -0.0147089, -0.0149742, -0.0152308, -0.0464271, -2.75449", \
+					  "2.70557, 0.16035, 0.0553062, 0.0237659, 6.58165e-09, -0.00792585, -0.0130416, -0.0159081, -0.0174412, -0.0183783, -0.019047, -0.019573, -0.0200119, -0.0203922, -0.0207303, -0.0210366, -0.0213182, -0.0215799, -0.0218254, -0.0220572, -0.0222777, -0.0224882, -0.0226903, -0.0228849, -0.0230729, -0.0234321, -0.0237729, -0.0448449, -2.75905", \
+					  "2.70675, 0.172323, 0.0620606, 0.0275636, 6.57656e-09, -0.0100817, -0.0172316, -0.0218004, -0.0244622, -0.026053, -0.0271252, -0.0279298, -0.0285779, -0.0291248, -0.0296011, -0.0300254, -0.03041, -0.0307633, -0.0310911, -0.031398, -0.0316873, -0.0319617, -0.0322232, -0.0324735, -0.032714, -0.03317, -0.0335982, -0.0466283, -2.76485", \
+					  "2.70794, 0.184415, 0.0685837, 0.0312066, 6.57229e-09, -0.0121488, -0.0213092, -0.0277179, -0.0318177, -0.034334, -0.0359723, -0.0371489, -0.0380636, -0.0388147, -0.0394552, -0.0400163, -0.0405179, -0.0409732, -0.0413916, -0.0417799, -0.0421432, -0.0424853, -0.0428093, -0.0431178, -0.0434126, -0.0439677, -0.0444847, -0.0519554, -2.77169", \
+					  "2.70914, 0.196577, 0.0748701, 0.0346968, 6.56829e-09, -0.0141238, -0.0252369, -0.0335145, -0.0392439, -0.0429549, -0.0453591, -0.0470275, -0.0482804, -0.0492809, -0.0501158, -0.0508347, -0.0514685, -0.0520372, -0.0525548, -0.053031, -0.0534732, -0.053887, -0.0542766, -0.0546455, -0.0549965, -0.0556529, -0.0562596, -0.0605537, -2.77947", \
+					  "2.71036, 0.20876, 0.0809216, 0.0380388, 6.56448e-09, -0.0160082, -0.0290036, -0.0391259, -0.0465702, -0.0516894, -0.0550778, -0.0573853, -0.0590658, -0.060371, -0.0614361, -0.0623371, -0.0631201, -0.0638146, -0.0644404, -0.0650115, -0.0655379, -0.0660274, -0.0664857, -0.0669174, -0.0673262, -0.0680861, -0.0687835, -0.0716537, -2.78812", \
+					  "2.71282, 0.23298, 0.0923429, 0.0443025, 6.55743e-09, -0.0195202, -0.036057, -0.0497127, -0.0605985, -0.0688645, -0.074793, -0.0788958, -0.0817797, -0.0839114, -0.085574, -0.0869295, -0.0880727, -0.0890622, -0.089936, -0.09072, -0.0914323, -0.0920863, -0.092692, -0.0932569, -0.0937871, -0.0947614, -0.0956437, -0.0980416, -2.80798", \
+					  "2.71531, 0.256648, 0.102908, 0.0500486, 6.55102e-09, -0.0227182, -0.0425066, -0.0594478, -0.0736213, -0.0851043, -0.0939998, -0.10054, -0.105193, -0.108541, -0.11105, -0.113021, -0.114631, -0.115988, -0.117162, -0.118196, -0.119122, -0.119962, -0.12073, -0.12144, -0.122101, -0.123301, -0.124374, -0.127094, -2.83116", \
+					  "2.72281, 0.321047, 0.130134, 0.0646592, 6.53501e-09, -0.0307478, -0.0587779, -0.0841436, -0.106893, -0.127063, -0.144671, -0.159695, -0.172089, -0.181858, -0.189237, -0.194717, -0.198848, -0.202061, -0.204645, -0.206789, -0.208612, -0.210194, -0.211592, -0.212842, -0.213975, -0.215963, -0.217674, -0.221754, -2.91149", \
+					  "2.7343, 0.402909, 0.164003, 0.0824633, 6.51598e-09, -0.040345, -0.0783384, -0.114011, -0.147392, -0.178508, -0.207377, -0.234005, -0.258379, -0.280445, -0.300098, -0.317165, -0.331467, -0.34297, -0.351929, -0.358835, -0.364217, -0.368504, -0.372005, -0.374933, -0.377433, -0.381523, -0.384781, -0.391807, -3.05695");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1436064, 0.2560967, 0.3789800, 0.5194630, 0.7189011");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2166061, 0.3285426, 0.4526002, 0.5941458, 0.7940791");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1220859, 0.2207105, 0.3224151, 0.4283915, 0.5670202");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1932415, 0.2919619, 0.3937283, 0.4997112, 0.6383539");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.29834");
+						index_2("25.52");
+						index_3("0.001");
+						index_4("12.8389721, 13.5965669, 18.5186922, 20.4540014, 21.1491406");
+						values("0.464295, 0.742873, 0.928591, 0.742873, 0.464295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35783");
+						index_2("14.905");
+						index_3("0.001");
+						index_4("7.5203622, 8.0297714, 11.1040976, 12.3326164, 12.8053497");
+						values("0.477003, 0.763205, 0.954006, 0.763205, 0.477003");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41077");
+						index_2("9.92585");
+						index_3("0.001");
+						index_4("5.0233354, 5.4073857, 7.5540158, 8.4233034, 8.7781020");
+						values("0.483318, 0.773308, 0.966635, 0.773308, 0.483318");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29834");
+						index_2("12.76");
+						index_3("0.001");
+						index_4("6.5346238, 7.0076592, 9.4857248, 10.2874695, 10.6589644");
+						values("0.246018, 0.393628, 0.492036, 0.393628, 0.246018");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35783");
+						index_2("7.45251");
+						index_3("0.001");
+						index_4("3.8435751, 4.1603711, 5.6784257, 6.2113128, 6.4621929");
+						values("0.251754, 0.402806, 0.503508, 0.402806, 0.251754");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41077");
+						index_2("4.96293");
+						index_3("0.001");
+						index_4("2.5832836, 2.8269366, 3.8476020, 4.2460296, 4.4374503");
+						values("0.253856, 0.406169, 0.507712, 0.406169, 0.253856");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35783");
+						index_2("22.3575");
+						index_3("0.001");
+						index_4("11.1829206, 11.9058232, 16.4570400, 18.4019514, 19.1010959");
+						values("0.668586, 1.06974, 1.33717, 1.06974, 0.668586");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22238");
+						index_2("18.2831");
+						index_3("0.001");
+						index_4("9.2326494, 9.8882227, 13.9339761, 15.4151105, 16.0208018");
+						values("1.1825, 0.901996, 0.714994, 0.901996, 1.1825");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29164");
+						index_2("10.5786");
+						index_3("0.001");
+						index_4("5.3645669, 5.8097978, 8.3044479, 9.2315633, 9.6354269");
+						values("1.17124, 0.88399, 0.692488, 0.88399, 1.17124");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35301");
+						index_2("7.09891");
+						index_3("0.001");
+						index_4("3.6158528, 3.9532420, 5.6855926, 6.3607146, 6.6651253");
+						values("1.16484, 0.873741, 0.679676, 0.873741, 1.16484");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22238");
+						index_2("9.14157");
+						index_3("0.001");
+						index_4("4.6911806, 5.0869874, 7.0927393, 7.7823789, 8.0988516");
+						values("1.41581, 1.2753, 1.18163, 1.2753, 1.41581");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29164");
+						index_2("5.28932");
+						index_3("0.001");
+						index_4("2.7401254, 3.0038675, 4.2633829, 4.6700771, 4.8823048");
+						values("1.41405, 1.27248, 1.1781, 1.27248, 1.41405");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35301");
+						index_2("3.54945");
+						index_3("0.001");
+						index_4("1.8564027, 2.0587290, 2.9220844, 3.2233149, 3.3906652");
+						values("1.41211, 1.26938, 1.17423, 1.26938, 1.41211");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29164");
+						index_2("15.8679");
+						index_3("0.001");
+						index_4("7.9922295, 8.6260982, 12.1105199, 13.7265637, 14.3269827");
+						values("0.940272, 0.514435, 0.230544, 0.514435, 0.940272");
+					}
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.028388;
+			capacitance : 0.027816;
+			fall_capacitance : 0.027243;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0136039, 0.0381639, 0.0899317, 0.0905495, 0.0911427, 0.0917606, 0.0923784");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0661922, 0.0825975, 0.0990028, 0.0987920, 0.0985896, 0.0983788, 0.0981680");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "!PAD";
+				miller_cap_fall : "0.00311387";
+				miller_cap_rise : "0.00215538";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("2.71287, 0.454263, 0.431379, 0.422349, 0.411375, 0.404855, 0.397399, 0.388759, 0.378675, 0.366983, 0.353672, 0.338794, 0.322374, 0.304414, 0.2849, 0.263815, 0.241137, 0.216849, 0.190927, 0.163354, 0.134107, 0.103168, 0.0705168, 0.0361338, -3.30048e-10, -0.0756669, -0.154972, -0.440691, -2.31929", \
+					  "2.52617, 0.230667, 0.217368, 0.213954, 0.210094, 0.207949, 0.205627, 0.203089, 0.200288, 0.197156, 0.193599, 0.189478, 0.184591, 0.178657, 0.171362, 0.162473, 0.15187, 0.139489, 0.125287, 0.109229, 0.0912828, 0.071417, 0.0496011, 0.0258052, -1.01986e-08, -0.0562563, -0.11787, -0.355859, -2.2954", \
+					  "2.43273, 0.118576, 0.107425, 0.105853, 0.104136, 0.103211, 0.102232, 0.101192, 0.100079, 0.0988808, 0.0975788, 0.0961495, 0.09456, 0.0927624, 0.0906839, 0.0882034, 0.0851005, 0.0809763, 0.0753391, 0.0678739, 0.0584471, 0.0469919, 0.0334601, 0.0178095, -3.90402e-09, -0.0413476, -0.0896177, -0.290777, -2.27648", \
+					  "2.40705, 0.0891772, 0.0764999, 0.0753733, 0.0741566, 0.0735074, 0.072826, 0.0721077, 0.0713467, 0.0705358, 0.0696656, 0.068724, 0.0676947, 0.0665553, 0.0652729, 0.0637972, 0.0620422, 0.0598375, 0.0568072, 0.0523604, 0.0460656, 0.037749, 0.0273334, 0.0147658, 5.83138e-10, -0.0356993, -0.0789697, -0.266062, -2.26958", \
+					  "2.38507, 0.0677695, 0.0495067, 0.0487515, 0.0479461, 0.0475209, 0.0470781, 0.0466154, 0.0461298, 0.0456179, 0.0450752, 0.0444959, 0.0438728, 0.0431959, 0.0424517, 0.0416205, 0.0406718, 0.0395532, 0.038154, 0.0361742, 0.0329601, 0.0279117, 0.0207907, 0.0115062, -6.94646e-10, -0.0296679, -0.0676336, -0.23961, -2.26234", \
+					  "2.37563, 0.0604065, 0.0377283, 0.0371326, 0.0365017, 0.0361706, 0.0358272, 0.0354701, 0.0350972, 0.0347062, 0.0342943, 0.0338577, 0.0333917, 0.0328902, 0.0323447, 0.0317435, 0.0310691, 0.0302931, 0.0293623, 0.0281415, 0.0262048, 0.0227747, 0.0173564, 0.00978918, -4.24473e-10, -0.0265003, -0.0616947, -0.225694, -2.25858", \
+					  "2.36733, 0.0552559, 0.0272354, 0.0267822, 0.0263063, 0.026058, 0.0258018, 0.0255366, 0.0252612, 0.0249742, 0.0246737, 0.0243576, 0.0240229, 0.023666, 0.0232821, 0.0228643, 0.0224031, 0.0218837, 0.0212808, 0.02054, 0.0194854, 0.0175168, 0.0138108, 0.00800878, -7.04228e-11, -0.023227, -0.0555695, -0.211306, -2.25473", \
+					  "2.36024, 0.0523208, 0.0181462, 0.0178181, 0.0174783, 0.0173022, 0.0171215, 0.0169355, 0.0167434, 0.0165446, 0.0163379, 0.0161221, 0.0158957, 0.0156566, 0.0154023, 0.0151291, 0.0148323, 0.0145046, 0.0141345, 0.0137008, 0.013148, 0.0122345, 0.0101599, 0.00615989, -3.69666e-09, -0.0198445, -0.0492557, -0.196463, -2.25083", \
+					  "2.3545, 0.0516747, 0.0106307, 0.0104055, 0.0101833, 0.0100691, 0.00995262, 0.00983347, 0.00971134, 0.00958581, 0.00945639, 0.00932249, 0.00918335, 0.00903803, 0.00888532, 0.00872362, 0.00855076, 0.00836366, 0.00815768, 0.00792508, 0.00764978, 0.00727654, 0.00646794, 0.00424699, -2.96969e-09, -0.0163485, -0.0427548, -0.181222, -2.24691", \
+					  "2.35034, 0.0535506, 0.00502034, 0.00484694, 0.0047224, 0.00465919, 0.00459512, 0.0045301, 0.00446398, 0.00439663, 0.00432785, 0.00425743, 0.00418509, 0.00411049, 0.00403319, 0.00395263, 0.00386808, 0.00377849, 0.00368238, 0.00357743, 0.00345954, 0.00331866, 0.00310234, 0.00234707, -6.00873e-09, -0.0127352, -0.0360771, -0.165701, -2.24301", \
+					  "2.34813, 0.0583169, 0.00188396, 0.00154881, 0.00149499, 0.0014687, 0.00144226, 0.00141565, 0.00138884, 0.00136179, 0.00133446, 0.00130679, 0.00127872, 0.00125018, 0.00122106, 0.00119124, 0.00116054, 0.00112875, 0.00109554, 0.00106045, 0.00102269, 0.000980749, 0.000929601, 0.000812906, -2.28367e-08, -0.00901718, -0.0292592, -0.150073, -2.23919", \
+					  "2.34776, 0.0657759, 0.00167178, 0.000320827, 0.000297784, 0.000290694, 0.00028365, 0.000276613, 0.000269573, 0.000262527, 0.00025547, 0.000248391, 0.000241284, 0.000234138, 0.000226942, 0.000219673, 0.000212316, 0.000204832, 0.000197183, 0.000189307, 0.000181103, 0.000172396, 0.000162711, 0.000148518, -1.2852e-07, -0.00532402, -0.0224171, -0.13452, -2.23545", \
+					  "2.34835, 0.0747947, 0.00413093, 9.68221e-05, 4.29918e-05, 4.15112e-05, 4.02788e-05, 3.90667e-05, 3.78627e-05, 3.66638e-05, 3.54697e-05, 3.42803e-05, 3.30863e-05, 3.18965e-05, 3.07051e-05, 2.95106e-05, 2.83099e-05, 2.70958e-05, 2.58712e-05, 2.46192e-05, 2.33423e-05, 2.20103e-05, 2.05753e-05, 1.87196e-05, -7.72853e-07, -0.00223939, -0.0158273, -0.119204, -2.23183", \
+					  "2.34922, 0.0845432, 0.00850707, 0.000316509, 5.04398e-06, 3.16377e-06, 2.72849e-06, 2.39309e-06, 2.08404e-06, 1.78659e-06, 1.49591e-06, 1.21187e-06, 9.27554e-07, 6.47009e-07, 3.70001e-07, 9.24057e-08, -1.85147e-07, -4.62575e-07, -7.4268e-07, -1.02022e-06, -1.30774e-06, -1.59587e-06, -1.89383e-06, -2.24149e-06, -4.59369e-06, -0.000576387, -0.00989718, -0.10425, -2.22835", \
+					  "2.35015, 0.0947643, 0.014167, 0.00148192, 5.25756e-07, -9.91141e-06, -1.16752e-05, -1.28283e-05, -1.38262e-05, -1.47544e-05, -1.56425e-05, -1.65051e-05, -1.73483e-05, -1.818e-05, -1.90005e-05, -1.98154e-05, -2.06225e-05, -2.14291e-05, -2.22322e-05, -2.30347e-05, -2.38343e-05, -2.46382e-05, -2.54403e-05, -2.6239e-05, -2.72514e-05, -0.000121727, -0.00509543, -0.0897663, -2.22503", \
+					  "2.35112, 0.105383, 0.0206798, 0.00416359, 5.72688e-08, -6.53021e-05, -7.63429e-05, -8.31583e-05, -8.89182e-05, -9.41981e-05, -9.91999e-05, -0.000104019, -0.00010871, -0.000113306, -0.000117835, -0.00012231, -0.000126744, -0.000131145, -0.000135525, -0.000139884, -0.000144225, -0.000148558, -0.000152887, -0.000157209, -0.000161542, -0.000182774, -0.00203561, -0.0759277, -2.22196", \
+					  "2.35211, 0.116357, 0.0277105, 0.00791324, 1.14471e-08, -0.000408323, -0.000485896, -0.00052589, -0.000557035, -0.000584288, -0.000609287, -0.000632799, -0.000655249, -0.000676908, -0.000697956, -0.000718523, -0.0007387, -0.000758561, -0.000778158, -0.000797536, -0.000816735, -0.000835775, -0.000854684, -0.000873481, -0.000892179, -0.000930893, -0.00139846, -0.0633435, -2.21958", \
+					  "2.35313, 0.127649, 0.0349822, 0.0120772, 7.04742e-09, -0.00164433, -0.00210986, -0.00229999, -0.00242654, -0.00252813, -0.00261615, -0.0026955, -0.00276876, -0.00283751, -0.00290279, -0.00296529, -0.00302555, -0.00308395, -0.00314079, -0.0031963, -0.00325068, -0.00330408, -0.00335662, -0.0034084, -0.00345952, -0.00356021, -0.00373074, -0.05338, -2.2188", \
+					  "2.35416, 0.13922, 0.0422839, 0.0162947, 6.61746e-09, -0.00369071, -0.00527937, -0.00592308, -0.00628612, -0.00654891, -0.00676223, -0.00694582, -0.00710941, -0.00725854, -0.00739673, -0.00752636, -0.00764908, -0.00776614, -0.00787845, -0.00798676, -0.00809162, -0.00819351, -0.00829279, -0.00838977, -0.00848473, -0.00866941, -0.00885885, -0.0467393, -2.21992", \
+					  "2.35522, 0.15103, 0.0494758, 0.0204202, 6.5652e-09, -0.00597029, -0.00931442, -0.0109098, -0.0117413, -0.0122853, -0.0126979, -0.0130369, -0.0133287, -0.0135876, -0.0138222, -0.0140382, -0.0142394, -0.0144287, -0.014608, -0.0147789, -0.0149428, -0.0151005, -0.0152528, -0.0154005, -0.0155441, -0.0158205, -0.0160864, -0.043242, -2.22259", \
+					  "2.35629, 0.163036, 0.0564779, 0.0244006, 6.54928e-09, -0.00823512, -0.0136023, -0.0166454, -0.0182817, -0.0192784, -0.0199857, -0.0205397, -0.0210005, -0.0213986, -0.0217519, -0.0220715, -0.0223648, -0.0226372, -0.0228924, -0.0231333, -0.023362, -0.0235805, -0.0237899, -0.0239916, -0.0241863, -0.0245581, -0.0249104, -0.0428924, -2.22648", \
+					  "2.35739, 0.175193, 0.0632499, 0.0282188, 6.53737e-09, -0.0104189, -0.0178621, -0.0226631, -0.0254819, -0.0271671, -0.0282978, -0.0291424, -0.0298202, -0.0303906, -0.0308862, -0.0313269, -0.0317259, -0.0320918, -0.0324311, -0.0327484, -0.0330473, -0.0333306, -0.0336005, -0.0338586, -0.0341066, -0.0345763, -0.0350171, -0.0459135, -2.23136", \
+					  "2.35849, 0.18745, 0.0697755, 0.0318718, 6.52639e-09, -0.0125052, -0.021991, -0.0286773, -0.0329883, -0.0356434, -0.0373677, -0.0386005, -0.0395551, -0.0403364, -0.041001, -0.0415822, -0.0421008, -0.042571, -0.0430026, -0.0434028, -0.0437768, -0.0441288, -0.0444621, -0.0447791, -0.045082, -0.0456518, -0.0461821, -0.052381, -2.23711", \
+					  "2.35961, 0.199756, 0.0760511, 0.0353628, 6.51601e-09, -0.0144921, -0.0259545, -0.0345459, -0.0405346, -0.044434, -0.0469601, -0.0487066, -0.0500127, -0.051052, -0.0519168, -0.0526599, -0.0533138, -0.0538998, -0.0544323, -0.0549218, -0.055376, -0.0558007, -0.0562003, -0.0565785, -0.056938, -0.05761, -0.0582306, -0.0618968, -2.24364", \
+					  "2.36074, 0.212053, 0.0820799, 0.0386977, 6.50618e-09, -0.0163823, -0.0297438, -0.0402079, -0.0479521, -0.0533086, -0.0568618, -0.0592761, -0.0610273, -0.0623823, -0.0634844, -0.0644146, -0.0652213, -0.0659356, -0.0665784, -0.0671643, -0.067704, -0.0682053, -0.0686744, -0.069116, -0.0695338, -0.0703102, -0.071022, -0.0736438, -2.25089", \
+					  "2.36303, 0.236388, 0.0934261, 0.0449271, 6.48799e-09, -0.0198905, -0.0368096, -0.0508437, -0.0620879, -0.070673, -0.0768592, -0.0811463, -0.0841528, -0.0863667, -0.0880871, -0.0894852, -0.0906614, -0.0916774, -0.0925731, -0.0933756, -0.094104, -0.094772, -0.0953901, -0.0959662, -0.0965066, -0.0974988, -0.0983964, -0.100791, -2.26755", \
+					  "2.36535, 0.259994, 0.103884, 0.0506181, 6.47157e-09, -0.0230691, -0.0432374, -0.0605724, -0.0751384, -0.0869961, -0.096228, -0.103042, -0.107895, -0.111377, -0.113978, -0.116013, -0.11767, -0.119064, -0.120267, -0.121325, -0.12227, -0.123126, -0.123909, -0.124632, -0.125304, -0.126524, -0.127614, -0.130365, -2.28691", \
+					  "2.37233, 0.323289, 0.130669, 0.0649884, 6.43085e-09, -0.0309844, -0.0593178, -0.0850401, -0.108187, -0.128782, -0.146829, -0.162295, -0.175115, -0.185269, -0.192959, -0.198669, -0.202959, -0.206282, -0.208946, -0.211148, -0.213016, -0.214633, -0.216058, -0.217332, -0.218483, -0.220501, -0.222235, -0.226357, -2.35303", \
+					  "2.38304, 0.402509, 0.163661, 0.0823107, 6.38303e-09, -0.0403275, -0.0784014, -0.114242, -0.147869, -0.179299, -0.20854, -0.235593, -0.260434, -0.283006, -0.303197, -0.320829, -0.335701, -0.347736, -0.357142, -0.364392, -0.370023, -0.374489, -0.378121, -0.381146, -0.383719, -0.38791, -0.391233, -0.398357, -2.47227");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.2645367, 0.6028323, 0.9100621, 1.2200962, 1.6461629");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3406310, 0.6783370, 0.9863554, 1.2968904, 1.7223648");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.1671788, 0.3572195, 0.5497114, 0.7687752, 1.1260683");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.2407824, 0.4308106, 0.6242008, 0.8424988, 1.2004166");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.31103");
+						index_2("63.1016");
+						index_3("0.001");
+						index_4("31.7996880, 33.6070749, 43.8753599, 49.9979580, 51.7566988");
+						values("0.406844, 0.650951, 0.813689, 0.650951, 0.406844");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3675");
+						index_2("37.527");
+						index_3("0.001");
+						index_4("18.9585557, 20.1881459, 27.4627843, 30.6167279, 31.8008425");
+						values("0.422574, 0.676119, 0.845149, 0.676119, 0.422574");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41839");
+						index_2("25.0365");
+						index_3("0.001");
+						index_4("12.6777730, 13.5946693, 18.6370225, 20.9065292, 21.7905927");
+						values("0.427304, 0.683687, 0.854609, 0.683687, 0.427304");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31103");
+						index_2("31.5508");
+						index_3("0.001");
+						index_4("15.9427138, 16.9076256, 22.8982330, 25.2550378, 26.1691598");
+						values("0.191951, 0.307121, 0.383901, 0.307121, 0.191951");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3675");
+						index_2("18.7635");
+						index_3("0.001");
+						index_4("9.5109065, 10.1632905, 14.1083255, 15.4751924, 16.1108892");
+						values("0.197295, 0.315672, 0.39459, 0.315672, 0.197295");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41839");
+						index_2("12.5182");
+						index_3("0.001");
+						index_4("6.3676214, 6.8544234, 9.4984789, 10.5868791, 11.0608087");
+						values("0.198822, 0.318115, 0.397644, 0.318115, 0.198822");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.3675");
+						index_2("56.2905");
+						index_3("0.001");
+						index_4("28.3377010, 30.0008883, 40.7768709, 45.7453462, 47.3926109");
+						values("0.642465, 1.02794, 1.28493, 1.02794, 0.642465");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.21837");
+						index_2("31.4288");
+						index_3("0.001");
+						index_4("15.8019310, 16.8601823, 23.9462551, 26.7856447, 27.8720050");
+						values("1.22525, 0.970406, 0.800508, 0.970406, 1.22525");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28825");
+						index_2("18.117");
+						index_3("0.001");
+						index_4("9.1319332, 9.8421835, 13.8813057, 15.9795282, 16.7029645");
+						values("1.21632, 0.956117, 0.782646, 0.956117, 1.21632");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35017");
+						index_2("12.1406");
+						index_3("0.001");
+						index_4("6.1352564, 6.6701330, 9.5818958, 10.9933759, 11.5358300");
+						values("1.21084, 0.94735, 0.771688, 0.94735, 1.21084");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21837");
+						index_2("15.7144");
+						index_3("0.001");
+						index_4("7.9808840, 8.5740416, 11.9580421, 13.4991328, 14.0714135");
+						values("1.43887, 1.3122, 1.22775, 1.3122, 1.43887");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28825");
+						index_2("9.05851");
+						index_3("0.001");
+						index_4("4.6259741, 5.0228774, 7.1811397, 8.0630739, 8.4548165");
+						values("1.43508, 1.30613, 1.22017, 1.30613, 1.43508");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35017");
+						index_2("6.07028");
+						index_3("0.001");
+						index_4("3.1173327, 3.4123972, 4.8826960, 5.5451552, 5.8615039");
+						values("1.43468, 1.30549, 1.21936, 1.30549, 1.43468");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28825");
+						index_2("27.1755");
+						index_3("0.001");
+						index_4("13.5562634, 14.4869452, 19.6417191, 23.8271099, 24.8767835");
+						values("1.0424, 0.677837, 0.434796, 0.677837, 1.0424");
+					}
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017344;
+			capacitance : 0.017208;
+			fall_capacitance : 0.017072;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0406859, 0.0417433, 0.0428007, 0.0428551, 0.0429073, 0.0429617, 0.0430161");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0286207, 0.0285009, 0.0283811, 0.0281926, 0.0280117, 0.0278232, 0.0276347");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.000806807";
+				miller_cap_rise : "0.00057433";
+				dc_current ("ccsn_dc") {
+					index_1("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					index_2("-1.6, -0.8, -0.32, -0.16, 0, 0.08, 0.16, 0.24, 0.32, 0.4, 0.48, 0.56, 0.64, 0.72, 0.8, 0.88, 0.96, 1.04, 1.12, 1.2, 1.28, 1.36, 1.44, 1.52, 1.6, 1.76, 1.92, 2.4, 3.2");
+					values("4.00726, 0.418663, 0.392893, 0.38158, 0.36751, 0.359118, 0.349714, 0.339263, 0.327751, 0.31517, 0.301516, 0.28679, 0.270993, 0.254126, 0.236196, 0.217206, 0.197162, 0.176074, 0.153948, 0.130794, 0.106623, 0.0814457, 0.0552741, 0.028121, -6.45881e-09, -0.0562605, -0.111187, -0.292665, -1.67683", \
+					  "3.85811, 0.267047, 0.253533, 0.248572, 0.24311, 0.240124, 0.236916, 0.233426, 0.229569, 0.225223, 0.220205, 0.214261, 0.207115, 0.198558, 0.188481, 0.176837, 0.163604, 0.148769, 0.132326, 0.114274, 0.0946124, 0.0733463, 0.0504821, 0.0260293, -1.47603e-08, -0.0538557, -0.108304, -0.294043, -1.69105", \
+					  "3.75842, 0.156442, 0.147401, 0.144486, 0.141413, 0.1398, 0.138126, 0.136377, 0.13454, 0.132593, 0.130509, 0.128248, 0.125749, 0.122919, 0.119591, 0.115472, 0.11012, 0.103135, 0.0943123, 0.0835665, 0.0708574, 0.0561603, 0.0394586, 0.0207407, -1.59003e-09, -0.0454765, -0.0944512, -0.273131, -1.6853", \
+					  "3.73243, 0.124485, 0.112361, 0.110004, 0.107548, 0.106274, 0.104963, 0.103608, 0.102201, 0.100731, 0.0991844, 0.0975416, 0.0957752, 0.0938446, 0.0916843, 0.0891787, 0.0860964, 0.0819947, 0.076337, 0.0687956, 0.0592398, 0.0476121, 0.0338784, 0.0180139, -1.59114e-09, -0.0409461, -0.0867287, -0.260302, -1.67885", \
+					  "3.71087, 0.109256, 0.0794783, 0.0776319, 0.075732, 0.0747571, 0.073762, 0.0727434, 0.0716972, 0.0706182, 0.0694997, 0.0683328, 0.0671055, 0.0658011, 0.0643946, 0.0628467, 0.0610867, 0.058964, 0.0561136, 0.0518993, 0.0458122, 0.0376445, 0.0273154, 0.0147787, -1.59259e-09, -0.0354501, -0.0772322, -0.243892, -1.67027", \
+					  "3.70195, 0.109124, 0.064315, 0.0627086, 0.061066, 0.0602277, 0.0593757, 0.0585076, 0.0576208, 0.0567116, 0.0557757, 0.0548073, 0.0537988, 0.0527396, 0.0516149, 0.0504019, 0.0490629, 0.0475246, 0.0456071, 0.042839, 0.0385089, 0.032178, 0.0236909, 0.0129799, -1.59339e-09, -0.0323423, -0.0718075, -0.234243, -1.66508", \
+					  "3.69445, 0.113205, 0.0502744, 0.0488982, 0.0475006, 0.0467914, 0.0460735, 0.0453457, 0.044606, 0.0438522, 0.0430816, 0.0422904, 0.0414742, 0.0406265, 0.0397387, 0.0387979, 0.0377843, 0.0366629, 0.0353571, 0.033644, 0.0309192, 0.0264424, 0.0198653, 0.0110713, -1.59495e-09, -0.0290051, -0.0659401, -0.223589, -1.65925", \
+					  "3.68851, 0.121068, 0.0375702, 0.036411, 0.035247, 0.0346598, 0.0340681, 0.0334711, 0.0328677, 0.0322565, 0.0316358, 0.0310036, 0.0303573, 0.0296931, 0.0290063, 0.02829, 0.0275342, 0.0267223, 0.0258228, 0.0247556, 0.023235, 0.0205102, 0.0158731, 0.00906674, -1.60638e-09, -0.025453, -0.0596471, -0.211911, -1.65273", \
+					  "3.68429, 0.132487, 0.0264512, 0.0254577, 0.024517, 0.0240455, 0.0235728, 0.0230983, 0.0226214, 0.0221414, 0.0216574, 0.0211684, 0.0206728, 0.0201689, 0.0196542, 0.0191254, 0.0185776, 0.0180034, 0.0173902, 0.0167113, 0.0158822, 0.0145308, 0.0117707, 0.00698705, -1.78405e-09, -0.0217078, -0.0529563, -0.199204, -1.64551", \
+					  "3.68193, 0.14734, 0.0173365, 0.0162486, 0.015522, 0.015161, 0.014801, 0.0144418, 0.0140831, 0.0137247, 0.0133661, 0.0130068, 0.0126462, 0.0122834, 0.0119175, 0.0115469, 0.0111698, 0.010783, 0.0103821, 0.00995835, 0.009491, 0.0088914, 0.00767662, 0.00487093, -4.7812e-09, -0.0178, -0.0459092, -0.185483, -1.63755", \
+					  "3.68159, 0.165558, 0.0112385, 0.00900639, 0.00847921, 0.00822483, 0.00797306, 0.00772383, 0.00747705, 0.00723264, 0.00699045, 0.00675033, 0.00651206, 0.00627538, 0.00603993, 0.00580523, 0.00557065, 0.00533525, 0.00509762, 0.00485543, 0.00460397, 0.00432888, 0.00393832, 0.00281604, -4.39209e-08, -0.0137744, -0.0385664, -0.170808, -1.62882", \
+					  "3.68342, 0.187107, 0.0104321, 0.00402159, 0.00361863, 0.00346797, 0.0033208, 0.00317699, 0.00303652, 0.00289936, 0.00276547, 0.00263479, 0.00250727, 0.00238283, 0.00226136, 0.00214275, 0.00202681, 0.00191333, 0.00180196, 0.0016922, 0.00158317, 0.00147282, 0.00135238, 0.00111433, -8.71887e-07, -0.00970948, -0.0310263, -0.155326, -1.61933", \
+					  "3.68758, 0.211944, 0.016959, 0.00172577, 0.00104886, 0.000985907, 0.000927377, 0.000871451, 0.00081802, 0.000767004, 0.000718328, 0.000671914, 0.000627682, 0.000585548, 0.000545425, 0.000507222, 0.000470841, 0.000436172, 0.000403096, 0.000371465, 0.000341082, 0.000311619, 0.000282163, 0.000244517, -1.27829e-05, -0.00580362, -0.0234913, -0.139326, -1.60916", \
+					  "3.69402, 0.239599, 0.0300135, 0.00278896, 0.000212259, 0.000171353, 0.000151803, 0.000133632, 0.000116303, 9.96961e-05, 8.3745e-05, 6.83997e-05, 5.36165e-05, 3.93555e-05, 2.55788e-05, 1.22502e-05, -6.65321e-07, -1.32028e-05, -2.53978e-05, -3.72885e-05, -4.89194e-05, -6.03529e-05, -7.17261e-05, -8.39615e-05, -0.00013734, -0.002704, -0.0164718, -0.123341, -1.59876", \
+					  "3.70196, 0.268853, 0.0471157, 0.00836999, 4.03358e-05, -0.00015473, -0.000199022, -0.000238245, -0.000276563, -0.000314609, -0.000352581, -0.000390567, -0.00042861, -0.000466737, -0.000504964, -0.000543304, -0.000581764, -0.000620352, -0.000659074, -0.000697938, -0.000736952, -0.000776129, -0.000815498, -0.000855219, -0.000903053, -0.00170951, -0.0111349, -0.108524, -1.58941", \
+					  "3.71045, 0.29875, 0.0663615, 0.0182734, 7.80778e-06, -0.00103231, -0.00123476, -0.00139158, -0.00154066, -0.00168713, -0.00183255, -0.00197757, -0.00212251, -0.00226755, -0.00241279, -0.0025583, -0.00270412, -0.00285028, -0.00299679, -0.00314367, -0.00329093, -0.00343859, -0.00358669, -0.00373527, -0.00388578, -0.00432908, -0.00970818, -0.0972949, -1.58395", \
+					  "3.71915, 0.328924, 0.0865468, 0.0301326, 1.33421e-06, -0.00405013, -0.00499087, -0.00547769, -0.00589585, -0.00629087, -0.00667489, -0.00705272, -0.00742673, -0.00779823, -0.00816801, -0.00853659, -0.00890431, -0.00927141, -0.00963806, -0.0100044, -0.0103706, -0.0107366, -0.0111027, -0.011469, -0.0118357, -0.0125977, -0.0153455, -0.0929514, -1.58577", \
+					  "3.72797, 0.359197, 0.106748, 0.0420475, 1.52207e-07, -0.0095665, -0.0132184, -0.01456, -0.0154647, -0.0162465, -0.0169748, -0.0176741, -0.0183554, -0.0190247, -0.0196854, -0.0203398, -0.0209893, -0.021635, -0.0222775, -0.0229175, -0.0235555, -0.0241918, -0.0248267, -0.0254607, -0.026094, -0.027366, -0.0291631, -0.0964531, -1.59514", \
+					  "3.7369, 0.389437, 0.12627, 0.0533529, 1.14374e-08, -0.0159018, -0.0245025, -0.0280101, -0.0297927, -0.0311191, -0.0322721, -0.0333383, -0.0343535, -0.0353356, -0.0362946, -0.0372368, -0.0381662, -0.0390856, -0.0399969, -0.0409016, -0.0418009, -0.0426957, -0.0435868, -0.0444749, -0.0453608, -0.0471301, -0.0490043, -0.10602, -1.60961", \
+					  "3.74592, 0.419523, 0.144702, 0.0638939, 2.07521e-09, -0.022098, -0.036447, -0.0438815, -0.0473096, -0.0494407, -0.0511255, -0.0526067, -0.0539753, -0.0552737, -0.0565247, -0.0577416, -0.0589331, -0.060105, -0.0612613, -0.0624049, -0.0635381, -0.0646627, -0.0657802, -0.0668918, -0.0679988, -0.0702039, -0.072427, -0.11994, -1.62727", \
+					  "3.75503, 0.449343, 0.161883, 0.073657, 1.62223e-09, -0.0278986, -0.0479455, -0.0604019, -0.0666404, -0.0700144, -0.0723991, -0.0743687, -0.076123, -0.0777489, -0.0792906, -0.0807733, -0.0822127, -0.0836191, -0.0849996, -0.0863592, -0.0877018, -0.0890303, -0.0903471, -0.0916543, -0.0929535, -0.0955356, -0.0981124, -0.13724, -1.64717", \
+					  "3.76422, 0.478782, 0.1778, 0.0826695, 1.61193e-09, -0.0332596, -0.0587107, -0.0764521, -0.0866694, -0.0919569, -0.0952977, -0.0978651, -0.100056, -0.102033, -0.103873, -0.10562, -0.1073, -0.10893, -0.11052, -0.112079, -0.113613, -0.115126, -0.116621, -0.118103, -0.119572, -0.122484, -0.125379, -0.157348, -1.66884", \
+					  "3.77348, 0.50772, 0.192502, 0.090972, 1.63089e-09, -0.0381917, -0.0686948, -0.0915696, -0.106487, -0.114556, -0.119227, -0.122549, -0.12525, -0.127613, -0.129769, -0.131787, -0.133707, -0.135555, -0.137347, -0.139095, -0.140807, -0.14249, -0.14415, -0.145789, -0.147411, -0.150619, -0.153797, -0.179866, -1.69197", \
+					  "3.7828, 0.536025, 0.206061, 0.0986092, 1.66562e-09, -0.0427195, -0.0779157, -0.105638, -0.125458, -0.137188, -0.143706, -0.147999, -0.151309, -0.15411, -0.156608, -0.15891, -0.161076, -0.163141, -0.165131, -0.167062, -0.168945, -0.17079, -0.172602, -0.174389, -0.176153, -0.17963, -0.183063, -0.204479, -1.71636", \
+					  "3.79218, 0.563549, 0.21855, 0.105626, 1.72206e-09, -0.0468707, -0.0864117, -0.118666, -0.143256, -0.159297, -0.168314, -0.173874, -0.177928, -0.181233, -0.184109, -0.186715, -0.189136, -0.191424, -0.193612, -0.195723, -0.197773, -0.199774, -0.201733, -0.203658, -0.205555, -0.209283, -0.212951, -0.230927, -1.74184", \
+					  "3.81105, 0.61555, 0.24061, 0.117971, 1.93482e-09, -0.0541536, -0.101408, -0.14179, -0.175079, -0.200288, -0.21637, -0.225749, -0.231876, -0.236475, -0.240261, -0.24356, -0.246543, -0.249304, -0.251905, -0.254382, -0.256764, -0.259069, -0.261311, -0.263502, -0.265649, -0.269842, -0.273939, -0.288391, -1.79556", \
+					  "3.82992, 0.662124, 0.259218, 0.128328, 2.35395e-09, -0.0602457, -0.114043, -0.161399, -0.20219, -0.235789, -0.260555, -0.276014, -0.285439, -0.29192, -0.29692, -0.301087, -0.304734, -0.308033, -0.311084, -0.313952, -0.316678, -0.319292, -0.321817, -0.324268, -0.326657, -0.331291, -0.335783, -0.349995, -1.8522", \
+					  "3.88184, 0.759347, 0.29874, 0.150133, 5.97767e-09, -0.0730401, -0.140893, -0.203533, -0.260911, -0.312896, -0.35908, -0.398291, -0.428252, -0.448189, -0.46108, -0.470118, -0.477061, -0.482769, -0.487687, -0.492068, -0.496061, -0.499766, -0.503246, -0.50655, -0.509709, -0.515694, -0.521346, -0.53807, -2.0323", \
+					  "3.9198, 0.825237, 0.32907, 0.166653, 2.74723e-08, -0.0828477, -0.16206, -0.237603, -0.30944, -0.377535, -0.441834, -0.50224, -0.558551, -0.610289, -0.656329, -0.694536, -0.723052, -0.742936, -0.757078, -0.767772, -0.776353, -0.783566, -0.789844, -0.795449, -0.800552, -0.809678, -0.817801, -0.839484, -2.3278");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0644546, 0.1486302, 0.2195929, 0.2903234, 0.3985170");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1381756, 0.2225263, 0.2933964, 0.3641265, 0.4723856");
+						values("0.16, 0.48, 0.8, 1.12, 1.44");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0245452, 0.0472740, 0.0700762, 0.0959681, 0.1430259");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.0962381, 0.1191918, 0.1420583, 0.1680066, 0.2149076");
+						values("1.44, 1.12, 0.8, 0.48, 0.16");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.19573");
+						index_2("11.5476");
+						index_3("0.001");
+						index_4("5.8072268, 6.2085851, 7.5579784, 8.4499451, 8.7981335");
+						values("0.368652, 0.589843, 0.737304, 0.589843, 0.368652");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26401");
+						index_2("6.8467");
+						index_3("0.001");
+						index_4("3.4532400, 3.7263021, 4.5054316, 5.2110965, 5.4336135");
+						values("0.384879, 0.615807, 0.769758, 0.615807, 0.384879");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32306");
+						index_2("4.6754");
+						index_3("0.001");
+						index_4("2.3646376, 2.5714399, 3.1778044, 3.6633124, 3.8270123");
+						values("0.39523, 0.632369, 0.790461, 0.632369, 0.39523");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.19573");
+						index_2("5.77379");
+						index_3("0.001");
+						index_4("2.9012586, 3.1090832, 3.8161415, 4.3005579, 4.4734411");
+						values("0.176816, 0.282906, 0.353632, 0.282906, 0.176816");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26401");
+						index_2("3.42335");
+						index_3("0.001");
+						index_4("1.7230729, 1.8657876, 2.3320003, 2.6529870, 2.7680795");
+						values("0.183667, 0.293867, 0.367334, 0.293867, 0.183667");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32306");
+						index_2("2.3377");
+						index_3("0.001");
+						index_4("1.1812123, 1.2913523, 1.6437403, 1.8641130, 1.9482580");
+						values("0.184131, 0.29461, 0.368263, 0.29461, 0.184131");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26401");
+						index_2("10.2701");
+						index_3("0.001");
+						index_4("5.1626439, 5.5178736, 6.6674055, 7.7423120, 8.0533198");
+						values("0.582681, 0.932289, 1.16536, 0.932289, 0.582681");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.10235");
+						index_2("3.09776");
+						index_3("0.001");
+						index_4("1.5512184, 1.6668273, 2.1625064, 2.5841838, 2.7502895");
+						values("1.2191, 0.990562, 0.838202, 0.990562, 1.2191");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17762");
+						index_2("1.78648");
+						index_3("0.001");
+						index_4("0.8969075, 0.9745203, 1.3209998, 1.5676168, 1.6798245");
+						values("1.20845, 0.973519, 0.816899, 0.973519, 1.20845");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2456");
+						index_2("1.19347");
+						index_3("0.001");
+						index_4("0.6005312, 0.6587594, 0.9257488, 1.0891024, 1.1752911");
+						values("1.20153, 0.962453, 0.803067, 0.962453, 1.20153");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.10235");
+						index_2("1.54888");
+						index_3("0.001");
+						index_4("0.7838243, 0.8472403, 1.0919910, 1.3171141, 1.4119623");
+						values("1.40875, 1.294, 1.2175, 1.294, 1.40875");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17762");
+						index_2("0.893242");
+						index_3("0.001");
+						index_4("0.4541890, 0.4965878, 0.6930177, 0.8004292, 0.8697454");
+						values("1.40507, 1.28811, 1.21014, 1.28811, 1.40507");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.2456");
+						index_2("0.596736");
+						index_3("0.001");
+						index_4("0.3045461, 0.3376378, 0.4523909, 0.5574390, 0.6120471");
+						values("1.40219, 1.2835, 1.20438, 1.2835, 1.40219");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.17762");
+						index_2("2.67973");
+						index_3("0.001");
+						index_4("1.3307656, 1.4353260, 1.8932700, 2.3377324, 2.4909619");
+						values("1.05088, 0.721411, 0.501764, 0.721411, 1.05088");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.024343;
+			capacitance : 0.023704;
+			fall_capacitance : 0.023065;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0115097, 0.0040680, 0.0196458, 0.0295757, 0.0391085, 0.0490385, 0.0589685");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0624851, 0.0733585, 0.0842319, 0.1732080, 0.2586251, 0.3476012, 0.4365773");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.00383732";
+				miller_cap_rise : "0.00364667";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("23.008, 0.905876, 0.821895, 0.805532, 0.786368, 0.775372, 0.763143, 0.749364, 0.733597, 0.715239, 0.693521, 0.667705, 0.637576, 0.603538, 0.566016, 0.525178, 0.481032, 0.433528, 0.382589, 0.328135, 0.270076, 0.208323, 0.142787, 0.0733767, -1.64844e-09, -0.151984, -0.307585, -0.867515, -4.52597", \
+					  "22.6253, 0.52123, 0.456246, 0.44844, 0.439706, 0.434899, 0.429731, 0.424135, 0.418021, 0.411269, 0.403716, 0.395129, 0.385167, 0.37332, 0.358864, 0.340984, 0.319239, 0.293627, 0.264156, 0.230742, 0.193264, 0.151589, 0.10558, 0.0550976, -1.65295e-09, -0.11805, -0.243066, -0.733357, -4.46106", \
+					  "22.5219, 0.306949, 0.249959, 0.245732, 0.24113, 0.238656, 0.236044, 0.233273, 0.230315, 0.227136, 0.22369, 0.219917, 0.215733, 0.21102, 0.205602, 0.199202, 0.191372, 0.181387, 0.168312, 0.151428, 0.130363, 0.104883, 0.0747907, 0.0398936, -1.65031e-09, -0.0902503, -0.191064, -0.61781, -4.41282", \
+					  "22.5073, 0.245057, 0.186958, 0.18372, 0.180232, 0.178373, 0.176423, 0.17437, 0.172196, 0.16988, 0.167396, 0.164708, 0.161769, 0.158513, 0.154847, 0.150627, 0.145626, 0.139453, 0.131412, 0.120452, 0.105622, 0.0864203, 0.0625655, 0.0338309, -1.65014e-09, -0.0792719, -0.170741, -0.572693, -4.39414", \
+					  "22.5017, 0.198378, 0.128828, 0.126481, 0.123983, 0.122665, 0.121292, 0.119859, 0.118354, 0.116767, 0.115082, 0.113282, 0.111342, 0.109228, 0.106895, 0.104276, 0.101268, 0.097703, 0.0932763, 0.0873642, 0.0787777, 0.0662575, 0.0491591, 0.0271584, -1.64973e-09, -0.0672569, -0.148638, -0.523488, -4.36743", \
+					  "22.5025, 0.183466, 0.102174, 0.100235, 0.0981852, 0.0971096, 0.0959945, 0.0948346, 0.0936232, 0.0923522, 0.0910114, 0.0895879, 0.0880649, 0.0864202, 0.0846231, 0.0826301, 0.0803762, 0.0777578, 0.0745935, 0.0705154, 0.0646581, 0.0555163, 0.0419778, 0.0235698, -1.65181e-09, -0.0608215, -0.136859, -0.49714, -4.34966", \
+					  "22.5062, 0.174139, 0.0775367, 0.0759803, 0.0743466, 0.0734948, 0.0726158, 0.071706, 0.0707607, 0.0697748, 0.0687413, 0.0676519, 0.0664956, 0.0652581, 0.0639202, 0.0624548, 0.0608227, 0.0589631, 0.0567743, 0.0540608, 0.0503473, 0.044374, 0.0344701, 0.0198019, -1.66745e-09, -0.0540795, -0.124563, -0.469506, -4.33081", \
+					  "22.5129, 0.169729, 0.0553134, 0.0541109, 0.052861, 0.0522138, 0.0515494, 0.0508654, 0.0501591, 0.0494271, 0.0486653, 0.0478684, 0.04703, 0.0461414, 0.0451914, 0.0441645, 0.0430384, 0.04178, 0.0403361, 0.0386108, 0.0363904, 0.0329934, 0.0266591, 0.0158531, -1.76325e-09, -0.0470164, -0.111731, -0.44054, -4.31102", \
+					  "22.5231, 0.170461, 0.0360174, 0.0351343, 0.0342336, 0.033771, 0.0332989, 0.0328159, 0.0323206, 0.031811, 0.0312849, 0.0307395, 0.0301711, 0.0295754, 0.0289462, 0.0282757, 0.0275525, 0.0267604, 0.0258741, 0.0248512, 0.0236069, 0.0218958, 0.0186594, 0.0117451, -2.38264e-09, -0.0396222, -0.0983507, -0.410313, -4.29061", \
+					  "22.5375, 0.176838, 0.0203671, 0.0197372, 0.0191475, 0.0188477, 0.0185438, 0.0182351, 0.0179211, 0.0176007, 0.0172731, 0.0169369, 0.0165904, 0.0162317, 0.0158582, 0.0154663, 0.0150513, 0.0146063, 0.0141214, 0.0135803, 0.0129537, 0.0121726, 0.0109257, 0.00758471, -6.39221e-09, -0.0319012, -0.0844358, -0.379052, -4.2699", \
+					  "22.557, 0.189447, 0.00941481, 0.00879983, 0.00847217, 0.00830805, 0.00814301, 0.00797684, 0.00780927, 0.00764003, 0.00746874, 0.00729497, 0.00711817, 0.00693767, 0.00675258, 0.00656176, 0.00636367, 0.00615616, 0.00593613, 0.0056988, 0.00543611, 0.0051318, 0.00473299, 0.00376058, -3.23262e-08, -0.0239025, -0.0700645, -0.347102, -4.24916", \
+					  "22.5823, 0.208509, 0.00460462, 0.00283603, 0.00269078, 0.00262306, 0.00255555, 0.00248818, 0.00242087, 0.00235357, 0.00228618, 0.00221861, 0.00215073, 0.00208238, 0.00201337, 0.00194344, 0.00187225, 0.00179932, 0.00172399, 0.00164526, 0.00156152, 0.00146977, 0.00136244, 0.00118432, -1.99238e-07, -0.0158368, -0.0554716, -0.314848, -4.2286", \
+					  "22.6124, 0.232757, 0.00751481, 0.000706876, 0.000611599, 0.000591996, 0.000572804, 0.000553803, 0.00053497, 0.00051629, 0.000497749, 0.000479328, 0.000461006, 0.000442756, 0.000424546, 0.000406332, 0.000388058, 0.000369649, 0.000350997, 0.000331944, 0.000312237, 0.00029143, 0.00026849, 0.000237866, -1.28531e-06, -0.00845369, -0.0411568, -0.282664, -4.20838", \
+					  "22.6451, 0.261715, 0.0177022, 0.000465852, 0.000102945, 9.73208e-05, 9.32123e-05, 8.92559e-05, 8.53721e-05, 8.15447e-05, 7.77666e-05, 7.40325e-05, 7.03381e-05, 6.66786e-05, 6.30486e-05, 5.94417e-05, 5.58496e-05, 5.22614e-05, 4.86622e-05, 4.50298e-05, 4.13299e-05, 3.75016e-05, 3.34089e-05, 2.83889e-05, -8.30238e-06, -0.00323326, -0.0278625, -0.250888, -4.18863", \
+					  "22.678, 0.290523, 0.0327527, 0.00214309, 1.23258e-05, -5.7875e-07, -3.97615e-06, -6.71105e-06, -9.29179e-06, -1.18112e-05, -1.43013e-05, -1.67775e-05, -1.92483e-05, -2.1719e-05, -2.41935e-05, -2.66744e-05, -2.91641e-05, -3.16649e-05, -3.41792e-05, -3.67098e-05, -3.9261e-05, -4.18397e-05, -4.44613e-05, -4.71977e-05, -5.35543e-05, -0.000876807, -0.0164846, -0.219852, -4.16951", \
+					  "22.7127, 0.315063, 0.0507501, 0.00800453, 1.14624e-06, -8.11853e-05, -0.000100424, -0.000115071, -0.000128665, -0.000141853, -0.000154857, -0.000167783, -0.000180688, -0.000193608, -0.000206566, -0.000219578, -0.000232653, -0.0002458, -0.000259025, -0.000272331, -0.000285722, -0.0002992, -0.000312768, -0.000326434, -0.000340518, -0.000518593, -0.00819204, -0.190085, -4.15145", \
+					  "22.747, 0.344347, 0.0704847, 0.017951, 9.67989e-08, -0.00056396, -0.000693652, -0.000782596, -0.000861749, -0.00093669, -0.00100936, -0.00108067, -0.00115116, -0.00122116, -0.00129088, -0.00136048, -0.00143005, -0.00149969, -0.00156946, -0.00163939, -0.00170954, -0.00177992, -0.00185055, -0.00192146, -0.00199269, -0.0021577, -0.00495979, -0.163288, -4.13639", \
+					  "22.7815, 0.37407, 0.0911046, 0.0298007, 9.27777e-09, -0.00299284, -0.00381395, -0.00425198, -0.00459818, -0.00490483, -0.00518898, -0.00545852, -0.00571787, -0.0059698, -0.00621613, -0.00645818, -0.00669689, -0.00693297, -0.00716696, -0.0073993, -0.00763032, -0.00786029, -0.00808945, -0.00831798, -0.00854604, -0.00900366, -0.0100925, -0.143668, -4.12882", \
+					  "22.8161, 0.404149, 0.111984, 0.0421051, 2.25507e-09, -0.00831012, -0.0116063, -0.0131106, -0.0141188, -0.0149316, -0.0156393, -0.0162807, -0.0168761, -0.0174379, -0.017974, -0.01849, -0.0189898, -0.0194764, -0.0199521, -0.0204187, -0.0208774, -0.0213295, -0.0217759, -0.0222173, -0.0226545, -0.0235181, -0.0244847, -0.133507, -4.13021", \
+					  "22.851, 0.434514, 0.132692, 0.054255, 1.69796e-09, -0.0148277, -0.0225918, -0.0263548, -0.0285855, -0.0302188, -0.0315531, -0.0327082, -0.0337435, -0.0346932, -0.0355785, -0.0364138, -0.0372092, -0.0379722, -0.0387083, -0.0394217, -0.0401159, -0.0407935, -0.0414567, -0.0421074, -0.042747, -0.043998, -0.0452347, -0.130889, -4.13788", \
+					  "22.886, 0.465097, 0.152955, 0.0660255, 1.65395e-09, -0.0214557, -0.0347007, -0.0420184, -0.0462171, -0.0490553, -0.0512361, -0.0530424, -0.0546082, -0.056007, -0.0572833, -0.058466, -0.0595749, -0.0606243, -0.0616247, -0.0625841, -0.0635089, -0.0644038, -0.065273, -0.0661197, -0.0669466, -0.0685496, -0.0700984, -0.134252, -4.14991", \
+					  "22.9211, 0.495838, 0.172622, 0.0773382, 1.65051e-09, -0.027892, -0.0469087, -0.0587098, -0.0657263, -0.070252, -0.0735438, -0.0761563, -0.0783491, -0.0802595, -0.0819675, -0.0835239, -0.0849625, -0.0863073, -0.0875756, -0.0887803, -0.0899316, -0.0910373, -0.0921036, -0.0931357, -0.0941377, -0.0960649, -0.0979073, -0.143135, -4.16534", \
+					  "22.9564, 0.526676, 0.191618, 0.0881721, 1.65028e-09, -0.0340614, -0.0588269, -0.0755797, -0.0861823, -0.0929589, -0.097683, -0.101285, -0.104215, -0.106705, -0.108889, -0.110847, -0.112633, -0.114284, -0.115825, -0.117276, -0.118652, -0.119964, -0.121221, -0.122431, -0.123599, -0.12583, -0.127945, -0.157733, -4.18355", \
+					  "22.9918, 0.557555, 0.209912, 0.0985305, 1.6503e-09, -0.0399484, -0.0703168, -0.0921734, -0.106908, -0.116517, -0.12305, -0.12786, -0.131654, -0.134803, -0.137511, -0.139903, -0.142056, -0.144024, -0.145844, -0.147544, -0.149145, -0.150661, -0.152105, -0.153487, -0.154816, -0.157336, -0.159706, -0.178438, -4.20412", \
+					  "23.0273, 0.588419, 0.227502, 0.108427, 1.65033e-09, -0.0455565, -0.0813344, -0.108275, -0.127448, -0.140404, -0.149163, -0.155435, -0.160244, -0.164141, -0.167429, -0.170289, -0.172832, -0.175132, -0.17724, -0.179193, -0.181019, -0.182739, -0.184368, -0.185919, -0.187403, -0.190202, -0.192814, -0.205097, -4.22674", \
+					  "23.0986, 0.649874, 0.260622, 0.126909, 1.6504e-09, -0.0559786, -0.101942, -0.138696, -0.166987, -0.187668, -0.202113, -0.212206, -0.219593, -0.225316, -0.229969, -0.233893, -0.237296, -0.240312, -0.243028, -0.245508, -0.247796, -0.249926, -0.251923, -0.253808, -0.255596, -0.25893, -0.262002, -0.270737, -4.27744", \
+					  "23.1703, 0.710588, 0.291144, 0.143779, 1.65046e-09, -0.0654287, -0.120742, -0.16667, -0.203836, -0.232767, -0.254155, -0.269356, -0.280226, -0.288337, -0.294698, -0.299903, -0.304305, -0.308126, -0.31151, -0.314555, -0.317329, -0.319883, -0.322256, -0.324476, -0.326565, -0.330422, -0.333935, -0.343113, -4.33563", \
+					  "23.3863, 0.883559, 0.369109, 0.186212, 1.41505e-09, -0.0889286, -0.167863, -0.237401, -0.298047, -0.350164, -0.393914, -0.429276, -0.456449, -0.476507, -0.491256, -0.502404, -0.511159, -0.518287, -0.524271, -0.529418, -0.533934, -0.53796, -0.541595, -0.544914, -0.547971, -0.553459, -0.558301, -0.570284, -4.55425", \
+					  "23.7449, 1.12453, 0.463973, 0.236595, 1.6508e-09, -0.116329, -0.223435, -0.321764, -0.411716, -0.49363, -0.567754, -0.634199, -0.692857, -0.743347, -0.785163, -0.818238, -0.843519, -0.862706, -0.877516, -0.889266, -0.89886, -0.906899, -0.913786, -0.919793, -0.925115, -0.934219, -0.941835, -0.959345, -4.94822");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0740269, 0.1008391, 0.1293262, 0.1616217, 0.2096291");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1434155, 0.1702556, 0.1986619, 0.2312223, 0.2790974");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.0505266, 0.0684186, 0.0870314, 0.1075815, 0.1380262");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.1215988, 0.1395249, 0.1582302, 0.1789358, 0.2092808");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("7.54998");
+						index_3("0.001");
+						index_4("3.8476343, 4.1411406, 5.5313179, 6.0017422, 6.1978551");
+						values("0.626837, 1.00294, 1.25367, 1.00294, 0.626837");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33312");
+						index_2("4.40449");
+						index_3("0.001");
+						index_4("2.2622588, 2.4627506, 3.3390294, 3.6196181, 3.7513282");
+						values("0.646488, 1.03438, 1.29298, 1.03438, 0.646488");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38803");
+						index_2("3.00554");
+						index_3("0.001");
+						index_4("1.5548852, 1.7081483, 2.3281501, 2.5323188, 2.6323135");
+						values("0.658009, 1.05281, 1.31602, 1.05281, 0.658009");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.26839");
+						index_2("3.77499");
+						index_3("0.001");
+						index_4("1.9919348, 2.2304634, 2.8308311, 3.0457314, 3.1452820");
+						values("0.333482, 0.533571, 0.666964, 0.533571, 0.333482");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33312");
+						index_2("2.20224");
+						index_3("0.001");
+						index_4("1.1829726, 1.3451353, 1.7105977, 1.8387012, 1.9067894");
+						values("0.337817, 0.540507, 0.675634, 0.540507, 0.337817");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.38803");
+						index_2("1.50277");
+						index_3("0.001");
+						index_4("0.8206291, 0.9463054, 1.2090249, 1.2888569, 1.3412200");
+						values("0.340979, 0.545567, 0.681958, 0.545567, 0.340979");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.33312");
+						index_2("6.60673");
+						index_3("0.001");
+						index_4("3.3302338, 3.5700627, 4.9204540, 5.3766769, 5.5677452");
+						values("0.868096, 1.38895, 1.65, 1.38895, 0.868096");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.21588");
+						index_2("4.80163");
+						index_3("0.001");
+						index_4("2.4454536, 2.6383871, 3.6260763, 4.0014643, 4.1689703");
+						values("1.03183, 0.660922, 0.413652, 0.660922, 1.03183");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28343");
+						index_2("2.74071");
+						index_3("0.001");
+						index_4("1.4090269, 1.5430120, 2.1549433, 2.3785641, 2.4900826");
+						values("1.00931, 0.624897, 0.368621, 0.624897, 1.00931");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34432");
+						index_2("1.81767");
+						index_3("0.001");
+						index_4("0.9427316, 1.0433000, 1.4856706, 1.6298083, 1.7123326");
+						values("0.996804, 0.604887, 0.343609, 0.604887, 0.996804");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.21588");
+						index_2("2.40082");
+						index_3("0.001");
+						index_4("1.2678912, 1.4384196, 1.8218504, 2.0404547, 2.1270732");
+						values("1.32218, 1.12548, 0.99435, 1.12548, 1.32218");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28343");
+						index_2("1.37036");
+						index_3("0.001");
+						index_4("0.7400225, 0.8652119, 1.1059779, 1.2160125, 1.2749191");
+						values("1.31659, 1.11655, 0.983182, 1.11655, 1.31659");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34432");
+						index_2("0.908834");
+						index_3("0.001");
+						index_4("0.5017482, 0.5962425, 0.7526180, 0.8359717, 0.8812416");
+						values("1.31745, 1.11792, 0.984905, 1.11792, 1.31745");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.28343");
+						index_2("4.11107");
+						index_3("0.001");
+						index_4("2.0725518, 2.2332196, 3.1325699, 3.5249987, 3.6834762");
+						values("0.787449, 0.269919, 0, 0.269919, 0.787449");
+					}
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.009990;
+			capacitance : 0.010016;
+			fall_capacitance : 0.010042;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0113524, -0.0114232, -0.0114939, -0.0114721, -0.0114511, -0.0114292, -0.0114073");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0113131, 0.0113914, 0.0114697, 0.0114399, 0.0114112, 0.0113813, 0.0113514");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "INP_SEL_H";
+				miller_cap_fall : "2.28798e-07";
+				miller_cap_rise : "4.03161e-07";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("7.11818, 0.0817407, 0.0645652, 0.0641431, 0.0636367, 0.0633399, 0.0630038, 0.0626169, 0.0621616, 0.0616103, 0.0609166, 0.0600021, 0.0587493, 0.0570405, 0.0548069, 0.0520175, 0.0486548, 0.0447065, 0.0401624, 0.0350143, 0.0292552, 0.0228792, 0.015881, 0.00825605, -4.83524e-09, -0.018188, -0.0384784, -0.115432, -3.68733", \
+					  "7.11839, 0.0733333, 0.055661, 0.0553279, 0.0549327, 0.0547036, 0.0544469, 0.0541549, 0.0538166, 0.0534153, 0.0529235, 0.0522935, 0.0514414, 0.0502413, 0.0485755, 0.0463804, 0.0436266, 0.0402969, 0.0363781, 0.0318596, 0.0267326, 0.0209895, 0.0146235, 0.00762887, -2.55962e-09, -0.0169676, -0.036092, -0.109593, -3.68375", \
+					  "7.08967, 0.0433367, 0.0257791, 0.0256576, 0.0255201, 0.0254438, 0.0253612, 0.0252714, 0.0251727, 0.0250632, 0.0249401, 0.0247996, 0.0246357, 0.024439, 0.0241925, 0.023862, 0.0233649, 0.0225337, 0.0211975, 0.0192745, 0.0167301, 0.0135439, 0.00970073, 0.00518919, -4.35396e-09, -0.0123267, -0.0271532, -0.088662, -3.67062", \
+					  "7.08277, 0.0358985, 0.0174977, 0.01742, 0.0173333, 0.0172857, 0.0172347, 0.0171798, 0.0171203, 0.0170552, 0.0169834, 0.0169032, 0.0168123, 0.0167072, 0.0165826, 0.0164291, 0.0162276, 0.0159295, 0.0153977, 0.0144145, 0.0128467, 0.0106436, 0.00777896, 0.00423528, -3.66041e-10, -0.0105157, -0.0236736, -0.0805662, -3.66556", \
+					  "7.0777, 0.0308134, 0.0106192, 0.010574, 0.0105241, 0.010497, 0.0104683, 0.0104377, 0.0104048, 0.0103694, 0.0103309, 0.0102886, 0.0102417, 0.010189, 0.0101287, 0.0100582, 0.00997276, 0.00986356, 0.00970802, 0.00942521, 0.00879244, 0.00759631, 0.00575344, 0.00322819, -2.23987e-09, -0.00860743, -0.0200128, -0.0720813, -3.66024", \
+					  "7.07586, 0.0292847, 0.0077476, 0.00771487, 0.00767907, 0.00765976, 0.00763936, 0.00761771, 0.00759461, 0.00756984, 0.00754309, 0.00751397, 0.007482, 0.00744647, 0.00740641, 0.0073604, 0.00730614, 0.00723967, 0.00715254, 0.00701928, 0.00672982, 0.00601894, 0.0046987, 0.00270257, -3.3058e-09, -0.00761506, -0.0181124, -0.0676905, -3.65747", \
+					  "7.07451, 0.0284724, 0.00528127, 0.00525869, 0.00523436, 0.00522133, 0.00520762, 0.00519313, 0.00517777, 0.00516139, 0.00514383, 0.00512487, 0.00510423, 0.00508154, 0.00505629, 0.00502775, 0.00499483, 0.00495576, 0.00490723, 0.00484152, 0.00472893, 0.00441831, 0.00361423, 0.00215987, -2.9946e-09, -0.00659589, -0.0161645, -0.063201, -3.65461", \
+					  "7.07365, 0.0283987, 0.00325035, 0.00323517, 0.00321987, 0.00321173, 0.00320321, 0.00319426, 0.00318482, 0.00317482, 0.00316418, 0.00315278, 0.00314048, 0.00312711, 0.00311241, 0.00309604, 0.00307751, 0.00305608, 0.00303046, 0.00299818, 0.00295242, 0.00285711, 0.00250771, 0.0015983, -1.05838e-09, -0.00554814, -0.014169, -0.0586146, -3.65165", \
+					  "7.07332, 0.0290989, 0.00170181, 0.00168886, 0.00168025, 0.00167572, 0.00167101, 0.00166609, 0.00166093, 0.00165551, 0.00164979, 0.00164371, 0.00163722, 0.00163023, 0.00162265, 0.00161433, 0.00160508, 0.00159461, 0.00158248, 0.00156789, 0.00154919, 0.00152069, 0.00143535, 0.00102567, -2.92799e-09, -0.00446905, -0.0121258, -0.0539374, -3.64859", \
+					  "7.07358, 0.0306163, 0.000701169, 0.000672109, 0.000667953, 0.00066585, 0.000663678, 0.000661428, 0.000659089, 0.000656648, 0.000654094, 0.00065141, 0.000648574, 0.000645557, 0.000642325, 0.000638835, 0.000635021, 0.000630796, 0.000626026, 0.000620497, 0.000613812, 0.000605011, 0.0005893, 0.000495133, -3.81495e-09, -0.00335753, -0.010037, -0.0491845, -3.64544", \
+					  "7.07442, 0.0329346, 0.000305214, 0.000178472, 0.000176323, 0.000175592, 0.000174845, 0.000174079, 0.000173286, 0.000172466, 0.000171619, 0.000170735, 0.000169811, 0.000168842, 0.000167816, 0.000166725, 0.000165553, 0.00016428, 0.000162877, 0.000161298, 0.000159465, 0.00015722, 0.000154043, 0.00014364, -6.59173e-09, -0.0022314, -0.00791389, -0.0443899, -3.64224", \
+					  "7.07568, 0.035868, 0.000494355, 3.50083e-05, 3.0648e-05, 3.04615e-05, 3.02907e-05, 3.01181e-05, 2.99407e-05, 2.97578e-05, 2.95686e-05, 2.93738e-05, 2.91717e-05, 2.89603e-05, 2.87402e-05, 2.85085e-05, 2.82615e-05, 2.79962e-05, 2.77094e-05, 2.73916e-05, 2.7033e-05, 2.66094e-05, 2.60556e-05, 2.48583e-05, -2.86512e-08, -0.00118712, -0.00580927, -0.0396103, -3.63903", \
+					  "7.07715, 0.0391738, 0.00117141, 2.6852e-05, 4.11694e-06, 3.97016e-06, 3.93325e-06, 3.90468e-06, 3.87525e-06, 3.8458e-06, 3.81713e-06, 3.78489e-06, 3.75419e-06, 3.72109e-06, 3.68819e-06, 3.65306e-06, 3.61591e-06, 3.57644e-06, 3.53296e-06, 3.48759e-06, 3.43421e-06, 3.37338e-06, 3.29543e-06, 3.146e-06, -1.54855e-07, -0.000445248, -0.00386214, -0.0349112, -3.63585", \
+					  "7.07876, 0.0427259, 0.0022592, 0.000121885, 4.77366e-07, -2.24717e-07, -2.86288e-07, -3.09366e-07, -3.26528e-07, -3.41476e-07, -3.52542e-07, -3.64364e-07, -3.75403e-07, -3.85323e-07, -3.94143e-07, -4.03376e-07, -4.12704e-07, -4.22831e-07, -4.32155e-07, -4.43019e-07, -4.52224e-07, -4.64277e-07, -4.76134e-07, -4.97344e-07, -8.79488e-07, -0.000107511, -0.00223774, -0.0303623, -3.63276", \
+					  "7.08043, 0.0463242, 0.00363792, 0.000437826, 5.79975e-08, -3.68099e-06, -3.9946e-06, -4.10487e-06, -4.17671e-06, -4.23244e-06, -4.27815e-06, -4.31981e-06, -4.35605e-06, -4.38782e-06, -4.41918e-06, -4.44817e-06, -4.474e-06, -4.49869e-06, -4.52416e-06, -4.5468e-06, -4.56911e-06, -4.5901e-06, -4.61157e-06, -4.6332e-06, -4.69492e-06, -2.37882e-05, -0.00109595, -0.0261923, -3.62989", \
+					  "7.08225, 0.0501732, 0.00532512, 0.00108045, 7.69789e-09, -2.12899e-05, -2.31744e-05, -2.38059e-05, -2.42024e-05, -2.45039e-05, -2.4755e-05, -2.49705e-05, -2.51629e-05, -2.5337e-05, -2.54974e-05, -2.5646e-05, -2.57843e-05, -2.59159e-05, -2.60398e-05, -2.61586e-05, -2.62703e-05, -2.63793e-05, -2.64844e-05, -2.65852e-05, -2.66874e-05, -2.96476e-05, -0.000414881, -0.0221241, -3.62717", \
+					  "7.08433, 0.0546099, 0.00741878, 0.00208552, 2.17293e-09, -0.000134088, -0.00015037, -0.000154756, -0.00015721, -0.000158985, -0.000160409, -0.000161613, -0.000162666, -0.000163606, -0.000164459, -0.000165242, -0.000165968, -0.000166647, -0.000167285, -0.000167889, -0.000168463, -0.00016901, -0.000169534, -0.000170037, -0.000170522, -0.000171733, -0.000251198, -0.0179203, -3.62415", \
+					  "7.08632, 0.0588595, 0.00947678, 0.0031462, 1.64999e-09, -0.000455458, -0.000559276, -0.000583009, -0.000593767, -0.000600804, -0.00060615, -0.000610518, -0.00061424, -0.000617499, -0.000620409, -0.000623045, -0.000625459, -0.000627691, -0.00062977, -0.000631718, -0.000633554, -0.000635292, -0.000636944, -0.00063852, -0.000640028, -0.0006429, -0.000658828, -0.0145982, -3.62192", \
+					  "7.08833, 0.0631653, 0.01156, 0.00423591, 1.64999e-09, -0.000945078, -0.0013163, -0.00141914, -0.0014565, -0.00147754, -0.00149234, -0.00150389, -0.00151343, -0.00152161, -0.00152878, -0.00153519, -0.00154099, -0.00154629, -0.00155119, -0.00155574, -0.00156, -0.001564, -0.00156778, -0.00157137, -0.00157478, -0.00158115, -0.00158903, -0.0120146, -3.62033", \
+					  "7.09036, 0.0674966, 0.0136382, 0.00532578, 1.64998e-09, -0.00149253, -0.00229742, -0.00261571, -0.00272795, -0.00278145, -0.00281537, -0.00284034, -0.00286023, -0.00287685, -0.00289115, -0.00290374, -0.002915, -0.00292519, -0.00293452, -0.00294313, -0.00295113, -0.00295861, -0.00296563, -0.00297225, -0.00297853, -0.00299017, -0.0030011, -0.0102508, -3.61938", \
+					  "7.09239, 0.0718219, 0.0156951, 0.00640453, 1.64997e-09, -0.00205083, -0.00336541, -0.00405309, -0.00434058, -0.00446569, -0.0045361, -0.00458422, -0.00462085, -0.00465053, -0.00467555, -0.00469721, -0.00471634, -0.00473349, -0.00474905, -0.00476329, -0.00477645, -0.00478867, -0.00480009, -0.00481081, -0.00482093, -0.00483961, -0.0048566, -0.00942421, -3.61904", \
+					  "7.09443, 0.0761188, 0.0177226, 0.00746739, 1.64997e-09, -0.00260617, -0.00445435, -0.00560667, -0.00620167, -0.00647325, -0.00661242, -0.00669963, -0.00676241, -0.00681147, -0.00685179, -0.00688607, -0.00691592, -0.00694238, -0.00696616, -0.00698777, -0.0070076, -0.00702591, -0.00704294, -0.00705886, -0.00707382, -0.00710129, -0.00712607, -0.00967303, -3.61927", \
+					  "7.09645, 0.0803725, 0.0197165, 0.00851205, 1.64905e-09, -0.00315409, -0.00553999, -0.00719749, -0.00820617, -0.00873213, -0.00899735, -0.00915071, -0.00925415, -0.00933153, -0.00939326, -0.00944463, -0.00948866, -0.00952721, -0.00956151, -0.00959243, -0.00962059, -0.00964645, -0.00967038, -0.00969264, -0.00971348, -0.00975154, -0.00978567, -0.0110459, -3.62002", \
+					  "7.09845, 0.0845735, 0.0216744, 0.0095373, 1.64942e-09, -0.00369279, -0.00661291, -0.00878881, -0.0102692, -0.0111555, -0.0116326, -0.0118972, -0.0120643, -0.0121831, -0.0122747, -0.012349, -0.0124115, -0.0124655, -0.012513, -0.0125554, -0.0125938, -0.0126288, -0.012661, -0.0126909, -0.0127187, -0.0127692, -0.0128143, -0.0134114, -3.62125", \
+					  "7.10042, 0.0887151, 0.023595, 0.0105425, 1.64964e-09, -0.00422142, -0.0076689, -0.0103645, -0.0123414, -0.0136604, -0.0144465, -0.01489, -0.0151571, -0.0153372, -0.0154704, -0.0155755, -0.0156621, -0.0157356, -0.0157996, -0.0158561, -0.0159068, -0.0159528, -0.0159948, -0.0160336, -0.0160696, -0.0161345, -0.0161921, -0.0165158, -3.62295", \
+					  "7.10429, 0.0968033, 0.0273215, 0.0124913, 1.64983e-09, -0.00524697, -0.00972274, -0.013443, -0.0164272, -0.0187028, -0.0203181, -0.021369, -0.022014, -0.0224193, -0.0226949, -0.0228979, -0.0230567, -0.0231865, -0.023296, -0.0233906, -0.0234738, -0.0235481, -0.0236152, -0.0236764, -0.0237326, -0.023833, -0.0239208, -0.0241584, -3.62782", \
+					  "7.10804, 0.104613, 0.0308935, 0.0143576, 1.64989e-09, -0.00622933, -0.0116941, -0.0164069, -0.0203817, -0.0236356, -0.0261914, -0.0280875, -0.0293986, -0.0302557, -0.0308162, -0.0312025, -0.0314869, -0.0317083, -0.0318883, -0.0320393, -0.0321691, -0.0322828, -0.0323839, -0.032475, -0.0325577, -0.0327035, -0.0328291, -0.0331328, -3.63512", \
+					  "7.11835, 0.126032, 0.0405803, 0.0194102, 4.01902e-08, -0.00888823, -0.0170411, -0.0244675, -0.031176, -0.0371756, -0.0424751, -0.047084, -0.0510119, -0.0542705, -0.0568771, -0.0588682, -0.0603215, -0.0613585, -0.062108, -0.0626692, -0.0631067, -0.0634604, -0.0637549, -0.064006, -0.0642244, -0.0645895, -0.0648872, -0.0655484, -3.66693", \
+					  "7.12569, 0.141286, 0.0473524, 0.0229186, 4.58303e-07, -0.0107182, -0.0207169, -0.0300022, -0.0385805, -0.0464578, -0.0536402, -0.0601333, -0.0659426, -0.0710727, -0.0755272, -0.0793083, -0.0824205, -0.0848832, -0.0867549, -0.0881431, -0.0891758, -0.0899625, -0.0905811, -0.091083, -0.0915011, -0.0921668, -0.0926827, -0.0937575, -3.36293");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("149.3455380, 158.0765690, 163.3580150, 167.4541350, 171.1668910");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("149.4075200, 158.1314910, 163.4291960, 167.5188420, 171.2251000");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("121.1269470, 128.1490210, 132.6674110, 136.3794670, 140.0117080");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("121.1756250, 128.1824300, 132.7066540, 136.4191500, 140.0618140");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.34275");
+						index_2("11935.1");
+						index_3("0.001");
+						index_4("5399.2752200, 5428.1076800, 9870.7008900, 10079.0891000, 10114.1049000");
+						values("0.827421, 1.32387, 1.65, 1.32387, 0.827421");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39914");
+						index_2("7036.37");
+						index_3("0.001");
+						index_4("3178.5945200, 3199.3724500, 5989.8482900, 6086.6818800, 6108.9527800");
+						values("0.832691, 1.33231, 1.65, 1.33231, 0.832691");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.44303");
+						index_2("4916.82");
+						index_3("0.001");
+						index_4("2230.1092600, 2246.7131900, 4255.2485500, 4330.5493900, 4348.7270500");
+						values("0.836811, 1.3389, 1.65, 1.3389, 0.836811");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.34275");
+						index_2("5967.56");
+						index_3("0.001");
+						index_4("2838.0691200, 2857.4113700, 5057.6756000, 5150.3526300, 5171.0531100");
+						values("0.834326, 1.33492, 1.65, 1.33492, 0.834326");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39914");
+						index_2("3518.19");
+						index_3("0.001");
+						index_4("1700.9632600, 1714.9431800, 3066.0234500, 3125.7166900, 3141.8199000");
+						values("0.840068, 1.34411, 1.65, 1.34411, 0.840068");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.44303");
+						index_2("2458.41");
+						index_3("0.001");
+						index_4("1213.2166500, 1224.3207400, 2190.6065000, 2236.8427300, 2250.8771200");
+						values("0.843708, 1.34993, 1.65, 1.34993, 0.843708");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.39914");
+						index_2("10554.6");
+						index_3("0.001");
+						index_4("4629.6037900, 4655.9260100, 8837.7680400, 9019.8143700, 9050.0871700");
+						values("0.829063, 1.3265, 1.65, 1.3265, 0.829063");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.24804");
+						index_2("7338.36");
+						index_3("0.001");
+						index_4("3733.7051000, 3751.2684700, 6021.2437600, 6119.7296700, 6156.3045300");
+						values("0.806241, 0.299986, 0, 0.299986, 0.806241");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32");
+						index_2("4313.49");
+						index_3("0.001");
+						index_4("2159.0391900, 2170.8849200, 3658.2319200, 3736.5537300, 3763.2741600");
+						values("0.803243, 0.295188, 0, 0.295188, 0.803243");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37884");
+						index_2("2982.33");
+						index_3("0.001");
+						index_4("1483.0777300, 1493.2295400, 2593.4533300, 2664.9636700, 2686.3319500");
+						values("0.801718, 0.292749, 0, 0.292749, 0.801718");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24804");
+						index_2("3669.18");
+						index_3("0.001");
+						index_4("2087.6086700, 2173.7021500, 3058.5918700, 3139.8249200, 3167.7737600");
+						values("0.806685, 0.300696, 0, 0.300696, 0.806685");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32");
+						index_2("2156.75");
+						index_3("0.001");
+						index_4("1166.3359500, 1180.4128200, 1892.5547000, 1952.9489600, 1971.6749700");
+						values("0.801127, 0.291803, 0, 0.291803, 0.801127");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37884");
+						index_2("1491.16");
+						index_3("0.001");
+						index_4("817.3857800, 828.7912000, 1358.4858600, 1407.4658500, 1422.2136200");
+						values("0.799539, 0.289263, 0, 0.289263, 0.799539");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.32");
+						index_2("6470.24");
+						index_3("0.001");
+						index_4("3146.0283200, 3160.0710200, 5392.3717400, 5495.7223000, 5528.8616800");
+						values("0.805423, 0.298677, 0, 0.298677, 0.805423");
+					}
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 0.608836;
+			max_transition : 3.748775;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("1.4599757, 1.4578903, 1.4523466, 1.4658306, 1.4753757, 1.4798094, 1.4880912", \
+ 					  "1.1879480, 1.2022452, 1.2060538, 1.1995167, 1.2054483, 1.2243709, 1.2200105", \
+ 					  "2.7284659, 2.7306189, 2.7363563, 2.7400508, 2.7466033, 2.7542408, 2.7609078", \
+ 					  "2.7344865, 2.7371219, 2.7414527, 2.7463459, 2.7529188, 2.7597297, 2.7679280", \
+ 					  "2.7391939, 2.7431868, 2.7465499, 2.7508495, 2.7579638, 2.7644456, 2.7728922", \
+ 					  "2.7452552, 2.7480915, 2.7521080, 2.7576066, 2.7635110, 2.7699913, 2.7777433", \
+ 					  "2.7529331, 2.7552476, 2.7595302, 2.7646123, 2.7708443, 2.7771466, 2.7861402");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("3.6311924, 3.6308847, 3.6866513, 3.6583579, 3.6534294, 3.6650241, 3.6852386", \
+ 					  "3.3295272, 3.3320569, 3.3369067, 3.3439733, 3.3535178, 3.3619123, 3.3780923", \
+ 					  "2.6102493, 2.6116075, 2.6157670, 2.6223516, 2.6297092, 2.6429369, 2.6649895", \
+ 					  "2.6800005, 2.6823405, 2.6870715, 2.6912136, 2.7001506, 2.7131752, 2.7311590", \
+ 					  "2.7435419, 2.7462069, 2.7493622, 2.7550518, 2.7637009, 2.7771424, 2.7978060", \
+ 					  "2.8050314, 2.8073154, 2.8119757, 2.8158574, 2.8261594, 2.8373684, 2.8585955", \
+ 					  "2.8604621, 2.8627257, 2.8667021, 2.8721680, 2.8811385, 2.8942638, 2.9138575");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("1.8363662, 1.8399198, 1.8409201, 1.8472542, 1.8552565, 1.8635875, 1.8760214", \
+ 					  "1.5924237, 1.5946789, 1.5994758, 1.6047997, 1.5990120, 1.6200765, 1.6311063", \
+ 					  "3.1252162, 3.1261552, 3.1327595, 3.1386814, 3.1428117, 3.1537175, 3.1646383", \
+ 					  "3.1237970, 3.1265147, 3.1345493, 3.1392651, 3.1427207, 3.1508466, 3.1619915", \
+ 					  "3.1252195, 3.1279660, 3.1321644, 3.1378223, 3.1448425, 3.1524436, 3.1631820", \
+ 					  "3.1267469, 3.1307585, 3.1343454, 3.1400633, 3.1485199, 3.1550034, 3.1666284", \
+ 					  "3.1297562, 3.1333245, 3.1373177, 3.1433222, 3.1503901, 3.1578037, 3.1693053");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.01983429, 0.03933992, 0.07802794, 0.1547629, 0.3069613, 0.608836");
+ 					values("3.5676089, 3.5150522, 3.5224591, 3.5529928, 3.5783315, 3.5749713, 3.5902429", \
+ 					  "3.2279214, 3.2303442, 3.2339314, 3.2405268, 3.2489203, 3.2594739, 3.2830934", \
+ 					  "2.5023570, 2.5049093, 2.5086083, 2.5134404, 2.5215277, 2.5353647, 2.5531484", \
+ 					  "2.5640909, 2.5666192, 2.5693308, 2.5772268, 2.5870627, 2.6018107, 2.6175811", \
+ 					  "2.6202757, 2.6225378, 2.6273126, 2.6316020, 2.6429989, 2.6526383, 2.6728613", \
+ 					  "2.6737967, 2.6756377, 2.6808957, 2.6881392, 2.6976121, 2.7059980, 2.7255140", \
+ 					  "2.7319395, 2.7270959, 2.7384338, 2.7434251, 2.7454367, 2.7526785, 2.7768217");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("-0.7595363, -0.7479837, -0.7456662, -0.7436791, -0.7424661, -0.7427648, -0.7518883", \
+ 					  "-0.7605777, -0.7591068, -0.7571816, -0.7552348, -0.7546250, -0.7571021, -0.7637249", \
+ 					  "-0.7396846, -0.7440506, -0.7448685, -0.7656327, -0.7420784, -0.7496834, -0.7332758", \
+ 					  "-0.7689181, -0.7867137, -0.7352586, -0.7551374, -0.7464318, -0.7518386, -0.7649869", \
+ 					  "-0.7643659, -0.7591244, -0.7468929, -0.7323598, -0.7566627, -0.7643119, -0.7735855", \
+ 					  "-0.7606332, -0.7565262, -0.7566704, -0.7555770, -0.7546373, -0.7576293, -0.7737576", \
+ 					  "-0.7687197, -0.7820208, -0.7321582, -0.7582676, -0.7434199, -0.7562567, -0.7623583");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+ 					values("0.9625424, 0.9661754, 0.9701751, 0.9756210, 0.9797776, 0.9870808, 0.9934599", \
+ 					  "0.9747239, 0.9772266, 0.9815354, 0.9977276, 0.9920293, 0.9979665, 1.0058012", \
+ 					  "0.9627367, 0.9654125, 0.9700225, 0.9859865, 0.9809593, 0.9868501, 0.9937629", \
+ 					  "0.9741836, 0.9767935, 0.9803798, 0.9859764, 0.9918529, 0.9983291, 1.0057428", \
+ 					  "0.9748890, 0.9774019, 0.9815856, 0.9710262, 0.9918433, 0.9983714, 1.0055208", \
+ 					  "0.9745936, 0.9766059, 0.9815213, 0.9869418, 0.9923208, 0.9985355, 1.0057338", \
+ 					  "0.9750021, 0.9774799, 0.9812840, 0.9846700, 0.9923611, 0.9981111, 1.0055060");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+					values("3.5159849, 3.5043050, 3.5510829, 3.5333050, 3.5380070, 3.5436791, 3.5683697", \
+					  "2.3907933, 2.3965446, 2.4010379, 2.4081390, 2.4155059, 2.4279342, 2.4501735", \
+					  "2.4061723, 2.4073202, 2.4109801, 2.4169694, 2.4263037, 2.4387970, 2.4578263", \
+					  "2.4231919, 2.4238242, 2.4278155, 2.4347007, 2.4416903, 2.4540838, 2.4747942", \
+					  "2.4391474, 2.4411356, 2.4447120, 2.4505664, 2.4591865, 2.4745731, 2.4873530", \
+					  "2.4566122, 2.4568700, 2.4616745, 2.4663589, 2.4750273, 2.4879189, 2.5145901", \
+					  "2.4742413, 2.4754001, 2.4793962, 2.4857518, 2.4929705, 2.5020918, 2.5238895");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+					values("1.5727875, 1.5843281, 1.5802240, 1.5886799, 1.5912499, 1.5975456, 1.6034231", \
+					  "2.7337963, 2.7402518, 2.7401965, 2.7491830, 2.7507658, 2.7578770, 2.7653886", \
+					  "2.7212655, 2.7348434, 2.7271737, 2.7441315, 2.7393172, 2.7452058, 2.7530816", \
+					  "2.7340374, 2.7364411, 2.7407326, 2.7458892, 2.7515388, 2.7584716, 2.7652923", \
+					  "2.7260206, 2.7244140, 2.7386656, 2.7528780, 2.7393302, 2.7554465, 2.7630298", \
+					  "2.7345760, 2.7371634, 2.7406382, 2.7455458, 2.7515900, 2.7580043, 2.7655992", \
+					  "2.7261624, 2.7284867, 2.7323027, 2.7369281, 2.7430744, 2.7495777, 2.7583641");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+					values("3.5279428, 3.5161256, 3.5101940, 3.5395680, 3.5253604, 3.5454247, 3.5736963", \
+					  "2.3904776, 2.3928099, 2.3963854, 2.4041528, 2.4103330, 2.4238372, 2.4455295", \
+					  "2.4062399, 2.4087249, 2.4128564, 2.4183875, 2.4252683, 2.4372763, 2.4637046", \
+					  "2.4226194, 2.4249196, 2.4284431, 2.4346970, 2.4441146, 2.4562318, 2.4741932", \
+					  "2.4399859, 2.4423649, 2.4464788, 2.4527956, 2.4600348, 2.4737293, 2.4980530", \
+					  "2.4555562, 2.4579943, 2.4623214, 2.4683597, 2.4757846, 2.4874989, 2.5072999", \
+					  "2.4725398, 2.4745249, 2.4792022, 2.4849088, 2.4936726, 2.5072190, 2.5296390");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.03935223, 0.07806459, 0.1548598, 0.3072016, 0.609408");
+					values("1.5718557, 1.5758009, 1.5793592, 1.5834697, 1.5913984, 1.5955843, 1.6037813", \
+					  "2.7328151, 2.7356626, 2.7393615, 2.7450364, 2.7508085, 2.7606441, 2.7687254", \
+					  "2.7212661, 2.7235462, 2.7282211, 2.7328710, 2.7388389, 2.7550583, 2.7627685", \
+					  "2.7335367, 2.7364456, 2.7398164, 2.7450711, 2.7506020, 2.7574603, 2.7649350", \
+					  "2.7333385, 2.7343039, 2.7382007, 2.7434300, 2.7511945, 2.7558682, 2.7628618", \
+					  "2.7351671, 2.7367984, 2.7404873, 2.7451249, 2.7519731, 2.7576179, 2.7646550", \
+					  "2.7260460, 2.7284483, 2.7325787, 2.7374355, 2.7519835, 2.7493496, 2.7566714");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("233.5573200, 233.6181500, 233.8764500, 234.0489700, 234.4840800, 235.2594100, 236.4320400", \
+ 					  "235.8301300, 235.9212500, 236.0613300, 236.3031200, 236.7059700, 237.4081600, 238.7217500", \
+ 					  "237.9405000, 238.0111000, 238.0783900, 238.3104200, 238.7244300, 239.4266700, 240.7417100", \
+ 					  "239.6286300, 239.7311800, 239.8724600, 240.1076500, 240.5242900, 241.2273500, 242.5425400", \
+ 					  "241.3056000, 241.3951800, 241.5383400, 241.7806400, 242.1811300, 242.8872400, 244.2037900", \
+ 					  "242.8732600, 242.9671600, 243.0744800, 243.3492900, 243.7674600, 244.4543000, 245.7459800", \
+ 					  "244.4208500, 244.4501500, 244.6556400, 244.8504400, 245.2436800, 245.9471800, 247.3045100");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4803202, 0.5442724, 0.6661217, 0.8760220, 1.2842579, 2.0866264, 3.7272749", \
+ 					  "0.4751502, 0.5389647, 0.6668253, 0.8791886, 1.2817483, 2.0775024, 3.7487746", \
+ 					  "0.4814523, 0.5431531, 0.6672117, 0.8723446, 1.2732384, 2.0793198, 3.7459928", \
+ 					  "0.4848205, 0.5418370, 0.6584461, 0.8742728, 1.2814537, 2.0849273, 3.7466874", \
+ 					  "0.4786141, 0.5484501, 0.6655171, 0.8694534, 1.2805876, 2.0731405, 3.7452192", \
+ 					  "0.4828494, 0.5400910, 0.6619611, 0.8743896, 1.2741218, 2.1025253, 3.7267105", \
+ 					  "0.4809000, 0.5452022, 0.6596471, 0.8772719, 1.2776841, 2.0840281, 3.7258721");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("340.1277700, 340.2143700, 340.2798000, 340.6052300, 340.8875100, 341.4517900, 342.6160600", \
+ 					  "330.5987400, 330.6697100, 330.7918300, 331.0244100, 331.4613900, 331.9260900, 332.9239200", \
+ 					  "334.0802600, 334.1572200, 334.2810800, 334.5171800, 334.8510800, 335.4084900, 336.4227200", \
+ 					  "338.2013200, 338.2899600, 338.3368000, 338.5601100, 338.9671700, 339.5134600, 340.5269500", \
+ 					  "342.3344900, 342.4029800, 342.5365900, 342.7443600, 343.0957100, 343.6604200, 344.6662600", \
+ 					  "346.5099200, 346.5680400, 346.7430600, 346.9305900, 347.2800100, 347.8546400, 348.8440500", \
+ 					  "350.6860700, 350.8117200, 350.9111400, 351.1266000, 351.4428200, 352.0380900, 353.0183800");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4642760, 0.5116186, 0.6078995, 0.7810451, 1.0794297, 1.6555912, 2.8439620", \
+ 					  "0.4695572, 0.5151724, 0.6142701, 0.7782630, 1.0654484, 1.6505035, 2.8458056", \
+ 					  "0.4675772, 0.5166527, 0.6119707, 0.7757511, 1.0810978, 1.6545547, 2.8391409", \
+ 					  "0.4648434, 0.5134281, 0.6099464, 0.7798679, 1.0777508, 1.6525501, 2.8496365", \
+ 					  "0.4629186, 0.5179620, 0.6107351, 0.7799637, 1.0728652, 1.6472258, 2.8322611", \
+ 					  "0.4622860, 0.5153733, 0.6053763, 0.7766273, 1.0767820, 1.6543700, 2.8353628", \
+ 					  "0.4595374, 0.5148721, 0.6160918, 0.7766190, 1.0770010, 1.6466781, 2.8458005");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("234.8925800, 235.0889900, 235.1877800, 235.3820700, 235.8233200, 236.4917700, 237.7928200", \
+ 					  "237.2418100, 237.3290900, 237.4769800, 237.7197500, 238.1231600, 238.8254900, 240.1359900", \
+ 					  "239.2705500, 239.3523700, 239.4992600, 239.7420900, 240.1464800, 240.8502600, 242.1601600", \
+ 					  "240.9842100, 241.0709800, 241.2198500, 241.4602100, 241.8643400, 242.5686900, 243.8803200", \
+ 					  "242.6692700, 242.7507300, 242.8977000, 243.1400800, 243.5443500, 244.2487500, 245.5618400", \
+ 					  "244.2401700, 244.3394200, 244.4746500, 244.7247900, 245.1209600, 245.8233200, 247.1462300", \
+ 					  "245.7311700, 245.8172000, 245.9628400, 246.2052700, 246.6099400, 247.3121600, 248.6262900");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4801688, 0.5431534, 0.6666501, 0.8729176, 1.2816450, 2.0924751, 3.7281347", \
+ 					  "0.4801730, 0.5478935, 0.6650505, 0.8738211, 1.2768254, 2.0758888, 3.7353316", \
+ 					  "0.4806224, 0.5417827, 0.6646090, 0.8787224, 1.2800951, 2.0928306, 3.7363286", \
+ 					  "0.4811328, 0.5469405, 0.6630121, 0.8749803, 1.2759965, 2.0908848, 3.7404257", \
+ 					  "0.4807344, 0.5466257, 0.6629915, 0.8748844, 1.2798546, 2.0910611, 3.7464809", \
+ 					  "0.4811429, 0.5467561, 0.6632838, 0.8722235, 1.2804038, 2.0860007, 3.7466914", \
+ 					  "0.4762382, 0.5410430, 0.6631655, 0.8766883, 1.2791758, 2.1023487, 3.7417811");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("260.7521600, 260.8993300, 261.0373100, 261.1574200, 261.7248400, 262.1560500, 263.2108400", \
+ 					  "262.2850600, 262.3309300, 262.4643400, 262.7017800, 263.0335400, 263.5831000, 264.6003700", \
+ 					  "265.8828700, 265.9624000, 266.0975400, 266.3152500, 266.6586500, 267.2081200, 268.2157300", \
+ 					  "269.6597200, 269.7430800, 269.8755300, 270.0992400, 270.4414000, 270.9920800, 271.9884000", \
+ 					  "273.4196300, 273.4782600, 273.6021800, 273.8115400, 274.1566000, 274.7481500, 275.7070100", \
+ 					  "277.0858000, 277.1508300, 277.2829600, 277.5031800, 277.8342900, 278.4028900, 279.4186600", \
+ 					  "280.5540800, 280.6392300, 280.7714700, 280.9807400, 281.3163500, 281.9005300, 282.8849400");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0198343, 0.0393399, 0.0780279, 0.154763, 0.306961, 0.608836");
+ 					values("0.4635737, 0.5116354, 0.6157043, 0.7811412, 1.0796041, 1.6548167, 2.8436276", \
+ 					  "0.4612195, 0.5145277, 0.6068093, 0.7717951, 1.0795699, 1.6559647, 2.8413374", \
+ 					  "0.4622146, 0.5145651, 0.6063494, 0.7781864, 1.0721386, 1.6519365, 2.8369391", \
+ 					  "0.4646613, 0.5138230, 0.6058594, 0.7746122, 1.0758079, 1.6503526, 2.8453062", \
+ 					  "0.4617217, 0.5168617, 0.6046598, 0.7700571, 1.0760669, 1.6582216, 2.8525518", \
+ 					  "0.4615422, 0.5142973, 0.6096084, 0.7743282, 1.0807826, 1.6530137, 2.8434208", \
+ 					  "0.4599766, 0.5140561, 0.6063403, 0.7750973, 1.0744725, 1.6533506, 2.8497020");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("212.0385600, 212.1392800, 212.2758600, 212.5293000, 212.9326000, 213.6448000, 214.9343900", \
+ 					  "212.1339500, 212.2179100, 212.3651100, 212.6076600, 213.0117700, 213.7155100, 215.0304800", \
+ 					  "212.3854400, 212.4740500, 212.6217100, 212.8634400, 213.2671700, 213.9706200, 215.2815900", \
+ 					  "212.6411100, 212.7260800, 212.8770300, 213.1156400, 213.5201500, 214.2235600, 215.5385100", \
+ 					  "212.8800500, 212.9948500, 213.1149300, 213.3567100, 213.7603400, 214.4639400, 215.7748200", \
+ 					  "213.1726700, 213.2445900, 213.3923700, 213.6487000, 214.0534700, 214.7572400, 216.0517500", \
+ 					  "213.3957500, 213.4938300, 213.6409300, 213.8835100, 214.2736500, 214.9914500, 216.3063600");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4808629, 0.5440033, 0.6606225, 0.8790709, 1.2760584, 2.0915769, 3.7397947", \
+ 					  "0.4773632, 0.5475817, 0.6638361, 0.8747570, 1.2785681, 2.0948514, 3.7489829", \
+ 					  "0.4806779, 0.5444465, 0.6607339, 0.8791733, 1.2765219, 2.0911062, 3.7395154", \
+ 					  "0.4814105, 0.5470592, 0.6634921, 0.8758674, 1.2762459, 2.0943760, 3.7463388", \
+ 					  "0.4795207, 0.5451372, 0.6606332, 0.8790847, 1.2766944, 2.0911506, 3.7401335", \
+ 					  "0.4833826, 0.5461086, 0.6599571, 0.8787713, 1.2830255, 2.0952744, 3.7424193", \
+ 					  "0.4775671, 0.5476186, 0.6639001, 0.8746653, 1.2784773, 2.0947635, 3.7490109");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("250.4166600, 250.5028100, 250.6465600, 250.8361900, 251.1816900, 251.7540200, 252.7544600", \
+ 					  "250.7715900, 250.8481600, 250.9843300, 251.1925200, 251.5295700, 252.0990600, 253.1022900", \
+ 					  "251.0491000, 251.1232300, 251.2582900, 251.4758300, 251.8049800, 252.3765100, 253.3790800", \
+ 					  "251.3196500, 251.3958200, 251.5221200, 251.7355700, 252.0754900, 252.6468600, 253.6510000", \
+ 					  "251.6162000, 251.6928800, 251.8296200, 252.0424700, 252.3739200, 252.9485800, 253.9462700", \
+ 					  "251.9351500, 252.0112000, 252.1320300, 252.3449300, 252.6940400, 253.2482600, 254.2680300", \
+ 					  "252.1763100, 252.2585300, 252.3930800, 252.6030600, 252.9382400, 253.5095100, 254.5068000");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+ 					values("0.4606148, 0.5124788, 0.6086719, 0.7801531, 1.0783316, 1.6560081, 2.8385239", \
+ 					  "0.4619667, 0.5144982, 0.6097012, 0.7786129, 1.0763019, 1.6541212, 2.8494442", \
+ 					  "0.4609795, 0.5119051, 0.6044195, 0.7766570, 1.0780808, 1.6510819, 2.8478169", \
+ 					  "0.4608418, 0.5132154, 0.6092803, 0.7769906, 1.0752207, 1.6549384, 2.8521003", \
+ 					  "0.4618199, 0.5120482, 0.6121974, 0.7772812, 1.0741456, 1.6528001, 2.8490876", \
+ 					  "0.4600839, 0.5118955, 0.6077150, 0.7794008, 1.0780316, 1.6544324, 2.8538469", \
+ 					  "0.4612001, 0.5155658, 0.6089583, 0.7691779, 1.0789314, 1.6542478, 2.8483866");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("225.6854500, 225.7569200, 225.8227200, 226.0451000, 226.5531100, 227.1724600, 228.4413100", \
+					  "228.1869500, 228.2690000, 228.4118200, 228.6581100, 229.0629500, 229.7604000, 231.0788000", \
+					  "230.3223900, 230.4133600, 230.5607600, 230.7993600, 231.2031300, 231.9078600, 233.2207000", \
+					  "232.2643600, 232.3508400, 232.4909600, 232.7379900, 233.1454100, 233.8399100, 235.1634700", \
+					  "234.0432800, 234.1310100, 234.2791400, 234.5221300, 234.9253900, 235.6289300, 236.9406600", \
+					  "235.7113300, 235.7899700, 235.9371500, 236.1800700, 236.5835900, 237.2881100, 238.6012500", \
+					  "237.4115700, 237.4545300, 237.6004900, 237.8424000, 238.2468600, 238.9518300, 240.2657600");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("0.4799650, 0.5401178, 0.6594046, 0.8734877, 1.2814226, 2.0880797, 3.7468948", \
+					  "0.4776512, 0.5432691, 0.6692476, 0.8754912, 1.2789729, 2.0776367, 3.7517265", \
+					  "0.4831950, 0.5397996, 0.6551528, 0.8789078, 1.2759086, 2.0833992, 3.7450625", \
+					  "0.4796183, 0.5410812, 0.6641590, 0.8801958, 1.2798882, 2.0993278, 3.7481023", \
+					  "0.4799118, 0.5438320, 0.6597232, 0.8690400, 1.2837678, 2.0889652, 3.7432382", \
+					  "0.4792905, 0.5469132, 0.6641478, 0.8757531, 1.2685093, 2.0792450, 3.7460697", \
+					  "0.4779264, 0.5505453, 0.6580189, 0.8766232, 1.2745662, 2.0868469, 3.7473931");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("257.0561400, 257.2090800, 257.3750400, 257.5987100, 257.8868200, 258.4594900, 259.5064400", \
+					  "259.5135100, 259.5928900, 259.7259900, 259.9315400, 260.2799900, 260.8233300, 261.8275200", \
+					  "262.4178400, 262.5008600, 262.6325700, 262.8474100, 263.1983900, 263.7449100, 264.7501000", \
+					  "264.9675800, 265.0898500, 265.1856900, 265.4354600, 265.7334100, 266.3404100, 267.3431500", \
+					  "267.4075600, 267.4912600, 267.6266900, 267.8416100, 268.1748600, 268.7446600, 269.7490200", \
+					  "269.6063200, 269.6776800, 269.8147600, 270.0217000, 270.3722300, 270.9278700, 271.9309300", \
+					  "271.7435400, 271.8243200, 271.9600300, 272.1702400, 272.4380300, 273.0762700, 274.0796100");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("0.4613309, 0.5137393, 0.6120414, 0.7705246, 1.0794499, 1.6559709, 2.8405707", \
+					  "0.4606830, 0.5129116, 0.6044591, 0.7793293, 1.0747224, 1.6569761, 2.8515585", \
+					  "0.4604659, 0.5146580, 0.6082823, 0.7687165, 1.0753082, 1.6528537, 2.8336380", \
+					  "0.4617971, 0.5142720, 0.6134929, 0.7762586, 1.0759120, 1.6519999, 2.8484268", \
+					  "0.4669659, 0.5150516, 0.6123044, 0.7737114, 1.0783244, 1.6545236, 2.8336455", \
+					  "0.4617838, 0.5129551, 0.6136558, 0.7789601, 1.0759749, 1.6533039, 2.8493967", \
+					  "0.4630535, 0.5170812, 0.6097360, 0.7789183, 1.0772236, 1.6532574, 2.8493885");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("225.5767600, 225.6321500, 225.7525400, 226.0386400, 226.5592700, 227.1220200, 228.4513000", \
+					  "228.2207000, 228.2610700, 228.4084500, 228.6522000, 229.0541200, 229.7574800, 231.0699600", \
+					  "230.3303100, 230.4101500, 230.5370100, 230.7738800, 231.1832400, 231.8871600, 233.1982100", \
+					  "232.2722300, 232.3523500, 232.4994900, 232.7360100, 233.1462100, 233.8496800, 235.1575900", \
+					  "234.0181300, 234.1051600, 234.3259000, 234.4915700, 234.8950300, 235.5987500, 236.9887300", \
+					  "235.7681600, 235.8001200, 235.9485600, 236.1889500, 236.5940900, 237.2956800, 238.6085800", \
+					  "237.3624100, 237.4961500, 237.6005200, 237.8873600, 238.2907000, 238.9932100, 240.2840400");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("0.4808379, 0.5460790, 0.6616872, 0.8763561, 1.2822994, 2.0890631, 3.7456211", \
+					  "0.4797522, 0.5431465, 0.6596948, 0.8755566, 1.2738411, 2.0753252, 3.7510128", \
+					  "0.4774345, 0.5474969, 0.6576742, 0.8802480, 1.2782013, 2.0897915, 3.7459742", \
+					  "0.4845736, 0.5400562, 0.6559389, 0.8781142, 1.2702643, 2.0794746, 3.7487542", \
+					  "0.4794866, 0.5453010, 0.6577129, 0.8742281, 1.2834555, 2.0850996, 3.7308618", \
+					  "0.4793183, 0.5485142, 0.6597908, 0.8684763, 1.2723124, 2.0968360, 3.7357215", \
+					  "0.4819958, 0.5431657, 0.6637344, 0.8685084, 1.2842801, 2.0888777, 3.7485424");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("257.1717900, 257.2962800, 257.3412700, 257.5358200, 257.9417600, 258.6686500, 259.5612300", \
+					  "259.5270400, 259.5616300, 259.7332400, 259.8961800, 260.2863800, 260.8572600, 261.8568800", \
+					  "262.4328200, 262.5387800, 262.6342700, 262.8509300, 263.1908300, 263.7775700, 264.7573500", \
+					  "265.0191700, 265.1153200, 265.2471100, 265.4558400, 265.7971300, 266.3679200, 267.3691900", \
+					  "267.4123600, 267.4889000, 267.6188700, 267.8371200, 268.1793200, 268.7449100, 269.7457800", \
+					  "269.6079700, 269.6918700, 269.8218700, 270.0244300, 270.3677900, 270.9413400, 271.9412500", \
+					  "271.7537800, 271.8369400, 271.9627600, 272.1710100, 272.5136900, 273.0863000, 274.0870300");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0198374, 0.0393522, 0.0780646, 0.15486, 0.307202, 0.609408");
+					values("0.4610413, 0.5131176, 0.6075784, 0.7771190, 1.0790602, 1.6542707, 2.8408340", \
+					  "0.4606431, 0.5196061, 0.6041889, 0.7793642, 1.0759232, 1.6560615, 2.8526601", \
+					  "0.4598318, 0.5117587, 0.6044913, 0.7714732, 1.0790884, 1.6566568, 2.8423843", \
+					  "0.4593423, 0.5169556, 0.6086822, 0.7794818, 1.0782664, 1.6545111, 2.8343259", \
+					  "0.4609858, 0.5160657, 0.6138122, 0.7743909, 1.0782673, 1.6543206, 2.8375138", \
+					  "0.4617745, 0.5129596, 0.6136713, 0.7789420, 1.0759510, 1.6532867, 2.8493752", \
+					  "0.4617476, 0.5125803, 0.6096220, 0.7777768, 1.0772424, 1.6526822, 2.8426359");
+				}
+			}
+			/* out_h_n#43_2_xres_h_n_5000 */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				miller_cap_fall : "0.0125413";
+				miller_cap_rise : "0.00868228";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("13.9248, 1.85811, 1.7579, 1.72155, 1.67726, 1.65088, 1.62065, 1.58553, 1.54445, 1.49674, 1.44238, 1.38159, 1.31451, 1.24114, 1.16145, 1.07535, 0.982783, 0.883662, 0.777911, 0.665452, 0.546212, 0.420116, 0.287095, 0.147078, -2.52038e-08, -0.307863, -0.630362, -1.78712, -9.01405", \
+					  "13.1145, 0.956855, 0.896241, 0.88234, 0.8666, 0.857843, 0.848348, 0.83796, 0.826475, 0.813609, 0.798961, 0.781946, 0.761704, 0.737052, 0.706716, 0.669793, 0.625828, 0.574583, 0.51589, 0.449608, 0.375607, 0.293764, 0.203958, 0.106075, -3.41549e-08, -0.230971, -0.483549, -1.45392, -8.87976", \
+					  "12.7053, 0.499157, 0.449309, 0.442814, 0.435708, 0.431874, 0.427816, 0.423497, 0.418873, 0.413885, 0.408458, 0.402488, 0.395834, 0.388288, 0.379531, 0.369032, 0.355835, 0.338263, 0.314349, 0.282881, 0.243345, 0.195473, 0.139072, 0.0739682, -1.88347e-08, -0.171252, -0.370533, -1.19588, -8.76847", \
+					  "12.5921, 0.378179, 0.322517, 0.317824, 0.312749, 0.310038, 0.30719, 0.304185, 0.300998, 0.297597, 0.293943, 0.289982, 0.285643, 0.280828, 0.275392, 0.269111, 0.261604, 0.252111, 0.239012, 0.219908, 0.193134, 0.158025, 0.114273, 0.061661, -2.21752e-08, -0.148473, -0.327662, -1.09731, -8.72727", \
+					  "12.4947, 0.287307, 0.211134, 0.207954, 0.204559, 0.202764, 0.200894, 0.198937, 0.196882, 0.194712, 0.192408, 0.189946, 0.187292, 0.184403, 0.181218, 0.177649, 0.173557, 0.168704, 0.162582, 0.153844, 0.13976, 0.118008, 0.0876844, 0.0484285, -1.15641e-08, -0.124055, -0.28185, -0.991455, -8.68363", \
+					  "12.4526, 0.255581, 0.162221, 0.159695, 0.157017, 0.155609, 0.154148, 0.152627, 0.151037, 0.149368, 0.147607, 0.145738, 0.143739, 0.141583, 0.139232, 0.136633, 0.133706, 0.130321, 0.126228, 0.120795, 0.112119, 0.0970397, 0.0736839, 0.0414365, 5.44453e-10, -0.111191, -0.257778, -0.935597, -8.66079", \
+					  "12.4154, 0.23273, 0.118409, 0.116471, 0.114431, 0.113366, 0.112266, 0.111126, 0.109941, 0.108704, 0.107407, 0.106041, 0.104591, 0.103043, 0.101373, 0.0995499, 0.0975303, 0.0952451, 0.0925749, 0.0892585, 0.0844509, 0.075511, 0.0591966, 0.0341716, 1.49569e-09, -0.0978692, -0.232899, -0.877713, -8.63726", \
+					  "12.3835, 0.218783, 0.080188, 0.0787681, 0.0772933, 0.0765283, 0.075742, 0.0749318, 0.0740945, 0.0732263, 0.0723225, 0.0713774, 0.0703838, 0.0693323, 0.0682109, 0.0670031, 0.0656859, 0.0642251, 0.0625658, 0.0606041, 0.0580613, 0.0537505, 0.0442361, 0.0266109, 4.21974e-09, -0.0840723, -0.207198, -0.817824, -8.61319", \
+					  "12.3573, 0.214027, 0.0482333, 0.0472455, 0.046262, 0.045756, 0.045239, 0.0447095, 0.0441661, 0.0436067, 0.043029, 0.0424301, 0.0418065, 0.0411538, 0.040466, 0.0397355, 0.0389518, 0.0380997, 0.0371565, 0.0360832, 0.0347968, 0.0329989, 0.0290065, 0.0187598, 6.58229e-09, -0.0697801, -0.180672, -0.756106, -8.5888", \
+					  "12.3379, 0.219342, 0.0238204, 0.0230726, 0.0225025, 0.0222125, 0.0219181, 0.0216189, 0.0213142, 0.0210032, 0.0206851, 0.0203586, 0.0200224, 0.0196749, 0.0193137, 0.0189361, 0.0185381, 0.0181145, 0.0176575, 0.0171548, 0.0165844, 0.0158893, 0.014754, 0.0108643, 7.81597e-10, -0.0549722, -0.153351, -0.692986, -8.56437", \
+					  "12.327, 0.236275, 0.00926954, 0.00797088, 0.00771063, 0.00758231, 0.00745311, 0.00732286, 0.00719139, 0.0070585, 0.00692395, 0.00678745, 0.00664864, 0.00650708, 0.00636223, 0.00621335, 0.00605951, 0.00589941, 0.00573125, 0.0055523, 0.00535805, 0.00513931, 0.00486378, 0.00416198, -8.75442e-08, -0.0396789, -0.125357, -0.629134, -8.5402", \
+					  "12.3245, 0.264618, 0.00685773, 0.00178069, 0.00167262, 0.00163489, 0.0015973, 0.00155969, 0.00152202, 0.00148426, 0.00144639, 0.00140834, 0.00137008, 0.00133152, 0.0012926, 0.0012532, 0.00121318, 0.00117235, 0.00113045, 0.00108708, 0.00104164, 0.000992981, 0.000938039, 0.000852594, -4.85748e-07, -0.0243166, -0.0970996, -0.565322, -8.51646", \
+					  "12.327, 0.300064, 0.015854, 0.000457778, 0.000254688, 0.000246716, 0.000239661, 0.000232689, 0.000225753, 0.000218837, 0.00021194, 0.000205048, 0.000198159, 0.000191268, 0.000184357, 0.000177412, 0.000170436, 0.00016338, 0.000156232, 0.000148946, 0.000141449, 0.000133609, 0.000125121, 0.000113986, -2.87109e-06, -0.0109546, -0.0696188, -0.502255, -8.49333", \
+					  "12.331, 0.338745, 0.0328921, 0.00117871, 3.10345e-05, 2.37621e-05, 2.18087e-05, 2.02244e-05, 1.87383e-05, 1.72945e-05, 1.58804e-05, 1.44811e-05, 1.30976e-05, 1.17159e-05, 1.03481e-05, 8.97314e-06, 7.61088e-06, 6.23162e-06, 4.84463e-06, 3.45766e-06, 2.03817e-06, 5.83939e-07, -9.25319e-07, -2.74021e-06, -1.68974e-05, -0.00310004, -0.0445598, -0.440498, -8.47092", \
+					  "12.3355, 0.379325, 0.0552166, 0.00555663, 3.2891e-06, -3.512e-05, -4.16259e-05, -4.58929e-05, -4.95957e-05, -5.30445e-05, -5.63489e-05, -5.95522e-05, -6.26911e-05, -6.57886e-05, -6.88471e-05, -7.18842e-05, -7.48962e-05, -7.79011e-05, -8.08929e-05, -8.38886e-05, -8.68735e-05, -8.98643e-05, -9.28641e-05, -9.58749e-05, -0.000100179, -0.000644306, -0.0238423, -0.380525, -8.4494", \
+					  "12.3401, 0.421439, 0.081052, 0.0159316, 3.47387e-07, -0.000239437, -0.000279795, -0.000304783, -0.000325944, -0.000345371, -0.000363785, -0.000381542, -0.00039883, -0.000415787, -0.000432489, -0.000449002, -0.00046537, -0.000481622, -0.000497791, -0.000513887, -0.000529941, -0.000545942, -0.00056193, -0.000577894, -0.000593968, -0.000700686, -0.00996695, -0.323042, -8.42925", \
+					  "12.3448, 0.464914, 0.109046, 0.030671, 5.72152e-08, -0.00150563, -0.00178838, -0.00193531, -0.00205027, -0.00215115, -0.00224388, -0.00233123, -0.00241473, -0.00249536, -0.00257379, -0.00265046, -0.00272573, -0.00279986, -0.00287303, -0.00294542, -0.00301714, -0.00308832, -0.00315901, -0.00322931, -0.00329928, -0.00344768, -0.00593848, -0.270358, -8.41247", \
+					  "12.3497, 0.509603, 0.138084, 0.0471676, 2.93071e-08, -0.00619984, -0.00791915, -0.00862405, -0.00909644, -0.00947727, -0.00980819, -0.0101071, -0.0103836, -0.0106434, -0.0108903, -0.0111269, -0.0113553, -0.0115767, -0.0117924, -0.0120031, -0.0122096, -0.0124125, -0.0126122, -0.0128091, -0.0130036, -0.0133872, -0.0141755, -0.227793, -8.40344", \
+					  "12.3546, 0.555365, 0.167313, 0.06395, 2.66239e-08, -0.0141687, -0.0201595, -0.0225701, -0.0239367, -0.0249308, -0.0257406, -0.0264391, -0.0270627, -0.0276321, -0.0281603, -0.0286562, -0.0291261, -0.0295747, -0.0300053, -0.0304209, -0.0308234, -0.0312146, -0.031596, -0.0319688, -0.0323338, -0.0330442, -0.0337948, -0.198273, -8.40386", \
+					  "12.3596, 0.602053, 0.196163, 0.0804183, 2.63444e-08, -0.0231465, -0.0359416, -0.0419746, -0.0451184, -0.0471844, -0.0487578, -0.0500539, -0.051172, -0.0521657, -0.0530674, -0.0538984, -0.0546732, -0.0554023, -0.0560937, -0.0567533, -0.0573857, -0.0579948, -0.0585835, -0.0591543, -0.0597093, -0.0607785, -0.0618109, -0.181118, -8.41199", \
+					  "12.3647, 0.649517, 0.224308, 0.0963509, 2.62944e-08, -0.0321156, -0.0528334, -0.0644435, -0.0706513, -0.074442, -0.0771429, -0.0792656, -0.0810352, -0.0825672, -0.0839288, -0.0851619, -0.0862949, -0.0873478, -0.0883349, -0.0892672, -0.0901533, -0.0909996, -0.0918116, -0.0925935, -0.0933489, -0.0947919, -0.0961604, -0.176328, -8.42621", \
+					  "12.3699, 0.697598, 0.251581, 0.111672, 2.62672e-08, -0.0407978, -0.069695, -0.0881428, -0.0988821, -0.105295, -0.109612, -0.112849, -0.115454, -0.117651, -0.119564, -0.121267, -0.12281, -0.124227, -0.125542, -0.126773, -0.127933, -0.129033, -0.130081, -0.131084, -0.132048, -0.133875, -0.135591, -0.184896, -8.44549", \
+					  "12.3751, 0.746134, 0.277908, 0.126365, 2.62433e-08, -0.0491212, -0.086101, -0.111933, -0.128435, -0.13855, -0.145129, -0.14985, -0.153517, -0.156527, -0.159093, -0.16134, -0.163349, -0.165171, -0.166846, -0.168399, -0.169853, -0.171221, -0.172518, -0.173751, -0.17493, -0.17715, -0.179217, -0.20742, -8.46912", \
+					  "12.3804, 0.794947, 0.303272, 0.140438, 2.62208e-08, -0.0570728, -0.101903, -0.135236, -0.158263, -0.173152, -0.182784, -0.189462, -0.194475, -0.198476, -0.201814, -0.204687, -0.20722, -0.209492, -0.211559, -0.213461, -0.215227, -0.21688, -0.218435, -0.219908, -0.22131, -0.22393, -0.226352, -0.242674, -8.49663", \
+					  "12.3858, 0.843847, 0.32768, 0.15391, 2.61994e-08, -0.0646596, -0.117058, -0.157795, -0.187692, -0.208205, -0.221756, -0.230974, -0.237684, -0.242893, -0.247142, -0.250737, -0.253861, -0.25663, -0.259126, -0.261403, -0.263502, -0.265454, -0.267281, -0.269003, -0.270632, -0.273662, -0.276442, -0.28754, -8.52778", \
+					  "12.3966, 0.94111, 0.373728, 0.179152, 2.61597e-08, -0.0787983, -0.145438, -0.200364, -0.244055, -0.277149, -0.300817, -0.317159, -0.328632, -0.337109, -0.343721, -0.34911, -0.353656, -0.357591, -0.361066, -0.364184, -0.367017, -0.369618, -0.372026, -0.374273, -0.376382, -0.380257, -0.383766, -0.393258, -8.6011", \
+					  "12.4075, 1.03629, 0.416305, 0.2023, 2.61237e-08, -0.0916726, -0.171391, -0.239517, -0.296399, -0.342374, -0.377885, -0.403909, -0.42238, -0.435653, -0.445601, -0.453412, -0.459796, -0.46518, -0.469836, -0.473939, -0.477613, -0.480943, -0.483993, -0.486811, -0.489432, -0.494198, -0.498459, -0.509252, -8.68961", \
+					  "12.4402, 1.29628, 0.525957, 0.261134, 2.60337e-08, -0.123999, -0.236882, -0.338884, -0.430218, -0.511054, -0.581471, -0.641404, -0.690675, -0.729357, -0.758466, -0.780041, -0.796294, -0.808938, -0.819117, -0.827564, -0.834752, -0.840996, -0.846511, -0.851449, -0.855922, -0.86378, -0.870544, -0.88668, -9.00747", \
+					  "12.4899, 1.62789, 0.66227, 0.332801, 2.59268e-08, -0.162647, -0.315654, -0.459157, -0.593288, -0.718159, -0.833859, -0.940424, -1.0378, -1.12578, -1.20392, -1.27153, -1.32793, -1.37306, -1.40808, -1.43504, -1.45603, -1.47277, -1.48645, -1.49791, -1.5077, -1.52374, -1.53654, -1.56418, -9.58597");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00371926");
+						index_3("0.1101980, 0.1516346, 0.1955483, 0.2443156, 0.3163135");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0111578");
+						index_3("0.1172074, 0.1711380, 0.2282454, 0.2919659, 0.3836827");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00371926");
+						index_3("0.1783813, 0.2197689, 0.2638232, 0.3113611, 0.3835407");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0111578");
+						index_3("0.1883877, 0.2423096, 0.2989485, 0.3627625, 0.4530738");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00492059");
+						index_3("0.0907406, 0.1241570, 0.1580637, 0.1955485, 0.2494102");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0147618");
+						index_3("0.0973597, 0.1433355, 0.1906231, 0.2421978, 0.3155010");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00492059");
+						index_3("0.1592844, 0.1925299, 0.2271208, 0.2647061, 0.3190331");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0147618");
+						index_3("0.1672906, 0.2128264, 0.2604327, 0.3126056, 0.3857638");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.30142");
+						index_2("12.9724");
+						index_3("0.00371926");
+						index_4("6.6153289, 7.0877266, 9.5148769, 10.3636234, 10.7019824");
+						values("0.640304, 1.02449, 1.28061, 1.02449, 0.640304");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36016");
+						index_2("7.58972");
+						index_3("0.00371926");
+						index_4("3.8961433, 4.2186582, 5.7655316, 6.2624728, 6.4900459");
+						values("0.660033, 1.05605, 1.32007, 1.05605, 0.660033");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41256");
+						index_2("5.05489");
+						index_3("0.00371926");
+						index_4("2.6168374, 2.8616557, 3.9040679, 4.2791905, 4.4495618");
+						values("0.666645, 1.06663, 1.33329, 1.06663, 0.666645");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30142");
+						index_2("6.48621");
+						index_3("0.00371926");
+						index_4("3.4187646, 3.8306098, 4.8487836, 5.2397359, 5.4122520");
+						values("0.33369, 0.533904, 0.66738, 0.533904, 0.33369");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41256");
+						index_2("2.52745");
+						index_3("0.00371926");
+						index_4("1.3766704, 1.5943167, 1.9931427, 2.1695488, 2.2590240");
+						values("0.341521, 0.546433, 0.683042, 0.546433, 0.341521");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36016");
+						index_2("7.58972");
+						index_3("0.0111578");
+						index_4("3.9043455, 4.2306411, 5.7318324, 6.2765387, 6.5094139");
+						values("0.517682, 0.828292, 1.03536, 0.828292, 0.517682");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41256");
+						index_2("5.05489");
+						index_3("0.0111578");
+						index_4("2.6219606, 2.8647926, 3.9378382, 4.2892815, 4.4631541");
+						values("0.521059, 0.833694, 1.04212, 0.833694, 0.521059");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22068");
+						index_2("8.70727");
+						index_3("0.00492059");
+						index_4("4.4511064, 4.8231541, 6.7322727, 7.3812227, 7.6591343");
+						values("0.991681, 0.59669, 0.333363, 0.59669, 0.991681");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29018");
+						index_2("5.0298");
+						index_3("0.00492059");
+						index_4("2.5943635, 2.8508565, 4.0043037, 4.4204935, 4.6063943");
+						values("0.974816, 0.569706, 0.299632, 0.569706, 0.974816");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35177");
+						index_2("3.37299");
+						index_3("0.00492059");
+						index_4("1.7566888, 1.9521510, 2.7822346, 3.0486650, 3.1879062");
+						values("0.97043, 0.562688, 0.29086, 0.562688, 0.97043");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22068");
+						index_2("4.35364");
+						index_3("0.00492059");
+						index_4("2.3089646, 2.6507545, 3.4733882, 3.7460444, 3.8873537");
+						values("1.31145, 1.10833, 0.972908, 1.10833, 1.31145");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35177");
+						index_2("1.68649");
+						index_3("0.00492059");
+						index_4("0.9341847, 1.1240416, 1.4143395, 1.5551817, 1.6283087");
+						values("1.31002, 1.10603, 0.970037, 1.10603, 1.31002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29018");
+						index_2("5.0298");
+						index_3("0.0147618");
+						index_4("2.6015279, 2.8557542, 4.0033410, 4.4344097, 4.6248420");
+						values("1.15448, 0.857174, 0.658968, 0.857174, 1.15448");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35177");
+						index_2("3.37299");
+						index_3("0.0147618");
+						index_4("1.7621433, 1.9585117, 2.7669831, 3.0589975, 3.2022441");
+						values("1.1501, 0.850164, 0.650204, 0.850164, 1.1501");
+					}
+				}
+			}
+			/* out_h_n#43_2_xres_h_n_5000_ */
+			ccsn_last_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				miller_cap_fall : "0.0125413";
+				miller_cap_rise : "0.00868228";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("13.9248, 1.85811, 1.7579, 1.72155, 1.67726, 1.65088, 1.62065, 1.58553, 1.54445, 1.49674, 1.44238, 1.38159, 1.31451, 1.24114, 1.16145, 1.07535, 0.982783, 0.883662, 0.777911, 0.665452, 0.546212, 0.420116, 0.287095, 0.147078, -2.52038e-08, -0.307863, -0.630362, -1.78712, -9.01405", \
+					  "13.1145, 0.956855, 0.896241, 0.88234, 0.8666, 0.857843, 0.848348, 0.83796, 0.826475, 0.813609, 0.798961, 0.781946, 0.761704, 0.737052, 0.706716, 0.669793, 0.625828, 0.574583, 0.51589, 0.449608, 0.375607, 0.293764, 0.203958, 0.106075, -3.41549e-08, -0.230971, -0.483549, -1.45392, -8.87976", \
+					  "12.7053, 0.499157, 0.449309, 0.442814, 0.435708, 0.431874, 0.427816, 0.423497, 0.418873, 0.413885, 0.408458, 0.402488, 0.395834, 0.388288, 0.379531, 0.369032, 0.355835, 0.338263, 0.314349, 0.282881, 0.243345, 0.195473, 0.139072, 0.0739682, -1.88347e-08, -0.171252, -0.370533, -1.19588, -8.76847", \
+					  "12.5921, 0.378179, 0.322517, 0.317824, 0.312749, 0.310038, 0.30719, 0.304185, 0.300998, 0.297597, 0.293943, 0.289982, 0.285643, 0.280828, 0.275392, 0.269111, 0.261604, 0.252111, 0.239012, 0.219908, 0.193134, 0.158025, 0.114273, 0.061661, -2.21752e-08, -0.148473, -0.327662, -1.09731, -8.72727", \
+					  "12.4947, 0.287307, 0.211134, 0.207954, 0.204559, 0.202764, 0.200894, 0.198937, 0.196882, 0.194712, 0.192408, 0.189946, 0.187292, 0.184403, 0.181218, 0.177649, 0.173557, 0.168704, 0.162582, 0.153844, 0.13976, 0.118008, 0.0876844, 0.0484285, -1.15641e-08, -0.124055, -0.28185, -0.991455, -8.68363", \
+					  "12.4526, 0.255581, 0.162221, 0.159695, 0.157017, 0.155609, 0.154148, 0.152627, 0.151037, 0.149368, 0.147607, 0.145738, 0.143739, 0.141583, 0.139232, 0.136633, 0.133706, 0.130321, 0.126228, 0.120795, 0.112119, 0.0970397, 0.0736839, 0.0414365, 5.44453e-10, -0.111191, -0.257778, -0.935597, -8.66079", \
+					  "12.4154, 0.23273, 0.118409, 0.116471, 0.114431, 0.113366, 0.112266, 0.111126, 0.109941, 0.108704, 0.107407, 0.106041, 0.104591, 0.103043, 0.101373, 0.0995499, 0.0975303, 0.0952451, 0.0925749, 0.0892585, 0.0844509, 0.075511, 0.0591966, 0.0341716, 1.49569e-09, -0.0978692, -0.232899, -0.877713, -8.63726", \
+					  "12.3835, 0.218783, 0.080188, 0.0787681, 0.0772933, 0.0765283, 0.075742, 0.0749318, 0.0740945, 0.0732263, 0.0723225, 0.0713774, 0.0703838, 0.0693323, 0.0682109, 0.0670031, 0.0656859, 0.0642251, 0.0625658, 0.0606041, 0.0580613, 0.0537505, 0.0442361, 0.0266109, 4.21974e-09, -0.0840723, -0.207198, -0.817824, -8.61319", \
+					  "12.3573, 0.214027, 0.0482333, 0.0472455, 0.046262, 0.045756, 0.045239, 0.0447095, 0.0441661, 0.0436067, 0.043029, 0.0424301, 0.0418065, 0.0411538, 0.040466, 0.0397355, 0.0389518, 0.0380997, 0.0371565, 0.0360832, 0.0347968, 0.0329989, 0.0290065, 0.0187598, 6.58229e-09, -0.0697801, -0.180672, -0.756106, -8.5888", \
+					  "12.3379, 0.219342, 0.0238204, 0.0230726, 0.0225025, 0.0222125, 0.0219181, 0.0216189, 0.0213142, 0.0210032, 0.0206851, 0.0203586, 0.0200224, 0.0196749, 0.0193137, 0.0189361, 0.0185381, 0.0181145, 0.0176575, 0.0171548, 0.0165844, 0.0158893, 0.014754, 0.0108643, 7.81597e-10, -0.0549722, -0.153351, -0.692986, -8.56437", \
+					  "12.327, 0.236275, 0.00926954, 0.00797088, 0.00771063, 0.00758231, 0.00745311, 0.00732286, 0.00719139, 0.0070585, 0.00692395, 0.00678745, 0.00664864, 0.00650708, 0.00636223, 0.00621335, 0.00605951, 0.00589941, 0.00573125, 0.0055523, 0.00535805, 0.00513931, 0.00486378, 0.00416198, -8.75442e-08, -0.0396789, -0.125357, -0.629134, -8.5402", \
+					  "12.3245, 0.264618, 0.00685773, 0.00178069, 0.00167262, 0.00163489, 0.0015973, 0.00155969, 0.00152202, 0.00148426, 0.00144639, 0.00140834, 0.00137008, 0.00133152, 0.0012926, 0.0012532, 0.00121318, 0.00117235, 0.00113045, 0.00108708, 0.00104164, 0.000992981, 0.000938039, 0.000852594, -4.85748e-07, -0.0243166, -0.0970996, -0.565322, -8.51646", \
+					  "12.327, 0.300064, 0.015854, 0.000457778, 0.000254688, 0.000246716, 0.000239661, 0.000232689, 0.000225753, 0.000218837, 0.00021194, 0.000205048, 0.000198159, 0.000191268, 0.000184357, 0.000177412, 0.000170436, 0.00016338, 0.000156232, 0.000148946, 0.000141449, 0.000133609, 0.000125121, 0.000113986, -2.87109e-06, -0.0109546, -0.0696188, -0.502255, -8.49333", \
+					  "12.331, 0.338745, 0.0328921, 0.00117871, 3.10345e-05, 2.37621e-05, 2.18087e-05, 2.02244e-05, 1.87383e-05, 1.72945e-05, 1.58804e-05, 1.44811e-05, 1.30976e-05, 1.17159e-05, 1.03481e-05, 8.97314e-06, 7.61088e-06, 6.23162e-06, 4.84463e-06, 3.45766e-06, 2.03817e-06, 5.83939e-07, -9.25319e-07, -2.74021e-06, -1.68974e-05, -0.00310004, -0.0445598, -0.440498, -8.47092", \
+					  "12.3355, 0.379325, 0.0552166, 0.00555663, 3.2891e-06, -3.512e-05, -4.16259e-05, -4.58929e-05, -4.95957e-05, -5.30445e-05, -5.63489e-05, -5.95522e-05, -6.26911e-05, -6.57886e-05, -6.88471e-05, -7.18842e-05, -7.48962e-05, -7.79011e-05, -8.08929e-05, -8.38886e-05, -8.68735e-05, -8.98643e-05, -9.28641e-05, -9.58749e-05, -0.000100179, -0.000644306, -0.0238423, -0.380525, -8.4494", \
+					  "12.3401, 0.421439, 0.081052, 0.0159316, 3.47387e-07, -0.000239437, -0.000279795, -0.000304783, -0.000325944, -0.000345371, -0.000363785, -0.000381542, -0.00039883, -0.000415787, -0.000432489, -0.000449002, -0.00046537, -0.000481622, -0.000497791, -0.000513887, -0.000529941, -0.000545942, -0.00056193, -0.000577894, -0.000593968, -0.000700686, -0.00996695, -0.323042, -8.42925", \
+					  "12.3448, 0.464914, 0.109046, 0.030671, 5.72152e-08, -0.00150563, -0.00178838, -0.00193531, -0.00205027, -0.00215115, -0.00224388, -0.00233123, -0.00241473, -0.00249536, -0.00257379, -0.00265046, -0.00272573, -0.00279986, -0.00287303, -0.00294542, -0.00301714, -0.00308832, -0.00315901, -0.00322931, -0.00329928, -0.00344768, -0.00593848, -0.270358, -8.41247", \
+					  "12.3497, 0.509603, 0.138084, 0.0471676, 2.93071e-08, -0.00619984, -0.00791915, -0.00862405, -0.00909644, -0.00947727, -0.00980819, -0.0101071, -0.0103836, -0.0106434, -0.0108903, -0.0111269, -0.0113553, -0.0115767, -0.0117924, -0.0120031, -0.0122096, -0.0124125, -0.0126122, -0.0128091, -0.0130036, -0.0133872, -0.0141755, -0.227793, -8.40344", \
+					  "12.3546, 0.555365, 0.167313, 0.06395, 2.66239e-08, -0.0141687, -0.0201595, -0.0225701, -0.0239367, -0.0249308, -0.0257406, -0.0264391, -0.0270627, -0.0276321, -0.0281603, -0.0286562, -0.0291261, -0.0295747, -0.0300053, -0.0304209, -0.0308234, -0.0312146, -0.031596, -0.0319688, -0.0323338, -0.0330442, -0.0337948, -0.198273, -8.40386", \
+					  "12.3596, 0.602053, 0.196163, 0.0804183, 2.63444e-08, -0.0231465, -0.0359416, -0.0419746, -0.0451184, -0.0471844, -0.0487578, -0.0500539, -0.051172, -0.0521657, -0.0530674, -0.0538984, -0.0546732, -0.0554023, -0.0560937, -0.0567533, -0.0573857, -0.0579948, -0.0585835, -0.0591543, -0.0597093, -0.0607785, -0.0618109, -0.181118, -8.41199", \
+					  "12.3647, 0.649517, 0.224308, 0.0963509, 2.62944e-08, -0.0321156, -0.0528334, -0.0644435, -0.0706513, -0.074442, -0.0771429, -0.0792656, -0.0810352, -0.0825672, -0.0839288, -0.0851619, -0.0862949, -0.0873478, -0.0883349, -0.0892672, -0.0901533, -0.0909996, -0.0918116, -0.0925935, -0.0933489, -0.0947919, -0.0961604, -0.176328, -8.42621", \
+					  "12.3699, 0.697598, 0.251581, 0.111672, 2.62672e-08, -0.0407978, -0.069695, -0.0881428, -0.0988821, -0.105295, -0.109612, -0.112849, -0.115454, -0.117651, -0.119564, -0.121267, -0.12281, -0.124227, -0.125542, -0.126773, -0.127933, -0.129033, -0.130081, -0.131084, -0.132048, -0.133875, -0.135591, -0.184896, -8.44549", \
+					  "12.3751, 0.746134, 0.277908, 0.126365, 2.62433e-08, -0.0491212, -0.086101, -0.111933, -0.128435, -0.13855, -0.145129, -0.14985, -0.153517, -0.156527, -0.159093, -0.16134, -0.163349, -0.165171, -0.166846, -0.168399, -0.169853, -0.171221, -0.172518, -0.173751, -0.17493, -0.17715, -0.179217, -0.20742, -8.46912", \
+					  "12.3804, 0.794947, 0.303272, 0.140438, 2.62208e-08, -0.0570728, -0.101903, -0.135236, -0.158263, -0.173152, -0.182784, -0.189462, -0.194475, -0.198476, -0.201814, -0.204687, -0.20722, -0.209492, -0.211559, -0.213461, -0.215227, -0.21688, -0.218435, -0.219908, -0.22131, -0.22393, -0.226352, -0.242674, -8.49663", \
+					  "12.3858, 0.843847, 0.32768, 0.15391, 2.61994e-08, -0.0646596, -0.117058, -0.157795, -0.187692, -0.208205, -0.221756, -0.230974, -0.237684, -0.242893, -0.247142, -0.250737, -0.253861, -0.25663, -0.259126, -0.261403, -0.263502, -0.265454, -0.267281, -0.269003, -0.270632, -0.273662, -0.276442, -0.28754, -8.52778", \
+					  "12.3966, 0.94111, 0.373728, 0.179152, 2.61597e-08, -0.0787983, -0.145438, -0.200364, -0.244055, -0.277149, -0.300817, -0.317159, -0.328632, -0.337109, -0.343721, -0.34911, -0.353656, -0.357591, -0.361066, -0.364184, -0.367017, -0.369618, -0.372026, -0.374273, -0.376382, -0.380257, -0.383766, -0.393258, -8.6011", \
+					  "12.4075, 1.03629, 0.416305, 0.2023, 2.61237e-08, -0.0916726, -0.171391, -0.239517, -0.296399, -0.342374, -0.377885, -0.403909, -0.42238, -0.435653, -0.445601, -0.453412, -0.459796, -0.46518, -0.469836, -0.473939, -0.477613, -0.480943, -0.483993, -0.486811, -0.489432, -0.494198, -0.498459, -0.509252, -8.68961", \
+					  "12.4402, 1.29628, 0.525957, 0.261134, 2.60337e-08, -0.123999, -0.236882, -0.338884, -0.430218, -0.511054, -0.581471, -0.641404, -0.690675, -0.729357, -0.758466, -0.780041, -0.796294, -0.808938, -0.819117, -0.827564, -0.834752, -0.840996, -0.846511, -0.851449, -0.855922, -0.86378, -0.870544, -0.88668, -9.00747", \
+					  "12.4899, 1.62789, 0.66227, 0.332801, 2.59268e-08, -0.162647, -0.315654, -0.459157, -0.593288, -0.718159, -0.833859, -0.940424, -1.0378, -1.12578, -1.20392, -1.27153, -1.32793, -1.37306, -1.40808, -1.43504, -1.45603, -1.47277, -1.48645, -1.49791, -1.5077, -1.52374, -1.53654, -1.56418, -9.58597");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00371926");
+						index_3("0.1101980, 0.1516346, 0.1955483, 0.2443156, 0.3163135");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0111578");
+						index_3("0.1172074, 0.1711380, 0.2282454, 0.2919659, 0.3836827");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00371926");
+						index_3("0.1783813, 0.2197689, 0.2638232, 0.3113611, 0.3835407");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0111578");
+						index_3("0.1883877, 0.2423096, 0.2989485, 0.3627625, 0.4530738");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.00492059");
+						index_3("0.0907406, 0.1241570, 0.1580637, 0.1955485, 0.2494102");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.0147618");
+						index_3("0.0973597, 0.1433355, 0.1906231, 0.2421978, 0.3155010");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.00492059");
+						index_3("0.1592844, 0.1925299, 0.2271208, 0.2647061, 0.3190331");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.0147618");
+						index_3("0.1672906, 0.2128264, 0.2604327, 0.3126056, 0.3857638");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.30142");
+						index_2("12.9724");
+						index_3("0.00371926");
+						index_4("6.6153289, 7.0877266, 9.5148769, 10.3636234, 10.7019824");
+						values("0.640304, 1.02449, 1.28061, 1.02449, 0.640304");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36016");
+						index_2("7.58972");
+						index_3("0.00371926");
+						index_4("3.8961433, 4.2186582, 5.7655316, 6.2624728, 6.4900459");
+						values("0.660033, 1.05605, 1.32007, 1.05605, 0.660033");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41256");
+						index_2("5.05489");
+						index_3("0.00371926");
+						index_4("2.6168374, 2.8616557, 3.9040679, 4.2791905, 4.4495618");
+						values("0.666645, 1.06663, 1.33329, 1.06663, 0.666645");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.30142");
+						index_2("6.48621");
+						index_3("0.00371926");
+						index_4("3.4187646, 3.8306098, 4.8487836, 5.2397359, 5.4122520");
+						values("0.33369, 0.533904, 0.66738, 0.533904, 0.33369");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41256");
+						index_2("2.52745");
+						index_3("0.00371926");
+						index_4("1.3766704, 1.5943167, 1.9931427, 2.1695488, 2.2590240");
+						values("0.341521, 0.546433, 0.683042, 0.546433, 0.341521");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.36016");
+						index_2("7.58972");
+						index_3("0.0111578");
+						index_4("3.9043455, 4.2306411, 5.7318324, 6.2765387, 6.5094139");
+						values("0.517682, 0.828292, 1.03536, 0.828292, 0.517682");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.41256");
+						index_2("5.05489");
+						index_3("0.0111578");
+						index_4("2.6219606, 2.8647926, 3.9378382, 4.2892815, 4.4631541");
+						values("0.521059, 0.833694, 1.04212, 0.833694, 0.521059");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.22068");
+						index_2("8.70727");
+						index_3("0.00492059");
+						index_4("4.4511064, 4.8231541, 6.7322727, 7.3812227, 7.6591343");
+						values("0.991681, 0.59669, 0.333363, 0.59669, 0.991681");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29018");
+						index_2("5.0298");
+						index_3("0.00492059");
+						index_4("2.5943635, 2.8508565, 4.0043037, 4.4204935, 4.6063943");
+						values("0.974816, 0.569706, 0.299632, 0.569706, 0.974816");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35177");
+						index_2("3.37299");
+						index_3("0.00492059");
+						index_4("1.7566888, 1.9521510, 2.7822346, 3.0486650, 3.1879062");
+						values("0.97043, 0.562688, 0.29086, 0.562688, 0.97043");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.22068");
+						index_2("4.35364");
+						index_3("0.00492059");
+						index_4("2.3089646, 2.6507545, 3.4733882, 3.7460444, 3.8873537");
+						values("1.31145, 1.10833, 0.972908, 1.10833, 1.31145");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35177");
+						index_2("1.68649");
+						index_3("0.00492059");
+						index_4("0.9341847, 1.1240416, 1.4143395, 1.5551817, 1.6283087");
+						values("1.31002, 1.10603, 0.970037, 1.10603, 1.31002");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29018");
+						index_2("5.0298");
+						index_3("0.0147618");
+						index_4("2.6015279, 2.8557542, 4.0033410, 4.4344097, 4.6248420");
+						values("1.15448, 0.857174, 0.658968, 0.857174, 1.15448");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.35177");
+						index_2("3.37299");
+						index_3("0.0147618");
+						index_4("1.7621433, 1.9585117, 2.7669831, 3.0589975, 3.2022441");
+						values("1.1501, 0.850164, 0.650204, 0.850164, 1.1501");
+					}
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 1.002583;
+			capacitance : 0.998838;
+			fall_capacitance : 0.995092;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-1.1404626, -1.1082922, -1.0761218, -1.0554103, -1.0355273, -1.0148157, -0.9941042");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("1.2083197, 1.2212258, 1.2341319, 1.2332345, 1.2323730, 1.2314756, 1.2305782");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "true";
+				stage_type : "both";
+				is_inverting : "true";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				miller_cap_fall : "0.00165559";
+				miller_cap_rise : "0.00419976";
+				dc_current ("ccsn_dc") {
+					index_1("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					index_2("-1.65, -0.825, -0.33, -0.165, 0, 0.0825, 0.165, 0.2475, 0.33, 0.4125, 0.495, 0.5775, 0.66, 0.7425, 0.825, 0.9075, 0.99, 1.0725, 1.155, 1.2375, 1.32, 1.4025, 1.485, 1.5675, 1.65, 1.815, 1.98, 2.475, 3.3");
+					values("13.4244, 0.0888489, 0.0438017, 0.043762, 0.0437138, 0.0436849, 0.0436511, 0.0436101, 0.0435575, 0.043483, 0.0433603, 0.0431545, 0.0428515, 0.0424494, 0.0419438, 0.041319, 0.040537, 0.0395093, 0.037996, 0.0354938, 0.0315702, 0.0260782, 0.0189851, 0.010289, 1.57766e-09, -0.0248751, -0.054848, -0.175731, -4.56074", \
+					  "13.4275, 0.0884767, 0.0430657, 0.0430254, 0.0429782, 0.0429508, 0.0429198, 0.042884, 0.0428412, 0.0427877, 0.0427153, 0.0426026, 0.0424064, 0.0420941, 0.0416541, 0.0410724, 0.0403132, 0.0392838, 0.0377308, 0.0351727, 0.0312321, 0.025773, 0.0187536, 0.0101622, 1.77578e-09, -0.0245886, -0.0542693, -0.174432, -4.55953", \
+					  "13.4469, 0.0890431, 0.0396159, 0.0395492, 0.0394747, 0.0394336, 0.0393892, 0.039341, 0.039288, 0.0392288, 0.0391615, 0.0390835, 0.0389899, 0.0388725, 0.0387145, 0.0384702, 0.0380064, 0.0370971, 0.03549, 0.0329185, 0.0291616, 0.0240686, 0.0175449, 0.00953308, 1.01688e-09, -0.0233045, -0.0517849, -0.169191, -4.55536", \
+					  "13.4646, 0.0990424, 0.0367291, 0.0366059, 0.0364699, 0.0363959, 0.036317, 0.0362324, 0.0361409, 0.0360409, 0.0359306, 0.0358069, 0.0356656, 0.0355004, 0.0353001, 0.0350448, 0.0346881, 0.0340879, 0.0328948, 0.0307527, 0.0274363, 0.0227896, 0.0167073, 0.00912365, 1.07767e-09, -0.0225692, -0.0504378, -0.166631, -4.5536", \
+					  "13.4848, 0.117788, 0.0311778, 0.0309915, 0.030791, 0.0306843, 0.0305724, 0.0304546, 0.0303299, 0.0301969, 0.030054, 0.0298991, 0.029729, 0.0295393, 0.0293237, 0.0290718, 0.0287657, 0.0283689, 0.0277795, 0.026643, 0.024377, 0.0206678, 0.0153973, 0.00851465, 2.47444e-10, -0.0215876, -0.0487183, -0.16361, -4.55158", \
+					  "13.4954, 0.131251, 0.0274192, 0.0272154, 0.0269986, 0.0268842, 0.0267651, 0.0266405, 0.0265097, 0.0263714, 0.0262244, 0.0260669, 0.0258963, 0.0257094, 0.0255013, 0.0252647, 0.0249878, 0.0246494, 0.0242027, 0.0234946, 0.0219956, 0.0190577, 0.0144395, 0.00808634, 1.047e-09, -0.0209618, -0.0476659, -0.161886, -4.55038", \
+					  "13.5061, 0.147913, 0.0231688, 0.0229566, 0.0227346, 0.0226183, 0.0224978, 0.0223727, 0.0222421, 0.0221053, 0.0219611, 0.0218082, 0.0216446, 0.0214679, 0.0212746, 0.0210596, 0.0208151, 0.0205282, 0.0201746, 0.0196946, 0.0188489, 0.0168853, 0.0131613, 0.00752795, 9.00134e-10, -0.020209, -0.0464427, -0.15999, -4.54911", \
+					  "13.5169, 0.167816, 0.0185888, 0.0183711, 0.0181542, 0.0180413, 0.0179251, 0.0178051, 0.0176807, 0.0175512, 0.017416, 0.0172738, 0.0171234, 0.016963, 0.0167902, 0.0166015, 0.016392, 0.0161538, 0.0158734, 0.0155241, 0.0150275, 0.0139937, 0.0114285, 0.00677901, 1.15611e-09, -0.0192852, -0.0450016, -0.157893, -4.54776", \
+					  "13.5279, 0.190932, 0.0139042, 0.0136542, 0.0134524, 0.0133483, 0.0132416, 0.0131321, 0.0130193, 0.0129028, 0.0127819, 0.0126561, 0.0125244, 0.0123855, 0.012238, 0.0120796, 0.0119073, 0.0117165, 0.0114998, 0.0112442, 0.0109209, 0.0104203, 0.00909332, 0.00575059, 9.24961e-10, -0.0181229, -0.0432764, -0.155559, -4.54632", \
+					  "13.539, 0.217294, 0.00955381, 0.00907509, 0.00889838, 0.00880853, 0.00871698, 0.00862353, 0.00852794, 0.00842991, 0.00832909, 0.00822504, 0.00811721, 0.0080049, 0.00788716, 0.00776278, 0.00763003, 0.00748644, 0.00732825, 0.0071493, 0.00693798, 0.00666441, 0.00615358, 0.00434369, 9.17228e-10, -0.0166132, -0.0411685, -0.152944, -4.54478", \
+					  "13.5506, 0.247076, 0.00681468, 0.0050381, 0.0048937, 0.00482457, 0.00475461, 0.00468369, 0.00461167, 0.00453842, 0.00446375, 0.00438746, 0.00430926, 0.00422879, 0.00414563, 0.00405919, 0.00396867, 0.00387298, 0.00377048, 0.00365866, 0.00353318, 0.0033851, 0.003183, 0.00258342, -5.00871e-09, -0.0145698, -0.0385255, -0.149989, -4.54311", \
+					  "13.5631, 0.280608, 0.00848372, 0.00212437, 0.00199164, 0.00194969, 0.00190777, 0.00186564, 0.00182327, 0.00178059, 0.00173755, 0.00169412, 0.00165023, 0.00160569, 0.00156031, 0.00151395, 0.00146636, 0.00141721, 0.001366, 0.00131199, 0.00125397, 0.00118967, 0.00111329, 0.000979376, 3.32515e-10, -0.0116674, -0.035095, -0.146614, -4.54129", \
+					  "13.5769, 0.318085, 0.0166476, 0.00087248, 0.00053989, 0.000522553, 0.000506597, 0.0004908, 0.000475093, 0.000459459, 0.000443888, 0.000428396, 0.000413108, 0.000398269, 0.000383323, 0.000367896, 0.000352223, 0.000336346, 0.00032019, 0.000303617, 0.0002864, 0.000268136, 0.000247892, 0.000220573, 5.11396e-10, -0.00748949, -0.0304287, -0.142713, -4.53929", \
+					  "13.5916, 0.358958, 0.0308967, 0.0018192, 9.66825e-05, 8.44306e-05, 8.02784e-05, 7.66463e-05, 7.31539e-05, 6.97417e-05, 6.63974e-05, 6.31413e-05, 6.01484e-05, 5.83319e-05, 5.83319e-05, 5.83319e-05, 5.78794e-05, 5.50035e-05, 5.19643e-05, 4.88695e-05, 4.57079e-05, 4.24246e-05, 3.88916e-05, 3.44819e-05, 4.6535e-10, -0.00306791, -0.0237622, -0.138131, -4.53707", \
+					  "13.6072, 0.402298, 0.0498181, 0.00661576, 1.16902e-05, -4.42906e-05, -4.96222e-05, -5.18882e-05, -5.35402e-05, -5.49344e-05, -5.6176e-05, -5.72839e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -5.80935e-05, -0.000786076, -0.0151638, -0.132637, -4.53458", \
+					  "13.6233, 0.447438, 0.0720435, 0.0160004, 1.09207e-06, -0.000356468, -0.000390174, -0.000402021, -0.000409734, -0.000415796, -0.000420948, -0.000425479, -0.000429376, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.000431594, -0.00742649, -0.125866, -4.53175", \
+					  "13.6402, 0.493907, 0.0962027, 0.0283747, 9.8814e-08, -0.00198799, -0.00224291, -0.00231426, -0.00235547, -0.002386, -0.00241103, -0.00243263, -0.00245172, -0.00246791, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.0024753, -0.00257688, -0.117197, -4.52851", \
+					  "13.658, 0.541243, 0.121067, 0.0417915, 1.64227e-08, -0.0065117, -0.00806843, -0.00843052, -0.00859611, -0.00870537, -0.00878925, -0.0088586, -0.00891838, -0.00897066, -0.00901284, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.00901848, -0.10563, -4.52475", \
+					  "13.6771, 0.588957, 0.145837, 0.0552711, 1.01356e-08, -0.0130544, -0.0183793, -0.0198911, -0.020437, -0.0207424, -0.0209567, -0.0211244, -0.0212636, -0.0213834, -0.0214865, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0215603, -0.0904952, -4.52026", \
+					  "13.6971, 0.636567, 0.170117, 0.0684718, 9.95345e-09, -0.0201023, -0.0312542, -0.035803, -0.0374112, -0.0381646, -0.0386356, -0.0389799, -0.0392535, -0.0394821, -0.0396781, -0.0398413, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0399203, -0.0729508, -4.51467", \
+					  "13.7177, 0.683663, 0.193735, 0.0812802, 1.02502e-08, -0.0270935, -0.0448445, -0.0543973, -0.0584658, -0.060213, -0.0611739, -0.0618196, -0.0623063, -0.0626989, -0.0630286, -0.0633091, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0635218, -0.0641921, -4.51628", \
+					  "13.7383, 0.729937, 0.216625, 0.0936602, 1.05857e-08, -0.0338853, -0.0583485, -0.073961, -0.0822254, -0.0860089, -0.087903, -0.0890606, -0.0898793, -0.090512, -0.0910287, -0.0914641, -0.0918243, -0.092016, -0.092016, -0.092016, -0.092016, -0.092016, -0.092016, -0.092016, -0.092016, -0.092016, -0.092016, -0.092016, -4.5333", \
+					  "13.7588, 0.775195, 0.238766, 0.105605, 1.0949e-08, -0.0404421, -0.071507, -0.0935077, -0.107216, -0.114481, -0.118102, -0.120137, -0.121476, -0.122459, -0.123236, -0.123876, -0.124413, -0.124815, -0.124815, -0.124815, -0.124815, -0.124815, -0.124815, -0.124815, -0.124815, -0.124815, -0.124815, -0.124815, -4.55426", \
+					  "13.7789, 0.819327, 0.26016, 0.117119, 1.12733e-08, -0.0467575, -0.0842387, -0.11263, -0.132346, -0.144398, -0.15091, -0.15444, -0.156604, -0.158106, -0.159244, -0.160157, -0.160915, -0.161531, -0.161827, -0.161827, -0.161827, -0.161827, -0.161827, -0.161827, -0.161827, -0.161827, -0.161827, -0.161827, -4.57846", \
+					  "13.7986, 0.86228, 0.280822, 0.128215, 1.16081e-08, -0.0528346, -0.0965216, -0.13118, -0.157048, -0.174662, -0.185307, -0.191257, -0.194737, -0.197015, -0.198662, -0.199941, -0.200981, -0.20184, -0.202453, -0.202453, -0.202453, -0.202453, -0.202453, -0.202453, -0.202453, -0.202453, -0.202453, -0.202453, -4.60589", \
+					  "13.8365, 0.9446, 0.320029, 0.149206, 1.22789e-08, -0.0643032, -0.119752, -0.1664, -0.204342, -0.23375, -0.254999, -0.268988, -0.277508, -0.282714, -0.286143, -0.288603, -0.290491, -0.292008, -0.293238, -0.294037, -0.294037, -0.294037, -0.294037, -0.294037, -0.294037, -0.294037, -0.294037, -0.294037, -4.67065", \
+					  "13.8723, 1.0222, 0.356566, 0.168694, 1.29534e-08, -0.0749156, -0.141284, -0.199132, -0.248501, -0.289457, -0.322117, -0.346733, -0.363929, -0.375095, -0.382207, -0.386952, -0.390348, -0.392933, -0.394991, -0.396622, -0.397513, -0.397513, -0.397513, -0.397513, -0.397513, -0.397513, -0.397513, -0.397513, -4.74943", \
+					  "13.9678, 1.22859, 0.451995, 0.219279, 1.49669e-08, -0.102299, -0.196935, -0.283911, -0.36323, -0.434889, -0.498887, -0.555218, -0.603868, -0.644816, -0.678048, -0.703696, -0.722379, -0.735452, -0.744611, -0.751247, -0.756264, -0.760131, -0.762656, -0.762678, -0.762678, -0.762678, -0.762678, -0.762678, -5.07177", \
+					  "14.0926, 1.49417, 0.57159, 0.282065, 1.82597e-08, -0.135975, -0.265504, -0.388587, -0.505221, -0.615399, -0.719109, -0.816333, -0.90705, -0.991229, -1.06883, -1.13979, -1.20401, -1.26134, -1.31156, -1.35435, -1.38948, -1.41706, -1.43792, -1.45346, -1.46505, -1.47755, -1.47755, -1.47755, -5.72642");
+				}
+				output_voltage_rise () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("1.1573500, 2.2015046, 3.2453404, 4.4276922, 6.9611233");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("1.2005078, 2.2347058, 3.2776506, 4.4567594, 7.0024138");
+						values("0.165, 0.495, 0.825, 1.155, 1.485");
+					}
+				}
+				output_voltage_fall () {
+					vector ("ccsn_ovrf") {
+						index_1("0.01");
+						index_2("0.001");
+						index_3("0.3085074, 0.4875493, 0.6448281, 0.8034176, 1.0384868");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+					vector ("ccsn_ovrf") {
+						index_1("0.1");
+						index_2("0.001");
+						index_3("0.3649110, 0.5440846, 0.7011761, 0.8589837, 1.0957452");
+						values("1.485, 1.155, 0.825, 0.495, 0.165");
+					}
+				}
+				propagated_noise_low () {
+					vector ("ccsn_pnlh") {
+						index_1("1.18105");
+						index_2("203.212");
+						index_3("0.001");
+						index_4("102.1091840, 108.3674700, 136.8818110, 149.8935780, 153.4831950");
+						values("0.443086, 0.708937, 0.886172, 0.708937, 0.443086");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24364");
+						index_2("116.696");
+						index_3("0.001");
+						index_4("58.7721154, 62.9649946, 81.3875203, 89.3359670, 91.6093732");
+						values("0.460526, 0.736842, 0.921052, 0.736842, 0.460526");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29942");
+						index_2("77.7572");
+						index_3("0.001");
+						index_4("39.2436680, 42.4411820, 56.5831269, 61.2303195, 62.8542061");
+						values("0.470755, 0.753207, 0.941509, 0.753207, 0.470755");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.18105");
+						index_2("101.606");
+						index_3("0.001");
+						index_4("51.8926192, 55.6608217, 70.5420476, 75.2509662, 77.0853523");
+						values("0.22771, 0.364336, 0.455419, 0.364336, 0.22771");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24364");
+						index_2("58.3478");
+						index_3("0.001");
+						index_4("29.9924676, 32.5693225, 42.3926909, 44.8329953, 46.0129312");
+						values("0.235193, 0.376309, 0.470386, 0.376309, 0.235193");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.29942");
+						index_2("38.8786");
+						index_3("0.001");
+						index_4("20.1040042, 22.1186999, 28.8180417, 30.7329507, 31.5889583");
+						values("0.239372, 0.382995, 0.478743, 0.382995, 0.239372");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.24364");
+						index_2("175.043");
+						index_3("0.001");
+						index_4("87.1428408, 92.4099666, 119.1415170, 134.1536690, 137.2755370");
+						values("0.631149, 1.00984, 1.2623, 1.00984, 0.631149");
+					}
+				}
+				propagated_noise_high () {
+					vector ("ccsn_pnlh") {
+						index_1("1.23949");
+						index_2("34.9259");
+						index_3("0.001");
+						index_4("18.0607341, 19.4255281, 26.2124793, 30.2242369, 32.0972218");
+						values("1.09191, 0.757051, 0.533814, 0.757051, 1.09191");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31026");
+						index_2("20.6347");
+						index_3("0.001");
+						index_4("10.7140462, 11.6399445, 15.9226178, 18.6947156, 20.0682247");
+						values("1.05848, 0.703575, 0.466969, 0.703575, 1.05848");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37055");
+						index_2("14.1608");
+						index_3("0.001");
+						index_4("7.3975051, 8.0969840, 11.1435449, 13.2748434, 14.3734452");
+						values("1.04823, 0.687162, 0.446452, 0.687162, 1.04823");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.23949");
+						index_2("17.463");
+						index_3("0.001");
+						index_4("8.5915146, 9.0359213, 9.9801948, 14.2188307, 15.2301131");
+						values("1.49345, 1.39952, 1.33691, 1.39952, 1.49345");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31026");
+						index_2("10.3174");
+						index_3("0.001");
+						index_4("5.2060349, 5.5555270, 6.4266025, 8.9309372, 9.5689248");
+						values("1.47581, 1.37129, 1.30161, 1.37129, 1.47581");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.37055");
+						index_2("7.0804");
+						index_3("0.001");
+						index_4("3.6578723, 3.9598375, 5.5088714, 6.3652342, 6.8977456");
+						values("1.45929, 1.34487, 1.26859, 1.34487, 1.45929");
+					}
+					vector ("ccsn_pnlh") {
+						index_1("1.31026");
+						index_2("30.9521");
+						index_3("0.001");
+						index_4("15.7697554, 16.8683974, 23.9489385, 27.7954458, 29.8592726");
+						values("0.797897, 0.286635, 0, 0.286635, 0.797897");
+					}
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.091881;
+			capacitance : 0.110575;
+			fall_capacitance : 0.129270;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0115741, 0.0825343, 0.1766426, 0.2715127, 0.3625880, 0.4574581, 0.5523282");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0227800, -0.0622839, -0.1473477, -0.2309597, -0.3112272, -0.3948391, -0.4784511");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.098192;
+			capacitance : 0.137524;
+			fall_capacitance : 0.176857;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0862778, 0.0267285, 0.1397348, 0.2527300, 0.3612055, 0.4742007, 0.5871960");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0862751, -0.0267252, -0.1397254, -0.2527230, -0.3612007, -0.4741982, -0.5871958");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.866505;
+			capacitance : 2.199550;
+			fall_capacitance : 2.532595;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0055782, -0.0056718, -0.0057654, -0.0056975, -0.0056323, -0.0055644, -0.0054965");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0055731, 0.0056410, 0.0057090, 0.0056552, 0.0056035, 0.0055497, 0.0054959");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050027;
+			capacitance : 0.050031;
+			fall_capacitance : 0.050035;
+			internal_power () {
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0027973, 0.0028347, 0.0028721, 0.0028603, 0.0028490, 0.0028373, 0.0028255");
+				}
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0028072, -0.0028308, -0.0028544, -0.0028452, -0.0028364, -0.0028272, -0.0028180");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045968;
+			capacitance : 0.045961;
+			fall_capacitance : 0.045954;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0046448, -0.0046838, -0.0047228, -0.0047070, -0.0046918, -0.0046760, -0.0046602");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0046245, 0.0046814, 0.0047383, 0.0047184, 0.0046994, 0.0046795, 0.0046597");
+				}
+			}
+			ccsn_first_stage () {
+				is_needed : "false";
+			}
+                        ccsn_last_stage () {
+                                is_needed : "false";
+                        }
+		}
+	}
+	 default_operating_conditions : "ss_ss_1p60v_x_1p65v_n40C";
+}
diff --git a/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib
new file mode 100644
index 0000000..2f89df2
--- /dev/null
+++ b/sky130/custom/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib
@@ -0,0 +1,870 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+library ("sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30") {
+	define(three_state_pullup_res,library,string);
+	define(three_state_pulldn_res,library,string);
+	define(zstate_leak_threshold_pct,library,string);
+	define(clk_width,library,string);
+	define(driver_model,library,string);
+	define(def_sim_opt,library,string);
+	define(simulator,library,string);
+	define(signal_voltage_type,pin,string);
+	technology("cmos");
+	delay_model : "table_lookup";
+	revision : "1.0";
+	date : "localtime";
+	default_leakage_power_density : 0.000000;
+	default_cell_leakage_power : 0.000000;
+	default_fanout_load : 0.000000;
+	default_inout_pin_cap : 0.000000;
+	default_input_pin_cap : 0.000000;
+	default_output_pin_cap : 0.000000;
+	default_max_transition : 1.500000;
+	bus_naming_style : "%s[%d]";
+	in_place_swap_mode : "match_footprint";
+	library_features("report_delay_calculation");
+	voltage_unit : "1V";
+	current_unit : "1mA";
+	leakage_power_unit : "1nW";
+	pulling_resistance_unit : "1kohm";
+	time_unit : "1ns";
+	resistance_unit : "1ohm";
+	capacitive_load_unit(1.000000, \
+	  "pf");
+	input_threshold_pct_rise : 50.000000;
+	input_threshold_pct_fall : 50.000000;
+	output_threshold_pct_rise : 50.000000;
+	output_threshold_pct_fall : 50.000000;
+	slew_lower_threshold_pct_fall : 20.000000;
+	slew_lower_threshold_pct_rise : 20.000000;
+	slew_upper_threshold_pct_fall : 80.000000;
+	slew_upper_threshold_pct_rise : 80.000000;
+	slew_derate_from_library : 1.000000;
+	three_state_pullup_res : "1";
+	three_state_pulldn_res : "1";
+	zstate_leak_threshold_pct : "0.1";
+	clk_width : "1.00E-05";
+	nom_process : 1.000000;
+	nom_temperature : 25.000000;
+	nom_voltage : 1.800000;
+	driver_model : "snps_predriver";
+	def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
+	simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
+	voltage_map("VSS",0.000000);
+	voltage_map("VCCD",1.800000);
+	voltage_map("VCCHIB",1.800000);
+	voltage_map("VDDA",3.300000);
+	voltage_map("VDDIO",3.300000);
+	voltage_map("VDDIO_Q",3.300000);
+	voltage_map("VSSA",0.000000);
+	voltage_map("VSSD",0.000000);
+	voltage_map("VSSIO",0.000000);
+	voltage_map("VSSIO_Q",0.000000);
+	voltage_map("VSWITCH",3.300000);
+	power_lut_template ("power_inputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+	}
+		 output_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vol : 0.330; 
+			 voh : 2.970; 
+			 vomax : 3.465; 
+			 vomin : -0.165; 
+		}
+		 output_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vol : 0.180; 
+			 voh : 1.620; 
+			 vomax : 1.890; 
+			 vomin : -0.090; 
+		}
+		 input_voltage (GENERAL_IO_VDDIO_VOLTAGE) { 
+			 vil : 0.825; 
+			 vih : 2.475; 
+			 vimax : 3.465; 
+			 vimin : -0.165; 
+		}
+		 input_voltage (GENERAL_CORE_VOLTAGE) { 
+			 vil : 0.540; 
+			 vih : 1.260; 
+			 vimax : 1.890; 
+			 vimin : -0.090; 
+		}
+	 operating_conditions ("tt_tt_1p80v_x_3p30v_025C") { 
+		process : 1.000000;
+		temperature : 25.000000;
+		voltage : 1.800000;
+		tree_type : "balanced_tree";
+	}
+	lu_table_template ("del_1_7_7") {
+		variable_1 : "input_net_transition";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	power_lut_template ("power_outputs_1") {
+		variable_1 : "input_transition_time";
+		index_1("1, 2, 3, 4, 5, 6, 7");
+		variable_2 : "total_output_net_capacitance";
+		index_2("1, 2, 3, 4, 5, 6, 7");
+	}
+	cell ("sky130_fd_io__top_xres4v2") {
+		is_macro_cell : true; 
+		dont_use : true;
+		interface_timing : true;
+		pad_cell : true;
+		dont_touch : true;
+		area : 15000.000000;
+		cell_leakage_power : 2.194100e+00;
+		pg_pin (VDDA) {
+			voltage_name : "VDDA";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSWITCH) {
+			voltage_name : "VSWITCH";
+			pg_type : "primary_power";
+		}
+		pg_pin (VDDIO) {
+			voltage_name : "VDDIO";
+			pg_type : "primary_power";
+		}
+		pg_pin ("VDDIO_Q") {
+			voltage_name : "VDDIO_Q";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCD) {
+			voltage_name : "VCCD";
+			pg_type : "primary_power";
+		}
+		pg_pin (VCCHIB) {
+			voltage_name : "VCCHIB";
+			pg_type : "primary_power";
+		}
+		pg_pin (VSSD) {
+			voltage_name : "VSSD";
+			pg_type : "primary_ground";
+		}
+		pg_pin ("VSSIO_Q") {
+			voltage_name : "VSSIO_Q";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSA) {
+			voltage_name : "VSSA";
+			pg_type : "primary_ground";
+		}
+		pg_pin (VSSIO) {
+			voltage_name : "VSSIO";
+			pg_type : "primary_ground";
+		}
+		leakage_power (lkgGroup1) {
+			when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "1.8660400";
+		}
+		leakage_power (lkgGroup2) {
+			when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
+			value : "2.1941000";
+		}
+		pin ("DISABLE_PULLUP_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.018729;
+			capacitance : 0.018323;
+			fall_capacitance : 0.017917;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.7975062, 1.3189939, 1.8404815, 2.3025863, 2.7462069, 3.2083116, 3.6704164");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0052907, -0.5017791, -0.9982676, -1.4253116, -1.8352739, -2.2623179, -2.6893620");
+				}
+			}
+		}
+		pin ("INP_SEL_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.024898;
+			capacitance : 0.024708;
+			fall_capacitance : 0.024519;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0312858, -0.0280085, -0.0247312, -0.0064923, 0.0110170, 0.0292559, 0.0474948");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.2575564, 0.2649843, 0.2724123, 0.2925985, 0.3119773, 0.3321635, 0.3523497");
+				}
+			}
+		}
+		pin ("EN_VDDIO_SIG_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			rise_capacitance : 0.032961;
+			capacitance : 0.032209;
+			fall_capacitance : 0.031456;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4292203, 0.4651770, 0.5011338, 0.4980173, 0.4950255, 0.4919091, 0.4887926");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.4375453, 0.4358774, 0.4342095, 0.4468371, 0.4589596, 0.4715872, 0.4842148");
+				}
+			}
+		}
+		pin ("ENABLE_VDDIO") {
+			 max_transition : 1.50;
+			 input_voltage : GENERAL_CORE_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VCCHIB";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			rise_capacitance : 0.017493;
+			capacitance : 0.017430;
+			fall_capacitance : 0.017367;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0324499, 0.0326572, 0.0328645, 0.0335150, 0.0341395, 0.0347900, 0.0354405");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0473842, 0.0466201, 0.0458560, 0.0460560, 0.0462479, 0.0464479, 0.0466479");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_H";
+				timing_type : non_seq_hold_falling;
+				fall_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("ENABLE_H") {
+			 max_transition : 3.75;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : true;
+			rise_capacitance : 0.028834;
+			capacitance : 0.028433;
+			fall_capacitance : 0.028032;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0925761, 0.1674066, 0.2422370, 0.2758183, 0.3080563, 0.3416376, 0.3752188");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3849223, 1.1996048, 2.0142874, 2.1259287, 2.2331043, 2.3447456, 2.4563869");
+				}
+			}
+			timing() { /* check skew between enable_vddio and enable_h */ 
+				related_pin : "ENABLE_VDDIO";
+				timing_type : non_seq_hold_rising;
+				rise_constraint (scalar) { 
+					 values ("-35");
+				} 
+			}
+		}
+		pin ("FILT_IN_H") {
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "input";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			max_transition : 3.750000;
+			rise_capacitance : 0.009441;
+			capacitance : 0.009452;
+			fall_capacitance : 0.009463;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0420974, -0.0421766, -0.0422558, -0.0421830, -0.0421131, -0.0420403, -0.0419675");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0420163, 0.0421445, 0.0422726, 0.0421609, 0.0420537, 0.0419421, 0.0418305");
+				}
+			}
+		}
+		pin ("XRES_H_N") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO_Q";
+			related_ground_pin : "VSSD";
+			always_on : true;
+			function : "(PAD)";
+			max_capacitance : 2.722560;
+			max_transition : 3.756995;
+			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02545831, 0.06481256, 0.1650018, 0.4200668, 1.069419, 2.72256");
+ 					values("8.9380741, 8.9317641, 8.9155341, 8.9550571, 8.9965531, 9.0983531, 9.3919421", \
+ 					  "11.1920210, 11.1639410, 11.1615430, 11.1832350, 11.2262240, 11.3446790, 11.6343670", \
+ 					  "11.1397470, 11.1280490, 11.1277240, 11.1490580, 11.1945730, 11.3109440, 11.5978410", \
+ 					  "11.2144750, 11.1987160, 11.1965850, 11.2075610, 11.2530780, 11.3677420, 11.6561530", \
+ 					  "11.2951300, 11.2799070, 11.2841980, 11.3005260, 11.3468260, 11.4617110, 11.7493820", \
+ 					  "11.3609620, 11.3370700, 11.3507180, 11.3707050, 11.4136190, 11.5310340, 11.8083620", \
+ 					  "11.4325170, 11.4188450, 11.4157290, 11.4365720, 11.4823200, 11.5985670, 11.8854500");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02545831, 0.06481256, 0.1650018, 0.4200668, 1.069419, 2.72256");
+ 					values("14.6920893, 14.6845913, 14.6791223, 14.6270963, 14.6955933, 14.7811763, 15.0210903", \
+ 					  "12.8181780, 12.7921940, 12.7621200, 12.7761540, 12.8080910, 12.8949970, 13.1248810", \
+ 					  "12.9716060, 12.9470570, 12.9174890, 12.9226080, 12.9640460, 13.0470760, 13.3003240", \
+ 					  "13.1032950, 13.0743380, 13.0500510, 13.0587810, 13.0962530, 13.1751390, 13.4326470", \
+ 					  "13.2570230, 13.2157520, 13.2036290, 13.2076240, 13.2443800, 13.3357720, 13.5935910", \
+ 					  "13.3923990, 13.3599260, 13.3362520, 13.3488500, 13.3805130, 13.4701570, 13.7165570", \
+ 					  "13.4982680, 13.4731620, 13.4443040, 13.4569530, 13.4928950, 13.5759830, 13.8300920");
+ 				}
+ 			}
+ 			internal_power () {
+ 				related_pin : "PAD";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02545831, 0.06481256, 0.1650018, 0.4200668, 1.069419, 2.72256");
+ 					values("10.1829801, 10.1550101, 10.1712181, 10.1808471, 10.2550711, 10.3593741, 10.6803831", \
+ 					  "13.1647960, 13.1469150, 13.1467280, 13.1683020, 13.2155050, 13.3438150, 13.6478430", \
+ 					  "13.8775090, 13.8668840, 13.8607010, 13.8852180, 13.9285180, 14.0588470, 14.3618890", \
+ 					  "14.4221960, 14.3981360, 14.3997400, 14.4270470, 14.4790540, 14.6006750, 14.9149150", \
+ 					  "14.8533180, 14.8379710, 14.8366560, 14.8575230, 14.9114710, 15.0343110, 15.3396690", \
+ 					  "15.2138060, 15.1994460, 15.2035030, 15.2200230, 15.2812140, 15.4030400, 15.6997260", \
+ 					  "15.5203590, 15.5034790, 15.5057630, 15.5205220, 15.5693010, 15.6935990, 15.9980570");
+ 				}
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.02545831, 0.06481256, 0.1650018, 0.4200668, 1.069419, 2.72256");
+ 					values("15.7434473, 15.7734453, 15.6989603, 15.7182383, 15.7373613, 15.8369333, 16.1415823", \
+ 					  "14.0642210, 14.0373420, 14.0090560, 14.0173820, 14.0509490, 14.1385550, 14.3526410", \
+ 					  "14.4936580, 14.4669590, 14.4386130, 14.4486750, 14.4814490, 14.5937780, 14.7883860", \
+ 					  "14.8104880, 14.7836360, 14.7549280, 14.7640750, 14.7969830, 14.8874680, 15.1282410", \
+ 					  "15.1010070, 15.0730640, 15.0465200, 15.0509410, 15.0872060, 15.1782930, 15.4365570", \
+ 					  "15.3645450, 15.3408450, 15.3103310, 15.3242850, 15.3521050, 15.4436500, 15.7173650", \
+ 					  "15.6166750, 15.5898750, 15.5595190, 15.5715540, 15.6057560, 15.7161260, 15.9226970");
+ 				}
+ 			}
+			internal_power () {
+ 				related_pin : "FILT_IN_H";
+ 				when : "( INP_SEL_H )";
+ 				rise_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02546544, 0.06484888, 0.1651405, 0.4205377, 1.070918, 2.72714");
+ 					values("-1.7021595, -1.7049344, -1.7399471, -1.7541212, -1.8035686, -1.7490888, -1.7116252", \
+ 					  "-1.7312559, -1.7570490, -1.7891941, -1.7908463, -1.7947806, -1.7997273, -1.7501404", \
+ 					  "-1.6913284, -1.7176300, -1.7503007, -1.7529845, -1.7533062, -1.7697452, -1.7645180", \
+ 					  "-1.7243121, -1.7503962, -1.7825951, -1.7838755, -1.7888561, -1.7928638, -1.7425745", \
+ 					  "-1.7416875, -1.7699471, -1.7983906, -1.8013083, -1.8102730, -1.8178538, -1.8076925", \
+ 					  "-1.7343239, -1.7637693, -1.7892252, -1.7975967, -1.8000529, -1.8053042, -1.7543099", \
+ 					  "-1.7407979, -1.7707222, -1.7980513, -1.8007544, -1.8032731, -1.8028822, -1.8084495");
+ 				}
+ 				fall_power ("power_outputs_1") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.02546544, 0.06484888, 0.1651405, 0.4205377, 1.070918, 2.72714");
+ 					values("7.4028123, 7.3971658, 7.3959688, 7.4333547, 7.4595690, 7.5740633, 7.8553418", \
+ 					  "7.4479572, 7.4304079, 7.4325017, 7.4297096, 7.4967454, 7.6128158, 7.8968247", \
+ 					  "7.4078010, 7.3915119, 7.3923342, 7.4107370, 7.4578321, 7.5764100, 7.8629222", \
+ 					  "7.4489026, 7.4316664, 7.4334549, 7.4583551, 7.4978244, 7.6150301, 7.8980858", \
+ 					  "7.4490795, 7.4326873, 7.4342459, 7.4531900, 7.4995461, 7.6176886, 7.9010028", \
+ 					  "7.4538405, 7.4354039, 7.4374049, 7.4523989, 7.4958303, 7.6155532, 7.9011919", \
+ 					  "7.4493337, 7.4325147, 7.4349450, 7.4522324, 7.4987291, 7.6154569, 7.8993296");
+ 				}
+ 			}
+
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02546188, 0.06483072, 0.1650712, 0.4203023, 1.070169, 2.72485");
+					values("14.8086113, 14.8082483, 14.8148243, 14.8367003, 14.7901113, 14.8957113, 15.0957323", \
+					  "13.0249510, 13.0116880, 12.9771870, 12.9922480, 13.0345720, 13.1413350, 13.3766120", \
+					  "15.2584370, 15.2233940, 15.1957820, 15.2142730, 15.2404480, 15.3209150, 15.6204460", \
+					  "17.2987910, 17.3122940, 17.2846360, 17.2556410, 17.2839940, 17.3542920, 17.6591950", \
+					  "19.2913170, 19.2662330, 19.2041800, 19.2490020, 19.2832100, 19.3930150, 19.6005040", \
+					  "21.1860840, 21.1568640, 21.1283070, 21.1431340, 21.1744510, 21.2639400, 21.5463880", \
+					  "23.0257720, 23.0070250, 22.9612060, 22.9907740, 23.0220440, 23.0940600, 23.3682780");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02546188, 0.06483072, 0.1650712, 0.4203023, 1.070169, 2.72485");
+					values("9.8961718, 9.8682178, 9.8397388, 9.8799968, 9.9575048, 10.0563948, 10.3462338", \
+					  "15.0491220, 15.0335920, 15.0676190, 15.0895580, 15.1171160, 15.2321800, 15.5162460", \
+					  "15.8388720, 15.8312360, 15.7782350, 15.8479290, 15.8912030, 16.0154160, 16.3005130", \
+					  "16.6251580, 16.6078800, 16.6473120, 16.6294600, 16.6739800, 16.8011650, 17.0838050", \
+					  "17.4279740, 17.4128280, 17.4362350, 17.5023550, 17.4765270, 17.5700950, 17.8774770", \
+					  "18.2435560, 18.2281030, 18.2920260, 18.2481790, 18.2927980, 18.4110380, 18.6951500", \
+					  "19.0591280, 19.0415860, 19.1183700, 19.0618790, 19.1074860, 19.2241120, 19.5137660");
+				}
+			}
+			internal_power () {
+				related_pin : "PAD";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				rise_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02546188, 0.06483072, 0.1650712, 0.4203023, 1.070169, 2.72485");
+					values("14.7973533, 14.7706383, 14.6209403, 14.8946783, 14.7732913, 14.8849533, 15.1360083", \
+					  "13.0320540, 13.0031530, 12.9806890, 12.9855030, 13.0291430, 13.1076080, 13.3766900", \
+					  "15.2550260, 15.2279790, 15.2194400, 15.2239970, 15.2527970, 15.3149820, 15.5558760", \
+					  "17.3196140, 17.2927870, 17.2438820, 17.2571470, 17.3199960, 17.3807060, 17.6229360", \
+					  "19.2940070, 19.2678100, 19.2384550, 19.2454980, 19.2899600, 19.3756850, 19.5994850", \
+					  "21.1873370, 21.1598480, 21.1403500, 21.1426640, 21.1607690, 21.2653940, 21.5491860", \
+					  "23.0145500, 22.9867100, 22.9556740, 22.9706030, 23.0008860, 23.1114810, 23.3646660");
+				}
+				fall_power ("power_outputs_1") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.02546188, 0.06483072, 0.1650712, 0.4203023, 1.070169, 2.72485");
+					values("9.8850438, 9.8773078, 9.8513918, 9.9080378, 9.9573368, 10.0584058, 10.3334958", \
+					  "15.0622070, 15.0588030, 15.0486690, 15.1033660, 15.1620560, 15.1748740, 15.5216270", \
+					  "15.8373450, 15.8202390, 15.8225810, 15.8409950, 15.8861920, 16.0628110, 16.2874400", \
+					  "16.6252220, 16.6077600, 16.6083220, 16.6949960, 16.6019060, 16.7555220, 17.0564670", \
+					  "17.4299060, 17.4159750, 17.4134040, 17.4363060, 17.4768690, 17.5622830, 17.8827860", \
+					  "18.2378900, 18.2218940, 18.2258030, 18.2428100, 18.2526040, 18.4942460, 18.6886500", \
+					  "19.0508200, 19.0345830, 19.0377140, 19.0563540, 19.2160870, 19.2370340, 19.5012370");
+				}
+			}
+			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("186.1388500, 186.1673300, 186.2346700, 186.3694500, 186.6302500, 187.1475900, 188.6643700", \
+ 					  "188.3130900, 188.3456400, 188.4114900, 188.5457700, 188.8136900, 189.3945700, 190.8348400", \
+ 					  "189.9197200, 189.9522500, 190.0181400, 190.1524100, 190.4204200, 191.0011300, 192.4420000", \
+ 					  "191.2430200, 191.2748200, 191.3416700, 191.4770700, 191.7446200, 192.3253700, 193.7688000", \
+ 					  "192.5155400, 192.5480600, 192.6147100, 192.7488600, 193.0165200, 193.5976700, 195.0406400", \
+ 					  "193.7004900, 193.7323200, 193.7991800, 193.9345800, 194.2020400, 194.7828100, 196.2238700", \
+ 					  "194.7918700, 194.8243400, 194.8902300, 195.0245400, 195.2924600, 195.8733500, 197.3135100");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("0.1520523, 0.1773792, 0.2320686, 0.3611266, 0.6534472, 1.4306164, 3.5113845", \
+ 					  "0.1520034, 0.1767621, 0.2337288, 0.3597689, 0.6533797, 1.4346728, 3.5173788", \
+ 					  "0.1519124, 0.1768276, 0.2337475, 0.3597345, 0.6534674, 1.4346893, 3.5182599", \
+ 					  "0.1520359, 0.1785152, 0.2341082, 0.3610555, 0.6536739, 1.4329089, 3.5156273", \
+ 					  "0.1514379, 0.1766670, 0.2336957, 0.3591254, 0.6529820, 1.4341319, 3.5151346", \
+ 					  "0.1520336, 0.1785170, 0.2341140, 0.3610579, 0.6536829, 1.4315880, 3.5097829", \
+ 					  "0.1520140, 0.1767405, 0.2337108, 0.3597710, 0.6533430, 1.4346693, 3.5171022");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("177.2160900, 177.2641600, 177.2877600, 177.4637000, 177.7401300, 178.3790700, 180.1272300", \
+ 					  "179.1533500, 179.1889900, 179.2667300, 179.4110200, 179.6998100, 180.3723700, 182.0497600", \
+ 					  "182.0539800, 182.0951100, 182.1762700, 182.3188100, 182.6112300, 183.2817400, 184.9521600", \
+ 					  "184.8890000, 184.9217900, 184.9859100, 185.1713300, 185.4623000, 186.1287600, 187.7775000", \
+ 					  "187.3952300, 187.4241300, 187.4894300, 187.5979300, 187.9235900, 188.5589000, 190.2827500", \
+ 					  "189.6396200, 189.6755900, 189.7439600, 189.8483200, 190.1764500, 190.8471700, 192.5379100", \
+ 					  "191.6778700, 191.7129900, 191.7817700, 191.9205800, 192.2110800, 192.8817600, 194.5680300");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("0.1350681, 0.1606401, 0.2225585, 0.3517861, 0.6616179, 1.5055608, 3.7362042", \
+ 					  "0.1356108, 0.1616801, 0.2208675, 0.3494507, 0.6612357, 1.5093350, 3.7453121", \
+ 					  "0.1359654, 0.1614834, 0.2204613, 0.3485857, 0.6619441, 1.5092275, 3.7520085", \
+ 					  "0.1353319, 0.1607840, 0.2205782, 0.3502552, 0.6616617, 1.5101781, 3.7536343", \
+ 					  "0.1348071, 0.1608030, 0.2221191, 0.3485138, 0.6625676, 1.5092513, 3.7445300", \
+ 					  "0.1356489, 0.1617051, 0.2223705, 0.3480503, 0.6624973, 1.5099151, 3.7569947", \
+ 					  "0.1353573, 0.1608503, 0.2226784, 0.3486165, 0.6621728, 1.5092547, 3.7494017");
+ 				}
+ 			}
+ 			timing () {
+ 				related_pin : "PAD";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
+ 				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("187.1297100, 187.2171400, 187.2171402, 187.4427800, 187.6954500, 188.2791000, 189.6861500", \
+ 					  "190.0157800, 190.0481300, 190.1147600, 190.2502800, 190.5161200, 191.0978800, 192.5406700", \
+ 					  "192.6554200, 192.6943700, 192.7609800, 192.8963500, 193.1631900, 193.7443600, 195.1852400", \
+ 					  "194.9149200, 194.9470100, 195.0129300, 195.1487900, 195.4149400, 195.9963100, 197.4418200", \
+ 					  "196.9519600, 196.9840600, 197.0505800, 197.1849600, 197.4525200, 198.0336000, 199.4766300", \
+ 					  "198.8481300, 198.8761500, 198.9420800, 199.0766900, 199.3693100, 199.9254100, 201.3654900", \
+ 					  "200.6598400, 200.6989800, 200.7659400, 200.9012400, 201.1682100, 201.7502100, 203.1953300");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("0.1518222, 0.1781647, 0.2364058, 0.3605136, 0.6498166, 1.4313638, 3.5072212", \
+ 					  "0.1519717, 0.1795287, 0.2330038, 0.3595230, 0.6523013, 1.4296906, 3.5192167", \
+ 					  "0.1536870, 0.1788312, 0.2327259, 0.3622948, 0.6518656, 1.4325865, 3.5117891", \
+ 					  "0.1515155, 0.1772100, 0.2332474, 0.3596507, 0.6521794, 1.4345695, 3.5187888", \
+ 					  "0.1539912, 0.1766109, 0.2334845, 0.3591157, 0.6529911, 1.4341225, 3.5150771", \
+ 					  "0.1519622, 0.1767370, 0.2337049, 0.3597797, 0.6525520, 1.4346653, 3.5170187", \
+ 					  "0.1514053, 0.1773201, 0.2331579, 0.3616578, 0.6522955, 1.4300047, 3.5156362");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("173.3479300, 173.3503600, 173.4144600, 173.5621600, 173.8713300, 174.5248700, 176.2180500", \
+ 					  "174.2749000, 174.2892000, 174.3579600, 174.5008800, 174.7907400, 175.4790400, 177.1529000", \
+ 					  "175.6073700, 175.6290700, 175.7113100, 175.8410000, 176.1435600, 176.8107900, 178.4865600", \
+ 					  "177.0704600, 177.1232700, 177.1917500, 177.3342000, 177.6222400, 178.2735000, 179.9783400", \
+ 					  "178.5870900, 178.6194000, 178.6876900, 178.8305500, 179.1193800, 179.7879500, 181.4815000", \
+ 					  "180.0131700, 180.0439300, 180.1123400, 180.2557100, 180.5401700, 181.2147400, 182.9026500", \
+ 					  "181.3208100, 181.3529600, 181.4297100, 181.5786600, 181.8665400, 182.5329600, 184.2213200");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+ 					index_2("0.01, 0.0254583, 0.0648126, 0.165002, 0.420067, 1.06942, 2.72256");
+ 					values("0.1351326, 0.1603421, 0.2227794, 0.3518438, 0.6610186, 1.5062556, 3.7430246", \
+ 					  "0.1355139, 0.1616718, 0.2232461, 0.3488509, 0.6623227, 1.5110030, 3.7488919", \
+ 					  "0.1348861, 0.1611428, 0.2200024, 0.3514526, 0.6634288, 1.5092488, 3.7376311", \
+ 					  "0.1351078, 0.1618940, 0.2204133, 0.3503134, 0.6626995, 1.5093871, 3.7520484", \
+ 					  "0.1359196, 0.1634312, 0.2208104, 0.3479841, 0.6622450, 1.5092455, 3.7488062", \
+ 					  "0.1359698, 0.1608743, 0.2212829, 0.3500500, 0.6623930, 1.5099372, 3.7494834", \
+ 					  "0.1354935, 0.1620940, 0.2204654, 0.3517259, 0.6624838, 1.5101033, 3.7491175");
+ 				}
+ 			}
+			timing () {
+ 				related_pin : "FILT_IN_H";
+ 				timing_type : "combinational";
+ 				timing_sense : "positive_unate";
+ 				when : "( INP_SEL_H )";
+ 				sdf_cond : " INP_SEL_H==1'b1 ";
+ 				cell_rise ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0254654, 0.0648489, 0.165141, 0.420538, 1.07092, 2.72714");
+ 					values("183.1485300, 183.1811900, 183.2476900, 183.3827700, 183.6499300, 184.2325900, 185.6791600", \
+ 					  "183.1730300, 183.2055700, 183.2718200, 183.4071100, 183.6750600, 184.2561100, 185.7033400", \
+ 					  "183.2256900, 183.2584800, 183.3252600, 183.4592900, 183.7278300, 184.3099100, 185.7556200", \
+ 					  "183.2597300, 183.2921400, 183.3585400, 183.4936800, 183.7616700, 184.3427700, 185.7898300", \
+ 					  "183.3215700, 183.3535600, 183.4218200, 183.5560500, 183.8235600, 184.4062000, 185.8510800", \
+ 					  "183.3726300, 183.4051500, 183.4712700, 183.6065500, 183.8747300, 184.4555200, 185.9019100", \
+ 					  "183.4257500, 183.4575600, 183.5260000, 183.6600200, 183.9275400, 184.5101400, 185.9595200");
+ 				}
+ 				rise_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0254654, 0.0648489, 0.165141, 0.420538, 1.07092, 2.72714");
+ 					values("0.1540860, 0.1796882, 0.2343168, 0.3605304, 0.6531312, 1.4315010, 3.5191754", \
+ 					  "0.1540820, 0.1796178, 0.2340050, 0.3599437, 0.6545900, 1.4333480, 3.5250907", \
+ 					  "0.1537744, 0.1791700, 0.2335887, 0.3600886, 0.6531271, 1.4340198, 3.5235703", \
+ 					  "0.1537876, 0.1796601, 0.2339659, 0.3602207, 0.6545891, 1.4333327, 3.5254177", \
+ 					  "0.1523307, 0.1787998, 0.2364612, 0.3608649, 0.6531721, 1.4344204, 3.5255447", \
+ 					  "0.1542530, 0.1796190, 0.2339729, 0.3598452, 0.6546214, 1.4326986, 3.5199919", \
+ 					  "0.1520943, 0.1788762, 0.2363928, 0.3609461, 0.6528412, 1.4379681, 3.5234489");
+ 				}
+ 				cell_fall ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0254654, 0.0648489, 0.165141, 0.420538, 1.07092, 2.72714");
+ 					values("171.4160500, 171.4412600, 171.5129400, 171.6521500, 171.9422300, 172.6148600, 174.3121800", \
+ 					  "171.4889100, 171.5214200, 171.5900200, 171.7325700, 172.0235000, 172.6955900, 174.3836600", \
+ 					  "171.5454200, 171.5775000, 171.6466300, 171.7886100, 172.0797100, 172.7517100, 174.4412700", \
+ 					  "171.6042300, 171.6365600, 171.7052400, 171.8479300, 172.1388500, 172.8107600, 174.4989200", \
+ 					  "171.6643700, 171.6966400, 171.7655400, 171.9081400, 172.1991500, 172.8711500, 174.5602800", \
+ 					  "171.7198000, 171.7529700, 171.8214300, 171.9696500, 172.2608300, 172.9322200, 174.6154400", \
+ 					  "171.7888700, 171.8209300, 171.8892300, 172.0317300, 172.3227400, 172.9948900, 174.6837900");
+ 				}
+ 				fall_transition ("del_1_7_7") {
+ 					index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
+ 					index_2("0.01, 0.0254654, 0.0648489, 0.165141, 0.420538, 1.07092, 2.72714");
+ 					values("0.1349769, 0.1617117, 0.2219432, 0.3500420, 0.6624812, 1.5126796, 3.7584473", \
+ 					  "0.1354711, 0.1618966, 0.2225611, 0.3515507, 0.6626146, 1.5112487, 3.7427372", \
+ 					  "0.1354308, 0.1618241, 0.2215500, 0.3516842, 0.6630246, 1.5120106, 3.7576164", \
+ 					  "0.1354764, 0.1613766, 0.2229629, 0.3518644, 0.6628378, 1.5114027, 3.7429855", \
+ 					  "0.1353920, 0.1615878, 0.2216300, 0.3517413, 0.6627216, 1.5120213, 3.7574202", \
+ 					  "0.1354838, 0.1620042, 0.2224000, 0.3505691, 0.6625739, 1.5126350, 3.7425014", \
+ 					  "0.1353763, 0.1618656, 0.2226862, 0.3514383, 0.6625783, 1.5113614, 3.7510417");
+ 				}
+ 			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("185.3252300, 185.3400800, 185.4054700, 185.5344500, 185.7919500, 186.3900100, 187.8306800", \
+					  "186.3189400, 186.3563600, 186.4138400, 186.5585400, 186.8250200, 187.4020900, 188.8486700", \
+					  "187.0994000, 187.1305000, 187.2039200, 187.3327600, 187.5997800, 188.1838900, 189.6260500", \
+					  "187.7541000, 187.8079000, 187.8715800, 187.9927800, 188.2557500, 188.8420800, 190.2873400", \
+					  "188.3837500, 188.4175700, 188.4706900, 188.6182300, 188.8849800, 189.4665500, 190.9133700", \
+					  "188.9637100, 188.9947000, 189.0624300, 189.1962000, 189.4662100, 190.0471000, 191.4921300", \
+					  "189.4930400, 189.5306100, 189.5909500, 189.7328600, 189.9991600, 190.5726100, 192.0228700");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("0.1510251, 0.1758023, 0.2336164, 0.3609965, 0.6540295, 1.4316178, 3.5229397", \
+					  "0.1520872, 0.1776323, 0.2340423, 0.3609599, 0.6524627, 1.4305739, 3.5179994", \
+					  "0.1534839, 0.1797099, 0.2360917, 0.3599233, 0.6544424, 1.4326523, 3.5172683", \
+					  "0.1542100, 0.1796349, 0.2343652, 0.3611473, 0.6534623, 1.4326310, 3.5168521", \
+					  "0.1527303, 0.1761632, 0.2337062, 0.3596582, 0.6525462, 1.4307227, 3.5195942", \
+					  "0.1539055, 0.1797110, 0.2340253, 0.3599170, 0.6544403, 1.4318229, 3.5173693", \
+					  "0.1544543, 0.1796804, 0.2337047, 0.3618490, 0.6522839, 1.4321796, 3.5180041");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("172.7170100, 172.7240600, 172.7936900, 172.9350200, 173.2804500, 173.8992000, 175.5836500", \
+					  "173.6845700, 173.7170500, 173.7859800, 173.9313100, 174.2164500, 174.8869500, 176.5727400", \
+					  "174.4803200, 174.5101900, 174.5730900, 174.7142400, 174.9974700, 175.6725300, 177.3552500", \
+					  "175.1711700, 175.2036100, 175.2720100, 175.4138700, 175.7066600, 176.3892800, 178.0743300", \
+					  "175.8408100, 175.8728400, 175.9418500, 176.0770700, 176.3758500, 177.0396900, 178.7327800", \
+					  "176.4643500, 176.4962900, 176.5659500, 176.7072600, 176.9997100, 177.6697900, 179.3572000", \
+					  "177.0824400, 177.1146700, 177.1829300, 177.3247100, 177.6176900, 178.2871900, 179.9743500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("0.1356387, 0.1606027, 0.2228954, 0.3519214, 0.6626920, 1.5110895, 3.7437169", \
+					  "0.1355208, 0.1616933, 0.2227005, 0.3511280, 0.6620536, 1.5107872, 3.7433243", \
+					  "0.1354985, 0.1618172, 0.2227867, 0.3521160, 0.6639867, 1.5107652, 3.7461132", \
+					  "0.1355154, 0.1616713, 0.2226857, 0.3515956, 0.6622050, 1.5104913, 3.7416781", \
+					  "0.1351362, 0.1626725, 0.2237298, 0.3517891, 0.6619131, 1.5103676, 3.7398249", \
+					  "0.1354922, 0.1640410, 0.2233032, 0.3515391, 0.6624488, 1.5112762, 3.7508969", \
+					  "0.1354159, 0.1618154, 0.2231085, 0.3517893, 0.6627407, 1.5114333, 3.7465471");
+				}
+			}
+			timing () {
+				related_pin : "PAD";
+				timing_type : "combinational";
+				timing_sense : "positive_unate";
+				when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
+				sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
+				cell_rise ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("185.3199800, 185.3521500, 185.4142900, 185.5389700, 185.8114200, 186.3752500, 187.8454000", \
+					  "186.3317300, 186.3688200, 186.4298600, 186.5661800, 186.8403100, 187.4225600, 188.8682100", \
+					  "187.1122500, 187.1444400, 187.2152500, 187.3480600, 187.6146700, 188.1955700, 189.6413700", \
+					  "187.7751700, 187.8141700, 187.8690200, 188.0047300, 188.2938200, 188.8576700, 190.2974800", \
+					  "188.3969700, 188.4287400, 188.4947900, 188.6314900, 188.9021300, 189.4789000, 190.9316300", \
+					  "188.9767000, 189.0082300, 189.0755200, 189.2114300, 189.4752200, 190.0592400, 191.5040300", \
+					  "189.5040400, 189.5362900, 189.6096800, 189.7433300, 190.0052200, 190.5869000, 192.0361500");
+				}
+				rise_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("0.1511334, 0.1758831, 0.2364983, 0.3610426, 0.6539920, 1.4325896, 3.5175076", \
+					  "0.1520142, 0.1790955, 0.2337315, 0.3597982, 0.6533590, 1.4374654, 3.5139009", \
+					  "0.1538135, 0.1797083, 0.2360720, 0.3616382, 0.6544326, 1.4325925, 3.5150083", \
+					  "0.1542412, 0.1788488, 0.2331599, 0.3607036, 0.6530004, 1.4326801, 3.5206247", \
+					  "0.1533517, 0.1773873, 0.2333670, 0.3600672, 0.6539546, 1.4324690, 3.5181815", \
+					  "0.1534393, 0.1797167, 0.2339579, 0.3600094, 0.6544337, 1.4313494, 3.5175909", \
+					  "0.1539972, 0.1796637, 0.2332628, 0.3597846, 0.6543170, 1.4324741, 3.5171666");
+				}
+				cell_fall ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("172.7753400, 172.7916000, 172.8651000, 172.9760900, 173.3003100, 173.9380900, 175.6240100", \
+					  "173.6949600, 173.7310800, 173.7960700, 173.9385700, 174.2327500, 174.9042300, 176.5865000", \
+					  "174.4782700, 174.5167600, 174.5818700, 174.7225700, 175.0212400, 175.6919100, 177.3706700", \
+					  "175.1855500, 175.2166300, 175.2989100, 175.4298600, 175.7204300, 176.3903700, 178.0771600", \
+					  "175.8486700, 175.8793100, 175.9447900, 176.0895900, 176.3804400, 177.0522300, 178.7355000", \
+					  "176.4778000, 176.5101400, 176.5797700, 176.7215100, 177.0123800, 177.6835500, 179.3704000", \
+					  "177.0953400, 177.1277600, 177.1977200, 177.3389800, 177.6297700, 178.3010200, 179.9879500");
+				}
+				fall_transition ("del_1_7_7") {
+					index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
+					index_2("0.01, 0.0254619, 0.0648307, 0.165071, 0.420302, 1.07017, 2.72485");
+					values("0.1355606, 0.1617481, 0.2230448, 0.3518091, 0.6627325, 1.5118772, 3.7487400", \
+					  "0.1351663, 0.1614052, 0.2226668, 0.3512365, 0.6626058, 1.5103169, 3.7428385", \
+					  "0.1358502, 0.1616292, 0.2204784, 0.3501018, 0.6622571, 1.5114612, 3.7572043", \
+					  "0.1355241, 0.1620148, 0.2205667, 0.3500961, 0.6619951, 1.5103437, 3.7409080", \
+					  "0.1351860, 0.1612422, 0.2232835, 0.3512647, 0.6633787, 1.5113010, 3.7398178", \
+					  "0.1354926, 0.1640229, 0.2233050, 0.3515360, 0.6624273, 1.5112669, 3.7509165", \
+					  "0.1357160, 0.1621560, 0.2229248, 0.3517954, 0.6625658, 1.5114362, 3.7489944");
+				}
+			}
+		}
+		pin (PAD) {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			is_pad : true;
+			rise_capacitance : 0.930286;
+			capacitance : 0.932414;
+			fall_capacitance : 0.934543;
+			max_transition : 25.0;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-3.9896723, -3.3658650, -2.7420577, -2.4211060, -2.1129925, -1.7920408, -1.4710892");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("4.5193502, 5.0552661, 5.5911820, 5.6913313, 5.7874746, 5.8876239, 5.9877732");
+				}
+			}
+		}
+		pin ("PULLUP_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.109474;
+			capacitance : 0.122847;
+			fall_capacitance : 0.136220;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0602062, 0.3652575, 0.7907212, 1.2158391, 1.6239522, 2.0490701, 2.4741880");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0607053, -0.3636734, -0.7880520, -1.2117956, -1.6185894, -2.0423330, -2.4660766");
+				}
+			}
+		}
+		pin ("TIE_WEAK_HI_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.091868;
+			capacitance : 0.131825;
+			fall_capacitance : 0.171782;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.3459033, 0.0855707, 0.5170446, 0.9474554, 1.3606498, 1.7910606, 2.2214715");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.3458554, -0.0856060, -0.5170673, -0.9474774, -1.3606711, -1.7910811, -2.2214912");
+				}
+			}
+		}
+		pin ("TIE_LO_ESD") {
+			 function : "0";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("TIE_HI_ESD") {
+			 function : "1";
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "output";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+		}
+		pin ("PAD_A_ESD_H") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDIO";
+			related_ground_pin : "VSSIO";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 1.781897;
+			capacitance : 2.099243;
+			fall_capacitance : 2.416589;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0220586, -0.0221303, -0.0222019, -0.0220925, -0.0219874, -0.0218780, -0.0217686");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0220722, 0.0221234, 0.0221746, 0.0220719, 0.0219733, 0.0218706, 0.0217679");
+				}
+			}
+		}
+		pin ("AMUXBUS_A") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.050119;
+			capacitance : 0.050120;
+			fall_capacitance : 0.050121;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0111781, -0.0110944, -0.0110107, -0.0109948, -0.0109795, -0.0109635, -0.0109476");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0111822, 0.0111494, 0.0111166, 0.0110790, 0.0110429, 0.0110053, 0.0109677");
+				}
+			}
+		}
+		pin ("AMUXBUS_B") {
+			 output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			 input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
+			direction : "inout";
+			related_power_pin : "VDDA";
+			related_ground_pin : "VSSA";
+			always_on : false;
+			signal_voltage_type : "analog";
+			rise_capacitance : 0.045921;
+			capacitance : 0.045933;
+			fall_capacitance : 0.045944;
+			internal_power () {
+				rise_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("-0.0185841, -0.0185424, -0.0185007, -0.0183992, -0.0183017, -0.0182001, -0.0180985");
+				}
+				fall_power ("power_inputs_1") {
+					index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
+					values("0.0185570, 0.0185384, 0.0185198, 0.0184107, 0.0183059, 0.0181968, 0.0180876");
+				}
+			}
+		}
+	}
+	 default_operating_conditions : "tt_tt_1p80v_x_3p30v_025C";
+}